repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/arith/int_mul.vhd
1
9,049
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() library commonlib; use commonlib.types_common.all; --! RIVER CPU specific library. library riverlib; --! RIVER CPU configuration constants. use riverlib.river_cfg.all; entity IntMul is generic ( async_reset : boolean ); port ( i_clk : in std_logic; i_nrst : in std_logic; i_ena : in std_logic; -- Enable bit i_unsigned : in std_logic; -- Unsigned operands i_hsu : in std_logic; -- MULHSU instruction signed * unsigned i_high : in std_logic; -- High multiplied bits [127:64] i_rv32 : in std_logic; -- 32-bits operands enable i_a1 : in std_logic_vector(RISCV_ARCH-1 downto 0); -- Operand 1 i_a2 : in std_logic_vector(RISCV_ARCH-1 downto 0); -- Operand 1 o_res : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Result o_valid : out std_logic; -- Result is valid o_busy : out std_logic -- Multiclock instruction under processing ); end; architecture arch_IntMul of IntMul is type Level0Type is array (0 to 31) of std_logic_vector(65 downto 0); type Level1Type is array (0 to 15) of std_logic_vector(68 downto 0); type Level2Type is array (0 to 7) of std_logic_vector(73 downto 0); type Level3Type is array (0 to 3) of std_logic_vector(82 downto 0); type Level4Type is array (0 to 1) of std_logic_vector(99 downto 0); type RegistersType is record busy : std_logic; ena : std_logic_vector(3 downto 0); a1 : std_logic_vector(RISCV_ARCH-1 downto 0); a2 : std_logic_vector(RISCV_ARCH-1 downto 0); unsign : std_logic; high : std_logic; rv32 : std_logic; zero : std_logic; inv : std_logic; result : std_logic_vector(127 downto 0); end record; constant R_RESET : RegistersType := ( '0', (others => '0'), -- busy, ena (others => '0'), (others => '0'), '0', -- a1, a2, unsign '0', '0', -- high, rv32, '0', '0', -- zero, inv (others => '0') -- result ); -- Some synthezators crush when try to initialize two-dimensional array -- so exclude from register type and avoid using (others => (others =>)) signal r_lvl1, rin_lvl1 : Level1Type; signal r_lvl3, rin_lvl3 : Level3Type; signal r, rin : RegistersType; begin comb : process(i_nrst, i_ena, i_unsigned, i_hsu, i_high, i_rv32, i_a1, i_a2, r, r_lvl1, r_lvl3) variable v : RegistersType; variable v_lvl1 : Level1Type; variable v_lvl3 : Level3Type; variable wb_mux_lvl0 : std_logic_vector(1 downto 0); variable wb_lvl0 : Level0Type; variable wb_lvl2 : Level2Type; variable wb_lvl4 : Level4Type; variable wb_lvl5 : std_logic_vector(127 downto 0); variable wb_res32 : std_logic_vector(127 downto 0); variable wb_res : std_logic_vector(RISCV_ARCH-1 downto 0); variable vb_a1s : std_logic_vector(63 downto 0); variable vb_a2s : std_logic_vector(63 downto 0); variable v_a1s_nzero : std_logic; variable v_a2s_nzero : std_logic; begin v := r; v_a1s_nzero := or_reduce(i_a1(62 downto 0)); if v_a1s_nzero = '1' and i_a1(63) = '1' then vb_a1s := (not i_a1) + 1; else vb_a1s := i_a1; end if; v_a2s_nzero := or_reduce(i_a2(62 downto 0)); if v_a2s_nzero = '1' and i_a2(63) = '1' then vb_a2s := (not i_a2) + 1; else vb_a2s := i_a2; end if; v_lvl1 := r_lvl1; v_lvl3 := r_lvl3; for i in 0 to 7 loop wb_lvl2(i) := (others => '0'); end loop; for i in 0 to 1 loop wb_lvl4(i) := (others => '0'); end loop; wb_lvl5 := (others => '0'); wb_res32 := (others => '0'); v.ena := r.ena(2 downto 0) & (i_ena and not r.busy); if i_ena = '1' then v.busy := '1'; v.inv := '0'; v.zero := '0'; if i_rv32 = '1' then v.a1(31 downto 0) := i_a1(31 downto 0); if (not i_unsigned and i_a1(31)) = '1' then v.a1(63 downto 32) := (others => '1'); end if; v.a2(31 downto 0) := i_a2(31 downto 0); if (not i_unsigned and i_a2(31)) = '1' then v.a2(63 downto 32) := (others => '1'); end if; elsif i_high = '1' then if i_hsu = '1' then v.zero := (not v_a1s_nzero) or (not or_reduce(i_a2)); v.inv := i_a1(63); v.a1 := vb_a1s; v.a2 := i_a2; elsif i_unsigned = '1' then v.a1 := i_a1; v.a2 := i_a2; else v.zero := (not v_a1s_nzero) or (not v_a2s_nzero); v.inv := i_a1(63) xor i_a2(63); v.a1 := vb_a1s; v.a2 := vb_a2s; end if; else v.a1 := i_a1; v.a2 := i_a2; end if; v.rv32 := i_rv32; v.unsign := i_unsigned; v.high := i_high; end if; if r.ena(0) = '1' then for i in 0 to 31 loop wb_mux_lvl0 := r.a2(2*i + 1 downto 2*i); if wb_mux_lvl0 = "00" then wb_lvl0(i) := (others => '0'); elsif wb_mux_lvl0 = "01" then wb_lvl0(i) := ("00" & r.a1); elsif wb_mux_lvl0 = "10" then wb_lvl0(i) := ("0" & r.a1 & "0"); else wb_lvl0(i) := ("00" & r.a1) + ("0" & r.a1 & "0"); end if; end loop; for i in 0 to 15 loop v_lvl1(i) := ("0" & wb_lvl0(2*i + 1) & "00") + ("000" & wb_lvl0(2*i)); end loop; end if; if r.ena(1) = '1' then for i in 0 to 7 loop wb_lvl2(i) := ("0" & r_lvl1(2*i + 1) & "0000") + ("00000" & r_lvl1(2*i)); end loop; for i in 0 to 3 loop v_lvl3(i) := ("0" & wb_lvl2(2*i + 1) & "00000000") + ("000000000" & wb_lvl2(2*i)); end loop; end if; if r.ena(2) = '1' then v.busy := '0'; for i in 0 to 1 loop wb_lvl4(i) := ("0" & r_lvl3(2*i + 1) & "0000000000000000") + ("00000000000000000" & r_lvl3(2*i)); end loop; wb_lvl5 := (wb_lvl4(1)(95 downto 0) & X"00000000") + (X"0000000" & wb_lvl4(0)); if r.rv32 = '1' then wb_res32(31 downto 0) := wb_lvl5(31 downto 0); if r.unsign = '1' or wb_lvl5(31) = '0' then wb_res32(127 downto 32) := (others => '0'); else wb_res32(127 downto 32) := (others => '1'); end if; v.result := wb_res32; elsif r.high = '1' then v.result(63 downto 0) := wb_lvl5(63 downto 0); -- ignore low part if r.zero = '1' then v.result(127 downto 64) := (others => '0'); elsif r.inv = '1' then v.result(127 downto 64) := not wb_lvl5(127 downto 64); else v.result(127 downto 64) := wb_lvl5(127 downto 64); end if; else v.result := wb_lvl5; end if; end if; wb_res := r.result(63 downto 0); if r.high = '1' then wb_res := r.result(127 downto 64); --! not tested yet end if; if not async_reset and i_nrst = '0' then v := R_RESET; for i in 0 to 15 loop v_lvl1(i) := (others => '0'); end loop; for i in 0 to 3 loop v_lvl3(i) := (others => '0'); end loop; end if; o_res <= wb_res; o_valid <= r.ena(3); o_busy <= r.busy; rin <= v; rin_lvl1 <= v_lvl1; rin_lvl3 <= v_lvl3; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= R_RESET; for i in 0 to 15 loop r_lvl1(i) <= (others => '0'); end loop; for i in 0 to 3 loop r_lvl3(i) <= (others => '0'); end loop; elsif rising_edge(i_clk) then r <= rin; r_lvl1 <= rin_lvl1; r_lvl3 <= rin_lvl3; end if; end process; end;
apache-2.0
a198a806eab0c9286f818576204f02e9
0.495966
3.124655
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/mem/ram32_tech.vhd
1
2,199
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Technology specific RAM selector ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; entity Ram32_tech is generic ( generic_tech : integer := 0; generic_abits : integer := 10 ); port ( i_clk : in std_logic; i_address : in std_logic_vector(generic_abits-1 downto 0); i_wr_ena : in std_logic; i_data : in std_logic_vector(31 downto 0); o_data : out std_logic_vector(31 downto 0) ); end; architecture rtl of Ram32_tech is component Ram32_inferred generic ( generic_abits : integer := 10 ); port ( i_clk : in std_logic; i_address : in std_logic_vector(generic_abits-1 downto 0); i_wr_ena : in std_logic; i_data : in std_logic_vector(31 downto 0); o_data : out std_logic_vector(31 downto 0) ); end component; -- micron 180 nm tech component micron180_syncram generic (abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; -- TODO: add there other ASIC components begin genmem0 : if generic_tech = inferred or is_fpga(generic_tech) /= 0 generate ram_infer : Ram32_inferred generic map ( generic_abits => generic_abits ) port map ( i_clk, i_address, i_wr_ena, i_data, o_data ); end generate; genmem1 : if generic_tech = mikron180 generate x0 : micron180_syncram generic map (generic_abits, 32) port map (i_clk, i_address, i_data, o_data, '1', i_wr_ena); end generate; end;
apache-2.0
525e3ad6d28d5559fa351a00b824694a
0.572533
3.581433
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/prj/sim/asic_top.vhd
1
12,600
--! --! Copyright 2018 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! --! Standard library library IEEE; use IEEE.STD_LOGIC_1164.ALL; --! Data transformation and math functions library library commonlib; use commonlib.types_common.all; --! Technology definition library. library techmap; --! Technology constants definition. use techmap.gencomp.all; --! "Virtual" PLL declaration. use techmap.types_pll.all; -- "Virtual" memory banks use techmap.types_mem.all; --! "Virtual" buffers declaration. use techmap.types_buf.all; --! Top-level implementaion library library work; --! Target dependable configuration: RTL, FPGA or ASIC. use work.config_target.all; entity asic_top is port ( --! Input reset. Active HIGH. i_rst : in std_logic; --! Differential clock (LVDS) positive/negaive signal. i_sclk_p : in std_logic; i_sclk_n : in std_logic; --! GPIO: [11:4] LEDs; [3:0] DIP switch io_gpio : inout std_logic_vector(11 downto 0); --! Timers o_pwm : out std_logic_vector(1 downto 0); --! JTAG signals: i_jtag_tck : in std_logic; i_jtag_ntrst : in std_logic; i_jtag_tms : in std_logic; i_jtag_tdi : in std_logic; o_jtag_tdo : out std_logic; o_jtag_vref : out std_logic; --! UART1 signals: i_uart1_rd : in std_logic; o_uart1_td : out std_logic; --! UART2 TAP (debug port) signals: DO NOT SUPPORT FIRMWARE OUTPUT! i_uart2_rd : in std_logic; o_uart2_td : out std_logic; --! SPI Flash/ext OTP i_flash_si : in std_logic; o_flash_so : out std_logic; o_flash_sck : out std_logic; o_flash_csn : out std_logic; -- OTP power io_otp_gnd : inout std_logic; io_otp_vdd : inout std_logic; io_otp_vdd18 : inout std_logic; io_otp_upp : inout std_logic; --! Ethernet MAC PHY interface signals i_gmiiclk_p : in std_ulogic; i_gmiiclk_n : in std_ulogic; o_egtx_clk : out std_ulogic; i_etx_clk : in std_ulogic; i_erx_clk : in std_ulogic; i_erxd : in std_logic_vector(3 downto 0); i_erx_dv : in std_ulogic; i_erx_er : in std_ulogic; i_erx_col : in std_ulogic; i_erx_crs : in std_ulogic; i_emdint : in std_ulogic; o_etxd : out std_logic_vector(3 downto 0); o_etx_en : out std_ulogic; o_etx_er : out std_ulogic; o_emdc : out std_ulogic; io_emdio : inout std_logic; o_erstn : out std_ulogic ); end asic_top; architecture arch_asic_top of asic_top is component riscv_soc is port ( i_rst : in std_logic; i_clk : in std_logic; --! GPIO. i_gpio : in std_logic_vector(11 downto 0); o_gpio : out std_logic_vector(11 downto 0); o_gpio_dir : out std_logic_vector(11 downto 0); --! GPTimers o_pwm : out std_logic_vector(1 downto 0); --! JTAG signals: i_jtag_tck : in std_logic; i_jtag_ntrst : in std_logic; i_jtag_tms : in std_logic; i_jtag_tdi : in std_logic; o_jtag_tdo : out std_logic; o_jtag_vref : out std_logic; --! UART1 signals: i_uart1_ctsn : in std_logic; i_uart1_rd : in std_logic; o_uart1_td : out std_logic; o_uart1_rtsn : out std_logic; --! UART2 (debug port) signals: i_uart2_ctsn : in std_logic; i_uart2_rd : in std_logic; o_uart2_td : out std_logic; o_uart2_rtsn : out std_logic; --! SPI Flash i_flash_si : in std_logic; o_flash_so : out std_logic; o_flash_sck : out std_logic; o_flash_csn : out std_logic; o_flash_wpn : out std_logic; o_flash_holdn : out std_logic; o_flash_reset : out std_logic; --! OTP Memory i_otp_d : in std_logic_vector(15 downto 0); o_otp_d : out std_logic_vector(15 downto 0); o_otp_a : out std_logic_vector(11 downto 0); o_otp_we : out std_logic; o_otp_re : out std_logic; --! Ethernet MAC PHY interface signals i_etx_clk : in std_ulogic; i_erx_clk : in std_ulogic; i_erxd : in std_logic_vector(3 downto 0); i_erx_dv : in std_ulogic; i_erx_er : in std_ulogic; i_erx_col : in std_ulogic; i_erx_crs : in std_ulogic; i_emdint : in std_ulogic; o_etxd : out std_logic_vector(3 downto 0); o_etx_en : out std_ulogic; o_etx_er : out std_ulogic; o_emdc : out std_ulogic; i_eth_mdio : in std_logic; o_eth_mdio : out std_logic; o_eth_mdio_oe : out std_logic; i_eth_gtx_clk : in std_logic; i_eth_gtx_clk_90 : in std_logic; o_erstn : out std_ulogic; -- GNSS Sub-system signals: i_clk_adc : in std_logic; i_gps_I : in std_logic_vector(1 downto 0); i_gps_Q : in std_logic_vector(1 downto 0); i_glo_I : in std_logic_vector(1 downto 0); i_glo_Q : in std_logic_vector(1 downto 0); o_pps : out std_logic; i_gps_ld : in std_logic; i_glo_ld : in std_logic; o_max_sclk : out std_logic; o_max_sdata : out std_logic; o_max_ncs : out std_logic_vector(1 downto 0); i_antext_stat : in std_logic; i_antext_detect : in std_logic; o_antext_ena : out std_logic; o_antint_contr : out std_logic ); end component; signal ib_rst : std_logic; signal ib_clk_tcxo : std_logic; signal ib_sclk_n : std_logic; signal ob_gpio_direction : std_logic_vector(11 downto 0); signal ob_gpio_opins : std_logic_vector(11 downto 0); signal ib_gpio_ipins : std_logic_vector(11 downto 0); signal ob_pwm : std_logic_vector(1 downto 0); signal ib_uart1_rd : std_logic; signal ob_uart1_td : std_logic; signal ib_uart2_rd : std_logic; signal ob_uart2_td : std_logic; signal ib_flash_si : std_logic; signal ob_flash_so : std_logic; signal ob_flash_sck : std_logic; signal ob_flash_csn : std_logic; --! JTAG signals: signal ib_jtag_tck : std_logic; signal ib_jtag_ntrst : std_logic; signal ib_jtag_tms : std_logic; signal ib_jtag_tdi : std_logic; signal ob_jtag_tdo : std_logic; signal ob_jtag_vref : std_logic; signal ib_gmiiclk : std_logic; signal ib_eth_mdio : std_logic; signal ob_eth_mdio : std_logic; signal ob_eth_mdio_oe : std_logic; signal w_eth_gtx_clk : std_logic; signal w_eth_gtx_clk_90 : std_logic; signal w_ext_reset : std_ulogic; -- External system reset or PLL unlcoked. MUST NOT USED BY DEVICES. signal w_glob_rst : std_ulogic; -- Global reset active HIGH signal w_glob_nrst : std_ulogic; -- Global reset active LOW signal w_soft_rst : std_ulogic; -- Software reset (acitve HIGH) from DSU signal w_bus_nrst : std_ulogic; -- Global reset and Soft Reset active LOW signal w_clk_bus : std_ulogic; -- bus clock from the internal PLL (100MHz virtex6/40MHz Spartan6) signal w_pll_lock : std_ulogic; -- PLL status signal. 0=Unlocked; 1=locked. signal wb_otp_wdata : std_logic_vector(15 downto 0); signal wb_otp_addr : std_logic_vector(11 downto 0); signal w_otp_we : std_logic; signal w_otp_re : std_logic; signal wb_otp_rdata : std_logic_vector(15 downto 0); begin --! PAD buffers: irst0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_rst, i_rst); iclk0 : idsbuf_tech generic map (CFG_PADTECH) port map ( i_sclk_p, i_sclk_n, ib_clk_tcxo); ird1 : ibuf_tech generic map(CFG_PADTECH) port map (ib_uart1_rd, i_uart1_rd); otd1 : obuf_tech generic map(CFG_PADTECH) port map (o_uart1_td, ob_uart1_td); ird2 : ibuf_tech generic map(CFG_PADTECH) port map (ib_uart2_rd, i_uart2_rd); otd2 : obuf_tech generic map(CFG_PADTECH) port map (o_uart2_td, ob_uart2_td); iflshsi : ibuf_tech generic map(CFG_PADTECH) port map (ib_flash_si, i_flash_si); oflshso : obuf_tech generic map(CFG_PADTECH) port map (o_flash_so, ob_flash_so); oflshsck : obuf_tech generic map(CFG_PADTECH) port map (o_flash_sck, ob_flash_sck); oflshcsn : obuf_tech generic map(CFG_PADTECH) port map (o_flash_csn, ob_flash_csn); gpiox : for i in 0 to 11 generate iob0 : iobuf_tech generic map(CFG_PADTECH) port map (ib_gpio_ipins(i), io_gpio(i), ob_gpio_opins(i), ob_gpio_direction(i)); end generate; pwmx : for i in 0 to 1 generate opwm0 : obuf_tech generic map(CFG_PADTECH) port map (o_pwm(i), ob_pwm(i)); end generate; --! JTAG signals: ijtck0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_tck, i_jtag_tck); ijtrst0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_ntrst, i_jtag_ntrst); ijtms0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_tms, i_jtag_tms); ijtdi0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_tdi, i_jtag_tdi); ojtdo0 : obuf_tech generic map(CFG_PADTECH) port map (o_jtag_tdo, ob_jtag_tdo); ojvrf0 : obuf_tech generic map(CFG_PADTECH) port map (o_jtag_vref, ob_jtag_vref); igbebuf0 : igdsbuf_tech generic map (CFG_PADTECH) port map ( i_gmiiclk_p, i_gmiiclk_n, ib_gmiiclk); iomdio : iobuf_tech generic map(CFG_PADTECH) port map (ib_eth_mdio, io_emdio, ob_eth_mdio, ob_eth_mdio_oe); --! Gigabit clock phase rotator with buffers clkrot90 : clkp90_tech generic map ( tech => CFG_FABTECH, freq => 125000 -- KHz = 125 MHz ) port map ( i_rst => ib_rst, i_clk => ib_gmiiclk, o_clk => w_eth_gtx_clk, o_clkp90 => w_eth_gtx_clk_90, o_clk2x => open, -- used in gbe 'io_ref' o_lock => open ); o_egtx_clk <= w_eth_gtx_clk; ------------------------------------ -- @brief Internal PLL device instance. pll0 : SysPLL_tech generic map ( tech => CFG_FABTECH ) port map ( i_reset => ib_rst, i_clk_tcxo => ib_clk_tcxo, o_clk_bus => w_clk_bus, o_locked => w_pll_lock ); w_ext_reset <= ib_rst or not w_pll_lock; otp0 : otp_tech generic map ( memtech => CFG_MEMTECH ) port map ( clk => w_clk_bus, -- only for FPGA i_we => w_otp_we, i_re => w_otp_re, i_addr => wb_otp_addr, i_wdata => wb_otp_wdata, o_rdata => wb_otp_rdata, io_gnd => io_otp_gnd, io_vdd => io_otp_vdd, io_vdd18 => io_otp_vdd18, io_upp => io_otp_upp ); soc0 : riscv_soc port map ( i_rst => w_ext_reset, i_clk => w_clk_bus, --! GPIO. i_gpio => ib_gpio_ipins, o_gpio => ob_gpio_opins, o_gpio_dir => ob_gpio_direction, --! GP Timers o_pwm => ob_pwm, --! JTAG signals: i_jtag_tck => ib_jtag_tck, i_jtag_ntrst => ib_jtag_ntrst, i_jtag_tms => ib_jtag_tms, i_jtag_tdi => ib_jtag_tdi, o_jtag_tdo => ob_jtag_tdo, o_jtag_vref => ob_jtag_vref, --! UART1 signals: i_uart1_ctsn => '0', i_uart1_rd => ib_uart1_rd, o_uart1_td => ob_uart1_td, o_uart1_rtsn => open, --! UART2 (debug port) signals: i_uart2_ctsn => '0', i_uart2_rd => ib_uart2_rd, o_uart2_td => ob_uart2_td, o_uart2_rtsn => open, --! SPI Flash i_flash_si => ib_flash_si, o_flash_so => ob_flash_so, o_flash_sck => ob_flash_sck, o_flash_csn => ob_flash_csn, o_flash_wpn => open, o_flash_holdn => open, o_flash_reset => open, --! OTP Memory i_otp_d => wb_otp_rdata, o_otp_d => wb_otp_wdata, o_otp_a => wb_otp_addr, o_otp_we => w_otp_we, o_otp_re => w_otp_re, --! Ethernet MAC PHY interface signals i_etx_clk => i_etx_clk, i_erx_clk => i_erx_clk, i_erxd => i_erxd, i_erx_dv => i_erx_dv, i_erx_er => i_erx_er, i_erx_col => i_erx_col, i_erx_crs => i_erx_crs, i_emdint => i_emdint, o_etxd => o_etxd, o_etx_en => o_etx_en, o_etx_er => o_etx_er, o_emdc => o_emdc, i_eth_mdio => ib_eth_mdio, o_eth_mdio => ob_eth_mdio, o_eth_mdio_oe => ob_eth_mdio_oe, i_eth_gtx_clk => w_eth_gtx_clk, i_eth_gtx_clk_90 => w_eth_gtx_clk_90, o_erstn => o_erstn, -- GNSS Sub-system signals: i_clk_adc => '0', i_gps_I => "00", i_gps_Q => "00", i_glo_I => "00", i_glo_Q => "00", o_pps => open, i_gps_ld => '0', i_glo_ld => '0', o_max_sclk => open, o_max_sdata => open, o_max_ncs => open, i_antext_stat => '0', i_antext_detect => '0', o_antext_ena => open, o_antint_contr => open ); end arch_asic_top;
apache-2.0
afca496aaa1286fa6e407314a1149c81
0.612063
2.798756
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
19
31,831
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Pb7E+qNVEP4sE5d3TkwQJMYKTR/FjAPrexB6qdDJcLdscPV5w27UvNCqw/kg86JgS2hNrfoEvTNF uJ9eNTpy4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Egq1eCtVuCp02bpffloqFi7UMw6fphk3UOZCcejhe9NQNeC0Z0b1+S1NY8yEfAVY74l4oz8pZ1vA hbrAzplanZae/BDY57rCQ6UjD8G9keaOwYv6mG13f+m77D7Y1nVpXOE4Uujw3cZ1QgwXR1H4YfYp ysjb+lxmo0pqYRikRIQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KJqrZ5TKkbTlecBRrKRCsxKhAd1omWJvIin7DNafgTE5a5N2or7GsTSawdWWjYWHESLBvStvRGQE jVUeK8m63dYVJN98fa8T9iAHTDt9yiBRki/VqfvAejvDOEI+l8row+LhhHMvCd29xmkCeQKiq4Qt hsdsz+jNufnCYY4Y1CVO/4preMZeG5Ow85vRd/341CoWEOBji8o4pk0XyIttBBgjBzWO8JyhLpza R+Z8LgFoZ5OTfgpyTJ4SjYRWp9IHP2HL9TShNo3PmM36nFNBvQSLoEjLgk4+rUr657++ugJH31/C Y/QScvwJcbqMK15awb6twj42y2gxJSFzAPzSGg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KxmYEF19quU2lnDIx1hLVbiBV1iU7MlwBSbpQKNAVv6HLtZNpIjv2UPtz6sPs9Xac0T26s1Kjo2c fAw+uaSeKdgWE1BMMV8ya3nIO40+wJlyaPYGp3qW9dt6kM+FZZl/3MCpgIMx24FXg4CPHrHNKu54 /3DZJ7o9x/QjyM8WSeM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n4InNydlMoO1IH7Kq1VdB5tuRxM6d++erhleefbfKU7rQGdfSjRtqcQ+h67LKfA/jQJYdDdZMjd3 Jp84+E2i9v4ovZP9CPOifgPGXKRtOz0XzimXarAjLF+OJp3As1WqoTrPJI1DspdbqtDWx5caLezn hcZVfRSFpZUoLc9H0HW6DXtxAWvJT8e4ntjJYO6koEzzHlZPpMhXvbbH/rbArm4iRGWLOVN205Pq oJcFHv1n/e24XGuCRksBqssUXd+D0UgsxKn8Hy5kQi4Q8xdFEXxEOVBI7ivvG+HKnJFOOr+UNhLY +rNFOKSwlDtT8tPfpzjKS5GdaTuv7j2GVoF5Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824) `protect data_block GL1YZYDJgDckyO08ofxRV1/pUFEsLFUJ7FG9V3wrU5q1PVe5RR6P10/MHVXWhk+5f/BIV7iGCM1S yxrVJWgpgQE0zIKk+cqoane0m/fhmYouNVngFOzauOOSHglx/Xj1qiziwsB6rnrm6ssdaZHViXsy qd+5reSc7U7KPFUy2UIP7UCeKR1/Mfd46D/BPP6QPlNQM3r4/SrTCwKPZZ+Q8zK3jAXyciHfyvuy Y2nXTJ/8/xTMjPM7t/Gnmbz0DoIH1RJWvsCkyHMsHwgPkg4AzC8WlHoefcFQJPxwPEH8pv8oIdLa aviOnBJU57ToHZOtEOck+2AWqCf1L6VMpdGgJUnxWkIDathwDoJ8iRrpzUyz046rlY0tuAv6f5FD HQ9jXr3GYUnbGesTWa9DdO5KnSFl26UOtGG3vEZ3qCvzrVhmENEx4gg+pYCxfkacFoupDlWUg2Fz wWD3gNWZPXpVd+eQE8iJVtdhuGsObpU8ZtGNzqO16j5sPWzS4anSQT+81DTxw+bvw3o5Z3PZUMxi CTTmnd0Y9GLe2wfrYfo3PkJrR30yWuyi2cdZ5Jwx3NNrBwi6lacj/NPTIbl4eJ7Map2wKDL+nsc6 saEUCwtFM6RHPrYz9xiqGLeEohToyY7VRmnEESrjCD47YkLFKZHlik7kvpfUvbMwBYVhedhdZ+84 vjlSDTmluG8dBm4CCXVQcaQE2Pl22IVm1u97zUrYufiFwy9osNPoOlKSeT4S3h5+5IlzTmbwOInb T23s9P8VRHLBgqfABAUabCClwJPH2oi9yf6yhV2WS/zU/ceHZEcIXrl5b07z9+L2slj347lpKdHv ya2SknjfhhohVmu+iZYuWCNcQduTQteKf9KOWxR+2fbRxwO2SBSM1VJGFt0c9OrQ+usfpTftyKSQ Ux8FVw8mNobabT5JGnucunxvnDuK2B1M8yPIJLdW/ap4x1PtolyAQz5cw2cLX1EoNG1b7YjNs+lz qbAeE8i+WaGR8ufZtEz7z5ZBQ1SIQJhWW9MC3kunFWZDeg8A9uPNl0kJxU3dB6xuVl4q2/TpsrHb VQJL29H0UQtKcGG76Bo2mLFpoTmnhuZyVI1sUZWmkLsOLfNF4zRtOxtaF0MBZFGhhLKnmhjXulVb SI9cG3nsObYhF1CPRsCVPZwGUg/2pYIt2rH1B3mHruUSN9UrIUyKd6FXMQ7GaB5B8g7l07oG4WB8 Eof1XJpx9FV6FO+aYuYOSM6rHfxqqSqnt7ndr5aMBpMh6/QbFHruP5Es4TPgmE+XLih/9DIw1dBK LChokfMqg3KdigkNSwYVp9tqaWAPcmNm1Q0V90ikaMxwaeAMj0alEoVEP7M3GaOemUnn30Ej5nZ5 X1f3lvobLpLuD9nojK9VqFbknH2R76IbJ+3VSRwYUKg5cpHghZBpmN9kRmWWb++hkJMXa1p9JI5O lO3TB7G87iCTV1v9gThSrNr5nsJCSt8CO6Bf+NXNvPz4oy7sLT7zUeLdnEPkfE80jQ+vb8uOVact woQBJfuH86F+e30E66xp9buL91Xb0aOb/NMcLMSpvpvSh3uHEISXyS9NALmUsxKzWD6jJmbYgoLI wcMB5iCuKIih4sAHM/Ts07tcDhAxCaHdQPvCxI+P5ZoVnjgpbkScYxQzR3Dw4Vb0p+0nv3Ug5Fj8 Ym4sHnC3EP8kclAEUjP+vvbfGVo2TMxDkQZFRVuFeVBCbFAhlqkJES1KoRHdKx7qiGrMeTJ4T2MZ g5HTx7mIMDxWqAIf4Lj6nhI29THih26hZC+/q/724Ki/a1rGnSDDB9XozfzHIhGTa4+STP1cm2C7 SYendl4HUuL8dpGMD2IGx/l1Tem3S6afwr6BVlU+wReKxpRTvglHZhYnR7wyjXqqdfoaG1aOXxyL jMrgL0WtKiXNN/j7dmiEn5eQ4YvaNucbsRpvv9tXNvZIXfezZqVX29DitX7Fvm73Ca9oUGiNOkdN F3qBt98Drp6bMIl7JtyXkzaolXHupugznCi1T7BFXumY7mSrzLuvawKOjmzlAMh7nSBmty8JEiHX T+M57PDGuySgERDBhksXC7MtxOdVxvcREvPZp+wjakWoNPOEc3M7+ka8QxhW0L3D58PYFsaBSBx2 76Gxhg7o9dGMTfrdPBW6IrJBT5d1ycSBBhro2VW48OgqU7z33WClLzqeLXPDBzBXS9Aj8Eh16Y0F /Qk9Q9bzJsOZBBVEN+ZU80lf3MjtUvOacV6UvOX8ngAZjnG5RRlD3z96XPOVZj91SaTJ1IQ9It7j Wg3I6nfM8hTR2W39JjQiduQcubZTbWcqR3X+x8puhUNvAcxURxHJagOSpOfYqWVBtOPYtWC3S2md 6BMcyMotwK2sPpuTcCHv5HsOpb7ZiMa5OMhAS5HLSaj7VkBXHo9Bu1IpuaqLFUJ/5uDzzwNIsJCy hmFdgyIuq3JwNs2tlD9K6LQkedkzS3aClnU83sJpFLogm7frqNtrbun9Tq1sgOtUqnl4xEbLzunJ l/LYY5WGgSnQYiQv5IDXaChaujy3d3Ih5ISSb2ZruEtDn6EZAjcThefiq7+TTwgiMgkHobbNmiWV MWA5jufViWUciakKo5Lv5hwerMMHLIaqrKx9O5Y5E7w5R7U9/hKhMQk9QjBuw41QeWRf6BkNnRU0 n8oY3thIE5p/tPkg5seFv6Y8lT1LrDT02NlI4a3wXPJDlVIDJKzQX1i2PzW1kTh6sU/PQCXwy6ft 1y/SF7uJnyBNQWJ9YPopqxsivGLLE57K+lxhJwX2e69tl6Ylyj0lMSBmzHQ3QGYDCRNMS/uh/E8U K9YDzc+ym4T+tCFH6jClLgaBa0in39Pv2Impc64PsPjJWKKGMuZ/HQT2sA21fHCTxEmlf40JQLaO tvmEHgfWpiVbV4gt+AAWlU6zNI4hOLjK73/+Xz4rLmKZWr+7B6GvaLzfyoBm4UgLRCtmmWLBOQVW naNzc9mU44CdFJdIHRt/vOr73EdqVNv8NyPjyo9dTPGfUtN5fTv4EdHQme4mB4ukbJMEHe5vDGrS pj80BhT21ooOO+mxLp6wNeku7c28JJwGyC+PIQ+uqlHwjRLbFvy9gHuilBxvCNSxmsEQ4n+PWtk+ jc/dQ00F3lRn+WKMHUvjLXcMxpr710Aj9wPkDeDnPhn5puKSIURmUwJHN613L1LFTTnb46vvHVKP Ru4itYBD7pUZA5NIgfcboTRlmxIkcnMKRtOmn6UPo9fAtlJl1Y79c4XII/Cxdl7sYdZpNd9tSJyE JkspxrvAu4D4peEk8xblSHSBQMAa005ZMtEgfTXbiZhNUmQtni2XcnedgbUn8vsnmQhH3aWhLPrw /ocojbyrXSKUrEltYUDW1w6evRoJuXo+IxkdY+OWr3ko5TRHeJ3oWZzzXIFLtvOeDGUirQho81Iq LzpWPERpaTSep6ZSY0ZSI8GlqLH5lnWlaPmpJvZskV8zaykMGcadKKz4bF/BvtF1VKWqpzKPCZ8X cZ29FNKt+n5dAK9xy1RfpLnHIdcHMV+/8jflqfw+PExXM9mQ9zh8HRp7f+lRC6IIHe5KY4OJ2VnY REwrEQ0h04HIgagWEJa1rpGTg44FiJ6ydMvUAGTdJAW54zUlh72kxk7chcnSNVe7IixoqzDY2IVy 5sDyPakXpP6not3wO/6p8CaQO/iNJRlrCZtZYPq9pq3xsu2oOxt3jlBl8P9ieWnv3RVzkOGdXyZ1 aGAFxi1ZStUMWEQ/0hnxZP5uvNmQVYiJrVo87MrAXyN6hSLAiALqD7/7gWmSvH2epKijCe8tpXHZ lz4rD8yawb0i7A1XPVdCeSXEsoY745e0mpA39jv1J+oKaJW3Xd+Vy1HRsW29fGw19G1BviRvTwHz h9j5oHHMAz0g8GuTdqgpLe56XAWdsQkczdBM/MZVd3tT8FSjqlDNMSt5TdjIbL1CB8FKSAAvHDoN 8SCKDkf+3PsRZcZy1gExsZhe/b7wzA6ex1hHcPdlSfFgyiOPCdWnVPTKK37eOfaUeOHcQsBk12x0 829WJPkEsiRt8MNhk803E9KQUoOWhYKf909YoH/xSGPm7L11//aXbDnlTZ4uhexTV0rdBeNY+E97 SCRI0Mk/0+jKR48hRIm+G27YwmEoTnTEjTWndR6JXl58CrUzBAsd0fqO8NcD/P7seiZiQVK7Oukz m+Nl20hMcBiVkld3Kj2oF+5JH+C8tWUWAxuoWWXY4rhCatxYTcX+xX1M6Cz3SS944FIMYw+llILE UWyCtnftyaG+/m4pHVIv2UJ9SyKyutrE0ykaACPWc8Ok0ab3kN8tlDpn7xcJXCxCWUfQmus1IROg nYe9QVyfMkqW77GJUGDDtPuDsBx1h8EJrwaLuIwGS3fvMvsvjfkXnfYMVurL/op07YkqpBNuGxXp 0MivwG4s8ykBMnxMtKZA6G90kgUOlXvw51NQhSnEeHaUssIsnNFb5ggu4Y++KoacKv+k7cfitjSc 0ayRN1In7/JZRMztWaaG2I/KDAXxiafOWP04OesIQylXjBujNsiEfYVYbQY0fq6FEI6YQbt6SbwP ldl0rM0qUqTi+Ws2wVRSMwYXTIi/rqsagt1MLiL63ueCA+4H9d3/faKgjb+pAGVmf7L2CEVgHblU etcqvLSKdKvlB+ZHXuW8t29Y/JSf0DvDl9YH5RZ/QlQIY13inkVPVm4SIxoq/rMRlg4c+y/62Ya8 N9gr4Ir+y0Mo42tNH6IXdBjisSVkHTnS59x28BNLObsDF/seGyWeEyKeBwNwoF8LKyYuc8TeraKX oypV0RUkYtuRvNZWqTDINbibMEweAw861HK0fKZWflfoQ90NeprUlV05JG6yIwtQ4p2PX5rBWJ1s sOY3llk/BOHjN9fP4l96C9gHwJ+xwYBS6oXIGiRzm0zm1VMaaGQLgFz+kc3cuoMwYi8Ao8D6UZ2z WwrcKlDrOdAY8o1ydoSMljfITfDtcmofpLfSWXLfVR0Vtyj4H7Nw/bFTmyZRp4+ABtgzn0ZFktDz NUSgWeAjpH02qU3ITiYkFQVIjV02ciUEItgsN6J7PpBnWYRww9WX+iOJIcc/5nvOY+7Osp+8972s 4it9flDYYhKTtz+YdUrB7XH11ptxjzoRgTUxEmQHQzJ/K0Y23gpJBK53fWYhcbesrXiDQ058UfEH dIuG/OYFUS45jGwIFuow8Jcjg2i5L0MMJoqk2aBxEtszCbfkIFWOGLVjaF9xaduGTVi7Yr7JGJxz COksTVkWoBlwB6WXR112ap506ZTUujCs+kvcc11PQ8Ea47Xr51tRflakapV49H1ca0uYQdyET33y 1NKPAZvxhDFY+Q1OQdurjQfSJiOihOQ0BFhOEW1Msijq4x/PKqnAZr57I0gUkuMDuAAsIDPmq44a W79zb1Z43nQL8kqAZx49h/AY/DlyN6uVZrCtqTEnMw/zQ84/WZURInKw34AzaxqFyJ10tLqbnHVq FYT6T3Fd02MV7NjLl+9gjLnYKNn3lyIOBhLXUnSxK2XELtb278f5HTBvLPyirMXOHIFBuO+QJUQg cB/A70V35Vw4i+Pui+h/k7i5SPvZS4VPxozRfGSFNo9pSKf+Ha0BiX7h9WJVjVJ1lMRjTqwFpcOA q3VrIaZVQI8cnUzaClEwC33BLcK1PY3IPRR9eSzvUlem2hC7AWgW7E7bQjE3fbl+sjk3zrMrJMcr UVYk5DGzPdLrMNN4Jc42zmbQ0QEV/x8edEqAemZdQmM4t7e78PRWHZoPT5gjulpumFhkhgQp5kjn xW7TEDUq7OMhljIpZnB46bOp3b6VwHjyU0JEWtWBFvbBbWHs5U8PNRDwODOB0AZtkI9mT6WRqWLZ speKrQi8DcEFkHF2hzzfonei2senk4NT9kpp52ymBImdnZnbH11lP+RFZrCiDtx5lwFj/v49aIuf U5Ft05lbXlR9sazBfMsYMX8qQj9eHxXPv2Vfj2srZn+7pgrt2FtIa6UUacDuxoah8slwcT619a7a //K1zF1BEi1UEdr/bNyZNBFqZnT806OIPP5x17dRsdtRjHNFgqm3nBab3unK7fV3Km/ngTTU1BFV SQXAOkL/CcWd4PxB6yXx3YvKX4UYYI0AWUOIoUM8bZ4Z//xguZnPeOFCkpJNLSBwfRj2Lenw6sLv 6eSi9Ospyd3yhblWxbBAhs69gYGVmlI0Q85F2uVxmRsTgoZrj63y6NDLhQQnFR8blS5ZUy+vPEfG WpcJUyUMyMCLUbjbIcktr5OSkyeZDg62esbPic678pm5JmjAycxmSvJHhPNDUi42XTPaNIvouVWQ aom3QVNdDyufE1I4Z1GtvWvJXNDC+xvalYYuvPyfhWY3idJsQYhutWKZB2fi+q/1bOWN7OopjdF8 J3gyLaonZ5HcCzof/Sajq7B829ltWsqdtiWPbTuLZkI7PHvPegaBiyY++1JJk3RiafoufqR6/hkn nuegmGT8bq2+1u1OeQ/Qb6T24yZkIrXSHedgJ1fnl6Nl8/0Zd6QKKzKj4B+qukQbjouBoqB8aOMI oQXQsB+vxQr4hAEJhGHFV8PtZI7AWI0A/tgDLdPz31u5+Dq8BUc6A4hucFzyBzCQlO1WHBkKFNdV hKSvCILXFpVzfQ1JhjLjaYmLhkt7quyKwX53CqkGzWQBCuZYteH9rGP44jk2ZV+dZufunVvU6wre X7Ok5Sy6FAD3cC3owIu662zlGoc/EPV5XfLBzM9yJTkv0U1L9C5xibiy7qvXMIkbctV9N7dkbM/5 Q6mQX/QoTVxLMshO7ZX2LOf2gg0Qfvkao3zIng/IwNEY75PdiYy3xXOJj/h4+qKOY+0dSTQ5NDt6 PBrc7xNVGx0qW55Z7uW1PZYqU/zSBsyKwBJ4Jw4xaCwzFdSyvtCJJyZSOROC01SN6rI1fwZw7KdO 0EhTbs0KfCB5VLu3QSJauT/jRbOdYG3QFNg7o1NvbHnMCL29TyYz9wON4XLzKpMwmFnl1To+PK5a NbMqgFhn7WqncfHc7dsMoh8Gr5PV2GDOpTYuKZi0TCe7igVmQYWiHjE4W78IA5o6Eri78K8FZbsn x9DwCHdQvs23NpG4r1YO254opeX0Uqqy6JCfG3NB/CjQ0xiXyfT47Uz5ehILt7ZhdmqwSIiz7Tss MGzXxtxKJhSsMOilim4FspFyXQZulV9sNTehdAleeXusAr4lMmAh511Jo+oSPl97wycPLJxg9MdZ tew1IAq444EEGj+a/mXSkayzsYTtrcCFNrWQeskeSyUojYLIbunbxiYraHfcHWjdYlL0efN4ENJk 8IT5mA3HzmICl3YYoLSNRSohuyXUUfGHam84dAB+df4iKJm1ILm5c/Zw52vixDWtA05mrZFhfQdI kf4bgLP4YDhjKppCKAUdGKh7zHPRC844W9uBuNn/IE9ANY3JZDWOPhDdrZjlbeny4sBlNXMdw191 uJZfMb052ntwRlPpqSwFjwcVuNN2MwKOXcTi3u1VfbjxmZ066S2O32yD8lXrGDhLm6iAul2355JT XFkgMVsNPIyUoK9fOXMpFjeJPaRNViTVplzK5OJdcpit3h4Gad4jobdTB1Rwmy9TbyKLpVsOMnnw QJQq/957jexDeGN4JTY9uDhXhdOWr4ezAvt2uYvfWp64vhgduW0PxknXpNMwli7jTB2yK5esUcNs WFmyIzkSbXyNkuafPs1Pv3ZKCiUHv8sxcm0bLTEpfxa0XVPFtH1KegFu2uoAL0CDaCs0m3mRg7wj 7BTI8r2qQIE5ESoAoIOMjEkdkamvn+ViI0ewLjXe8Xsl4WT7AhExW8gJzN9X0NAcBZBD8BLprsUw 0HIBpJG+IxV57psLUpmUC021uYlxgkpiI0TwfBQiHZqa/PQQ13MOs1B8Wp1DexWBhiBiJ4QeWpRt C1zjYhdbHvfzhTqNQvWs/JeuzyTaSzvkq+AMp/Q8Ehrv34+pm0IlCJaoiJW6BBSC+6HvEvmDKZzX CbrTwSt/o/J0fRNU9nG3TTrJcE1TBL9kh9LP/cLMhGe+9+py/Rs2NLN/c239ed7RGFVJfv4k1J76 tOrYC+HJy2IsDgj82KayCtMFbbpeWKUYNez79VmV6oFDIopWTi0YjETA1PjJ4v5/Y8gmtWFGa6HH 3d+1VO8grgwRCMzrHkAqV7N7xTfwDuFdYSq46uXvrKR9mLKdRH1V6NpcJXXtAdtPtLT4qM/XkuQb caO3c9BsifgH8uthIqzZbqgvlpyJlCncA2yYKY8icQdn7h5rLeImyArU0yZDVJEROPK8Ww38b1cU IMezAD9q/jsJcoK+Sa1lsLSwUMBpWNEghKel13AWWLT+J2Baapf5stqLw+AMF6jiUdb8/xnIbLSs ZNO9tQU/Uyqlpuqgfb00+kUG53Z8vXNwGdbQ2QpOtnTb/FTXxHcQiR91bua9FDoQ9qhbdRXIFWgz QJIXDCTEGjpLdFk6wtbTNjH2361B8fyW5m6vRhX54aUJTNRkW5ZaMqemKF6XOV/nhCikDU9WQIew sYIVMbrGNVNASNyjdtf2yVZxyVyKkuh7DA2QpdTjjknDvajXevuv2wDzyyRVzYL5tvbz2cm6uoIU 2qrz+SJbJ7mWMDeuhfObIeCXY+iSkOEEAN9pPKYglzl5ZV5n+j61HRHZ8tA/M8NKbCO2yhrucXaY L7CwklJdoNfNHvOSXKosWUzydmIub5PwnB8sbLN1omQmhVvKcZQ6zbc9Eq90H4NBQUWvWZhcTeP6 6WKG65MzEMzsC0tT7kklqs/KYcvZhyBsW0rDjqXVcBLTi1as03tYDfPu0SB11e1DcKa6Kofk37/Q a259Qe90+u4z8oSYgRxSjPz94fCR1ZAtnTLYKWU5VVruqxkDYZDJaIQHUSEBT0kGtFeAHdiHHRoK 3GQGG6dXALfuu0JoaK7s2KliKBHOPZXDiZYS5giZy9hRETBhGLQRLuvkM+2sXRcQ+q1rermfPjNd mddJdVQMlLav2OPtD1zZEC/HSUiQqSZcUiMSEcgFpNeF+UlP0of9TePnj7/VR9PWr1AFonh+l2X+ ldNBExt1bcqWSHuCtaOIoVAxUSqvCl8VVV9Vmw1O/5AfmGRXbdgzcnK4cBCUvi57nVJXtQku0gxV 3J5NiZ/rbza71Pjr9NeiLh6468gNNWqfCH103JkqTb9WiP4zj6SZwoqNm8aeCdgYCBWUBVaRlVnb LJ4kGeu7VEVNC1FFuJH2FX6KjOA/sBKrV8DXtOBaJQ/biIwxwryHEmdJmprE2lv5huiDl/C4Izd/ x8BlCnN/tT07HA55WKcYg5dEgXHiLrp3O76hZgQeaWbSqTMhamFi72hAlreEmvHt7iAXPT431wwU ISEwbyXk83tCJrXmfMUBeyoHh3fAlWYyj9asBdqp/73EM1KygRh/vGW+HKn6Z62DbgYLTAfD13W6 oCMEx5JtAqkmzsm+KV80huXXPIkfsg4An9gWLcXVkKFnsJZpqty9s8nQo0kA9BruVWs9syyK5YQh 9cQVlOaPEG7df3IqWM0Sx0kn4wWcsG3weDm90cMq1TvuOTA1Va3/1LvQqNhrNR+/jKqzjRQ7x8nM RlU36fF1rTYGg2g0LxF3+ICtfM6XDVII/G7sHwQv2uESH+TSToEhauqIzDaeDNNN33g+SXisSpms HAEMdebr1y+cciQPisMPvi7t7shEith9/dg79n9IKwZpfrfHPbib8ITQYQmK62LcvGC/b0FTlIr3 MKwXoL1lD/+gE8TBbaehHHWI3/ITmI++InZadAYzEjMNQn/YBjlf72DmU1b6rIXBv+zyxscmJrwJ kGwsHSedNh0Wm9l5buaMrLcvtfx5DpwwmVqb0qIuJ5tj9n45e0mqWypjP6QKnOf84+BATVXmeA30 xVNQ6DD4xp+BqiIAMk18F7KnOt6WWzA1GiLKCNBsTkzD0B4iD57q780AakZdYbbDVCeKlodUG8LJ +5qHo09LSqgA1kHj8e8mjqyPMJ8P/9C2S102Og7HgfczmQygXIasmH0LSaMIFa2Zt40VLfHOhCD9 RPOXl/m8QWTWy/3EBIRuttl89Ja7hF9wHXxwS6+9yeAITeDBVOLMIGJYp8HFLPphQbFH5Kunyl9u JnvAAg4vw187iEBNiwuFKYo+D/Bvso5pLl6IS0lyqf1XrxlKoSSYXC/H7BnwA2dUHuwQsEg+QKC9 AQEwSZKLH+WJFUtkHt8eMMpktSMltLxMeoaqCmUVszSm4dlIcZ1fFLpaItmmW9McnbRl0BYO7yti WRrW2+VV1V8bxsQn7Pk5ov9ZTR0bXf/t633iKnjWbsmg0Olts1PgfdXDPLxXKqJSdUBgh2hhJveL 61I4AT8br4KuTtjzFJb+HN+w/Nk5McsfezNwHrrgoqWawoRciTfd+tqaVWKh8vfOfkgt6jGdPnq8 J0cKyoat8Oat7bGYIsnEH4WQLHKMYHGnVXQ0BmW3lMZGvBPXYHe8XaCOLl74HC5RGTPn25K8ZRRl ciUQdIehcBjtWYZCe06D7MOLdLm2F0yGdYijmCwgB8isAtQ1o4LAKU/V8jWHScf/cCqlmQr0bGPt 0cwWK1IE7zNCn+Q1aHbcPGLNXWSGwTHKE+hnvgIEhBSnMCh+rJFIOIAJ52RDRbAtWysIIX0+b6OF r5p3UWhFXqC+YDqPMQARQ64gSd5MbLY+pZjJe7DyyNpv/gqWAV9hkX3ppcZ88YWg6fqbLsOXIhS5 2tpCdJNwxPBoAy3rkflxgzpf+73FPvpLIqLG6qnC7ZW3FfmWdpLwhNFNx2A/OLbCpZ5xTn5rPrm7 TOtb/yJoJN1nObTKHXtMO2sKCjGA5B6M4iRbVuJ/M0HBxynLZ9U4bAK+PYnPPH2e4I8LqGvdYMbG 7GzMm8uXTECwBjB0FLEnw17jpPGFBtDJWjvTC6JfTQpi6lNNQaedakh5Lmh+cM3DmfolP23IpFuF qmx6jLN/Oklti8wSjMk4ggoboaCEwKnyby7jkH19ohG9r+7647qSnSMEAMrVp2FQ7D5W97MRIaFH SjCQ2hLBUhOjDDfTUlJ/p0ErwczvXx35mDJZCPI+FeAH1zNhpDwT7cCYYi6VBqZBim8Y1/MAkLdO MrhpdWlbTeWAumEfi2xzOIkXAtO1FTfoU60g7qoEky19drzNtvgJ6U3WIsw/eE5jRxIQfsnq0FT2 bQ6On2l3YE66BXdafRNEyOMiF8TZDbhUcig5bMJxkeaaE1x+X+Beyq1f8Z2WVVTSEhsMzxU0aHsK KeQhBlmBWkstzFVByJ/URyZiFrHj94JZvUwwfT2wDY3oeQE6nQYq1IcftIwe/3qEfIg8ha3gAiy4 1agcXpyCWTnflES9Fk0xqwmoWIx4sPfq2vRvCZf1OQzrbr/7hYZuvMNH99b9KKKD4m/RtnQP/w4m 6a7aLz+qZkgCHPlVBM2wuVxivmJ0bLrnhZhRDCTqqOTf2mheyV7Z0XvWPWm5rq48bmgCowkymTrJ +kPpcpMeUJwfIAeHKppcaQH98lto710v1+/yzLCiep0+w0vEsg++5wzzJZTdLjZatWjdG4lSA4W7 ilGrh6mvnczpT+T3EBDfkzIiVoH0kjryI4RusYYQz2ndjo4yIcMxjo+s4RRXwpjQnH9WRRjWazd2 K1ICGJTEmQnTOs9kfK24NdndZUZ978Qrzy8+gaoTFMJyP3x5q2eRRTWV26ml/de8wHLPatxa/3zL YmF+DQG/lK/9vQ3oTE9zi1NqdnYvKQkUIpj1/M8u6jHReNpTLnfX2NcFuJdgf51QJ+EEuqW5Ljfg ylDowkGaLLih1CHh6DKSACLdxcz3PRi/eEGX2FWAcNiPC0aPa30TEfq2wNfJjUMyR2bXgQ01xoQy cX6HpnkaURWNFJEXeXRGLmLkodi10Iobgr4fBhusczsRQBcgDHRU4mIptV22YXh7bI2WthbH6I6n FQ9pkaRKwwBzbYTaTn1oiXh+9FJEaYY+ni+1dejtYOcHoG7v5Xzn5l67oIfm/fmihSjZNsHjlGyn znSundTnUCzcJWfagr/2gRRXVyfI6YOMoi7Mx3L0Cwesbar9NQ+SWlvQEMso3POgxm6wazrh/L3E 9YOUtgbNuG/KJ1b/O8sQCprchUtgjQcUmMIPjN7TEwN8KkQpnzxHnVwtXLzW040IkBPEP2PVHClu GDv0j916w+FFVSYekYWa7g3ERYuOMeqF/kRfW/d218HbLmrZM2RJvewL98GeVD/alJtvELbpHUSN OAcS1TsmQMbIXoDJkdcIUzLlFzkX8K9XI0MmMdktFP7mU29hrHmf3vYbxOTEP3Ri4+KQogvEE4d7 fo9xDp6LfXYJ4jsLg6fLI1xMT3PyOK2G7F4yN9FKK4myNsfP80qdf4sQLdFBZqZ4WwanCpGu089J /86TLGP7hZlKPTvrwxfft8upfbR0UO3kwPb4NPEDF45T1CHFO0eL7RsiswwQmEBvVEbIbTlaELji iAxsMP/Ot8pjMYEIrksPN59BTagjNJCOH2fplS8YMSuB0NGh6hFUrq3M6U+0YOrmsBsRcDcfokBk 3m87t8nFJLXR5WWMM1f38BHompZYclsiyC4vahSvBDoI/ix+zAcjPo5CnsPUcA0ArM5wojcOl216 +oCzg7Jz2cHtac+FIppvE7zuQZYlaT3aQM8whjcPHT9hx/d/1Csn+/JQcTkIOfPMo2S58KDfu+cP PYhvnHkZ1qyGzwHnar5Q9jaT3VSuu3s66msx6MEEJsx6j0OSA3H37xCC6EKevl9/suV1E4d01UKy rmo27AI9yNQVvFuSBK2U1bn3zSgcbqY61EuTeklY0e8TjmtoQ3jQuD3xhPoWNSrnxKk0r/ZWBkI1 pdgdBzUjjA0unbXJKtlARHxMR7AnCQ4JdJkrk+h/cimU6iH2bovq2m3VbDnpW9Li+bciOhNlce6C T9U0yjDvg0govkLxnQpUYfkXU+ptlE1P5wZstzoiF0uNEzTYjlulhySbM2/ovtMlLENm+fxBR32P DoqMqxbEU0T07kn7tflj/bbGveXJfPggWFXftn0+ZdpgCbCPH2Ho41MMHnZfE+pKC8lX+AHSZAsG XR/1ypH1ccPofSmnUT2TgSazX6EeiAioSJ0RwLKu9tAGg9gvzUj5A1/x4PBfPAeJrqrw6ya1D1jj +3GdtXItk9eqnqDhmPk/MVWns2gIIps6RRlPesSnwzsldkZ+p9A7Vl+4flzvC0AIhP7MYZlReqzY VQ4vbZ3Xl8r9HK+/7hFgx6K3MHWYvRzkLnetmV/BJrtTKMsUgYdliij07JFLCbtlh8qLyNq4EOxm HJAv8FV/Nts6aJKD5sjFdCAovoQ0I3XoUcs2+lRt3oy/7R2XAew2JxH14Wndw0Uq14b+hWMRoN/f TzUbVnRf24gfqi2Ma684OU2ErWMeX9uIblx0eMqstCULNG61NzHqwuvyL5PdlPUZLwg/WSPQWItH PvVdq0+PO0cbVV0ORB9bV1N1qnlJgfMhqdtevzv5MT6WUKTbvH67MnTlkzwP+8+j5UfbcYll9qnf ZWwYtzmuf6Oy7gmMQLuHBjf7SPdOC/GxypFsXIb81wcEvPDtALtKI27xpZuIYr5YSmHxR5LWj2Tl xckNZePGlCEoUWpFCl2wDvO5qOSx051MakfpFz0b3Xu4Bf6OiVGS5m6Mux05zRxq4rQgm0lWJQPV 7KaFY6XGvk6rJmjHBzit1noFtZTsqD110cv4t2o3AbebFH8A7VsxXG/SIOP2Lc2HYQz90Nq45gKN Q7kQ7s/91CpFjfnnXWNHgu8R1mdiQLz6aXU+2HKo005zABr9rM/T6PJNBcKsFgbWiQVaqnVhcHLk LxWfY3gWIXUcGWPE/JBRM5/F3JaYTprig2zTqCzo3GFsDgmhtYAgK+yC+YStkVLuvi3o1XuBbFy0 TVOQMmT1holVPO3ijbIFiUmIGb0XrU/C/q7DFuQsqf8kYV/0OpSuPTUfFeCid41Ktu1QsajHeQxd KSxG254B5GFFkldj8CXnxjXQGYIMQqLpIqcBD6qKbQk1c0mO9WhfzMaI7n/ttCbApyrHoqFVyrje m9ViVikr5JsXr6obcV5ado+vE0k1RhDTgSlHHfCzlyzMj5mnn+rgeZjxQlYGqvvuXGZ0w9HW6kSY c5xr3aWOdPvmG3vZFmGMDg1kSZnRfCx+HIWqfQXFsPh5mfO4KWHGeyeI3zRoSIv6978Wse9DuMpT E73SPeL15zpPmYfXs7j1o3fjuUMWNRM0w5+NFSpFmCZ/pG3G6k7do1ZH6pXt4uTzgNnPUS/JEcY1 w8YkhAMwFCwFlanS1tnsLABhUkTGc3r+9rbdPFHGxeh1iseRS9Y0X+n+/RB0KOKam0FpSrvLD1Rs 1HZSR0KJWZA8bhPEWb2V8OH+BC4iBHX+9y47QuisD8ZFhrTrrswNkcN2uSI421Tnv1s6SHwTVfLW L8r3fDWGDWk6Wh8z5nf3GJd8BjrO5hxmKZNzaT4UF51hhGvmLbOkXeyGufx34TskzdkI5bmjIcaW TuNFwAf0ap+xH+dIDmN8JIln44WpjRbiyp217w63m2HvvLrXuXtFcY/EN8/vtbRk04cdyfQ7JHBM 910CJtsTqqDp5cqEXdHuQNTT1g6zW+bnS8xOhgU6bpG0yPMrt2JkTV3bwULIdETBaSLP0gG2quBB yVrCEHClRpJ7wti9xWX5x96okEY+5KFHVK9CuSt8jdOIP5zkipnKwHps3MLhOB2QSzFj8S333IfJ Q1Y0tlHcqH/hJW19ebwmUNgg0ZYQkicgxEpBpAUNIlxPCiqX5CcHRWuvhrAoSGwcZLsDNPOdqvji mS29k6CVUmEjxxor6+zT4LiGoflFrltcTbOUEKrmw+TBPdW+B6hzygEy6suk2hXYD86euxQA3/Ly mXdM1m8PfjNcl62F44ZEsy34NtaS9wtIFEz9GJMiBgg2k4A8uDY2MDpGICvvnSQmSvsKquplpCpU hEQQW6BqWc3Md2pNOqpi4cyD15EGb2LdEKevySlrApbosyvlOd3VgyOFlcWff/UM5DOVDfYFUwYc J0jjVv22wmXf7T2iV2vB+yYXs0ZiDH3N9x3b8+pyhB4VncC2sh+t97IE31lt7PzjVOZR4LNt9sep vQqNqvoiGd3l3kMEy8JJ6Cpl3cLQx1m2gPRn+x29TvmRL79yCPPRdsy1QWsmnaC0/UyaaRjGCZ0b 8fcfnu+QX0CORI2MGyIiPisPsosUDAsd1mFL32zZNO4iEeJa9pUjcqSjSl2Zj58iP1cWAswnE++q 11It3XNzZRMtDi2hvBGo55lRGB7iV8bnvhkvcsD/BKC7mz6+gZkTAZu+FUkoM6pUCnvvG8lN0hxN POoimTgwkIh60P1LFpK3yImRFxnNrBRAlt6YL5V3elU3yyi3SKrT/QfqtHTSGnMa4ReoPyoEfzXs wTAiCdcFS0+kOuT6uX6WHY9cRIxwdygOAJaVe9VOyH/k/E/DMStoHSP8jMDrPm8t7opWY1f3gm59 Q1HEcd04uDOD2OMfRz00J83xKX+uD31HgnY08az84/5ZHwpqAVR1oOM97C0HyLgccr0hdcWT8ezK ld4WubIw8vHJPdKqWogZhvvbVGImCltnrQDcasZ6C4Bw1FuQhYbNsm1OlNgiLZQat5R2nSJ5c2SP iddfRQCkm9hliCLeArM8ZdiCbvT2v+2TwJZyv0HSBags7yUfJLeOm1KfD53YuIOs+XpJbEC/tiuf UPJPSNTQtf8t6tWU64r6KJnolhyc/FZ4SruuAqy22BGGo+0IhKj/g0R+HjzsQKkKT6fxixOn4CAw drZzNit9T1u/OTFXZkrKMh4rNgxDY50EGWzk8VmREgkyaUdoD3Ezr0dTsPxEw+VVHp3kwt4WdL3K q8E+UmYUxXRt85BXx7TNk+RGtx7otX72YVPKrpRBSmRNXzYngWD+nn9mhPJvDKuTX28UCHRXNRlk b+5sg+/UKr9Z/qfrrFnRXTzva9zy/wKgWDtQIXbHlj9HW8vwrqhAckQQwBWnDoOYnKDHFkW3AZE2 d5wdUnhIMm3zjSyeItyDNCZHjHg6jp7x8hW26vA7M7aLwamcYBtH8xDJjDquE4u7zAKdsZdkVbil L1aNlBzRh64KyqxV9mlOce6EPshBCAuaKYEfjvItwHD3S9oloXyyjtc587z/CHOD3nGLgNI7UuW1 7AOuyojEp8a0e8DuZEsVePYho/tC248moLYQpJnu54dWUABGlEFbe3uJXe5iZUsLiQofFZCEZR+Z F4CVP1vtRzVfaQ9IRUhRDRKtH7zPlDroTHI8Y7os9Ie3mQ95INVuQbnSrY1zcShmDJXGAfzjhPlG Vy9OXm+JwTgjDo0mxRE3s7rNLOGC0lNIpL5+5E3Co1cEld40rrQWdRR3/wsMC6Sjt5NYkcJCs5lu xTDYpgGevb9mV0kwMObh8/DdiIZWwf34rytfxe1CtOCBqP8B7RZ9C4h0e9OZrjfgiqgE65dD344N a0SphcY1hVPDsvUHvu+4JgtvvhmIgN59nx6LlrWXqjbIqZQdeVis1MjHjeO8qPtq8T7hIx3aR+R2 RXUwjAzxF+H+wOWfwT3wrKHdF9CbZ2qfcOR+N9X8XzAELJS45WO9l6fkH/+AFhGscsqkxwpiz2LB i+UkwfSzDfMZVkVdypOWNkYVtf7N/POzXb9LZNqKqUSZCCn8HiSZ6gtx/TnkgiF2dygOImgQ34bO V+qztVSqGrOamg4XpQnqqAd70qo0+5uQXIQTn3QoKV80/uA9zbCckNY7Jz1915GDAgjFGtsxuvMO sOMHAOs8hUi3QVjzpaVC1yVSlJvTvPZUj6M7H6wpwsaqZaf29ZZdqE7jjh2QoK73PIfd9ROKj8Qd 2ZnhF0IYbIRblSE7ODDENvbPrCbf4bhS4RyiNvlY9h6UbOJA0Fm+8skyZK8xnyl07MoB4Pky+XOI ndQDJmFbD/JLITsAC51D9KMckeOk0fYd++r3e8osec+t7kf9rZsOiVsxFHe9KfFA1Ydg2wMiqw2y qNR+UqVyl8zSNk2B7RtQvw+WEzfOsxFmxJuhyXAokKhqmDwD9/PnERF28egBfjRCTKL7QQ6Jmq1C JICi5gu+rwMgttdN8gH6rTPER9dI66qA9kK94KA3jYMOR5tiia8/dPysdV9dOypCQlH853DMwv3E IfbDDW9MfXUj1tbfJI0GBEMeBAWyQFeGDs0Rtr8CP9m4xTsAMBugEau0hOjgGpaGVyI++aIj6vhW /remCggzCCJL5IKjO3CXGBCwy8vdidEO4Urt7w8jliZOnqvFizFiY89iY2UyngxGIeW/UO0xVC/i On+YMs82DDFSOZirq8uDLwb6IT+DtMAmLIgCt/LnYJzshP/ZtVW1qTOJxy/pvbG+69KKnGm23I8t 8yEHRLcW+OuAxYiIja1eMDAUV2uKHWXgqopxuQVDF57U98qAUuUt2GCo08oHqaf4V2ktKJKVvpJu I6lT6y4BgzwhgvMrc/vU7PCE/4DzREhNBFyp/sENrcoayYGvZ1L15L3z+Qh0TOlj281Aybs7dwnd IompbYxkZzKJaEn1vj8vjyeVoXH+uzmRtp0SkhwNWtR/USYm37mOVp45KVzFi13VMaptsve8Hqhg iiwlCkrl3wz5veTPguwEmQQfYCK3HhwkqB3vRuIFLpJ6GExZhpr7/AFlh4VBxJL59E3R6YwHDCop TUrIH+b3dhAn97liyoxJcfzMh+PA958+QPSB2WsPkP9kcSkErx73JflKdwJDR4mGoFFGfN0/5OlJ a1svOmY3vKj90hJePtImXQci2AuC1m/hhV3FsL+doM7ugvrEYGojVlC5dwa/aMSxnzeoPmwjY/Yr nSQ47XTthUkM67e27p4+/29X2E7tUXMF3aNJcP21IsukLeImOOr7QAvqhWgNSfAk8d0xOxUf0ZVr 0hKnCofHBxugS8ESpLH6vplnMsaL8pSaAOwvWYD6HBcsRRtXCqFxqpx6xxN6plow3PSGYiDokI5R +15APrOU4L24bI/xwy6/fK4YYJJHKEnR9KBYa53vBmegcecSDjoDhM+Wg9qTzndduDep/zB3kxFX vtTbro7m6qozpuRg4NV73vNHs78izF1oYn47VnzNNg1C4fdDt5jVvjn1ruDVVn3gvA2hnPCg+1Kx sHn83DItmeWxyixmEm8pMW/EC8korZ66Q0emrt+9U2SXaAyZ1MKnSjeP63asBEsTH9GzIYnVJ6m0 GkD4khuJv6gebUt89Aiir6u3eIpTVS3myUaqZ2lyGxH9K+kSfv+Cu3jKrozPydwoldSEIryVbHx8 6cWXy2FixayN3nHnHOvkFxeovvXWAdYQ8W00Ip6OmXZpyoql7aUyWTvK6pBrh+JH8mF3VCn5RiaD +p0w+CPakNFh680pyuOE82MhK9n6oW87fAqVTZI63JbAHF2V9+tqY9im3QeKZdfQkCuDHxRM8ZH3 3Zj1N/v/8d+Zrgwun5In4wEadO2wcvocJvAe2Oi8KX+VfhUmJXitWicdCQvcXbV9iuhcFRRvbAjY WcN9NmMovlQSdHaIp1E56+ZZrpqePiDWlv7MUxdr6fRgqzWQr2XXJLld/QxERueVHprnS766o2iW oyZ0eRho/COpb0WE3m4ToRz/0ejezjFwg8iwdaHG65dAXcL5I1H9BeepufQo4X5qUhNv6dbW7W/h TrRvwn1qkGmBJM5mdPJdh8W435XNBicfjGF5qU350NhCqEn+QBsD4+5xxFGSuK5mviH3QcIicYqD q5mZro/TR1PvR0MIp3kfnO+iYGwdq46eELNLuBeL5XHfbzuqpqaPWsVzDdUBXEpKq19PIek46clF XCXNzQznufseCmDHYITTMQLPt4sqViaQHxqlfc64k48CKRswSotdkmE20rBZVgf+zMWy/bV0Geda QA4JQPJXJ27UHOvgFOhsRHx5XZ79Q3tGuUa+ZxwEIS62Tx4YCTjPxQYYpPmutJor8mXHh24yj3Hu oxLP4YXBLDdmAHFvpwu1L0WFuOY5qmTKHEykUf+548OYV5Qv8q52pi+f7yCkSAvlGcgvMdU3OsJD L4d5mWAS1lC4WCDloqrh0gUw7H+qB+lZNNFT15lYEEhNTka8zqg+VPEmx9Eg19bTG8P06NM4G7JK VeKrRe2jBEuexPM3o9patXF/HvFEOiALz4o31jbL1yUaUVSS3CKx/TSIZM8jJzCINmVMCnnfLgC5 L08bkgrMoX0mmEfh1JdY+/gRCnDWtuEE2Ap/AFBSLUL1Fni5LZsMpkLrYvSui9+8PT/RNdNrADlS p7fXJoekKSHTqf3nENyZuMbPG2L48zlqUWKy6AY69tOcYg+USB2cHED9tPVIf6aaxGZ9mNaj7cbM 1OTzxo5/QQExquFX2CI0jtuN4rGtWZo0P+YXPwF1iZIs3P2NhbQ8a4ei8FtxtmOSV9UbQZOoEL2I pt5RHHB+SH/1Uczx4LgkOKrpHiRb8o4gaRLfktEqbDnKRLZaYcY6toPwNbwSZ2HVVMknAPK4nWiQ r2AC5uTZ7mubWDmaJ6xhOA9+NU7bF6eNwy5TKQKIoL1fnUD/os5gMiW8sxF69MSIJwqSQQHyRRAr mZV8HdYkp5r5zhyYDnlm9m1ORK3yI/GqSIZUd6FAnoCf1RB+hYaouHY3SyUjbubDgdy1vBJYhkOj t+ATMT/JvSgOlFryWpePHqkPFaiyRtsW768s8Yb9x13P/lwMO2bDhMh6TLR+aSF8yQ9aNTLP57H1 +kNzAwc9IjPcS1TmQnuSLTVXT78D0BktUbL6vz+YI4gfECXFaxUvJRRXGXv5QJMwKkJ7n4+chOQb c1yzsjsA0Q04R1dkaib4VnqDweVJbpzjkKjxrg9QGtEcXu+zc4ADVpBZyW9X8HNVLkRsJVzehf99 fVjLQc++/ltLk7MN2xy2Ngc/mBBuQNPCczIeN1B1GzwyWqChpcTMDM3nEBV4Qk/6oMp1//BjBswX UR73fyA9lURqIHggVDr1kmFp0LUCwo1NkIdet7xA9iux3NVtJK/dG9SLVO/ZZ+1rQ403p6pVIila 4UaB6LjJajwFOosjbApdqU+DheP94IahOoOFabm2ASxW8kqeWm9JWw0AnWAGeXmRfGVktZWgMU94 LBiHwO5tkd9TR4Mrh8zjgBdiLXclYvFfYsqxcXAbyXupJ1PErlZ5uO4/z1NmHEOBdjnE7gqVGf9e jwTpZxx9v2JvFy58sXLUDxCV/0K0CsQ7J0DrLZl6qJCqcG62i7tgJG5JRIBf7aTL/vg+IzKjTaZL kTTe+zvRmOEyw9rc4AyEksn6PybWQ2PrbtD2IoQrJYTMMGwdk1svr8xFajwNLRS3X8tHpgUasgCF 6yZTKpDHSq4up5CabRCbv/NMcHOpFS+9emkzIG0qaed+mWtgsPPiuKHrezMXWi0SkrrjMIF+tn9t EC36ydlGVNcuV/P1v44yuEgUCIeXvLg4sv5pSZWwQXw1K+kOLpb+B1pDgRbzX/lbcL3OhnEnot0V erVL3uf3bxDsRlBgOrqDi9WPUQ5AfoLuB39A1L50S6fQ9WmOAjtfolNTQCWyNEWPm0Mli7bqlcwL 7oRYelAI6MkCZFd0v8+Ii8pcmduhu7Xjq+Z+MdedCql8fqF8hZABEVmZqgn4TGmZP/VuhRITIZez N7QCvsVZL0d5wZWMwOSSD+oVPIOeEfPlR713pyeQ0QUgeABYbl1YUn4s5prowmKcv6xWX4u2Zb67 XT6ulEGrCEjk3ZvJPVx98RVfnsQqbagMU5VMDuzk1id2ZMcGeEj5gGKJyTDlru/rXFpMMk+hwLim OjGm5aqr06sMdnQ4gxKLUsd9E8k6vgbKf297LntvQcoFnqnRD7azv+jqLVNd3mxptAgYfEkE2uUY 2s+i9BMI6saES09tyNxedNmDHP6wKs8Lir7nU2Z4H6BgE510gM+HY6W86QS39wJ8e5BcMF+mgSeN nvt/cQNM/2xcYBshXm4gh/sIdHInI8rcsRs28Paljh/beclolASvblCpEtHtGwRQhjthMVOpHPU4 7qxKwiTE50wMj+8044AFg/F9ppleTSfvVde51yH3Ehf2NBbi2D+eiVRlTX9SPPCPghDQ29cBB5Mb 1y6SEMqmhgA2B2Ne9aH7/ySh1QgZvcDVTXRwr0nxOaom/QeO8on153tsUACOJkz2g6vPBG4/DP/A dofanl4gFYMGsK2a2GMfTPH3jXnvWHJPzsG3G53O1tVZLrbKL9yn5hTrGo+c52/7u1mxDmRVgI4+ 6Pj0bN5YCUKwGAJ5Vh8a214LyEXe/0HtVUA4FDG+iF3RLUULRxlCXWx5yrGFjHa+RKGO6Cs2Epg+ Hz8P1qA9wnpwAF0Q3pV5b991DFnj8CbNFDxuYOXZDgJ8lMFbb2Skz+snq6BAGJpJfEdUHx3CBII+ QcQlCpqdgpnXqC3f+qxBEiemJUIzv31Ha2dRGZJ2fCrmyIlMvvql/1nyxzRelNm4eU+/i2z4BxHW 9LdnMZBMfbctKugyuLevDpYCcPebRO+qYmfVg0quqy1gjrTXvSBV/ZEFYWQ7iFqy4qEoeifTK+/X wrUCQtlEsm5Si4LG2FitWPkEshmSLJdUosNeJbWhVjb6YW61MNvDlxsjGMiHzVPu5f29E79mC7aE nJ7n4RCmGgUwX1vgIfmcys56rVLtrE8SNDzL4n5ji2CUpAKhsEgPF4Je9wjlxazl8GnchDT3y/Cz ESkVJyK9THRgcjCISZodyxpaBoHXN8y6diLfncKeYycXEFhDDdwtgcEhCf6hhuuKBNDjd8Qw2zRp vcHxDS7LfAP5eqHrgdbwuojGKnQkhOJ1u3iAYSLXzJvFw9UbCWrT0QXsuLx8lzG8kq/xs1vJ1y6k 00uKjmgQkYaP4WeOQasy37fMbIchsBKW1VNCdsLR48P7B0bUO6f8PRzDSKTw/xGWp2JrchHHAAEc wfpSYCvL7lEUznNF60bI9sMb6AXFogjtQZVVc0HewqV0tKq0qNYsWXnN8EEbNxhTH9XY+4f/4wX+ ILMcMpE20RI6iS6fcRVhc36IIlZA0Uv8a8Z3A5M5fXNR6NFIcRjD089IcG1KW5YxaJbGH2GqwXQ6 3hehcD4B91BZpAVGh0BUSBbeilH4JoeV9LkWij94dzYpSqi/QVOjIVDCverrO0AoWej/HDuXG1zJ HOWEzuzaxt1mFaJ4LsB1lm8DRTEWnWxcR5FWy1b/ZSOj8v55y0aP1tHGJj53Ue3OnrZzbap0Etk3 6FjEKr/kd4Xz8ch6IAXyl020g+l6qzi3gY/gGVnTuX5h20cKN4F0KbIvK1rfTT9C6zOQItf786+P 0wFWOFPZkQ6DiibttU4jITaI2KcrQ+KvQb3FzokPB9/8rQRfeXQ4y2roZuM9rxzj9SPRZH9aVuly 7EplO3+BnFz7+sdKjzfmpcsPaO0ZfFIksywV40118abh1vkRR0ICYt2tHaspqPTYp66witblBJoL rMCTr7JmJtjzWv7O5uK85PKbdlSV5PLO1SpNS4XScMQWq3ad+dAa4pL/V2mI+sN/jYPBZdwoJZv3 AbUadk3+NC771mtWEjHF/eqkH6n7FtJxjGSwTbVrUV8QzTNk4rlIim5GLBz0xwOWRyf65+3u5zTF /8EI5+kDWrFWUXLZwAoWtzsBok977BtT15p40Y/lpZmy+c7W++ru1+LLy8N/72krEbNgGK3FVawd cMQ3xz/OfIOa72WjXgqWa2uvGdQKPO3uSrp8FR3ZFKKFMkEyCzwShPN7x6e6ZMIfK8c2wUlAxZnb mpX5l0QuzBnKZ/WMl6D2WwxaUG9dwbvaUV0dekv4Tk96O2ssqe08QHuoFGiJv1t98tKmbBDeMBeM 96AHYtYAxUIc15aEfv+kLW/asmqUF2UBbCMVmTSY5ZqVDX/nnHkJGeqkqSaMbNYxSPoJpvie/8QO xc1Mk6zKrhY0j+SIrfLT69W/4vCfd+MZqhn6lQmzhUHhme7rXASt8FtG1L515/SjXuLpuUGXtRLT gWsBWlGfPpKLOiq0b7B1cXRxNdSZYSDVygxXSTBQGv/NDs3m8nQwBlktLXfmd+hqPK0AgCejvE1c dBqE3VUkKfbdJ1adcbrXrfXYpt3Hxm9tWe9FE8ODowybIEq4SBoyWnncfxpd2thEPZs2Sw8dVU/B Fu2ZDkQ8sP70quTkZUTnrdyrArnBSg70QHo/eOYKfeJCD5KGTVzsZRFa4b6hKaqyeyPBv3/2KaK+ VBYSgH+Jzve5wrigt5clYnb0KjfezMzD87PXOmSK2wnjO4kmkRZtqR+qHOUrUuBQLeqm1o9jS8PZ odpn3wIOqZEiwHSQXNjUyv5slpMH3wPOrVtswMmEzkEqXHH3kTf4gpVRM1s1LstElQHlYRFteOxv PYuHRNIsB8fGh717sRkmc7CM7f3GOJQlzlFOKNmNVaFwD2yxBF0+j8shsOZPAYROzyUq7QZMXGiY tRRJBAr3yhh8pd0eCZr/G62drU49ViXW2APHtMHEr8MHjGycFUEZZWeNfGM8sV8FRURDs5s+O9mj S+nRlpxHANa6vjauz5s0w4+jq6cD6/YNtw5kC81DTxPhvTzGvQcwZqBnflTVi9HZ/ALuWzMEMkgK UF+HhyY5+CNO/3k5FEgDwjN6X10rb9q8VhLUjmx2AghgBVJm9UM6w5rYntKrCG0aaoiIDcxvyIQb 2+eqMXHZnaEy2bD/Yi+AVd+wONmmrFjhgSps/swRfiUma0NaBy1dkUB4iHL0qAX/clu4t+UQyudI CqmgDoOj4nU46/AeuDM64NHb7F0QJ8nttD22riLBTZVygt+HspqTDxoGrouMKyRqk2uz7HGDl4eC MhDkRh2HO9R7qnzHH3e6O8cME/JureTbZ9vxQEJ4AVLhK5uqYNrM8tCiQ2Sy1WQfRWeHYRU+j8hi RO9m1Yqn4QVaEq8On4M7p5Y5S+Z9bTtj7WvpD8FmvYgSRGEKpNIs2V9HWGfZz8xUD4/nS0Z98BsD 8IQK4I97fKFXD4/FkBZ4AK3ir4tIqeph0bsERNiEGU5EH6ekh1HnySV8QbxIBdCKFtWtN5t+/rPJ oWSxlmUMt5TjHiqCbdFLp/FfjXtX1/jZ3aprP2lwlCzq3rvDDa2wrdHjA9bqqtoxZ2dkmbEzL6gg jEzogJ/EnDmLbUaHnxH8xFukpJVepbqT9NS92XCrLH9EdVbzdtCqZE1boyiaOXSIFWi8jQZAeUMs uyZDHhJAw1SktPnZg/30R6ErHmbpo4JggD4RsC0qJXFNUJf8cVs7sCo1Z1sBzpICF7J54ekQkgaj wuIX9Bnl9258vxOtZtU6MvSBBwu9rwCgkprt2M40hG2D+Yt6LjEJm8jVOvigB9nB2NzfMpBV/xjx eRmxNZzxr9kx4yHmYbPA4zJj0g7SiGLP0z2oWN1Ih+NbCyw46knHwjmRnzCs0g/bcLg612eaIgFl 1hPDvvznPE6e/DpwkzWOtuQo2njeiQ4G3iG1Ny3zBCZr6hitK9j2Qcnfgh7IBKKUUsv5ZlrSH2zH tW2GxliSGAZ7k7PmtfJ4izfVLNYh5e8fJc0kHivPtsBGtJCWwSOAuUM4FiAVYaHmI6FKZmXBr8/C TkInd8Vwby6dN1qJsGB5S+QduT2aoJNQMIuFHKZuowLiKEbZcSXT51RSahmYkn0BXswR6dfSHbXt iBF+qQRd+JwIAD0zcDOySN4fDONDDqLTOpRoYkWi37KpwWzG6B1DeD6pw9rr4QUeHHXKzlRz6T+d vZxSfqC4UWFHPChNDrrg5y/a5mxvfgwD8tRw+4pSk4K6rotgDYyP9M7C96Hczxu3Kpvp75RZph9Q iSqz0FfXXTZPwBvpQTf5iQRoRthoVhDXMBgwoGVBve7s0iHYYXkCQzdc7QK2lmS4T5q8TXEi2eWR kyBCIe49HhIFCMdoa9yld88xqC8yZ1N6mPLhLyxnY8yyAG6bpoSz+MuPv1X8f+KntEgWv8ijA3Rs AJPpQ7SCyJmkgOFspSRbtHRG/ZCE+34vGHiDxeJo6J8c0Et9sPucZ/a476pTjorPU7jNlYIMK1dw NMGdefGHJAEZuf918ui0NMFglzIoV5SErPMd5SRSl472pZ2Vw/Dm+USm9+1g+zNgomJhSN8J8agB zwyy8quWv2IX/9xToXyP9d/E4MzgOtu7YPFWVm1aY92bRPy/c05MLPKPo0iAMovqyu90r/PkaOs7 FNsbTdbkXGrP/xRjhxQNoIDnyuYZxrFr4MyMmvCtiQJXcz0sP2djDKnfKxmy5pqnzsxQkq4dCLkD wxJdPNITg/lcVgpzS3S1bIL3P5tNxIu3MlJNUOleBvbd6NbBvpd7ShwUzjpcZKsDeb1BfmDgbjcs uQoLrEka7bAooblkvhr9J/dz7ONrNT758zZQ2edzivsC3H2Zd2XQ8AtNZNCGSbX78JKVym8RyF2c w3CSADYR0mq4Dbv504aGcWhoBTxPBzm3hLAZMyAygP3I705mb1JvbGyhJa+Gja4htuUGsC9yf8eX 7v6N7/9SFD2nB5f+oZIw0fTNwjj4XXs9z/Tz/xNIwjyj7fDGHSLHsRPqtIedjDB95dGjSlxHjqsz uYro1UmyKoEyOj47mC8I9HUaTpO7C+DNriAv7dzThJhpyJAZ9wijhTP71kiCdwvwlV93x2atytBe 19NKY+1J6o9Wc+OjixPc7rVLx/ayWm3j9u41ScXuimxBAeBGF5WLqBv2ogrf03PcvHBI6ZyPBRvm D8TNhGujH0pwHKGbOKIGBzyGWtKv3lml01XNFLxxK10cCXZpSYimyGqZz7T2jvESTmo1+khJy+lG u9W+d/XqnhxY7TgijN3HU7bddf3EK9rDTJ9w8tNQF2bEOt1+kbflSg7wipw3SNpXDo2V8luDPJIM gnFVCgSCfRh/YTTU+SWbYdQqYe5jgXEwWFahi7F2rezd9CDgrnhRGJR0Exmw3/yv6LLnQlAIWht/ V5JuWNWttysPB49ngEOwpccvf/YXVfNQdwPYwyZa0JxIKLwYpJVCT4ZpRQ1T+qCWQj1Vu66/r8W3 QLRg52XqENu9ZMSnvOmDziafsjEDhNjMrOVwoySwYd9pLzeya/jrK5c/dco90MASfHinFWpIyEmi sXa29qemzfhBSQXLvXWED9DpKgi6ZU35GC6tUvrfyEeztgH3sDoshNSCt/W1h+32LDJcjR0OVf36 bv8fSErvFIvcSm+KvUt1YsIM9PyrI3j3sgHTS0+NdMmOz4FMNfpzG6j+lnn+366GRJvj17iVU1wA KTEDt6bit1xk3ZLrUa7bakz2DPqWkxjOVjL4w0f4rzNt9tjZx9WZp0E1jWpSZvrVLmI8rwRdmGy/ uGjt01aai6blFB4XcU+GxpKlSpCWrBErYCud/UoTApz5O/Nhmc/U6eJ02iyPlENVHQpaEvsVymrV 77YT57NE1DSdV/H5Dyl6hYJczwZLngAvKxlfnihnPR7SeZW5SROt7Z9emAB6rjdRoCgErA40v1/2 XKzKaD4fefIrEnmIXrewHJDiOK/5Vazn+KSUCOkoRDk/aGtuFBmZUrKwfgKafLQtT9CMMd/ylUjT YLmMUQoKA03BOTZLPWFVgjxsXRhybtv8qs1pB2fRegR2cptOWUjNLhwRzpR588psBDxFMclUZ0qp z74UE9ROPlEOFHHJ2uqh5MtNbBGHhjyEQ19wPOeauq7WPoA9BVPr4/CykYcg/Cc6/hzI9PTBuW90 jM7azxU37xGT3g7HMENPFJvS7E3M3Bm5Eht7y6gm0Sk9uuEs1wNV1ifkCvtYry2vkuFg4f8toe/v E7pMwy4vAb9YmzsEJMhuxgghC64pXTWiZZBUVYyE3zMk1V0Qzbfnl9dtsZZQrZlCwK6IN2Vaflnu jV+hOebUMrKTP6jkKIxISbTspi6SHDOQrZN6eJEyVdEgvTG9IQ81FIGumPF+d/1X4+i9OknVG23q GDYGLGls9lalhZ6GjzTJyRp+rCijmd63VLofUeDhVcUkeBPUrSl4H+2AZ6+KracEhwLbzCaCU5sy 3RFaVz48lwnMpode7p5nsrEStIB/aWf9iZapBQ6qxTpRxlMFh1xjZVHy19lMYXBuyKCtViPcAVoN 0EomOpPNcSTHZiSrwYyBsittFXYK1wKg/Iz9HBc7+mnkX06pyGtr9O2IRm11X8isfZJZ4NpiJl8U wIryujxsKhTUWAR4ldTVYsY+ifHg8/xmf754K7VCwhoXyyMhM/ocuJHdIMUs51eMOkcqLOOsBS2k 1yqlN7e9CUrEaPKoGMCxGdg4u30hx5jt/9fWWnDCFb0uTubVUn3cGjLykq2ZZOkMIrdDj6R3muEe zy457dqkPYDWQ9HscNi4d1/XTiHlC14IDFDArXpYYAMljHLadsTSBvEbDzbg1MEo8HMJFBmzMNX8 qnjeQOwyYFtYa/qtB7dV18/V1HD50dm28MIQbnf1125X1n8wTBcdZcf4C7cPYn6yiT3ZB+GaXias e4s3nb09mYyXz5Xa7RvLrg+XgnjpvrIqJ875CdZCQSe/7GEvBDKorcb0JmGy35o6o+zakWc5nYV1 hheRFRDI7UmLXtsKrj5KcLXXywjvXsVcDiieAB2TfgRtrObT1U4yjtmjZROZNAHvNmaiphQ9LMUx +FZuJIi1f/fddV0VS9aqJdaJqvbxfyL0k3Mk1Sb/FcDzEGr+uPB/HGm7JwPhem8xJafvXZ4SHowW UY6uZcn5Hq0I+qixMm3iE7GXo1KEMXarwaEHonNAHRw3tGTB9AXZ/y4tIhiDikzALmt6OJnOEwEI wrLY4TeEGFZsddQ+CB1yqyBRfaCHQLMchZ2KH7BYH94NROwQ5Y/eBWopGlhdBILwCOmbIPGPmCp9 j6H9WeEhg1My21qg0mS7yUoR6jqrzXdWSdAzNypG5cQl4wcr2zld868LXKj1g/ZKAuFiWVOGepam iHu8OFxXX3BLi7qSVdbKruEBNxtwZ77VZw4v+iKiO2vnu8QB8Ft3ROTTY+soVVckzlCiYRRaXROt 7LfDfofv2tdCVz8V1MwWS0aAcUEt+M2TWes99hm7nbDlQx5MPNMOBQ5FPKC+iYg1KjT2NO6tjXXU LkqEPolrbSJFJVdv+xVaUZ7hCu0q6uQygXeKYuNy9eak5f3PiCndHYl11gXKYkw7Axm3vvvMrUJQ qEqPXHhv9SB3CXwGfTe7/9buqjbpSa1MpNIjPWca6+wCHv1Ib38mTLaEDpUyPlH2B+QzE1/b8NvL Tg6+vX0PBj0ORzOQVsfCeznTdb+8ZFXBOQ6d+ZxopyoUJIf6tRpBs+uObyt9QyUMvTycuobzNa4p PUFQaf+RADGWryL+4yX0bMzrf2NQUpQSIcBkBxi2I9B8bkPYcs1s3cIh3OCgKbE8KhsfcdrTZfcd ThVUQ/QOqlr5ANS/0MZKGduIP4of9zqDSI+XzmFYAZAfwSmWWH+H6kQ+iZeC46TiSxgGGvHWLWGp az2/bhhbkxrJJj3mT5xfcCUStjLPuRQbvZ9xrXOVwegabts6iEBYsHEUtPKFR/nq/uQxkS/OaAkB BpR35Kh8QKs0jCaeesUeUxOA8B5jRgFpGMu1t+vCzK7jPBub71KWlIou2Zlh11dxbMUkxZag2Egs nP/x6K99mVxwpg0MH1NSzX1aKOeDK0oM7cZVdL0HBpaoknUJIZV4j/mu+pzm58UNH1ra8owbkc1e UgN9LH5Sc+mJ1J49DC7rXQYuEtqSeb36DePewk9U9h2Di3FYOzpGRAdULrrLKAoJJrEYw3xvqFMo 7G+mysnjZNocIw/O15/uDz/K0WIPwme46kGKgSm3GO6NMJbdOxYcdoxQSudGow9whNonRLFsWjsS lfoKu43MnLOtINvsTyEw+LWO4D6G6EZtJFrsJoJbuejSyK9eBjocG8kp+08/0Ks85Xzm7u3VwhRI ykvBLWq5lXdXYzBjFl4wHRGVTnM+xABCm5txRSLPEQYpnk55GRaKDQuddw0npy1GLcHTJF9crrbL nx0tWQ4HWHED2eMPaWGYexKavCDQGVXBNT6Ogmko9AOado2qKIebpCBBPlq1P/IGWnk= `protect end_protected
bsd-2-clause
70b9a0514a6a57bd5349fee762084bef
0.947158
1.841859
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_smple_sm.vhd
1
16,883
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_smple_sm.vhd -- Description: This entity contains the DMA Controller State Machine for -- Simple DMA mode. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_10; use axi_dma_v7_1_10.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_smple_sm is generic ( C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_MICRO_DMA : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- run_stop : in std_logic ; -- keyhole : in std_logic ; stop : in std_logic ; -- cmnd_idle : out std_logic ; -- sts_idle : out std_logic ; -- -- -- DataMover Status -- sts_received : in std_logic ; -- sts_received_clr : out std_logic ; -- -- -- DataMover Command -- cmnd_wr : out std_logic ; -- cmnd_data : out std_logic_vector -- ((C_M_AXI_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- cmnd_pending : in std_logic ; -- -- -- Trasnfer Qualifiers -- xfer_length_wren : in std_logic ; -- xfer_address : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- xfer_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH - 1 downto 0) -- ); end axi_dma_smple_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_smple_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Command Destination Stream Offset constant CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_ADDR_WIDTH) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SMPL_STATE_TYPE is ( IDLE, EXECUTE_XFER, WAIT_STATUS ); signal smpl_cs : SMPL_STATE_TYPE; signal smpl_ns : SMPL_STATE_TYPE; -- State Machine Signals signal write_cmnd_cmb : std_logic := '0'; signal cmnd_wr_i : std_logic := '0'; signal sts_received_clr_cmb : std_logic := '0'; signal cmnds_queued : std_logic := '0'; signal cmd_dumb : std_logic_vector (31 downto 0) := (others => '0'); signal zeros : std_logic_vector (45 downto 0) := (others => '0'); signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Pass command write control out cmnd_wr <= cmnd_wr_i; burst_type <= '1' and (not keyhole); -- 0 means fixed burst -- 1 means increment burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(smpl_cs, run_stop, xfer_length_wren, sts_received, cmnd_pending, cmnds_queued, stop ) begin -- Default signal assignment write_cmnd_cmb <= '0'; sts_received_clr_cmb <= '0'; cmnd_idle <= '0'; smpl_ns <= smpl_cs; case smpl_cs is ------------------------------------------------------------------- when IDLE => -- Running, no errors, and new length written,then execute -- transfer if( run_stop = '1' and xfer_length_wren = '1' and stop = '0' and cmnds_queued = '0') then smpl_ns <= EXECUTE_XFER; else cmnd_idle <= '1'; end if; ------------------------------------------------------------------- when EXECUTE_XFER => -- error detected if(stop = '1')then smpl_ns <= IDLE; -- Write another command if there is not one already pending elsif(cmnd_pending = '0')then write_cmnd_cmb <= '1'; smpl_ns <= WAIT_STATUS; else smpl_ns <= EXECUTE_XFER; end if; ------------------------------------------------------------------- when WAIT_STATUS => -- wait until desc update complete or error occurs if(sts_received = '1' or stop = '1')then sts_received_clr_cmb <= '1'; smpl_ns <= IDLE; else smpl_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => smpl_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then smpl_cs <= IDLE; else smpl_cs <= smpl_ns; end if; end if; end process REGISTER_STATE; -- Register state machine signals REGISTER_STATE_SIGS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn ='0')then sts_received_clr <= '0'; else sts_received_clr <= sts_received_clr_cmb; end if; end if; end process REGISTER_STATE_SIGS; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmnd_wr_i <= '0'; cmnd_data <= (others => '0'); -- SM issued a command write elsif(write_cmnd_cmb = '1')then cmnd_wr_i <= '1'; cmnd_data <= zeros & cmd_dumb & CMD_RSVD -- Command Tag & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode -- Command & xfer_address -- Command Address & '1' -- Command SOF & '1' -- Command EOF & CMD_DSA -- Stream Offset & burst_type -- Key Hole Operation'1' -- Not Used & PAD_VALUE & xfer_length; else cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmnd_wr_i <= '0'; cmnd_data <= (others => '0'); -- SM issued a command write elsif(write_cmnd_cmb = '1')then cmnd_wr_i <= '1'; cmnd_data <= zeros & cmd_dumb & CMD_RSVD -- Command Tag & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode & '0' -- Tag Not Used in Simple Mode -- Command & xfer_address -- Command Address & '1' -- Command SOF & '1' -- Command EOF & CMD_DSA -- Stream Offset & burst_type -- key Hole Operation '1' -- Not Used & xfer_length; else cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Flag indicating command being processed by Datamover ------------------------------------------------------------------------------- -- count number of queued commands to keep track of what datamover is still -- working on CMD2STS_COUNTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or stop = '1')then cmnds_queued <= '0'; elsif(cmnd_wr_i = '1')then cmnds_queued <= '1'; elsif(sts_received = '1')then cmnds_queued <= '0'; end if; end if; end process CMD2STS_COUNTER; -- Indicate status is idle when no cmnd/sts queued sts_idle <= '1' when cmnds_queued = '0' else '0'; end implementation;
mit
a4bb8158dfb7f390abb7ce767151a7db
0.382337
5.447886
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/river_amba.vhd
1
10,568
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! --! @brief "River" CPU Top level with AXI4 interface. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; --! RIVER CPU specific library. library riverlib; --! RIVER CPU configuration constants. use riverlib.river_cfg.all; --! River top level with AMBA interface module declaration use riverlib.types_river.all; entity river_amba is generic ( memtech : integer; hartid : integer; async_reset : boolean; fpu_ena : boolean; coherence_ena : boolean; tracer_ena : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_msti : in axi4_l1_in_type; o_msto : out axi4_l1_out_type; i_dport : in dport_in_type; o_dport : out dport_out_type; i_ext_irq : in std_logic ); end; architecture arch_river_amba of river_amba is type state_type is ( state_idle, state_ar, state_r, state_aw, state_w, state_b ); type snoopstate_type is ( snoop_idle, snoop_ac_wait_accept, snoop_cr, snoop_cr_wait_accept, snoop_cd, snoop_cd_wait_accept ); type RegistersType is record state : state_type; req_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); req_path : std_logic; req_cached : std_logic_vector(3 downto 0); req_wdata : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); req_wstrb : std_logic_vector(L1CACHE_BYTES_PER_LINE-1 downto 0); req_size : std_logic_vector(2 downto 0); req_prot : std_logic_vector(2 downto 0); req_ar_snoop : std_logic_vector(3 downto 0); req_aw_snoop : std_logic_vector(2 downto 0); end record; constant R_RESET : RegistersType := ( state_idle, (others => '0'), -- req_addr '0', -- req_path (others => '0'), -- req_cached (others => '0'), -- req_wdata (others => '0'), -- req_wstrb (others => '0'), -- req_size (others => '0'), -- req_prot (others => '0'), -- req_ar_snoop (others => '0') -- req_aw_snoop ); signal r, rin : RegistersType; signal req_mem_ready_i : std_logic; signal req_mem_path_o : std_logic; signal req_mem_valid_o : std_logic; signal req_mem_type_o : std_logic_vector(REQ_MEM_TYPE_BITS-1 downto 0); signal req_mem_addr_o : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal req_mem_strob_o : std_logic_vector(L1CACHE_BYTES_PER_LINE-1 downto 0); signal req_mem_data_o : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); signal resp_mem_valid_i : std_logic; signal resp_mem_load_fault_i : std_logic; signal resp_mem_store_fault_i : std_logic; -- D$ Snoop interface signal req_snoop_valid_i : std_logic; signal req_snoop_type_i : std_logic_vector(SNOOP_REQ_TYPE_BITS-1 downto 0); signal req_snoop_ready_o : std_logic; signal req_snoop_addr_i : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal resp_snoop_ready_i : std_logic; signal resp_snoop_valid_o : std_logic; signal resp_snoop_data_o : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); signal resp_snoop_flags_o : std_logic_vector(DTAG_FL_TOTAL-1 downto 0); begin o_dport.available <= '1'; river0 : RiverTop generic map ( memtech => memtech, hartid => hartid, async_reset => async_reset, fpu_ena => fpu_ena, coherence_ena => coherence_ena, tracer_ena => tracer_ena ) port map ( i_clk => i_clk, i_nrst => i_nrst, i_req_mem_ready => req_mem_ready_i, o_req_mem_path => req_mem_path_o, o_req_mem_valid => req_mem_valid_o, o_req_mem_type => req_mem_type_o, o_req_mem_addr => req_mem_addr_o, o_req_mem_strob => req_mem_strob_o, o_req_mem_data => req_mem_data_o, i_resp_mem_valid => resp_mem_valid_i, i_resp_mem_path => r.req_path, i_resp_mem_data => i_msti.r_data, i_resp_mem_load_fault => resp_mem_load_fault_i, i_resp_mem_store_fault => resp_mem_store_fault_i, i_req_snoop_valid => req_snoop_valid_i, i_req_snoop_type => req_snoop_type_i, o_req_snoop_ready => req_snoop_ready_o, i_req_snoop_addr => req_snoop_addr_i, i_resp_snoop_ready => resp_snoop_ready_i, o_resp_snoop_valid => resp_snoop_valid_o, o_resp_snoop_data => resp_snoop_data_o, o_resp_snoop_flags => resp_snoop_flags_o, i_ext_irq => i_ext_irq, i_dport_req_valid => i_dport.req_valid, i_dport_write => i_dport.write, i_dport_addr => i_dport.addr, i_dport_wdata => i_dport.wdata, o_dport_req_ready => o_dport.req_ready, i_dport_resp_ready => i_dport.resp_ready, o_dport_resp_valid => o_dport.resp_valid, o_dport_rdata => o_dport.rdata, o_halted => o_dport.halted ); comb : process(i_nrst, req_mem_path_o, req_mem_valid_o, req_mem_type_o, req_mem_addr_o, req_mem_strob_o, req_mem_data_o, i_msti, r) variable v : RegistersType; variable v_resp_mem_valid : std_logic; variable v_mem_er_load_fault : std_logic; variable v_mem_er_store_fault : std_logic; variable v_next_ready : std_logic; variable vmsto : axi4_l1_out_type; begin v := r; v_resp_mem_valid := '0'; v_mem_er_load_fault := '0'; v_mem_er_store_fault := '0'; v_next_ready := '0'; vmsto := axi4_l1_out_none; vmsto.ar_bits.burst := "01"; -- INCR (possible any value) vmsto.aw_bits.burst := "01"; -- INCR (possible any value) case r.state is when state_idle => v_next_ready := '1'; if req_mem_valid_o = '1' then v.req_path := req_mem_path_o; v.req_addr := req_mem_addr_o; if req_mem_type_o(REQ_MEM_TYPE_CACHED) = '1' then v.req_size := "101"; -- 32 Bytes elsif req_mem_path_o = '1' then v.req_size := "100"; -- 16 Bytes: Uncached Instruction else v.req_size := "011"; -- 8 Bytes: Uncached Data end if; -- [0] 0=Unpriv/1=Priv; -- [1] 0=Secure/1=Non-secure; -- [2] 0=Data/1=Instruction v.req_prot := req_mem_path_o & "00"; if req_mem_type_o(REQ_MEM_TYPE_WRITE) = '0' then v.state := state_ar; v.req_wdata := (others => '0'); v.req_wstrb := (others => '0'); if req_mem_type_o(REQ_MEM_TYPE_CACHED) = '1' then v.req_cached := ARCACHE_WRBACK_READ_ALLOCATE; else v.req_cached := ARCACHE_DEVICE_NON_BUFFERABLE; end if; --if coherence_ena then -- v.req_ar_snoop := reqtype2arsnoop(req_mem_type_o); --end if; else v.state := state_aw; v.req_wdata := req_mem_data_o; v.req_wstrb := req_mem_strob_o; if req_mem_type_o(REQ_MEM_TYPE_CACHED) = '1' then v.req_cached := AWCACHE_WRBACK_WRITE_ALLOCATE; else v.req_cached := AWCACHE_DEVICE_NON_BUFFERABLE; end if; --if coherence_ena then -- v.req_aw_snoop := reqtype2awsnoop(req_mem_type_o); --end if; end if; end if; when state_ar => vmsto.ar_valid := '1'; vmsto.ar_bits.addr := r.req_addr; vmsto.ar_bits.cache := r.req_cached; vmsto.ar_bits.size := r.req_size; vmsto.ar_bits.prot := r.req_prot; vmsto.ar_snoop := r.req_ar_snoop; if i_msti.ar_ready = '1' then v.state := state_r; end if; when state_r => vmsto.r_ready := '1'; v_mem_er_load_fault := i_msti.r_resp(1); v_resp_mem_valid := i_msti.r_valid; -- r_valid and r_last always should be in the same time if i_msti.r_valid = '1' and i_msti.r_last = '1' then v.state := state_idle; end if; when state_aw => vmsto.aw_valid := '1'; vmsto.aw_bits.addr := r.req_addr; vmsto.aw_bits.cache := r.req_cached; vmsto.aw_bits.size := r.req_size; vmsto.aw_bits.prot := r.req_prot; --vmsto.aw_snoop := r.req_aw_snoop; -- axi lite to simplify L2-cache vmsto.w_valid := '1'; vmsto.w_last := '1'; vmsto.w_data := r.req_wdata; vmsto.w_strb := r.req_wstrb; if i_msti.aw_ready = '1' then if i_msti.w_ready = '1' then v.state := state_b; else v.state := state_w; end if; end if; when state_w => -- Shoudln't get here because of Lite interface: vmsto.w_valid := '1'; vmsto.w_last := '1'; vmsto.w_data := r.req_wdata; vmsto.w_strb := r.req_wstrb; if i_msti.w_ready = '1' then v.state := state_b; end if; when state_b => vmsto.b_ready := '1'; v_resp_mem_valid := i_msti.b_valid; v_mem_er_store_fault := i_msti.b_resp(1); if i_msti.b_valid = '1' then v.state := state_idle; end if; when others => end case; if not async_reset and i_nrst = '0' then v := R_RESET; end if; o_msto <= vmsto; req_mem_ready_i <= v_next_ready; resp_mem_valid_i <= v_resp_mem_valid; resp_mem_load_fault_i <= v_mem_er_load_fault; resp_mem_store_fault_i <= v_mem_er_store_fault; rin <= v; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
d55c0fc75dcd2bfa11670ab8ae03ee81
0.5581
3.085547
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/fifo_generator_v11_0_synth.vhd
19
237,901
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bvOwtDo+u1XQuHmmirIW0G1Eep8h4q1lu6sagQVNOpqoo1dUL25zlZCKWpryXBrbavlsSVZj+/Kj u5U6Rqq3pA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R8VeuF45EN20zhkGmJksRGl35KTSV0YbXBmOJfN53AFOKNxf64co0R3kMl1KH48vuem/BXWPzNwW 17k9On+EP4ryAUZ6V1YvtlO9Er2xv4nZefuEO+pELxS67R6s3b0HhdPIKa2fxDF3e7AwjfjDxMiG HOQbqK01rVOmqe+2yps= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qqYTedtVydnDu0uy4wgVS9xnI5W4e3CBu2tom9I4ji9x6Du0u8YzLw4sHBXlBjTr0CIBWi+453uv 6i+HBaHUw6WLmgP+uD0PvRoMp9iMm4rcTjCZCtUo+5bxaKDQQyKy3VozWJN9cYsOEXUyn41sbHk0 MfnFQ231FTzHKrD8+sW8iXzJhrvAxVZSOCQNc8FKSuvFHDKgrQOZi/Dde7fskgmy7Y+pQzZQUv6h 7xsxzMyVpdCwJjhjdow/xj17Fc+yTtNKSxkHMIxVK6RXkbOidb7jBkIw+8aEzlqsG5f5vpboGqLH 6uQ8IqqBeKv3BDowwIwUDotWgCgTdyFmv35LwA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xgoCG0tChkhv+ljdCxpV0I73D5nOgliZqF/G39R6pkQNEQixpt7jSEz4sP4s78dR6d8BiB9A3KNg s8gNghB9SqKmhRG0Jvm/hSIBQCWAqWOwg26IvTnT3j3MalMVsj1r5WE9uyiqdJ+QCTo/Y58NBx8l pM5ABblrTJM59LnIcqI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VTcA7V7opij8+vJ+tjjgJGiOJ+o6V1u444VHa/k01STvZB7T6/Ztq4KXHSVmD+driESiC+2EQRes dfVcUifCMaPU4kNZrlpS+Cz6GGzKHuujVBDhNOZum+ncGM2VGmayYd6F9EbhwKFTOVOkQmEz/eFL 4IAryyIE59LghhLnEgKJ/yOFNS6XwipLZ1ztAAj7QDruS/h8wJcmBcjwC4vXftAO79YXKmVgRKly SlrrXAPgfawAm5V0hj7SI23oHUFrT671NQiN+jfhZylivDC/aANQXHsoSuY7NkiKvHESuXKmJ3iX cfk8aGjoqSspgWZUBuwV9vfaTHDt+AtBbt97TQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 174368) `protect data_block Coihc3vuw+nnGrqpA9jXzelo2V1TKhFG2KyqfizD5loBME2ac7oZ0SbKNvleKrm8yLH8imrB8Eyl /pQ6zcj4KO7G0U5k5xc9k5ojHU2OGkz6nBS9ljb4VH8uT3Ku3nHsI+eWYfnrJqBkKl+OCPrcUOoW HW1nm6ghSE2wneFqZPfonxLnm/ymo5gSxDzbPtotsGfIfyN+q1x+Obt3qpsae9X4k290E/4+6Vv7 dudq402e8G9MmP1cQXeimx8/jFyteVUZgwmTfuByAtNj8H0ceFdpH6IVZTcy97epOYT/hQvaTF+U X/UutKlhLBoucr7ta2k3usI0eKysUa8O7akBPlfCRJ5RKSnVOih6Id2NiahpLWBo51kWf1xgkJkG ApBcqcrZPkSZGoXjXweO3rWRkzFX+FGkfaL87tejbzi84YaCHjj8ceFSsnuohJmGOQz88RZSJFKT zn5CQTvOIkK0daGaKJiZJesXKCaBTq8cWssvOdeKtcm+Ydxk6JEcNJ0EJ++z7KO7gKw1R9zRu/fn rUoU0u8Ny/7+1ah6OL873yGGfdgE7yEx9xHnE0RwtyUfoGTbB9xy0caBb7brYo2Fe9k+dvw+zCWT 2GxWfh6zWthDL7Rh8TsXgjKtVyS/z0O6ft2hUXKhYdQyDFp4K2bHCpTPMswFsJ+hc48OdHAuXz8O 8N972crpqJbK/+MamCViY/bz23uVf/VlozdA/tMvWxvooyN9IKw6U0RCC8N4MfXJWoSwxGa2hXr+ SmyOVK5XHWA37i21Fc8jcN3X5xesl0HZczYPGrW3WnbddfmwLQL7MQbRUPdhVFeOgIcFfhEdzkLW pWL8sbwy/Np/K/gseKM77eLc0OJdJjyQfj8ovKSqPtNuEIfKjGCOBGL+s7TIDSd3kNScgVNBRyJA JphK9vLm9tffsvsnSe1PMdWs+4Xbol4RaXcXzKP15kYB1RQIiXBKkcP+neGFo2Pf1E5GVmePC4YR XCuHC+MOSPYkWL1Q18EMHkRFJ4Zcx66QRtL/TGvPS/+l46FTpOzLEIvALOX/MnAYxjeEwHcdBUh1 MmWzNZ9KPo1fZX04yhd3ZxduOVu0kcp3SuIbP34AZjTxIok+tAnMFAWltbRSIO61cO2ZRCuuJ7HP EsPYjc2o3NtHcUUyRahKXAxKvzWFNoxzaWvTwwJabqG2S+PXnUYtZp3/gdIyzDVI54mSRckMu4N3 esfsmtk9TC15qd8ZG0Suq1YNN9VR1TXgDph7d9PtlCIt6L3VklkEmpjKufKCwf/RaXCVcbTZwXxM /DPqFVSsI6ZB9uTzZBENMZoRlr2cGn0suj+XZtjtCuZk0NGquAH1flYuNvpmzvbAlXbFJ+3jX+9J /1gES36s2ALan0+ActdgNNIPiOWdAYOljA/3ScUevDeJK9XybXpByYcI7dnyXVxtIDznzg5ahmps 1nDf31jhvHL/RXH3r9URRvDNT/NYFpLbnkcYQdgPyT4oX43ZmpBKv7u56n76FBofoP+gNgCVw/00 WUkgueLslw/j5avTdKBqqlYTbsGK7w0ypbu7lhb5jJk/VW2TMQ7pHk7+BNLyxJ3ktdD8ycL/z4wR vSTksFCGpp18Q/qU/WhMmQrb24k2QjUhXkpQ2V+pAPBPDytF/z3IYgkv+jsN2DwD4GUwExVIfG8B Y/QgM+diZXXmpYaOS4RA+ir95BHCyWcpv9g/qGKFcMPzzYraQK9hvkRBXs6nJkT2fr+Nd01+uj6s Bky3HsbaPprDIBPjYPuQE/ZWS5qsZqRxcSbfXff7oxOG6tcs7EKKuQme6+Vk5vr2iP9sHx2qo3Pq E5u3211Xtptjx8n2R9Dh5/tX+wSfCZmSotVbG5hz0xd6HEmY7yGJmeEmOrF90B96S7sJ8U/97Ul+ 8fxeNUh8LebNEqof0hYRR4NJF7jCrBqlmnT8X5vG1TkvWBctkeWXf5oFvY1KyfR8H1ilNA577cnZ Zlkim/fSMZkn7g1clL9qflmOUzyoo74i5atVSZb7dpj4135pK9vDkXuC0kXd7uYY/sXE2/fMaHXQ ywn1wlNbwlmwBoM/3o3zgW2MZiQs4PuA446fbCS2U9yprKOAMWN6KTMTJ/QxSTwhUSdop7e7rJjo H26sz0aB2237Xn94sZAop7DYqZyli3rHz+MHW8INkgYFtWtTA1BUnmCLb4o2B3REZjbTgYaBdB54 8yEpv1n1sQSSycxLAV4eFBMeebh8iLUh1RGSHYPyjghaFstT8E9xbmXCjGmAzfd/4JNNMjHvcZ40 qr2FLsUP0ak6wdwKrSFm6/j5LFILOQi8jZYxmdyv5gcRtEMGOcJPudsuxzVdceByxotfDbHKpr81 I6RB7zMrlHq12jALy1/Sx6l1eN8Ll57m+kJcSdn9gRMRCn3NPfWktJbfhxI0xZCJJCQOCZ5Ec50U 0MXGbeGtgd8wrUyn1Li7LplHYbi6G0qToqfkn2d+nZnI0wdGDZ1S/llzoLVxKmjtxaHj49EmtdXb dmAKwA92JQFr6MbXtdH8qM+YDFW6jty2lMUsYJ0ByXPnUi93lneXP3TqbEBxZZ8fyWF4Poeuo6Pi 0CgmorL78982g26ZGiWpcytVwuRMiMFZNuWVOEGgryPb4U3/wHI1otRT1Wac6D/UcsxiW08SvpVM oeGyCuHGGvoy4Wk+bhBPkIBnD/WqE9HIfnQI+EV9KGcKovVZlTd0RvDmrV8ZjYuSmU86opIHOhi0 4neW8ncuFeKhruA1DXvoYxoEcqBvKibp8ip1KsPHTSALmp16noaz/GZvwOR/HUrhZSFQ/Tt4muhT xLLNWkZEnRHz9GDzzCCp8rg1PfXT/QtjjJl09SpmMJVrOaaqCSsc9iHzMWGfojkKFN6/NVVD+hwo NQVjyEm05DwJ3Yq0c6Wt82ExCGa1v6Xv2SQXADSXP7V6PAKmd4zY2qLPg6ys3Cwn2TcP0RQRR0js MPWVssnJwxismakwdtYF0iiEHa6HnDM8HTQYYMuIfL55IKqrbJatDviKpTvMe62vS8cRnulmNYO5 lE2wYHZ7RJ6aPM0oMHT9gSqNhHaN1hrIevyxuwacId3enB/BSn6qd1kPEjelPbz0f/stEDw6CMoM fMTdW44UTuh99nX9SmSMJsfZUtz9qqsvzfaZrFSWiou0qf/qya4xKvxtAni0PG9sOUnpQNDTazQh sIcJrlmuOG3yjQmhZj3Ff5hbYuF5m9q/2ihpGnp8c/M/A0jEmrTVi9vYrxaDkMAoRCt3egbPEbC0 LNWgLqkdwL4DAZGXFidzENM+rFgCglwte812gb+YuFp9+IPzCmpvvZTgnZo5qkCbIg6aTPIAEtdN vfKVaO9CQtrcsYa4z5/B+VEdt02cLUvtE/KjWHUyQfhRtQsy8zJZooRQwKlowU24ulBITzMBSxMA rxIr7hwajx8yueNr9OzOQFoPEsEz/g0dnkjUjJ7LBx+4DY7eN8l96DAHt4X40KZs9rAfoV14hGJi sm4RKwY0PTN/wlxA4ysaHtgHHem6WIAyHyLNAhzMgdnNm5fipLl67M8C9uTnqYpNQj6xhd91Y7Nu hOcA5fQ98JWZkhVV+g9DdghHT/ZbSMNasYcTp5dUZGEatS6xNPO4c1naCh0BogHJRWelfdAw/+gX zaTuqXq35b7DWApBpmYHQu+gbpMQAZTliDNREuRRWa91YvjC0XTwUtIS/kOyQxXVe/iOMAcktNHG 6xExFH7LEufmvkzogA39KA7C5U7rPjICGfH7Z0WGz+1EAEhNDBW8GGC7J5JcvteTww8WNn5HUF0m mW3QfUwfDhsFveOuiIBFszrNGXwxdzzexxXlKAnFqs3T1TykxFx8HQg2E4UgcsJKSIAq8nVVu/7i eR6h9Im3kX3uSKPOH41r5kP+XA0yFby3aleRX1z3u2WJ9R94ENe0dNRjCWGcayc1Vn4K+r/PCZS4 avgoMv2eOWNhpjo6Gi4V619Fq7j77d9Re5sXP9Yspo44/YZba6xTorhfIoZILNOiGMTIQ+2nTs7g Ks35yT03vN8jm+vcPuDyL9TgxvQ/xylWlWloRmOD8ptNrTTdeJHLApX7+nhKGFr4vzHRumXzeOpx eVIa/uSUnGmldpIpiMotQHNLySJOiq2+atXwUuC6JVekqhO6yxRXv4UIbqSbpCEz4wYVhpNaYljG SULDgWXLcXBqt9CiEu5i7pRyOOg9Rw44TSRhtTMtf06yKtCHZ7VxfsLJ0PTYg+pxOcwXJKq4XG7d 3cm1HPcb1suWcxp+impaJfv3rtaaIx9rDXWw6FhoBC3srBaCxt8+AiiXz7tOY3+sfQp+0GV+Ef4x elPqkXOI7egj1vEjDEEbeHO/rb6mE1AB5Gw7CwU6J8adfYBoM54sqFkORVpgOvvqLPCxIAejCP0R ym0RfmmltxxszKMYfccB4CyBe2Ac23oFT6CJRsh2FC3wPMtMvLjRDapU6rpzFg9t1QMQ1eumGISV JlYSV5sMx6EBYc/4QTGJ+OT7/lqbgsF6v7IbhPbq3VR2Uy/bhGuHt14aUYg9ZZAIiBXwhKmZl0Wj gM90Ez+Ii6sZPmUBMUvh0ub3Um8LypyqranYkF/RYvPgrPCVpxkuqbDctyEnGQiiTsilq9+xOOI9 0TN6ah3ORQ6IUOC19UN1ME/60T8kVo1OrhR6+iGXYxelY4P+zuabYZ6zpwXllgByoV6Yv60fw54h E7wtcB5hjJxxS6soUy8eJqPaz7imG78dYvr39eGENJLqaJtStSP0+ljfVOHe3Fl0gdPVrd1Qx6G+ 4fmtonmQGMaUAR3mO8wLvnirTLYVeHk6CPbURP7i+G8EUhadI+EueiqLHquvW8Dhgk3sK2vx5aOz irjp0vRrlcus/k1WPeVssdoXhpIOlSrYyHhuU6aZBf4vFoVM9rYEC1YRtewAiXbLlM0TaHTVEp0H h3h4zofzL3FBxTttnowafRoUIea1sMGvHmqWz0/ox8VRxEw9sdONAnMYa70/+NZiBmVzWMy8oUxQ iEaK7KCch7+6SIasdeiS8aqxvAuqns6FajvyIoYyFHwTCXJzApkaC7WGA2citUBmhm/LAqee59Mn PfmPK/1PgBXwJ0vkcBs+ZzBKDlJ/Gd8Ijkjh//JVoQXlBSrm1JplFU3x/hZYQGXGg3LwiBNMxaeY oiLhfYcnOqeY26TlSfQ9TAUpMxdNOWrRqgC9McU6Vxb8jLXpKXXDG4/6CAc2gZbVxEXBNP4UmPg3 3VFANVvmpIXNYjYEljuToijK1zP9yeLh4LSwr+aIx7M4tsBzHU7rTAhKI1/J2DUdV7SE4uDZudm3 Ah/Yfto6lcBm9tTeAzsb9uDPsV2T4o43mA1MuD1o4qMdfInB9u94ln3E9VJmCpe5paLWtliRZRk0 qrrPmWTKwuQIkkqf8NUsdphPAxHgba3epYV1+YxP+UwZYjky9/RkVaRPVYT3kCAJD0mKonvoFzw1 9nR6nPi2ipB9TxzWQx3UlncrsN426ridvEnSeMtoXOifJ9AjX9dvDvfdwPqVRNIzTzpfqdM8IJXl 8S9Enb3R+duG2XwunbQ1IpnpngOkYVuTDed+9WHvrCFD4M4Rfr9DOMpFz5EF018d3gl2mE5pxVZD 7DMIZ4JmEpIAv6zV32nFP/UUzVoUfbMk26mRlTVFyw6LLSGLctmJIs4J8Xp16NRCj2bsUD3VgI4Z ShciQt4zSSMmfCfBLGi2ZF/jitd5F3Nc0uKnkaX9SvJL+Os+F9YgN8RLGnF+oU+loflU89O5C93J 5v5jWjhPTzdksVkUZOQZQp1SYCkaj+hjn4W2lb3PAvDTrg+rniiG2OXpzWM465oNwj+PRzg0KUlU 4pavXFEkG0CeY+ZzkOMyC4t6t7cNL/nXrj0iq7iJ47q+v7MNkGOikZVuTO0jALHI6x9G3WYYazRE vE/s7h/w5bKdL+hd4Rf0pX8Nm8lij9Vhfc5q1SVDfDLBgvGY4bIAfSmsoMWHh2nF/Xu4P4qX1baN 9lVvMf53LgRMDwl3OgMHDolx5rxGPMwPDJxOTmLP9FOgykQmGERDqagzlGty1SJRWm5udsyuGUvx XqGq1Iwa3htWAUlQoxFF055ArEYRn02uAKOlc4UnQE1LB2x62HFZye6V7A3GdlMmlYNDElU01eUs WTYbzx8WyfBAl7c4O6d7Z8CKiMq0gJsYG8A1ku/GNvpoG8yv0VumibYRscbGJp21TgHsCWmZiLYt HtkqWFFwfbj4f7dd6xMIU3UYzH54uhoMDfckG/RGHLf8imuGrACmYa/94Gf/S7OEV2DWyUsi7jN7 k9BOwZoQtF3iNXdNVKfxTEjCDnDzbQcoFT4vfuZwoUJcSQtVRcF/kKcjzHt9wRtQTlylZutxA46a 8/k0UwGEkv7hwdRDeIgViQFeGhqM6JoCsrGSo6XxbO42SNl9ftCjRQ93IjSliP98NOAmWz8DCJRo Ytf3O5LCKiX/8tKh4pD/sT98GFm0dr2OkRLgNP2RMR6g1mgHb0l3YEtSxynSpXw2ALWZByDfiA/3 ojROoYxzl+V5Iczq3JQ7x0A8WNSgcX3Hr1qJfyQe0VK5ZHtxHj5mNF+lQVChYEYYJNUcP4Q7AddF ohDWGui/XpgTwWKJxb7+j75AVhqCf2WMVFlbWAJmpk1p40s2JAkQC34C4BPRvOO4bErBENAukPkr uK5T0ZZ5Rjqse4Vdxsu4u3n4MfKpKfAx5PzlxVXpPtG6W29QvteYy3i/DsFNnB9rm741ZIMWBfrR C552v+kGMSup6FQBYmOuOgz8nDme2JHQCBmiZGr0FnMl7Yp56tB31u+y9nAhW28rlLCNOLWfHduD GbB1SuRLXwtYCsyexWFe6d+zze6dJm/vZWZGA9LBcPF/bm/2+TbKG89mxClzDF2Rsd//QgpuqQEX KUhpli/6JZxjTmlOpt9IUAi2WmDkDrIpiFzb7G+F1uTfYzY6RPj0GbxZnrpK9vOnhnlXFKpFernO GpR77MQMhXGAAIj4c0HtaAQ/WHbyM/VeVy3q5MDJ70jmrdiXQw3RVZwwWsIe41vTo/Hvy1asa+9I tTSkyctiU97INiTDJvvbOo3F+0obGsZ+Hz74z8hUyogPDYVVAJF16g3nlgO3djHonZpc+M8NvM8f DZr9XfbQYhWCq/wVyOZwesx+DXshHu2rj77WeOYkWgWV1njHxeI6iQNIM7+GCeaWs0Mz9bCMotJ9 JU5yu6A768svV3N1P2k6tE77mKnUrYC04hOxiYChDD/74vyu1/BGlCsqXzlSv+8KX2z8E4a9+Tsh AddVFG7UySNIV05W16K5FOv32cBml9OFaRBAY3DHD6FhK3DSI8RyjNHE+g4NUyga9RX09VBQKSGk Sp8qbo9kSS8Dbndf0YP/o/PXmLlvAE8tqN3kapu3n2qdBqsj1oyLpt/N4PsRPusVjEQNVw9cu4/F OgBN7NwHz1gN7qQuIlgiVjrF55/UfqNYBnA1vmhuIIhQkDVsrej+Z8vJeyPGmxYgTPlyemK/exPm GP5WC9EKu+kJ96ynqHloLXM3waskVGKYPxp494vqdLBrwXzhkjbhbMk5ogHfMz8Iha4n6bT1nKCf uDdjsV+1KXatWqeuuGtr3uhNgOQ4IIdNkBKYoChzdiQfCAuT/W4zYV6U6QSj7w6AKV4NWetBSOoh cbu5AZrK9blneHla4xxlIc1znfsnrzqGI/KL0uZTDz2hxLZIeF5Zy+QClSq0uDQ2SWBrUxtYUlTM 5Ekzq03rzEl/5+0hML3NMHbd8rrdBP7WQNrRQnCsVnapQZqmVxTLLwGpjx+08TeYw3maqsyTgrlG fNE3cfL9DEX/ijCallhUgRl2MWlbu/izElB1p+TYM2ys8vDM/UGZZbs8U1MqefS+fWNEUGN2CmIY M0Ls1EyAGPmexZ7MxarwiK4gIq/gWUZkHsuel0HsAlYEIWZ4UZMx5LIqpLhXCjsi7dG1qVxdeYYM AJr2DeQlvEcd14IAMVyV6Se0k2KkMlVvpJyynBFP15gUn6bYU722rQ0JfbnKePPsXaEVnhnxRpEQ 6oyR01ZmK/LQfP5ibGRfkwQFx7uM4Fo5McICm5FZUJUukZzAP4IkHFzQ43Nurtpo83BGr3PfarqW wnpuHPtfA9yhCn04SVWw5aOJhRs8k8BNRwtTvnMEkJkoyNBOrvviG9Kc010U4STzN+3kb/0x2Gfb iMFJc/PurnD5RXTTt0OjGAjtJdfQKEqN/qmTo4LxGZS/fpAxFYR3S90w7UJ3kp2r/2Taa2HQwdcG rrsoYYM3+d4QZ1zK3SH+mx2ADMILL7i89q/UqOXZMh4NMtMY/5szD8RNGcrKOndQMZP0DIjiRK0e 7Z89YdZoNU3ufOThLzcxV9DKkyTBYMN9Kq/GasLaqi2mJhH/0taHkvrpAGvadmMSI8qK7C02tCGf b1w+7UxqKqtmLTNwtSZdO44qKFwxmOsJTMrlDSet/aHyZxYNvk5XaSYsr0P6xtVleQ0JUuGM1pmC 6OTbgsz6lgM/qgLJMJCy7Sg9zrj3XW9hE9dnalVlO9OgYJU0qglAft6VwMaKC6D+k4roA8gZxdmQ oXg5lrY+Su2YMO5rgF7rGDptLGaEfjrVBgfw5afb8S9OIi7EOc1qQvwpCer6guVffS+DkIPZjOiF Y68BeYRleg6uqP8BCupjvWAB8+0NXx8PeKY8GMLkJ88tB0ZuwjSZTihxqlIaNQ0dZ5WElwhCTMvD 2ld2JbblPA+ozqlb2mjnWNa5UmvwbIRwVuZXxQf4YNqrfjAaG/FJ2bQHTIqbensXezTgtbDoWmri 8LNiYIAfQPp04OindZc3GowViN9HvJXE07KNDMpd3t0olcvnjibeBYZdfxTuNAUlqcAghF8T160+ ysk9tkgp9xcIZHt/bw8NcHV6ibDzODwgim+/klZsq4keERB28n8+SSqutNpxC2AbQF/QDWVh7ifE D99UYmlLEpvcJCIdw/HYrZ9ySTthjg+C9l+V6RMPtY0HwSSAzPL+3W0n16KnHI1yj5pzW1UI0TOx LoPdNIWEQwY20+yU2bd9K1ANVseOeQwVe80UwCJAcK2DNzh08lQPmF4e+HMV/EQ850+bB3Gr9H32 DjY+SZFaQ6MXl7iTaSyPSmGYVtu8K5ls8UW9BaHuiCJbxLkpaVbxj5Ybk7Gg+Z7wC72fiJzePiGX fNqBOcSq50NBYhdVUCDfOB+At6t8wSfI6oqdh+g+lQC7fVZfxf3bOzesTelPjxRY8c/JCftPUtjm JiimsHJl6F7SovuLxQm2Ao2Bk+OEVeFgUQ+Y1KTq+iIofkUozTC2mS4hl1yTJXblHtFZDVsVxc3W sP+NBQ0fwLc9XK62klNMT+4LDIuz/eMFUxUZZDfcTFHn7fMd/LqfWp8R/purpT0kDZfiVUsknC3/ stPTWPX0MnFvvTMJzMLAcKPUnY7cLoXQqPFP/5X4KPaktGyUM51aN1fOMp3cw/bGHjr+o+O5rYWo gZOfYyazxcm0K2ziomswoQjidIoYEEpoz4h81k69dQ7vuHRtlMeSWkg4VtYujIX4i4KJUzuf1Aur u/GrGPhNorsj/LmSJEfPLAOkngaF642+xQdK6jRsyDz3+S9dm19lk2QDiPgEAFlhmX8DhZvaZj6s lzrgKQxtD5k4MrsF7o7eNnxITgRtP7cVlWT6pf/2hrJUJ0XEcQTSeftAm4Jp6WRzzkPjIDNy5Arq R7zBrYKZ/5PvSlKon4+3PJ43lNYCSyGtaq8+SvipQu7Y5nJFRRCACr6/apTGVZY8WZtg0OpvTXTv ZQmflbk+E3zDhdbFWm01gRh//SZgDQacX8v7KdUrAmXPQ+RzBXcsWYRW3+1qXPpm+VjMhDtJ85RP 6+iTKyumaYBe4LP7Snfwj9jx86NBdgwcuQo4x9WhhdfTVbAfaXR1LkWQ1pDp+/KV+1Bl+8N5/2VB OpfCoyyyZkg0/I+OeSL12awxTO/euS1COdCL4wQpqEde/nnogEyT6DE4qgopEp88/lzoZzE23Uva bz0rZgrhaJBfdeSWHnQswMpKp/N791PHnyfOV9gh15x5Q0d2jd9OJD2xUclT8BEPfKc9we3aLncy NIF5j8mZ18qneBTCEtBG5AovMnXjdcq722drZScjpbrtAaDcF3YjBtd95nx5X54ye/xueqvaph99 IE6qTgkETBQmDb6a4ITXWQNr6yCraDT2K7UJrOmzOA+e72UX9i0cg1pStMnI4LdEAIxKD5UOMeb1 is58vc+ILOmrQ6Tu5fFsL/q0zZIuzqwBRV6lvHQKGa6q6xoelOvJCWq4/7LOhcaSpRM3whPIGcbc zH8NGIxKavUPTN0R5r8PnKOJYcv93rXfv8Q2ZATXvxdAOv6/9MK4+Qxn6RiYdI4hwgAV+9MRUlmN u1bQW9mAm/tLI2kRJV9nW4neq3mv1CIWX3nfg3xCJKyxwOPAC+fOaYKJylefSOP3lp/8EQw6KQdT 1FrU1jl2rkfTc4Bgcpir5MynHm+jMFHdP0MpwjSdsXk1b1vcWZOXJmfQry7p4UIi/Ov45nd3r73t OvinD16B3zineiNj86ZAOY2BXgLfSrqmmCAGfprVecDIT9j5cWkjjBAiF+PkuSGIP92/EHLaRHu+ UVsa9aNNyyTdvFSAX1+hFmsheFsiOOe6up0o08HSkSPa5Q54pONN1zRuthkDmtEOX6KpU5vcBiBc PsFP/CiaFx64ve7dwQowcJObaO6JzS7QynmunJ4CsnjMsKs+DwJvr1OffNTuc7h6k9rbiX9zmaX4 6ap77/MSwWOJb78jfEnNVUHCUNNO3Xf5SaDetXq+t9eakG+VakaARUOXxzWcIZW+W35BfcWawezt XiIii6Zwihlq5m8SpbFaPOnlVMruDoyvRouuTVG1OPgMEEbdvc7j/Uf2unlStI905l3lT06vgcXv fuFVPrKT4T/YtZOsUjgx8wqxpc4ZFcoztYdgkP0obC31YHTsO5N6zblimnBvt1yAykjKIkjykhHz vBsiY8PK6G+7z1V/4+Pe1DNN+jM8Nz+DvL16mShY5MOObpKKPGoOb/mhvv5VfYWUSH9RIUbM4DUE tUHixT3cGDfXvpm0anhv/VOwkC68JQCct59dtxIM+BNrGZpx+w5/5STVLhNHKTMntPqMjmTFRxRb FVN/yb++EtmtZHVKqHyORLkI6yj0QoSw8s63OlEV/wWZJsxQ43MXxb206lXuqJLcsNeiboOO0gB3 XtTLAqRur/hMkY1Y5GpqNNQR6AI/jcwvMmmOpFwU+cwlaQI65v93x0qnvHco6yshyzCQOhdtUICb mY+LYzK/B2cbo/a3BTCplfzxFC/YneJqrbMNP2y5kz4M1hQJSoxfuecriZU1D0Eun7vKKFJNZP7g vhXkupEFOHPa1y+l5LHE2NfEdE9P8DKik66r/MfjcF/jGsYHkP0eUlnhKCczjoY6l+Pc2j6oAx3+ 993hqGwlAuZCR7ELrh36wHylc8O5gfU5rSlw74qJeKVpVBBuphkMHza2qxhYjf3B0pyJfNlewalu 2Q4fKop4mMQ4R3Pzb9L+rh7fFu9lRCJ42Cv1NCT/irJrYSyLG56fD/RJ6VQKCAXbpbjNy0rYBx5M /67XWHI6FbZhzbUPASZUDBbBKC6D3nXONAc/M1UPFtls+ADmjP3xx7f0yTIE020KZqzvc2u8h7Iu SJ+3NV72EHMre9sRmLyEUNSwZKEXU32IuUiYKEBlthwA2/oRjtAD0rpf0LrrdNPDkrZzjKnsS0hZ BHtYGjtn9mf4GCOoAXH+XiDIav415mWWlEy85yYclKKN95l5mh/iIH3Q08Z4+hmq8Uz7UKysEKBU kJSfCpuOLASdyYFu1U/+/HArdr2+4EiXr0NqOOkSNeUxqL8dHMvYpbJoIVUSqgxcm4TwZfjK0EET quC3UFhfwOfXR2vBu45tGvLiIqbwIYO4+d6G2hEQo5EF64PmRCvvZuwDeZqXQWYBi+nJGxW4n5mZ 1Gpa5vkn/Vhn7W9peDlopjUoaxIv2qf8gZ1rcBrZnZw4qEpZJ0K2vCXXTRBXGCSZgR+47Y5kKsk3 +dTgYxux0c1mWhYaKrHIOZZcneWAYALWDUGqn2IbYUyJGtRNmPzPrV3Rj8tvJaPIH/57eKa/ZD8H 45D3voqNWstlDmfR3sPSqMuKK6UxKlPpgmpfAEbGcJCnyiVWvcFZPibIeyvPppvyA932KgwgKnNw qO4wl3qgOoLvpjSj4JH8Cx/BejxMzIUmWdHLCb4ojiFdfTo+lzYkG8RzlYMzTPx1xf7u5mxop1DI dH3TcSAunx8KGUImSMicAI9sHtSS2NmIx1wE2O0e2TIwT+Hi/DC34rtnnbGrWTl7IQMZMerNjSBs kGnXuiFNC1i0lNmMlHA6AnX0gy8bJgooR/FAqZuBIA5rbfVrm4XP46idfS/m2uZPd/2S9vSGgxW0 RyqNM3K8fhPOpScilNrqjCizXXpnM397sZlMxwqCQsJ3V3BY7YxHQJGaNPRaAVZ1KlTeGTjSWAdg PWDf+N+f+SCtZqvW4mqtGcLaZqHYuIDIFpRjZAeI+XHb2tniWNefGlxkpIfKrM3KTE6ovRgoVzi3 kyrx7pffddaAlK+VxbkEFfoxRN1PW+6YWGPF1CYh3QOHps8OVL7oOkJhZprgHpgtrL2sAZSaTExX 8MWuUCuy1qGKRzv5QdHHrynKAEyztcGKbPB3zvepVac56R4Zl2fkU22eMYaomdSOTR+XAnma8u9A +/EQCxilRAIBDQG9jr2HpPTG4n0B0kfJrZXFvHYfeW+nAFLONtjiijud2/zLnLqLcdiX4UAiI1Dv A4Dbt84Btjo8hhbZnwAbKl8zy2YH/MH0h7HFmvJRfL4bWqLWquy9Ir4Q3+dPk93mu2d/0gkU3p9Q Ci/pK82mJob5dXBenWri4Yc5+5cTH0ALv83UW7uTZ4cLVIcIrh2bozYsTsLa/4irOu6Bfn0qzTqI K4UwDSIDeVyvwsNlCypUMzhOKiAYw7uwqLZ/+3Dzn+Infby330+w6jriWzV4nGuIC1KW3uQ0MiS5 875e+bLAJ3ALsn+hi2oh1jFG3iBic9q0m1oS6KoXL1QvIk0Pc03J7RfuF0zK4M4uvmDzbFo6k8KX vFiN2BsiVX5jkiGDpPvlSysDzFu9Pk++8DtMhxD4DUBewxHihwWzkwN47sjAMNbgX7Q6LbG5FxBL v+f9XCCGJaBBPT1E202tGQKTas6xs4fOU06NR2H0j2mtZSvaFyuPakzUz/gU+aI55Ng8rOUjIv3l t/uOPG/xmnN4mzAYK/U1+pXDcfiA65GC8yGftXVsXu4DbmvbyM3xAmM0YLEDZLJls/3ffQOUf9yQ qnXzxh46Lcn80PQsvuflRUfuPH6RpCCG170kpfXkCXeFRkPFU3Vh+XjWRMaA88+e+A+teW3sQjrc vc8+cTmnmJ+T1SJnmtg3S4UoIv0mNVhdIrPjnHji8XKQ59UQTTqqIx4Nsz3nSkXCmHj/T5m4UTum jq1f5IK5Qwx0ZgcRNnlLF7EIqBY+BuZ6LOQ9kbhG4/Kb/pFG6B+yp4OLTPFhvXtcaNeOOIZLlCOf U1umXCtMxoCy/TaWa+rjaurqcRD4th6CWMR4kJtz7Jxy5n/3kOEYEU24RymUPTghb4zt7okp0rRa CTgYGVmotDwrFt18GRgxvdXdCWSElTIpdkTcfe+AIG7Lo1onHhEm0QKD5JSTOCIPeLOkYBjrUWhv PkBzcXCePDHSZyK/XrIbtjPr89RiQLzsJTt9VeF4sg1YNjPZGKDORDi3vnucJbWqgfOC6mPoFJYI 6lVro2OqtS+WA7tP/9SAHhASsqwymrbKB1dt0hHn3LTJQrqtP5rfCTiBmPblRcfc7z6DwrQUw/oO /fT4acA6JRmqqOxIyhvDpvKvFrH0k4pdq3qF/gRKxgm/K4L4wW9/dhgMR/e6Xcs6/h29JUu3ZtWF rpFOYjajxcesQmwqDVxAGBBHQrkTGRPk2fTXspHly59/exoOdlkLuYVQTjwclx2szNJo4gvsKw+l uYBT7dbLlseB8/O2M8PhlXSV0PlPzkPs46h2gNCqzbupXmYXcybf/noYom2p+oLCT3Nde/Fb4saE zeSN5juyy4KWjKi7UfvlCIufaIZNviQXjtkehMwxH5uXPedR3+cvlPXN9JHE1UZFu9tnRW1h4Bh2 /cHQ4cERQhriChXEQeikMjzDoKtbVesJ8BIXY9kb0xFwpAgrEb6G6McvFbvOtHPpyyAVvVmmjvqB HFv51812TahqF9K4GoL86XWkPK8FKh5T2VhMFLTPk2w2gDoYVeK7xEJzXO8X0OwCqt1tTZG8p66h 3zvlgzUTYaNAFhbNThwAc3pQPYVmbRmaDLdckELoi4aRKaWQenzvtl6xmyhNbIl/Cz9c/JNRps4q yXKlYBw3k2BCGrbe6ogTpVmRZs3DuToHY214ZF6KPzTlSejtTQFZK64GdUcDtkC40HnBuLp7OqSi j/eVGeTGJ00zVXN41DmvppKDVCuJbtaNv4IdJtTeoab91yZPZjlfoxa6bWowvFyH3VQAnDTko0wc McBv3nhSlkUm8hKreuqqRhzpyAhRZqHglYaUHbzH0A2BVYBOz2WsdoB+KbMFvBz9xngfn2xG00mp OEE9VnDGHgzg6WYHcu6Ichp7yFT99fETqI4PKmCZHQTOJCIwM80zi2aQp6DJkA+HFM0VNueOqGb2 qN2aq1a/JHAWqxO1SvM2TVxNXdlkeSL20oMncv3k2D6LD/Y9Pbq4ovzaM6uQSx6sEck0QZfT+T92 2kxeabzdyWUQKOsYuxpwlQ2cexbOhUFRLvmxg95rVzxGqFoJWH0j5g4WCFki+tVBFWjPQvfiIxX2 0pIdxFK4CxBVIBdkZMUTpLSrslAbwH9T7DjM5TdBYTQo/78IUTAaBY+hR32zpIU39MyjuowU5KAZ DTDNKRfXMQZGZ6plB+KJSihvw4uiAgzAs/eFtnMilFSmDAa7mw1XS+/0JWipJJ7l9nUFkJ3XwQhj fwdnyQoPpKr3KM38qEPRx1AmLWIfGNiPXHxfV7WARNHHEuZ0iQZFqR0su9VP0PJu6OAI4HGq5FwL 2XMk5L/Y+IBc+/xnyK7ft4IcEG2E1ippY1OyqlwaE9eilQ3m+QI556EWEe+34aCJl1VLVaofc9h9 e6C0ML4hyVq+js8gjNTAZlqR2pvh1qZBVvKUc8U5Uk5O3EF2qn1cKBp8HL1vpIlf/fKTLDEmFILy s/EQYyl6yHzu7tvaBhzotPfI18t714gBbwdpGdJYh69/1qwYcTqznd7AZ2spdmuCX76Yxi9A43SW KDn2MxnnpFeTXFBg22a2XyzfqDsfj0J6t5X2WxVmOUif90+LgZghquGZZUOdqBsRjSwnVXkmhucV GIupCI5Trm43a8juVaQE7cimO61doVFDhxIDVppcmO8bDGR/8tx+AaP/TbVzEqpYJmK9fs3TlEdx A+1SW4HYMcCVw+eNneV81SPDr6Wf0tDP+R6AW5p88FiJePExp9flWfRjGqS5WH0TDRli3nbWFqRI oEkjJV4P3GpQsUsF6yQSI9r0M/YyjKJJ18pTto9eQyN8eaTJYYeQQEUkwqfx5gHJTc/bwIYw/0Vc R9Xi5PExEJcmAvWCy3PQkM/6AFHQqkRtoKi9LUj6ePDa9Jct1E+uIoZcZNpo9z4kHfRTyGJRpeyW o7OYyRhSwW/8VCQ1VHZBxpSkSUOMgmBxQBFSazSPP+gBv/un/h4HvZa1qrrTj9f7sudSuXW6ngXL l+TbHZPdbVZdkwAIrnmkirwvdIeQ6p3dzpO/BLM4lWIii0MdFv8N9uHivoN5+cFVixzjguXXzIb9 NJ5N+FLZ/ijhOfy8r1nOXvmJjoq/PhQpGZZ0+rmt3ggDVQZ7bQiNJojKp20mSZDpB9eVw3zvb3m7 sAfc4I5Q/9s2SIjVDfnyw8rLqkW+trsuTvfQcHowx9++y43xadOP+gK/LmySbf/sPJtuUoiosn06 zOU1Pi6cM2UCC/UiGDieiUF4IWi8W5LtHCgs8FkCav0JcaWKnqI4lzz54NiM0FJEW9+Sie4j1klN ug434AlWwh5w0l/F/fMCdzPTkPQaetMRmvvjEOELM9HOax0xevPqwItAVJcCkc/cdYJ+5GS79yJE Ni6HAjPdXhCxbBCh8PL+vtXxOup3VtZCoNSrk7BJaGAep3K5bbvI1nlIaD+RwZM36V3Tf3pb/LN6 VU/YjYgxWma77UkR/CvV34dowQvnRe88ELY5R4SxF0apqycbTPEB6ScgHWlx5EcMM+8ZMZ2hDDuD 70Y4Zhps3RKVUzfGicRMPXfCC4qQhZ8M42++4QdD3vYD263OKB53jhw7BuYIOLS9D74HZb7jU5PE MKIZZ0VUlCIgV+N9K44znfs7oZ9xMhlJYyRkT04jnwWZD97ztvwmp3NEC0rertj/MTEKg1X/iV1I LNurmf308lfXN/GicKsreutpSZEWUKB9PptDkgFHyq32ynpa5B6tjM2o9uQOZxcb3ZpEUkZV5P9i 5UVbk+WeY6xYzww6hdGb4InPo0l7Ul1rDeKZXhlLBgLC6aPw1rskWnu9un0uNdn8Bksv9t7luv0B gSUIoo4LttswF+m4B5ZTQt0lMDeay+Do8/bQ0BN+BSKY19oVszd+j7W60c/Yg6XdmVPAgTo9j58S 91rQosTqWDTtDyMOmTH3JfOm/spoguq6WyzR1rF8UZFwFVz2fT8rRZsCYTtdK6floscWroU46fki kADbKPmJ/evVLH6pcnDGaDhi/2rhw8ovh3teI1PWWDh4huWrzaYc12Mc34aHOt5bRoZSwH8uWGXT fnOZ2kpP6FCrYbRv6Sw9YtLHai+yG4KI4UN2L3jRvMkgnCS5JlDwGP0/yFZwHHegBVxsoJssLLCp y8HyeTz4EM8UvmcZtZy+1ytdTezxdPoJ429l//T1P/JAwNcC3fuPQ1J2SpjvqCt1NQpI0PYGJ+s+ n/EyfBczx3RKkpau/+rELolV1m6bhyXvyagkezPBURjL9ZbPgB0wd5UUbbBV32d8LViUHGZ+lDlW 0ROCE+Qcvf9Kc+7Cj6Y6PBOgiJUN3L9ZRjTpz+tA/9r/IR9lFMWvhDHplY+T/wMV8JT8vkJf0nwb ZCz/ipk5G7KcBkql313oqcs8exZGLWBFOSIWkQvhqEJFvHYMQxO5Z/FxmnaEOeIYSSbsa2FbiGJB ZpWFORIv7egp+5mtIPhvWjs/NI13kf1xp3MurMhXUUXRZrcEQysih1XfYqP5CQZu5UWjwEPTDW5M gBUwsqIE+sC8L2r0XtqF53SHoX6PBp2MvuWl5uHv1ePXPc6+5W2rPqGcx8if3v4LrrirtbAh3kes U2qxXuVxnGJOHdzuUQPWGpfDSSlVAwPa47HbyH2ZQHzZGXiT4PQU4ERUCtKs0JOiCNMCr3CJMJGM YPx7wDZAiqkg5/lMEtzEITqYPmgaY4mp4MLVHnTRS0qw6KyQPIGYM1dfC1nPwAAjmOiIYtHnK172 jy/7+f4TPLhyqbTztU/kbw/ksw2QEdFPvSh/45b1uPBnSY7YEj6q+0+WfHi4361M4GfhCo5gnA2D xbGK6PjqDdMPXrjGvXQkbkx0a/kcFnWFQuqzQndjogPKWNV795NP3DV0e903vX+JJMXu3iY9R2zb qiqQCkxmFpZzIh8qqBWS6LofhCUADTuOVCb1aSY0gg3QjrUMipPP+UG3q3nz7AF3xuQDdm7xE0nM 4sxF0bWmgcdXfhenooRG3H189BVCY2e2xMX5lwhPq7cTfLmP8EuJsJ/+425l+x+R22syE7dK4r4Z rMEZdXMIHyo+38mS5G5R6gdBWd9RiXkTfMiKpQ1hd36xgxJ1+P4CFxZSW0arawbCoUqy5d4RcwBo 6V7aIwxbTDE+Y2+KoWQNPNdQvcQb7de6L25YUBG22C2VjJpI+cR7buin5YeW3bUMuT0RZm/M7zTx wMg1C2GfXVBof+HNL1WdC0pNQt3EGNLvAbLSUQogWThZ/RgkRG4rqhjgftjcN2Skn2vU95eZZU/D 7Dz5muCym25+NHzZ0B5IFIsIlGFQOpem/+mYLQA0JW/bmmqFRXfy79Z/PNtAZMdIPR6+ffiM6mk2 03P8sgT2wzHI6NRJKb+p2L4YqlQb6HRhE8S9X6cuUusaXKJMKOaKrDSZ5gKKDF3kpG2XlceTV+Jq NJnoaZs56KmE4wwcLqrCh7IWpEIgo7XAZLx4ZYpbCdRktWiCthJsFCh7BDRio4ckpNDRbRagOkIs Y9w7qYE0zxew9lbdqQ+VGt5ZMw150D2q6bSNeCvCKzXXImJ2CHeVfanRv/LJ2cFnGjKi3/NpXy6P rkMBnfgQm2ns5JgaKba7MXVOPgEAFyykLG8AGpPIfADHHJpYlI8+0n3OLU1zDF1FaZYjfGR5XeM9 8ElH9SF4UO8/XXpvm2wbaVAQUHEVsJ0aCxaycGZWs3R/BxupbzXD2jif86p1gzZfiON8CqBYDZjW nNoh5IdUVOEuT9Y9uZB2WeznnvqPy5iDtlpg22Muo5JSrkp67+xtYwKUZLYlUU35g8ydgLp4/PxB rq7g5+cBLNT1DuIm/Rvw34H1evxBhaaV7HJmrm22MsOJG8R/gtKuH16jesMcb1zfI4of3tWdi4p1 CEXb/9Y65oCoQfeNtkAHD8sxnTeV8knX1Q9XsRO/Ss3xrV0i+euxHS709TJWNWhW0eZTwor+XzS9 eWeJEDw0X991hsaUOsFdva/AB7x5rUP975vt+Yoe8e5XiVE9JrukMsOMIPaegnQQCQem8UPScVX0 YdtnXbNDHAWC0PkZSXyg982NrYx960Vc8K4hXawNnAR0AwqtFHgByeb+l5lKvCglxY2v4w0kcN5B Qrx0XXLo75oQVFSIMCYtVZRvf+lEzysf5N/50kwrTnJYCcoWU4NueRW3kfbDj5qorLRMKfXaUg4X Fe4oAjCjfAcvIS+1kdqMzTJuF5Pvyc+eATn1wwbCcaurhCwDOMjy3f0FovEIwaE0lPC5+GArupDK +FvQP25cZSJn64pSj4R0SI5DtW3jyXS9wpSZwALask9OiwhGBbDBw6pejRSwnuIjURd3RbP7PLUZ I0JDG4BSwhj1A2Be/i7WRtqueq6tBOxhRbj963p5k6mdSeMUArtQHn31Pn7lDOZT7NI3crG1ug9v U2sjysIYK6SOttDENfApB5JKoVjs3bCXK9rqLC7Lhf4mgU/iCaBVZb3dGP/HMZv3HZLZsojaZ9U6 /D7kjPMC9RPK60kiAAVOJCQqKckX8Lmu4m0YEVJ8d4ygmOj9hmJ6wakvGH0+1tFLHYw9umb/2cx3 FB6khAjXJ6aZ85uRawy9phwU/aT77/JWAqJ7YDf1+fQxw7KKtEXcM4TJ63BbHYTF3cEnSyjp2s/l WcXhCb1PVXYbFpakS7f1YX3OYZmryUz4eCbaRHCxewNR8zg7KC3gzdiCcc+04G1AqOSGkat4V2SK X5vqBW6hmTgwFGzEXy+V+armT9zIeEgppstxELm/nGbMUAt1MYVvCp4aIIkti9+XKe06KpRoeuW9 55PbrbUoTV9c5Z4giiyWoL3UcEeutEw1IS9dQAFHeeHHmspc/kW+dAeDVpGOEGGUUZILYJMFF77q uP9rXANoiiPXXoo6FstHix1uMec8ja8kuLqbEU+4JANiqF5ggNjGc60vRXFx3Xoyo3fZV2945rOB 2pXgrvnrKa0I5NYdmC0Xtyi/VOPvVR02+e7azsMi1v/ATgYi0VDZ/tGx34vN9YIpiObYcmQIw3Vm zFmsfOMm3GO0EBAkMdSgqWF5nl/508m5vm4vqUt3RRCrf4kzCi+gYCxgN/S5Rnf+e6uFAhMf5T4v eXzghZtuCEaO0z5G/D1BXYhSolwJlq2HweECESfUHTVee23KyDSe5XNJhJKC2qB1vdWSL9Kh88kW 9ea/F8NxxBpw99pteKl5bj4iq7Yt6fzLalGclv0lKwlhpb8EC2EBYV1k50SqQUSJcLklIr+Jl6re flnxRxJB2S6WLbqpQYYQ7+DUpQbyGtuZbF7jI0EHQ52m8D56GNpxUDkZndHtIxF3BExcH9dHtsxR QLfeB7YnpzBSY86fXrj/7FEg6AOavE9yKjve15drGK0T14jyn2Q9pB4hM3ldgBu4jodStlRdV+0c gmT2Fn4kPGQPTyUBaTHU7C8Kf4E4Gauryfg9ge1aCuVRWmLWs8o+4w5C0aEU49F0n4ImEHIBnawK HQ6sDllAkieVj+YXOHRSZ9KHAw0Mbs9BFve6YooUFGOUDe93nv0ASbtqd0GPXDoi+OcOWcdxr82X SzXbqbJ5Q3apeGkmUP7d2g3ZwkG/XRnjiv/Sb0ZSbdjwen8hL6sZ0lUS23Bf2YbFajyKtiINw+SU 4xuNq/L+ksWNaddOBsE+w36KDXcMJj1FIh5P7p+wPNit+SjE3J6K50E+UWRXfy8P5ty89FVa14H/ 7AHZDpnjG72cZ1DatX3EnfHo/CHb4sTejfQBMmmAwtSypVLfVFsNIkMKkrAI5efjVCyF7l+rZphe 9sskkIT/Oe8+OhBvFmxnTh5/9GxvffJ9HlhBOUU+azeWYdkt7wKjyauQ78ZWOjAEi6ZLGwInDj3q BYPYEDgnYY5b2ggB16LEVR1MzNUvDh3cby1ymDlWunlUrtBjHE88qNu6uZEsapAp+mIHOzFTf/h6 y8Ec9oWzscFU23phI0O9/qA5A5zYHSDsotpn9QkpI5TQEP8ZiXH5AuKa9vapng3vJ50R4dyl2nep RgM4Hv7BMoYvYzXtq3LhX5+WqbjB9qKY0lIhjiwzxvRFrEE130rO2sPDRyPVDna7o5Mgp/DY04It ivNUACje/c5kO6NeobF65EdUUF71UIgLnAUSMRLJuUSrPwSkn4KXvBtLFvMm0nCyg1O+IIrhu22Q 1gROy/JM4wPdraLijr0GjYwbMT44mI2+dMH3ZjueFKHxqHmdfcv19Vg0x5y50bR2VRI+hE4/eRzI MxqzSKIuM9ETQNKLyZKTp1RVjqy+9PyJjhoQaTBedl3IjnwhndoFQjbANHWSn7pEE87JNAwuf32E 8Nf+sQJMgTBWc1s5txYlaeMWsjFDiKxGdz0VV9psGztfzrNsha7HVllMvIbWKB5Byq9BtkplHHaz hpT+jOckeOiGmvOKBju9KO9F8EhJra8xH3E9NGGu4tTOWDmAeFF4QmMrA14//oc0jTe6/O/B6wOX mSw0WO/+CZHYF9Ptl97PQz8tEng/JswqGQwSGv9xfP3cZqm8PDli24ffJ49lqwxCp88uu2Zyq+N+ S3l/txU33yoa+GNx5BUv+eqo5/t2/qBwowE+a+CT719hgdGIQFLSxUtrz0lvc75utH/ip5TW9aPe IkxER/UjAirilnXVusBEe5Ap8ZLg70MO4g3wP1D/kyKii2tLHWvMfHkicQiCdy3lXFP/m2AIXDG/ wmNQPYwQlE4/taiFwp15iwD6EAz/cxtSOV1b2fqftKdSpDmmGycuujfrVAKLqcBAjS32Vo5kdiK0 agUKJHqIT1qMHCvI4dpk+7qKPKnSR4rOiyyeMG4VvtBkDDzEos5mXc78lPWPMCbzYX6aX1qtTT+W UUC2dU/GdZcvhNGuY/Kbiy7OpGwKi+lfP4UAv6UqSquh+3G5S9GAsTQWD4k0sPKNLlIyqOjTVqh6 +2Vw0aKli7mwqb5mc+1L6T1qTZCcGXHCRu04xucqG2w6CAMqxFr9ruoaGW0D5otRSrruHir9lkEH yDOMe03bwvxe13MrC0WfqmAU2aWfhpFVt5tcNIlo6x33XVloNEYMZOOC+Pht3Uhu8cstKqn2bRmU nbWpplNidEj4UF+6GKvykmBmUtoSXuMsfJKunww2So9G6i3HkLr4sKYNUmHIJGKTWYBCLPv0Yrli 1RtmMUMv2zRfaGc2+JNhSQZyTGNGPLXV4DRz39QoQKQUL5r8Lx9IqP4WxAkTvpuDmmfWnw7Dy8j1 V4/Y6XToS/SXZIhOsO1+7U6bc8/kK63v+LXKDVh3ZjaDL6LQvYlXeCHM5nOMtBvGe46wxVTshhsD CfyL7wkrIq81FDMcOOtgCzIScoV0Bxi7KMcRKpts5CvAiwtlCwwALH2ol2wrbSv2SHy5brlvunlh 3kajF0ODnmoK5pWxIwPsfQIulIpnpWboLwQT3XnI4/eCjQTrlcpA6IaPZ03ySJRTS1Bxze+aDJ+e x6ptU6jIyF+fGoQ5QOj/zldGnnzsqwj5K57DVDgGO0RMc3/xYUVJxKzghX49I9pi/JFgU32baFZN vUaArs3Njd5bVAKx8fMVPdm5ZPFEEQAoN2fEUx410t45hfEZi8aFA3615ZbgvtoE6AiB98enI+ga i3CwPLdUpf1viEkipWm0Z2wqo6NAMFxxBquOIczHagkDN+Xk4w7f1XR3ZCckl99aJVeWk+ILukcs EQPOmJSsSlumAu+hcSgsvZSvcBwbSCCbsolUMpIcVZ/gPU/K19JjNa8+iPBpwdtoNnpApttoW8+N sKiN61wmDnKDTBibzaXY54b+yGP0IgozAeqWEQ8O4WbeURk0/knf6IYYUV3vY3EyV35DQU5nwp10 RWWl0A43KtBCh/YuwMatrehmCajcnF+WkRT+cgkxH9dXJUPUzb13vQHIR8AiCH10xrXJ+ntYlWJo 8ntabsMi+ARYUJx0jvsACV39N3Yf/GFfrNLlh8JcG3rorgJ2uHKXSFvL18+hDtrIGPAX8sM+N2oT d5fb9+eBG3RE93C4Fzirn1Jaid5IvtmskZF70qcxWK6V8e7Ucg4hkb6ahJxTPyZqFO3YvyNqNFYW W2DHn/K+9eGi/38A7SoUWz8vuqrKcxcETMjUwa6NI/h9iEe+40YEv8ixnM0y3DS7a5uHOui8FLlY fn6QE/SjXVIyhm/v8ElIL+06GAdeELoi9V6mKykxWQg9ds297Bi9mh8+UzbIfjKXrgSGgacnwz3e AJYhC90hkIhe4PL99R7j6ffJf2KXGsrNeqDkZ/ms8qW39X7M5ST/+/8GRNcfCHzj3CGv0n2OvqiY CJfcwh8C2Bl+uqRT0ikyVC2smPBVCjCECyVeVjqHLiXbGAFgFt1iyBa2cjT8HsWoFKlZ7xLS+0TF Av7y8eBkuytqrYoo/NHteE7ZQn5WgIY/p0PcVN06J9wdZ9R/52taosZXX1uFWRKSBKyfTH7b3igp z33ilv+c8DGMFGM5TbnZCjp+R/PygyooBOkO5ex5NvUlgj6SqOHmKxGcndj5wMf23XWrRaGmplpX MPD+52WHM+CL0JaJuzozq7BTMPpVEOdxhXSHHm7RqOUX/6JRzcmizii+gyDMntouXm1JcS/zXrDT UtPApAYqKrr8bUf3Rd6cWw3JC1H4p43JiG2XWNhMwwAyxQ40G/YAko83okSnLzoptmnCtSVAAaqR 6/2jkF2Q4oqMCr4FKLyZ3DoD2e8Pc/+NPo6UnQFG2/21QwtTDiYNYnPsm/Qcmh0imE7ymjsUb6fC BAUrWJanbrYM+Ou4lMEgGYQPZ+HCEYRVMiji6TCwtPvwUFQ0PbdCatLlUoKq4D9YczCPcVna5dBH ZiJpW00ef3ZUxmF/ND4GAORaRUi3jOPlo510oQA6p2eMhuAnwAYubyjdM+1qYV5/7/x9gioerlGU XnCh1QZSktRec1O5crD0Jj4jfHfv0FeBclr9HdTkIs/XrhACCLjZzD+LACLFgyP1BTsW7ZlX7FwK 9U/tIvvL4gCef2WyA3csfsXxbDXDLzOnCjGd9CEruEzgqbgQT5fCFFGCwkhYv+T7kLLbFxd/La8l RwgO91c43LOIPVKuGegb9ZKdaEigs46cDZKORZnQQZdMMdY/r8TxiL93ppMh3KG2HEN+GkOVWedg dj9VbJ/8ZuOS6+MXuF+J+X49HA4VFkIPPB/TnB4/OgN8oa/9xfGUfOqQj4CtDt5wg5lHtzytF7y7 2RbRtIJuDPm7deFY9id+y1sfI6x8C1BmLxxTh/dFzET6hyB6XlVNTxz8oI30ZU+1GkaT0hjAUZSJ Oi680O7BkeS0/dRvFdimpABiTCMwGEwgXp1+fuPZl9JfbcKWr5ZSgbk8rVAPa2E+lIOC/NPuqo/m iZL19snBJq443oGvoAF5X4rO/Lb6dV+absvoWL3gCUoYpJ4p9F2/rsGanEAYPTCNhPgpI5P7ywQd qUKRw8Pbsm3u8IzH81go6OLAr/lCLwC1ndgPN28RCZdQhDY+MdIGZ4SNSA2t4oTCtNVa1BJUXEAF sykkmYQXuC4sL7TFjq4dwdSts4ZMPamDllKgYWgTqMJPm5Eldhnb8OTbTZJlQWWW4WsDTy7cckCk VzoR4e+Sl47tah8EVy3dTf+Hu2/FcbBjnoISWdBSfT2Ozb29nyOKcjZQfQaGnmtWgf/gZz8B0Ag+ ZfqTg3ZRi2Kaq4VX5cyr/sKQAUGP1vinyo0dRFFjPXkJa17JfYeVsqjPI3kMRcDEPxQFFA22eISa sZiCkQV8YUq8ButHOqSfev5OUYh9HNQ7IuIUvfC8W7oOqAujwfr87T+YIOAgwbDaILt7dFimWcve 7gJVcSkIYTVDjj6g7UyM+09O6mqeqRWS8a82cdXQI8GnbL342tnEdkrE7JQsUrUl7AHnQ4J4ENT7 B7xlzL3Yxiz+7ukr2GIurwsDMUif6ex9JH9Ff0G/MzXfiN2qRy+kVD+4W/Ap/yyXU8OrOVJWaCsi 4hHwaPv9Nplm9pxXxIJjetQvl1Xik5q/WeWfKCI3naYLBNcCYal0uPYKdRtglrXZLURhQSErgAzv Wg7jyf/XXLRjgEWv/wg/5BNb/U4eMxrFJZswYnFYR4czE3J4ydL68Q4wAJ8zZAeB7+0GRBBuqDSm ruCIQr3YenZX1dxJLCFK0Z/C4gS4y5gxrkrk1k9Fms05I1fTqg7nHIplR92NHF0Hb+/8Nn11iZTg BzJYrKrynaRPJat6prxhF+gLmbdVO6enR3VTOP67Zw8L+S7Zd0yD/LWOOuTIyYVk6LYZlIUXb3yy E1MOdd9RJADul0xsuc52QjulhXUuzogiJ9OxnLQOpVJEHXTCrZeaodTR0evV3RJ2O5EqbTyYosdU UzPCE15/bpnaZTwDuuyx3hOZaPAK1vSNz/BKEC1jqkg8jd//+bbbxhxn2yypjtCB5EMXqICQYHka 8cFvQlyv1p7+ntYQHDVycDydDsCriRG8UY+0V4fEO4siUQQCKHUqpTSHlXcunArAtU/uN+BUfVrI R4Z1HqPvWp0VotVBNU40/OxC/YJ1Noad0jR+bSSeBBqCCwP/4qjKpnImb3pcIWXNEDFNxr2pjXY/ mx9oWPfHDrcOOVD20S41tv5nZ0cRz7nMgm1L2K04roTBQVZEVNrof1J95oGCNpRg1Vi8lKjks0wM nz0gb5CqrZGoav+yGslqc2bFBUdLdfeLtNePbYycaTrYExK1gOu5tOs5JLAUrX1V2ReiZfWJPCVX ZAD6880nGiapjp9u5Tw2/VgFE7yQSdt+3oToxsC1Z/dmHKavVmHRDrt0xWHCSyb4TDUT04G3Kplj UhnaXxCjD0w+w5oKztxwQ+aYEpYJwqo0Rqd6h2ekPhZ5GWw7GeVWviCDcBqQ12hlttwGKPBDM4VN PAQ/CY0ZVal48ghmmy0fFOepLPT0LcVx9wTG2wacM8noJZz/DgSWLLqAEpMrlNOZqSY7uuxinzS8 mqjp3w8s2F4NpVdPFVD+q+RKUDETyLlfRItvguyWZ8yuc1yeoS4sMRkl3b/TjPjkDrbBSaPBqgWX yJ+rHlFdPGSYTQrPhn4oyxyHvCIjDVr98FsUDkhhpoSytVyHkSvX/ZQ13UUHR+mBmt4zzEwCkAUb U+Rkq5yFw+cIVDk6TxRajrTzMQBtZxf2iwISOlU8osv4eNKSDb8d/cJurL0MSf/WjiWWIc+ueg3h O2xf5xVqeMpNwrVLWUrQ6g4Js0weLdeLEzAvPJct6vQXArYQDv+0wMS71OrVeUkTEFCw0z3NAAqd 9beKCRLS812ELp7RrVZXu2mpNLjjiXhBX9EdIBu7UbFR+ytpOiiE9H2AAIc1B1+7rzZGrDT8hex0 d7BwNmh3p+54IG5EQcdnpUcmvEBhPea+MrGuzltQD3T0ohITAMM9MqagD+llBFvHto+diwpOl/rp 5uTR5gFuJDCv7hMIcCi7itcS1q64Y+8Qd+0WLVk/yT0oOQmQAXX1MaA1Mf/v1wKjgFFnif3WRnJk oTn8vNvi3Yv9izdjzz4aGFed32u5RwXIKADLrdadXUi/ahY6aveLGvVmmgW6xw9Y2vZfvCaFzsM+ 7fQQ9xHNXzSRDH2GS4HZq/05qiij9p4XQGayNPO0X5D1E4bfr0vERDIDXVotE1ISqwWQnHGQMEUR hh+2m8Wiew8B+Bp4NRATCk6o0tzdrloMDQomHAbXCErDj6h/PMG31/q7LLMauuFJSA5vbXZE5Web ESnux6egY0/xPDG76Ln3lFT1aYtuadJeFv2ZH48dOuT/TVXMtjYEX+I15knd6MNBOwHHvX9ahYBS KhNvBLFYi06EFFCZdlqKhOwGdFIRuwvgSjskK6e/4OFbmvP4Cqu8d6eMhwfFn+wWJWbVgBYvVeTZ gienW0f/CzmaRaVM/AlYPzR663CZ0yesqnOmuHMiLX1TCS1i96iJjnwSuoSXBbrEmdQpLeyslkQD oLejLDnucPfp5EW9ctpj5bMUSDdYxwpXLOe2LhyiwIvFpNXKmKbXrePchZU1csTnRpyv1EsSKat8 WPLEptDJQD2eJr4wRMFdrDelNhR6SPIAXY110BIsO1DOeSGHf6Jpt9lWAlXTCR/EzrFDFT+oKR1s X4yMUPseAmMWDAmDqjhD+q94VtyhVZd+b94FK3eUAGq1igIQx3lZpdyGca+xUKeWR9BjSsPlxUuv FpXlpHWnLlHltebR5i4rLm5iVhD6YA7Hi1t6QMxbj5P//rkUsBr9cwWAM8/Cn4hLGGuGXb/vaafE vs/T/ZSNf+RdkfPTBWoWgFp3uUWvQCbjQm7zZMclIN55zxizFmmnbLS6TL02cITDA5vxxbb7bIhp oF49dBkJbaF/Pv6fMu72F1h4UCg8geWoE4HLV2YzTrY230I7KE7A1/a5qqflNkiOH4ROr0Oqvi4/ BJptc/Pt3APRuR4PHDyzn8hjMRFqgXL7AbFZr6XuTdUsOSkX3SwSj2wv/TFuZpU5CdCm1YBFH7jc fbVCoP3yXDKdrXKE5c2NEmLJTdwSN2yil5c11Yh7ltVPJ7w/jywYlDM7DW8peoJHeOXTDHWbRSf1 mMd5y1+41Jt8CgRn50VqhhWigYYQf3WUJ8Qu2EYxqGan6HGmYipuDlqfjXtpjaLvcYiVj9MblAVS mSqHbLzD4qMD3dyDx1K1+JbTlaDpAFtmQLvFh6eoSbkK/TSd5V8yVpueH/g1yWIOewnFI7mxWwkL i8KTD9/hPcNq8cPqso5MSJ8lKJn5ehM+VfIs8UJCqOHntPK8haEBtbWOBtBKztP063h1LfGORo6u s5aMa20Y7dyT42FixDnzCviIcUmI2VeJftaDGvAXaB+v8+UyH/xarOhCuGrCoBTO1pzkJeHi74o7 SLVpnoT9Jjhpj0ZoC/ve9hd17bPAvlDJVSVfLeyUN9hOvNa64IpGUGDBtBD1SxHOFq+QyLJzAbK5 JK+FPXYAYOIIZQNnODSEGy0nD8p0PIRSc0gX0PduMUU1EoL5vVZezNUI5WhahXsRvCe6vaa7gq3K DhSg4llsrkV9nIm5VXiX/B6dvOYrq1/3Oj+wEnnEcvX8M1UV0Ec4sAMI2H45JS1pk4zfIZhRAA1T SCjK4FTCt0A4Hldb36ZFmcZkUCNcKq5mVs7w4a/5ftjWnjvG16ber5GoJetDmHvsT5L/27b/5o51 weENs3zKqLcODjXFLdGsIA2lPgt/kpyGaWIvdUyYTsVpYHSr0QLc4uwo5jxb0Ppop2Y+Z59/+JaZ pzwJaC+1X3PbQVI8jeZx1QgPxu7Di5nqnm+A/SSBzFC7XSwj7Ch4ibJf/dj9VKEOtC2z2B1RjSCs 643n/8Kwo9zWNJt4k6Ox/HyjjHCpo7PmPwoEB9E+0W4eCxOryqDt+WGLogPXo8RNgAmatUNBKZQZ fK5mthHQ0rvqI7CWaLMm6b0aLRtTu5FPOy5D2jJxpe6W14UDOxFmJ8lCqEPxeLEgei0RUm8p8BMw PisxSq//NvwmwyTI4de+pxr6htxvpO7/u+LAGjLS6kaa5V8hDFHDqO+zoQBbPIEQaf9lnITzn1dW hx3r6jOAT+FBJpZ5g0JStLFfm8pgwIyN4IvbLQhr665IV1yCgNzG91Sa4NkFcRycNGyN6XcFv2sT jf6Tl6IxsOItriAd/OPiVenlmOS0cf1zrWwEM1ZXuWHyPR0xLRM7kQcC0dBSRThOn2D64HaAxNBu 6/gz3Q0w6nDrB0h65iuvI3AhM7RPg9OKoMTZfrBJmFs7H3glQtMU7k1rr4AcquFy5ZXU6Co8KxiT +REWBJgYK2eolrXkWgtepFTDIpaDSWgyxbSQ9YGfqGooJ7c9Kq0taviuLOOZAeQPB3RL18G1Be4G 8pSYhN5Kq6Oaob9a+QZPWfwnPYaw1PwmPsdud+YFMuyBZk0Ik6+6ULB7XQqWht+2yV0SU5CgmDmy 90BIgES/9dqgXzlxWvcobFUnZc/6GMAexJDQnqdtWvp0yKbTHDU/XWMcw/OlpF9F1mYWqBu6Zbia S6GVpFh90zAeBIL/fJ5WfxiYjGT8I3j3V/EnaxBu8CfyiDWo1o6AXMGxvzm0jJiTW8A9cJnrwioG ZPemZkBZfG43lIYSUKAqRyvy1DGip2ozV25lPadpgGJZD9eVNo3BOBYxd+Xl7rAkBPfhxiuM7YvF 4MT8zOPE0L2l5yIzdxMhC8CsNYrbzwjl2atocbpKgwq6FZm1rjlMo7SCD+P5uyMni37Llz84jqDl DLDXuH61oQBO0iQnX9e3Nflc8+3zuFHXi9zHvNmcQUFMSZ5oOeIhIWCdLZofacoiF4Y+9hDKBxDi uOStCcwKhdGMBr9iqFOh2soDhfOI1nuHTQ7bsfVNzifQar5vCE3Wf6ru64p2gP3LfI1PDi2xlgii EBbm2dDcdt5sZFf6lbNApqy1B8bx+KGPTf7t5Dam3Tog/AMXBZc2C/ZD2qARIMMd3kvWtYH+Gp6w BOuyD5eHMDADngGSFnZjQnWnMTrkhozsFL2xZTHsI7nJXoFTPOTDGhczQjZFemh/x3SweTxrWDon dflF7Gm1c2Vl7urqCPd63yBb5x7XMVaHUR7DmoStcRetP/tl3b66FrxCpJDeDvLTfjg+FhfsU9pD Zy5uwTEiCoMGqVE5a9GsHBWCshR/LMGzb+fVHD6K6c6K5xw2Og1sU8iKsAJjS/2Qc7Z9qN7sg8le NsBkV/A1EZMjqtDYmZmO/0rbjunclHWKUS9mFmWsi1itWACGOf4v5x8rg15fhWrth9pvlD8U8K1q zG7DzPfKH0bVFxeg8NuJN+zmED7uyWz4qJjZUIDZd3TA3O7GgjzDDe/0jwDmiYxVQa/vkbOgGqx2 IxGy+mxIx/XwDcW+S50fmA+xiTRIiIP+96afo1/93jFvegcGtR5hVAO+Lj3mGi42mQirPAGFL4Em dPaVJhFN3GH4+4OY10hMQCswMlBts0nEyM7RZJ5e4qJxJG/9hYH+FG/OML/aOKgixMUCD8hpbHNv O8AK1an+GxQY9K8EhKoFbaQtpLWNt7jnlwKQIS1oit9RsVRQPbi9ePqNTngjojkkGtwYo2Mta9xn /3tujd1WZ4L42aKvS6bvDbEDk17+0L0oLAncSFH3f8IcvckTncDqXcN6EfqKhWW2jKOU0joed6HQ /8U069Xm8cLJ7bJTnVblqrhMnwFLPQwXNX1llJVQkn1ZRlNX0TQDE2jFG3hm+bbrOHM7tN6WlqbB Sc53X/qYJUF/925Y3FwHQzumwu9/hbc3daRoAYMdawxaXgS4pawkF+tcJkFq8SkLQ+nZ+gzap+Pm pMUT5Pg4oCxgxKxwkb7V8lGRbR05HwcN9lm2Es9KANMG1qJP8VLp59YSQmrfJFeVUucybwoh8e+V d7DBV3HBAZnsk3QVYOmXyY+FXkp44m7FGrpr4BcTq4lVGm8y3D/Fghh2lxXKNVBYXLhBWKTqYShu mhN4BJ23jNABPisGIY4cawBiomX5uHQYtMgk4H1hdcX9MA1jZbbGDs6yL6kyaLdHfXWUWeOdEu5Q S/xdvWyT1HYwMhDe+qHgKFt2JrvbBUOGH8mOqD8rXQQS+Yn7Dtn5IbAsGfMHbhAyi3Qvy2WDmLf0 57jAgxxVsmiKbbycqyhvq56qX/dAGxhbvx7TLyVpFLd/GANqUzyP5GlYe7BJkdej/r5ScrkVDS/c fAPab4PP9W1zuOTKVv27KOykq70XGPBzmWd9wfFfIzGIjaK+lzdB6dOd6UiM34LlFu/ecgKvHsbO oN/sdISmLYQadBDOwFTRTcheCitm2S0mdz5LkK2Kzs0ggjcTUzCwhQNXB3gMmsOPIhjgrYnn9xtq /rjpZQ7L25fQnTijcvgswqKooqPXjpBePMPNzXEPF+8sb5wH5FopXQXH+gvfhzU85uQ1xNyyqD6j 0K518fwpzC5zK1htibVnDY4k5PA2F2wQ5U/wGG634fUEUKcDV64v0MDeOIDYIh60KcRcZzr9xJsv gf/1VTrKTj8ugFT63pIASssa6+ioptGYOj7dWk+c7Eb+VmySFgt62idRjnD7ygwYXDsLSpqbOPf8 E+3g+sqNHZK8sOJet/tqjAOCtftKIUT45hcQ9VrAciLhALdLMCKp5E0XSHT+FtrQ0mD4jFc66Sei YXw29AkjOPwHwYCuAvJAXg2BWc3di2C+Rm5rCMuGNDTaalxes3jFQj0ahF3Eqnd9je9YMHOE8oW1 UMASm2DVvyXT7owV8GUxuqF3KqHR7FdQMFu9ItPfTC7f03bYa1VWHhZ0aLEY0DmWvNQz+7MrnGKk 8AGKuyCqTDaR2VFqRPy61swoHK9yah8GsjYBZQyBTMc5AX2aQ4BrYwyKknBeXFHhx7Lwe4g7xX1d Pabiy9ipZtw6aXwSaErME6eKAXk59uZz1yfYdvm1h6+s5h8sfGr+ZeNB9WkDjVZ52j53ILX6BGs1 QFo5XbJ7XQuuyJI3Uv7bymokPuuiE1zh4B1etMoI4p7HWBuY/VPW5clLKPZGABCfG+F+VI1jv4CS QqU/VfSGHYLnnkNzzL6aRrK0kuzcqcIi2uhkfqhfqaJOF+gYLRfWi5bf7tLRlPfQ6rCMYGke6wQy Dq2Jhco0bXXEtfOiZKFId87kEWomIe83+McV0F7GKDC9Eq2wewsOgFGu8b3ERwS022FIgM+0UhhC k99f2VfY+OQzjIlHsKiamhdxRNMHgI5Q/z3gVWULm/kQlpQevjLJIaDHC/AVoDbQR4WRejWQVrRW lc1N9C/wF2/ysyLqnrnvXNJRWmdDUQVYcW94LpSjRsEgWn5aOPTGt7oiR6CEzEBpGP6bdwLzQJyC 0PDqg2wSJN4CRblRx9i9iO5YpiaJHFA0xPHGRaJ69vG686E69DtymydUsWrxF9V4+FknAuXWba2N U52ROuPGgGdHPX5QKzjg2mOj0tTuMFvrX1vjWpiyQPeAsKOrlqzZEkBwhgabvF53UKk//vOM/g3M OaOAlXqM+63sZ0+epPRs5UXjCEfYcv1F58gDcPJm/2DeLH6NlZ6T6wzoIQViu4Ell2Ktjr2dBj+q 2YS2AqQx833hT3HgO/AbyYelYru2Nc75kwxvNk5bwX+4NR+ucezBd5I7UB2xntQq4Kyc43xub1Uc XCzCscUupXBgBuUrgUDsp6fdiefwWL6u3bA8IpT5rx/E+hV55TouUy02ivlw4eipYxP/s45pki03 JEKJUUSPMdtpgH7BmieyInoNRhpcE6D91DeDBUR7B2wObmtG8VGdSMBHVIRqUmr1im0lemQCF8uq OQhqVzmSI/x5Q3SeuDh1FYEJZrG/wlOw3M7zfjs6SPphXsF1QrJK3sH+EA8DKBREwbjl3w7B2Xxd uW27pMrYaUgU9fXzrkHb2H2lm4OCRXhpOvU8c5aZDQVUz6k7wawlLasv/u+2KmZNu0JolpvFbDOa 7z8pxaCJp5RXxq5/2RkJEhdxuhvnlFBkJHaciWgibjU6sHylv/FJVhYHMDzqDL2xKvwxlCWr0t7G rzQW/J/3jPWGFoSICYI3P+W90h8OcFeHHxvn4hUgrJUk/sjZ+0vpm0RJfROZaoAZxb9cbx76E32a FPl5OY7QWkGRUBNVukpiq6TNqmJVjz9J5Ojm3on4SHbKdsy/SS4+mbtK/YpbrCwtYA0zUtElTukX WL4tjufAzyQO04HU7MmZXBF0IQgIZ/bonPRht0A6Awz61RwrKEpvWmUYJSfPz1h33frJSLfwK3hw 0nb0xcycgeAFuokgfKQE30jAc71XT7FsUwEjtKaueFwr89DmpjjVZChRLBkkGaOa7fTjV4/0BiKy SUyQoAbGRsMCwfooTjLLMTwiYGcYMaSVZhLY4UEqNqyp8EIOGcxXnRmbxpVhdQgomq9eQYLgTZE6 eRbuVaLKfYkqxXpg8+3DBOEyoIzt55ybO22SF9K+cXhWCQqUzH4Cu7PyDuPBjXluMNIG3b1VmFWo YyXu5fLeMAssB4S8AWUhFIGVWHcuUhSuXHMAba12iXBjYRN/jKcSqjnEhBe3vPOw50eaLe9GNDhi 45jjxmRxEQDH7VPjZFcg6l1n6O37cQMfwfTM3xntpZID/L3tfD9/Rj6wmq8xL7Pxhei7xnEJj92M axMQSqgLNOrprUYUhx+5iIl2JChNSOeN2csw7gMhxjFxQcxwp7pOGOnpTcswgwk68sxRoIpFd4eS nwx4n638cqDyYKKwl/w33UbEra52/PLEc36aXZbw0UfurordBzMPrH/kCeROvrKHjWO5S2qWAFDN G2raasbjkxnsclwSPqYYaRzDMaoiCjDMSTLmPKCxstdtX67Fwnc8xVwbvgfBAsQk+MvAOU3m/Sw2 sb8INCoDA3U36TpPX0Dj15txhGIKv4eUC6f5oK+uYM/PTmFSafsZEyMgi6MpMudB1SAyGC+uoftp CvDcQGwMmYcQf5sLQiA9xjwzDYSC6up5420StihiLBltvZGACtzMuLXUq1Zac/PxlWtNz0WjjOXe 367gRj+MST+uGllCeA4MmW9K80ku7TQNi0eg37i2E/WiUr4126T0BcRxzbrwWbpp3dtVnJMSj8aj VjsF5mY6axGFLotLIV2FOf5paWjcK15Fwc7Jjn7zGslB0nU2E2aQnFoYhsBWs0T8w6Yqztrc0DF6 Fk2SYzE/KzYKv4pELI5fZMz+wBMolTgd2hjYeT7NVxZT2aW0h7m2tnehfnQ8ndFWij8gTabpZkOX zpWNygV4PHWwlCae+Leh3Z6FHKBoTW03zuz9BudSwSp2UmXaI7Q4HYODZ5OKKKx6qc72uzDdUfpO NO3xbhhdcxQpxmBbeCrWk5exvWT9VD/n1/oxxa3m2Zeg5NipB+eU+QipuUXgas6vM1LQyY/uapB1 +VSFA7lOuJFaQwf8Wk32M99rs9LY1E5TLtPNL8gzh8QGi3YzOhqq44wOYSy19RnaHYAesTSHF1MG A7d+g2quDmVob2MKOkT//ibNhNI7shW1CFvbWQszKfFnpblJoxfOl/kjhD2qVIAmhncQiVD5b3yD 28A8vu6X4M8effpRsc7oLDUWvXcv+8KsfamBkd92CqeNyO9TamnPZnqP/CbT1PRk1Pt+rEP6GSAv XHpSS2jFYy0a26g9k8C2KWFLBiakwDZaz5+qTpOVAWWvJi5UZVvwoaujTekHvRd9/4cd4ANV+xHu 2KRsTP1uBwWK/blpTw3vS5Blfd8tMdG6w9Zezj6lal1JbP1+xrC9skWIkvgxoYMfMYLPIj/QnROQ ICemGJMshp1aELnEPFZf+oMHwXhyWyzXkNuYZtG22jGcUWKVWcPXNcO1zV0n7pPFWqZI6KE4kFV3 wEJQruxkR/Dlg94b6rPrv6dW218SMIDhL2VSwLc5jlVfrL3PL1LtdF2KDKG7bru3eMf0K7LOtCkt +LGtkOQXSDi1sE0HDQqwe7QX6fCgO0Fx9kyxnizrFj4mRKL2zH7wFymtkyDroQaY0dNkJiAXjal+ IlzZnI0FdyQ8Gs/BlgIxGHRx7QO0JyVZZr74kkR9FENgmJVnY31eOvRHj4A4Xl2ONTEL+qBoaONe E8m6C+W7WMWywo8wJYtmrGWm5Sr8hKA/xsRLFq+yNH+EOsPOlBwHqF/0Bqvko7Xxmb8j6Hdy1hgJ 9XKdx++Xh/Z3F6ZLXYpGdGjoNEEMmqcCC2LUXNrM89aEzYIBeZVcHkThQUp883zd9Xf7qNrNYxSV 0/5q2tRs+4vEURGSdb2X6cZQLmJMu9VzpqEbL6sUy2KozkmS48hvwEAtoX0DTnAvOiDxLig5Y95V kZwT5oedG95xPP8Q04qvejsie6bA0oa5kPmZ6bNNp91HgZEgbW9i96IciOfs1wtmAKVUfT32lzfY kIYmgaxuLILHISjI0Kv1oWFVIR2TgJ6S1GH/4dDxdg6VgfQUBtgToRDdKdjfhwiQJNoTxrUmacuq iaodGqeruhhVrXo3IKnmLG0slNRvu5q1Jd4mWURoumbcUW5q1/9Hlo7aoiWrncDuriITadu945i7 9sBLvhGe/0RSdLJiCPH/7+7lbw4erPQQ9EjvrFKq90QYABkS05dIJJUrAR2FVXnxmji7F8Gfszhl ZLIPUDSO6+4eB26W0jfs1IvYAGJurmVjSMive5AxpxVPV1CGceKcYuNbZJq82eBIg5G6X0LhbDgV 54duXAQgLkoadNF1j/9qc0o2f4vpaF0VHTCmEllq88zUQvI77PHlo1XyRXBqXP1zI/IdfXV/f42+ ZxrOZ0jjJk3MlUOBmHMqH/YzTMK7VC6FTPRafomfyuVODir1a6/nP3JhDh62jqajy7dAEvZDLIli 1B5fNHTC/2gJ/lVpM6tGPqsqxSvkBZDTTi54RXfb3kyF6pe38xYVOKTZjthWWAyEyXqRpvr4NWe1 6Wp1bg1HDJNJoYW2qQZ1FvLTNs6OfL86/Nktqqk3YxecEQ96VImnvZ/0VllJD5NyToUJeMiT0AdJ xhCrxgYeCNwsz5n+hcdh+NtXcBPGtEej6eTi3Vb0ZilCuGa7ez9zieDInmNdBpYdEdSokssI7X87 YdYPSqwys2j/4J++epIYSOLXVDRcKQpQ9i07orMaxNXdiMCSKROpoR/4glZ+ZmaH9yAUBQHnx8Hd BcJg17ZChk3p0XsgdyUaYd/YUHTAPrBVroKUk9kBq+3xb+kxzVDcextPr7bBq3UWrzry4ye2PA0Q rq1WFta/mbK1tzFvcNhzTU6JBL5SHKcnpUjOgRH806FfPqBZt9dHY6np24PJQAQ68Zun0CKTjkEa l0VDxf1snqiUZmjRfXldH9CqEq+W9AO+HXp0ztg4/x7TorZOBAci2sSSeaUxMTpekllvCE0PaM2M Thcj2xnA+njH0pK0WzdlGVuC/RS39Tnb5mFzncZr/MfDBGRa8ZfMbiQCZF2e//5MCnl0KGKryFES zwIBw1Gdvf0ntcV2vk2eIRH/2hn3xObZcq+Z8w7jBLrYeDxGeFMOWePPnpye1/Z2H7rr1IalgQgD 2cArL/DSG/to2y2M7Fooq3VIJ7QYxC1GtJPorhNjvsLEoLJyoVT/QpfAhpaFee7ALzkcDrHub8YR xXvrDyI4eWjIu2DNVp/D7s+FDvjOD9/820FmtI9wLWqp8t4urkqwgLV0j50Kpq9WDnwsidPF8IEg 57UE6dPDF/enQrC9m+qwwYwE4w3h9iLn7mU71YshQi/GAuXL/t+humVuQBxdldl65yBrKip5Mx7J ggFBXdhfi2gEbtYn+CYwYtQq/PvBaIcFP6GGfwW2NMwkoDshAipaVt49IRqTnwtdVKqt6ZWSgioK EIC0i8DIfQY4iP7277jWjiCYmR1OJkNF/b1qpZrdSz8tIxdh5HsjdjuV5K3PCuUbYKfsn48I1T/0 zeElyOcxIKHSoYqeuzRoMW3XCRSweDYhRKAwFUM2onBCybZ4XESbMl8ZErd7X0UpPHOhbQYbXyfi bPea1n4c0MGu/StIbD8bpZIQMtfoSwiHjOwFHgHeXvhWA4x+yMEKDDBBJTZ62Qo/SNh6NbgZFKJ8 wavqVSqcB9aJElmlVmq13D/uXd8idHaAmW7cUPsC9aVL8NAOO/xufaIX8bhdnlkWVcNKB2/Hdeoa /Z4cD50kcCClI8drwu5Xp1WxuBI6lGxBcswoKkR9xPCkkxOr8D72wDr3rJfw2d6dgBcNhbcDikIt nMgQuQD/tcKpdcdoPhT+eUYWRHYksvSkcGQMg+H46Q7jhXpt/Yrpx4ZbuUV+xGZ3h8RSgBdQoPxK QbnAlgzyP916+c8SW3jxcSVaFOVnjFAu44QZ6/JX8051dTwACSnMmze2azBdKjXXeViGf57x7pvA HecMXLOEobzm+WbuEmovJfBmfS7Anpq/TabwvYZBqTWUeQ2ZwXC4Vdh4k10oX2diRUrOqPETUdmK beLhJHWSIy1dsiz9jAoNmAb9akTrFJFWCYLk3Lrgq+NZEOUJav9LES7vUMsRFiDxx8I5rw4LKTOi fmq0xoZE9Fzigi5+j+3RCgYT6Yls0Y/TcyNOS4uHX/+3VhBab1Gsg6ObML8AXau/r+TSPl8ePa+y oINVAMvriX3Bd9gAkxCBHIsVEdJk9gm9pJtzOsGeKKkJtomzEv+8BDpwSNyzSUve8cfaxgo6fWjO ytyFXOWpak9yn7Dfjb0sJmzcmiPe9S/RmMHkPRENsPCMwN2uTinwjLjhPxV6zKv+bjpq+ki8g/N4 qQZCUKE2Sdonrxjn0lRBh/TIjY8z6hkp+ofYwZmMzFvAgxw21qQJTlDMEITUFdUMLkogXf1nP8W7 tXSY0+jVrD3UJizD2H4g2gqMmJfCb4duWIRAj0J8cgjAdS88+4R/Zp3kThPis9kfaORyTElTabI+ 5qfieUglnVc6oQ/EsYwVV1CALgLnPwbtMsTlTp568LKE+7S0nJ1LssDsRRK2Wh4FRCaGsMHjK/SK gqM5D8UsS7TZJcOv6r7ok2tfNcckfFfSfhrtOZKsiZIrd48uDsEo6Dv7PwxTpa7kiONBdCE17H4m BkXPSaxP1tecnIieoBD8Mjf5VFIJ2If2Fg9qhc7Tfu1urH7WxgentMZZUhh0M4MYdIVVx61Fl1kv NX10Z+rawYAxs4bMlClyjIfpnvshDKwXkpMp1IiwjP550/4E2/EUwIPctmzukWxiMWNcHrRsAqoj e8Olzi1z2r79NP2PQjH4ZuM7hJ9orLe7RetoS0ME5gCaS3uMLT+I9v/beoMDTx7swhmhw5sd9Wu6 j/UGVcSXNwC26A2ZwliMskWl7J2avQ1p9pK5qhtJK8budEJxhXt9VSwXuxYQb2FZZzKiz6cfIQ+w VgOK4F2TcoFACi6TdLX4xLvMdABBCluXw2ezSg69K6wreXPeMfCWxuoJ7XvEck8Men1M+xPW1i5a qqZ1cv5hKJ1plb5DuqiTBo0gdV3rZ6vFWKY0P7p2SN77yJE40KKHFsHkEvBleBssbD3REe+d5VY0 iZi9HcpJdNEhVkMGYRRpEWNLylt92DvHdnJL2CiTGt2Dv3WMHVJmis6Ze4Wlqi3tYIQMm1MCdCrS NgyAX7edTOK04rCXXabaqVolAIYdVeaWQBqU11ZAbc3jPKcD+p/p1Fx5SzFWsEFCHJC2nhEwApRO eO7sfqY/5ZcLMaB+5gyPRcXvSaFP4/NkQVGenvskNhFRqgEgnePnNJL4oycUzJCRNYR6Qfvfbfw4 ejWN5gG8w4lCv9lVV7+FXY/byQkFUyszHEJxM1AR4Vlx+ZMJdBVuAfJINbl13SKtZlOPEnBMZGw5 R5E+W+288WF6YC5ZOs10wRkPqkg6jbanunuuLT2olwBNkJU4LXE1lDKXrUTF7D5rXKwsENwEJ2Vk Z+/geUKEuEK+z2sOItl9b+a44wAyhT1K9k35C83s+EGIU4DaYng+F61Esin5oVVAOCUhwkbqjskV xSDWRBv35owz6iJt3h/ycVCFMEbafgk5r6iilq+tWd3zcxK9ZJpFghe1Sy4UPmKlKRdNuDQo8JSa YePT1yem8AmqtBa6vBDDwNRO4Xdd1uQDRloVPgBre1fIl8hGgoed9cybE6exzuXNdPIF/JdL/QrD rpIMZ42S9GeJXP4TwU+HYLCx+NzmVSknrnXMHYgge7tInhSkeXq+txZ1N88WOvtYpXLHpLZbu1zS k/CnVSDtrdtqZWn0eteLxQfo1FanCsMQz+A55JKh8JQe0ETwQ7cJpna321XSjmXFrUdLTMdCTi4n XTAuC1SM1P3hcAcjARnbw9kqvwr9n4oUUsDr94kBMFcuOGB4gdyCKHdmWb8otSfB8xhhTDT1yLY7 urrbogyNNIESIS6hkel1DSI+HJ558dEpvNESTzo60Ag7HJD0Nvl93ivKEa+4Et73R0CPlsI9QiOn QoOAArC3m3fhaaT8YQELpV4ZAEd9wyzkuZgrjxWKMhwPLC/gm9XCwTpYAg5TUehWf1arNVatTLhX r+ZrXQ4fXinhQ0vzK/dXs5Vqc3K4pErFYdWiH8DC3UTl1P0NsA4RyWFOXkfCGU88yvb7eiZLStpC kZudDebH1xWaJo5OqZLGopkW5yAmJv1XsHieT1ny96gxVMGQiRDeSv3kZENW7/C2RVNz6LTy2uwj j0RJfSZ6AOEw5puKIC9VQ6CU1qACZxJ4BOcVQRnfP9w++b5oNktFzqvHvhVkPHGfGyCEVnxiAlYM jVUStRl1N5XKn4En7OmGk17sgqcku+RhT0355W73wC+H5uUjfaWmcmdqi8dQTZGFvsC8xz8INqD/ cyIInSTY4HwQ1NZZ2N+oSMJGKoXnxV20CMyDay7zT4TpB/GdwVi64k4aKrpq9c1lH70q+dn+nRF6 HBksMInErnhW7WexnVHYZEs4GHY662gw7zxjM4H48c5kG5x/pUegpPaSpdP+gu4hm96OA01i2MiO 9NVStXkuC85qZeFvEX8Gh6NOpddzNuG4MSD4EGHud0UahkULKr1bBpWUsUtTBthuV/w+xEptfPTy qUZ8pybWZugBylD/51YD4JNMoIY26tj3/CezsVrYzEDtOP5EOGplE+QrR+xRt4Q6/1aMiW+yHTO/ GRompr1M8KKR9oMdDDq7eZ0JsQzMyv9hKsUqyAeuxAS9CAaaauk6GTsNVqBdOE7AjhIbtELPrXLZ Bb97DEknoqBAECkVUIVvpVTBzdYppfZqVEcmmcIUn+d+sJO3V22x7xlkTP6Wrz6u8LHyXcNobtXq qIfqquZNN9SUjO2n8OGSD2PJ8uYBwU9iieMnBgzy7mPCs0IU7wFyWhA0WRuXEEWLqz3/MVupb8NY VOV3mD5kxoKjC+CrWwyPQueQjOxHsraB7NkyI818SLLqYG6Nmnl5sNex+MsCrJ8fjGH1U1Tdp8lN Becrrw9xuWcLrKdRTXqLzmMlI1xnzPYh41E8fheg2xJ6z8VvSdfwF+tAUcjiQPwsjr2g4wP9CJ3d uNW+Bd+IMLHC+K1C2BuiU62bm/GmpeVBBZko93v9GQw82qmbG8VdhCG1IqhOGStDyzW5/n4e6MiO 9B5prbOHe89xEw+7NusCr2nFm0et/WsJ/eRBeyzRhcUWjauWCf2j7SUs+YHWyfDtApz6tzM4aaCP 8PAGaU5lEbmKr8VdNLwATDaXAuj536byre2EQ4oPaiQTFksvL7yO4XRrNDdz4aJ/cej8JVjxMKo3 03MRMCJi6H8p8bL/AAUfCo8OfAX/cMFV6Kwdiz4kmwcgpa+ZunHgs/77/JQtCdMNIvGaVCuruXGt nxZLYQJBjLLRnVnahYZNV8N6w8DBimF4pVvIBT6oLG5JBv5RRIGdSxaXeyYHDmLTLARYL5H9FDPd SO0SZPpg8gFeli4x1VCz6524R0yN2reFCYATdlykhej/ZF+FkrQFimKoU3yOGUcyqHYnCmSMINdQ lBJ16RYqXVc0gLh7xv13LEUT5ONNyU3M6/oYetaQ9TwVi1Z6GdNN0BzDYgdc9OVX3VUQFTQTreRm lOCVIppU6jSeDrx2d5tQWUnkZLfDE/FkgkN+xywa7Yv/xiJJ2wDBB792LPC20LxSVpB2rhPItN/p C1oah/XlDqDVCCxUMJB8nQFvi24G9OguAy4iwIYzGiRjGR3sgbI0SwiC9VBo9TzJI9feG09ULzaL QZ2oq0aSi8R4Z4k8/lGWHPqRBqvzyDaTy5fdFEzu0MEdEOJgxX8Gf42aksnxnIhmO3tPvMfWeVO9 T0dBRUaaOTS67dASf42oy2szL5dqVdKRosmMss4pHIPxToe1aaw69H6wiCO3mkee3mgJlXQna9Wa Eor7uH3QWbislcixsAsTS2C3eSZ89YkOcahz2W4HOo/9iHwbuNqaAICKh684Yuw7BxZ9j2vqZwsp 1okXng4LyZyuxtuNgmlXbw+82glarNBiBAS+qX3Nh6yEQSipxcix5/eoUnSJXshNgqYcnP6Uu1W4 cb0g7DTMlkPFMrwDH4pt3xUI+ZGIv8h+Zu2HG/jw7okR1zY/zjO/3qXdrzcaeLTjPKC0kLUqsdvp EQbtvqQlJw7lTwFJso6Hz362ElLrTbdy8mZtRUEKHlGDY/bHpxlr/R1sm1a+TY1JUn9PQ620lowg eYrzsfwuyEOZmntUppev1X02Ccl71x1X3W1o0QkjYEdcSC/nQ0eR+ADx6UmRGGWx9A9zd+VTG/II FGKL6xwH7lbflETNuwxoTHaANYJqPhBUcniekgg9tevweSHj1SB5FYpIuHmbN7yy8D4yNYbMLdCy dqd+959r+Ugetr5C1SHjcXc92RVZbQXgYH8o+qqyF214af81f5EQVunId98zmf2yWzIBlJAaX1pY E+At4C0PkyljwlkYMCeeQrZv04vHubaxYWo4HR0NJe6ZvVrO2SQYwoErCa/UUOi1GKYaKk8nQgz8 URY9oBAgZ5814GbMQMl+3MMZ8w/JBv9xu6/EN+Fxor8/0pgp4Xq/kNeas0uT8cBtRqXW6rIeMJkX SCmfeVJLqVjzNP1vLwGXRuGdPrQm2XgKKDmZfRTxH2v1nlA89Q7PO3LfRxX7XKwacRFVSr5uuxMj Xqwg361586FMrqC536fj5pBwhwf9p60BXFz23Uaf0ycmaeA6HO2O6p55rU+MfOQ4Tp6+2lLP6b1w zlvZm8xNEx1wZyTaQpm9k0Ejmm7c7vIwKuGzIeke1Jy3W7G9zH1iQuifK0K3COcaW4LM3kR2hsld Gtd5q7cxE265AasQ6/7nUgEmJzs4GgjXQVJ30iD69FkYk6QswBZHAyA3GCwAfMdoEwrM7hg2Vu3P UMPcm0/pzDnoP25bQ094fi70UZd4D05zossytTXcXCivWJQBsic+JuIZMhE5lmHkxQ5AtjjtwoY5 llEsCHsUzAnMn9KtrC7k4RPmfVJsz4DWsu//kiyf36c1S2tP6w9a1CQzmpxfmF4vdetIndeEmTc3 7j3xF2Jvx54+fRXbBJaUh2mYGylr0yqZApOg6lfBgJupEuPXYfrkwf/ZN/k0xelvzbTt3U0Q014v cTis20UsF3AiF0p1X3A6xIf/zRqIjosl7pHcK14JLr/RF1ToYp2l7Vv+xqW+0jJSlj3HAJ3ZkPvG B6sdiUuetTigziLx+bk+6yWxVe5nHR2Ne39q8L8NaW8wSiPI41f1RsRk+40JsItabaFguDFPZq5E Bu497xXMEi4orvXX+rx1BhcslQ72pk4dNq0iaNMYi97WGhdxMaLFd2mvOlOnfzcVBe8ptoNn2b3D 1c1DNOVlP9Uuusc3p9rmLz143GLN4Y5tR6TbdbK3RQtYb6tbVuNRauDiFO9kYpKrNWpf7Z5ABnMU 0OMDenwMchXxXfZMzg67Sp8TeLUN9yHom3fb+/2cwkuPy23VxZGV3tbBPREthjCuOGxRH/Eizdrq upN3Ut/tJ56MFHV60L8LyXVqtp6vX7TZ6XvUch+wdMWC+D+cr8YbZlKd/210Bf4DuU+xXikyLqNP Fss2n5IdVqDRa82CfbSfvH88ZE+sNPhvbaRUDhP5I0gqHTeXE8bC5aG/b3uBXE0vnu95aL+Whw7F czkjU8MvPBUGAHriaSe1Iv2dISUaqmR/WEqwWGlRrS9SIeq4d9eA2QMto0gsve4utb6Qo/iEWeLl PAz/Ftl+FDP+HzkQTjhbJTO3lCarXYF1sv44N7Kfnk7EnzHABc7xoSKRaTkvCyubJV/ERpXVamnH j0XLhmEW/AieMV3JkN0XH62McW39R2KPlq+poyBU6iHj+ueeZQfMELnCFcAphXm8UV7eBOjcniH/ 29I6gl/TNpJY9hUVwPsmsausO5dtDM0iTMJRgD/40TRoHEuOrYfP7CJVHrK3OvBZTzH7A/3k9+HB BCSCgURSNwF25UGkj8w8gBwS8+3KytYskqYbybr4Ap2d8fEgPr5fJE4JDg8ooGXeDvbCvUhhgmRO o9lb8g3IL31BykcgkZc/b0q5RWgpZNgpTGIfwJhww2KXzlxV+31zBFYaxOuc1a76LyIWVXVjbvQ6 B8yFVGUXyil3BtERM/7G5jdeZ0uLD/OMF2yL6gKd0Q5FeHckM5AjJiz+XO3ai/ExDheHU/aCoq74 HWJ4WVAGKwE4NJUkXXLJLlM2Ik7ChNYgxgyqiFYLvZDCs8HaeX6i5jRqIUzxQ1vrGuCP+ODXnfRJ I3i5B3u9o8TIsisYgRaDLXpmzYyblcE72gFRtHWD30ZMy+1NPYacXxf0OUEFXSzXa/mE0wd1ez1u upQ8NIJ9dzcU8XzAZ0PCW+t9QC27qtJRbmAaCt1Nd4nYSVWhSZwWnwBIW3TvwpvFpA7i6TEA8fwT AUkgmPuMNg+s+QzgDhehn5tR/6VXrwU/sPvwQhoRd3FX5SHwC766/YJw3kxYivLjITREAR/d8xMk Ov09NPcldd02kFCqL41SgLO8yUSHa4QI6BN0wljkXs16t2ztP3xGUnJZZW3LrbvpXffT93/F1a+B wofOCF7u87ZcEIkKo/j+VN9UAgD/pt3MyXCnXJ6UbWjFvXUBTxLosB+UotfvYQWJ4jC8JNKOW7Rd 844KTOda8aUJETZeJ2eI3/mNSXIXwcbvKyXL07tWcw+C1i7eXQywD8B39P/cVJVhWQQA2crOPl3j 70QtDfXLaisftjNGEjzjm+NsIP6P2r0ZGMAyNMe6s+akQ10jZcz8/GCvK2ok7MbchYGYjWKA+UJT TiMMgAFtCwbF91rRek3rhVIg//U+lfmQSK4T064GQLUgsvNaf9dURSo8qY2j0/htujGP2CvYQns7 372SLNpRqDZ1Od8oq1ttefp1OSVpQjvzyj114deYZTc6zcmJrNWLSPAWqrFFb4SJV8VzfK2l90NX YiZYEVEmjnJnmZ9pu3lePT532qft/FYqypRppRx0hGvdbq9LoQvLzuwR+QxhzV5d1putfZ/ieuKq 044ONc3T9wO76excME1G5imskDPPWR0s+Z7yfJ50FYn8amwgD9hhjRM5L0lspDVsNI4IzhpZtlRj oQRQwlvvVl6I2qGZcoi33x31RGlRacviUt0B5mvVVPWyJF105krhTELRKmsvQZUCEO7aOkUmBVFs nPB5kB99H7REQ24kyc2RsbGnUciFucHrDt88PTWaRxLQPPXyPuTbehEmYIKKwgmTxqxsULPR64MX cJQKfem7djzqFbUUsy5rz5m9+bCMYuxaa0YTQKvaHsNnCJViKIR8nPmLfzu+o+x+i+llFBVQRofp IbG/WM/CyC/EzPdFTEi3qVGTb0Lxfxa9aK+P7rspylwv8zLqC4Vr3m8E7K14F48liu9+CtvGJuEZ XjSZtFFgrX2sEMYPLnnDnCUL/+zdiFwMoFL3KWM3/EQMylYxJvUozx4aEiHh//ZhBvoA9PWnO1rw mubM3HwTnYhis1dhsmQ02nFXsgzDo5H1XiejNHwDqdUsWE7Gqk8kY1I/YycfoaHFlUPgxJKSbuG+ SDwwMDvlKZBh4O7bhIdLAPEbK8X+JtV0BMShtP+pmu8U1FaAXrSaqAa2fefqveO/WyBKEXAxKqIB khx/wvcArS3l2N2cnd5vR6mOz8bqJ/Sh1DgaxjkbPS99p6cOxCfbR1nGci04ZMhm9F9N3YdENSTI 4cVElc6Ss/cGJ8wYZ9Oili660fmwt3os8dgHzE0cnmz9LFDD7oG5nZThaDA/6EjFTFJxqskp4FaJ OYGEt4bavfVVFMgben5cJ54TZOSD6QEaXWmsTS049AGgrrkVoQFsRLiT8DMgIpaX4PV1EhQH509h Clt5nCE1QSWxHToppbPAL36+S9S7Hpkt1b0pEIJbXFbfVJfW9Au51/5Uq4xqucQ5NqTQC4EH3gnJ AQ5BlosluO1f2oPIfkE1IClUbMWjjrtQpk3HrjnMh91sRIqp5ivwMEeHgCpP1c9IM9CBZ4cjoAGu LPItvgoNE/eA9e5ISloHkjNLS6xVmby6uWEEm26dPqHmTb1/bCLLQpXWlZGce7ZS2vUych2CjWGC kbtONLFEdeZa/ke27e9bZKG9ZuD5zLrMc0+xEFHpVEE8l5Ms0NkOeovMmllTtBsgx7W2a4TEeoBA 3Xzi8rMzCAJdBeRrMPafkyebuAZeaCYpCsGQDhhEryxzqo+4v37FLTIbJXZA1mNBqbyLEtOW/w1c YLOCtlvRbmrl0f7YewSudAC/B7DDlecTIVZmqgIr7KAvgRR8RAXgBO+ewenkusYtZrKVJzemlwSn lDDqrGaAuGvEZKTZIAPeg2X7F+gc45B88GBsWsQ7dKoAV8I8ZO/Vucjj86UbBKxsFtC4rMH9a2kU Wo9wuzm8i0yYJBf4X7m7+8aGKTsO1NI+9/SZ+zgYhtLa4YiF2Eigetq93vi3WMgnNjtsy7T3AIYB +wWMvxj2TwJ6Qp2KQX7Jch4nL+4V91Mk7r6ekWBC987gWMc9nH8xQMstWL+dnZvVf7eHwmJn225S pXiUEE0KScN9NTgYOjaEmRuLnPpdF60d03+88eW/vEmXKPvw3cArgIhtXV30hDK81fSfRfb1p/h5 OPNW35XV9ZltPZHmei2q6p+suit9zmFhO3/yP4I3WGDyUuewHpw55i/s4p/rZ5CxlcfWfVXBn9eo SdzqekY7vJrHsmttGStW7P1GBq4OscwLvGzbxggoiOJD3Zv4xWcOtx2f/QGIyFpk2xfRtMX586c0 4S0X+7HgyhaB9NNV+35XFfL0jXDjqDCOUTmfWZpNG3mF3GT3y5RN+hJz824Y4EigZDNEKHv3cWe0 wbxSbHiXEiW5KKyjS4TbZfaV659DW5t8NLPMP7IFh0gBbmohc13jIH4LOZUss6XeYlWXxkR9nZ6Y myaM8es2/RSTSIHPvkrEhXNMhyV4QlAuRBS5cnTfasuymtsi/PgT6H3av/OKMmcNNABbVt4EKXMU sY2KVs6voXQ1h6pasNvsWuRlnAkB1D1qW3ladhjMSAr4qwTd32Pb4+yqUZNinJAJt8YMbuyRlsw2 mNYmD2c2IEarHiX9N8cqpnCHQwRHaV98s7Q4vjX7esLMxQY6oTZBfFkLaAJ7PVeXygGnQjsf97R+ o2shhAxB5eguQGPmcYb9FrCpD07CWIpa5CFqE5bNxe3yKQpX+WKpnKk16M71zLE2sjY/qMqmeuaV lI8HeH2dx+EjBeUnyI68kFAJtQ7ck5/YesujU24T1DoIsD0Y42Sd/wRkuqxf9ydVDJ3A7NpgdC6s CnJTddm6iIn7NO5OpAZo6JGFZNdsTY7SZoadIrsZjUqfZvIPY6pzqnMuBtJswIL35EuGX8qE6Bi+ l80yu23VY9y4Iu4BR1lvepnnc5HmfLrIMA3CvVbdI7fZcKSm6jQi9x8QnSTnooFbV7E4nYpbIZ9G if7qTOD8y/JJNYA3LLhD9G8jBNtBRKnue5kABx8AqVlw+RAS9YgglSni4A77qcyEv9SRD+p0AmsU HzCK8eTOzODgJHqG1Xy6Jzv3rPfL24p523hvIG1nj1LAVScVY4xG5orrJM4GhWgiSbFz+1CYXTm5 T9vsiYHJbWqpFdaX0ZHhw/+1YWcTxbP0UA9O9BSNpO6beyjTIgwGdTKO8MpOodeoi5Elzzt6hn6N BJDkVtS18jJ80nJn1tuuWCXV7r8EtrywgkkIA+aV6V2KYFqoLh+RBD3ukjbDQxTUKWT5rtRHqdE5 gWASh0gT56MmAYM8vYc5PFlW53bnPKIyWVTSxm5/0KoG/P/tK2YJXyllst8Ol9UsxPVe+op69TIn 7mE/dvBbfbeF6f2FI8TbsbCFFMdKv5QK6ZjZdYZ5hWdOGHovq3/69j782EWYhELD/3fhQG0T2FwK jjN44jgZBTtAjKwV5fxyOPi2w4LkJHj/HEgnxEOoaCx2rSe6802T5+lcXtJYCOiy0LLDm5ZJjp6r Z1WAGIF7LkM3SPiChZLuU7yu25GzG+AaoM6jgSoTqtB3e/m9ZNjlsVMs9HcGexiCVopi7V++EA/G VMBd7JXRk4NWgrnPzz7gWVYsTq8Io0smzx8Jd9W/DRzLX8s6MIgLXRsidX/l7R5/fu9TleG1/jGY 9oo6pt4vF4KKj01QAFwv6E9VhaCCX3jkK9z/omeomHIu2gTZJ2fLYN86cCRcJTdq+cVTLjrZ7sjX 3DC5A/ZGo1eP8W1H0MdAg7IZGdMjj5oOMXG1IXeaQ7eHxBudRCucHlf4FCtHYQlC1ebdZbMHGtil y8szgBhhs3fzS7tNIQ2Gc9M46yGM1yWwhGpyrCPoIt4669Up1I0A8KuseTE7SOKTk75DsXLWLWGC fW1/3/u6pddyXpCOzljsY/Kf3A07Bp0fqdiJvbdNPOkdL2r5/ffaI9N1FNyuuCpQbxStF0bz8xsX L0TLikHQde+mctAs1bTmPqpirfq4rhUFZRG7o2S6TFHJcXPGg7aIUK5Q+KCpW1EH1tEy/5sizp0s 2U/cQAvOzR0uRYVa/5sr+rRzUgER08tF/4jtgwOvLL11TaxaQdCgUo6QVTPC29Wvv/FvuX9o8qK4 TuzoSe9zxVUz0U82WDlk8hQhUOt4LSsh/mQvz3m/UVPyhOzuWB+6KgTa2Zkl1oIObw4lZ7jNbosf iUH8WzqpyqDJoF8A/1aCoSNghKTV9QLWoaZW6quzoQU8xReKO/W9MOCGeq79A28x/1fZCC+q+DSA eSw91qS8MGpa7nPcWPzEHqREqnkJyR7k+lxoO93vdv14qnMvIyBZX+xIJoPMK/eYbamzLD1BGodX ydCaMe2ib/p/KmT1XJyr58KQgYycxqAcdHif9+Cqnt031rX2LekKr3wsyLLZANxZ4sNbllRehLKj BL3sIHztBOetmW6U4akJ4y6RrWVLDBk/xiqt80P9jHw/fyx/YenOcZnyj1pjMG3hXkNUNWXuvtH+ pPcvV7kcRqh2xieX2P9T+cux7rBfOjQXCUCAK4fC4jLuJB2TEM3o4/aPVEDrHXpTyzwbxqsXob+c qki7bA/RGXFGA8F18v8kE7UjqjPutX6IfFzvSfZwfx2ZOVtf8+gqK/D1fO+4UKR87d2Fr1ajA1l9 f+qJo3z/ArPZsUTVYFfXUfAwMcEBIs6CI0sAaHyWjs9OGfVtOPCUfdjxvC+z5S+CX7N5Vra2BDUc hsHhMflThKdNu06dKTN/DG2A0H7DAaE1lkgfxvZzRahTICGfoKGOICqaa5h3d/TW4fHRnKYYTlWO WfbJmT1qC2q3Skz+7lRshmycXY7novOEOcAc/OyXIQx2gl50fSPswYds9vlxPxuus1paI5XDp57e HfIMwXgBddnuxJb6QOwbt8KmzDIeRm+Pr102NFs1rD89T9dvPjtxypN61raqa9+rfflGJYZqDOsf EDfnyiBGZEglSr6FSKpgJjVH+Ev7BbsHDYfqnOayNNshTQeisZ3/ssikEo7rsUBBn0LDhTJ5WFkM eXJ4Nd7QJcFMhXNUDqidhDbK+RlLzgg2D6mzdYiiRg5Vru4BDZTdGJbmVyDBQMcF1WL4Fm7JTQN7 sjzmMkCFwAnZKKaBagrlESrQKGAT5ztS2L7/p1EnEiWdshhdc1xqNr/6IaRzgk2bLr9scXluyZG+ YWisBsYMxal1FXabniLF087EGPcei7vKz+nBufdYPnwdXPoZSfo3kuSZmq4+GNBipiB757uhaDp8 fdxocso2yMA+bLUePTu+2thW0Mf0YZDkNJa2DOJfGufXSqbdwTjG5OmAILVTVJkhFHvXoQ/wvnRG e5hb/RHzpnVrs4PVrf6jLnvQ1hLy8MuZav57920qk47ZMw+p91mn1pZBJQmxU7trXjfV0tPaFOlx GqPnra/09mPK/y+3qfgkr4sOZzByUYMimMhGDhdKaoKiJjy4OggHDOTkgT9vavsEM9+GnX8ZZNiJ vOLAH9V/xkkvFP1CrUE7yWApqsUcFC4cS0hesNToG5/YyFNcEfw7+dMLeQQ/kxtWf93lDCu9w9KF L+1L0B7fuFPdX1kfPzbb8mVP+K/Bga3mKLnflsaJcvQv8T2WnfFex2UAWZOMGSDQpx7nlGmIC/VO 0NITq3rfJZIzifoyx8SfN+RqqRiC4HHI6vQb4pREPlymUhkDZaID/i8cXhkO50LYenxgNUeoGhpr 5Hvg6OhxjEGEWtsUZvQZo/yi7+XGaY/W+75aOv+SDfGB8WVdT76WPBmVi1nefAb3YZ+u2ZOtvzPy ZHKPZjLISZnWaUdFsc6QWhiQDIFgQcCI2ulxG+QbzEb0G90Bf7wWPI6RTzHm494J9PUz7ZNvj0Y9 ruliqg2gRvP0RXtu0piSk3Mlp6IGl+ZLZ0RNdrKidEcgwKLzqpr2+xlDrbKPMls8YQmIoa7e1T9h 5SJIC2TbTKhkkmzebTzJBrgt6jhJru450DwiiA3N7a0vOIi8aNSE3gVqV9FWYev7W6nFGRk2yU2I zvUFCF3lLog+/Uxc5iZLZyX70NsvrYaPnMaR7DvY3pdEhX6U73NHHvivL2Nxk/JDjgV2OqBStvgd rY6okNaQAoai4RZg13ncvGZxwz65+9n8TWS2DURvd2GBwxnx44R3vkLxcpeTYa5zp2Zsl37vaGvK eDl0nskAruyfaF58I/re3th5576zI5IVVwNBPN1mJceqlMMRxl0Xh/V1IrbYAiawqjGsb5zjkxsB tycVEvlyhGxlijJEGr9xpo7j0vLTQ/0n3HT4w4vVgnQuYBr0rdk7RfWd15Xtl1QijIXuKYJXqpNr 2QupWF2gCr+LKhVR7BzfDx3IGoWuELCRUVhViyVKWtVUhEHmTszj2HSJ6TZxOFOFHfHNYa2tJOAW xBhgvQW4zjoSXdYWDIx7a8MtZ9L2/9od51aI8GnBJq1jPQR0ZOviNcGkix449DcsogBpDNEtqFBw xY+Gu4+pJfu4aEmvbrS1yD53yjrjPVFv7M+pRi/Ed3PfuZFvc5VlGFl2HhZDBJh1Dpvltl45ul3l HYei3huMuBLXsmCcmlDE+5SqH4Xi6RI+4uW9Yabjo9wf+8LfW+4Wmnm1kXg9VEG8SHB5rwhfuHwl aaHpWNN2Xp9yFZztTGwPHam8lNct95vPyvkFG06tfZ46qVGXE9IUwa0y2eFSxWq04CkKVHaSXwEO pSOJyv69fNlE9fs/gingHGXTIzgflpl+VOl8jcNuHut2hqv6jGciCZilbs+1Z+bcdb6VwlLsr4wG C1XlCPTmTWtCbN/1TkZ4jyX4OapSoKdRwyl6OZJ24X3Ot3qEZrZC5wjnxB495eKN/iq2Ujkt5jex 5CTnWFpUTB2JKmxmLbocwIptx7TPs9qf/M/IBIMIHIfX+Mw4wTLZ0/9e+HLyQ5Rd88TjCtZr+noP nAc1lVS1j0oQK81btXSaiMR8kSosspvTM9sT7HYVntcpzg0I31BF9Wk8Y0O8KdjEazrRKaOC1Hye 5+lqFrXojNJMs2qCbCMZ0NrD7IXx0zAGGWVuPKQ2IXTSnXd6sEXXXEyJpMq5gdaRBUK/eFRISA/1 MTlp8d4oQzDlEX53yOgvCPpK/+jpz9mcAG+UEb/YiJuwJXnUU2kGScZorR3b9UFH9aL3Ms2mqqhW wCw1H2qY80DHtNuJTJxa6Vj2iWbGcPsYZP6Wq+2nAkPvmTZf4OWsm5OAX9Qa1xhV/B9AsIJVOOx7 fodfTCtn4Z3BLoVOa+cuWD+u9ZXkEwKMeDCNytyPV+SmlgK9z2ucfweNVD10eYdO8FmhRg+J3x/L RDMor+Pe01Jrq+vD95lnhS/rgNnoRwlvXEgOHx7EBnsZOuvKJq7pTB9ci/FFNCg4ow1xpzBBxY+U ofIokCyfn7q89LL2mcYdKcshthubMcTwKd1ptGmwPHX/qbmEnONW29c9HI90o4NkSrI+Bn7POvBJ DfcRxMR6XFYXtz2pGSOBq0s7srjnkZaS2wOMeeYmq1TBBa1H+QcyXReHqZjtRl+pAgWqpAAHNwLt 5atjuzrwm/1d/diOSwbscWQg3S87qgJgFVPgOX5RgF6+ec4I1JcaTHwfXhGGy7S1CWyrIeWV2Yk9 G98sarZEf4fqQPwa188NOYiFZNzJv3mjNF6Rh+g1uAwEd3EDcw2d2Jt7K2Fm3j9bbSD30k29nDHl czgrqAfEpC1lHcLVqMdClLFBYd6faMGmYUHyVH/IOpfx5mrGP7AhCMpTKpQ2iFicLBg8NJwmgOtw wyZ3N1m4kvZHlkfQ5HBG/uIb0LKKRKOxLlSM4X777rlTXz4mH7ZS/66JoibDGN5wOWqalDpvo6Qh YNco0/fEn06XdhPfJqQo6W6kcsMit1Q8ZMWv/30lJdjzrdJeVQqyBvbt8JpxtZnbrs1ojh1mYjbS IeYP/r4X8VBBogTWJS11kkhcOxDcr/9X+4fF1oi9erLfQuTvwmq+uv1QxyNfhQiAPSb1KXL/Bfbi xxCefO5Qi1Cojx5SFgg4beMPeFlluGZerhnAIjiW6etM7gNRex0T30TDUNyLsqta0ywCUidLU939 EqOLzvbtbcZ0wcmXZtRKYju1fTHZlVLUBrRUjdghJttFOc8tMuM529s8HlafPhpgXPaiZdSQlsZI PO9obyVvD8/i/QFN7GifEZ6IkR+47ESCTmFqKWOeIJr6JPVI8FWC6xZxZyzpK1K9EieqIUu3HpXG 9lPhKmy+ENbXWJYsFmbX8nfsO8Nit6yKoGzKoMF0ZyJkHGIh5Q6HiFMwOZlChJfzXgJ9Ivoh1rCr cXS/hR8f52p7djh2dWliM845TFJrxfRZsEhcvLobc93y+nDsA9pyqIaWSwP+klo8f2/SPIIVtI0C Gkgo8pgdaWffuTzu/6vfahzZR6QlManJyAEmPeiVA3goZxoXCW4Ptb+9cvQqiUeJ23yEaExAV5au 4uxxoSqKFMz4WPfoF/uLE04+zY0HgcnN9JAcZZ94QYeUzvkNxMnIYO2wvaSw2i4lfy6Z9zYVKPsJ wnIJAEVBoO6hFSe2qIv9QvHN+VgkImphKA70WIta9z9QxUf582lyz2UZxsW8DFvUKKp6wHR+YOdo jbUdPziiB+UXOWuZh+vXtUxqDcU1hFaEV/DalYJNKrmV8PxGzcxls+aVsie38WoSYeCglAUVp1rM NQaD3/7frn74ejPTZIbanDTTleAeG0JqlAu55Ty+LyeI1zMAfRd45DbtVFOWN9wpgB4cThXIUw6F xbny7mbf+XTfyuGpgwnmIBjyJcU9Bac9BuM7eO+pA98+h1iyiLvMNJQHg9xEO3+QDJdm5DCltYBm a4X+BeSl3WfSv1nouwFTA1EJLKQFR6McOk4rZRW2N14mBtv2qvdBCPx7VSuaF3XnBOcJrWsE1xIV vgUlan9spt/v9ymNxIqQF5b1pbtHRHtOyidSmEaeGXniImwFNGKUjzG4JeyxyPZVvJ9LmXE2WXRL 5FnOsi9K82v+zqEkhlC9MBcUSj5g2K7BSPXae4TZDjZ3rCRMRP828c/pjluOnX+F8pSfIH9j1tld CtzLcHaAd9ftMvwNTWEmr9SeqZ+03ZahfBpKRah4nLbXsJAG0yoILExevCsWMi9cxwJQLA7Skyh/ QkNj7IrfW0yD+AG8RxwQaDu9VYGiBE2WZITAudbSlz2eIruuAK83G5UfrMmqL4y8wLed1fpMjZWH latozqFQdokw8pX2NKu3cELYWnetWaQP9iqQUQMAAyiwK0wG4BqGgB5X6CVYKHZajFSzO0amBdbB MfpsiIgOjauHQaZZRcRBzeyLeh8AlPwfv+y/SBVAEO7BcKJXCytbla/0o7VSYyuw1NUkbe38gyU8 Uph1I9VUO/X4iHlG/hEqw3P7OQ3U9LasD5kzn+bULjv2UPmiYR2mfB51prrVB0fNcrMHPFnVzIqK E0TKX1Hi4kARc90u/xeO1Z9zx3tRbaftn6E5s6+BoIS5nVZ9XucDHE2znEoDtdam+iwJ6jjaN+k+ 7FURYWhzSrxtWiMMqdUNd+gsrVb02OAxLumI+br5oPXvWEFYQlXayF5FCfb9OLBTcvPJtzh/NJ3U nOQbuaUhYV8u9WVlZd7xcXXU5AMt7G/LAGRq0gG24pX0umY0vFUzw7XJ5sGCHN4u7il5931SoqqS z9XRh120foMeI3KleRoRUUwRR/OXFEOJXiryt18S2FzZjjLcjpJubCHccNdIbu+0qkM+QXOhF89o Vnzupc/iR7Lp/0RQw+hLFlsc84reW+IAlo6q6sZFWsVwO4kGpq+ULb6ULKZ5hF1Hxw7ZhYqTBe/Y OSbQFOzlEh0tO/7Tni2mRT9HkGCN8yCzFzNi7/gv6Q4kr1xZKAYgg0/E73eomS6jrWU35veO2mkv vprLNLDl//1T9G12msCk0On5SHByaC9z+mzaoYkkIyF4AwjuM/XaqMc8hrwdfm3ISgqPJkdLku/Y yyByJislkUopsfZVDRr6x8oQkjIk2z2KPVD3hUYrjQEEEpCNIx9drd4ZkBa2gMwp/8tsPciG8Wqz 9RJbbZv7vQYjE15KGqo9FT15kQ58bY9xZa4CbqmZx9IQTwOS/C98yxhwwFFHXEo09HuVjqGj6/Do lzRef0HEMwBZuHGXbTc6sejl5pd5s+5sb1eJTHscAHYj514tKdEpNUkL9T5q3gKrYWAfp8NdxXuP oex41bYynCWwr56YAJINHukOwwqdtBep84ndAjGNpzq6l8m3aHtjtNXQLd8G944LWx1Gzfq05wlO 3QybNo7v7kQ0aUqaj+r0zT0fwox0qEW/iJAj/SnGWEsbHBm3W1Tyghv3pIdiHq73NRm5EfMwwSsA QHwzQtsN0xPwc7RTcTO0XKqLARepVHPAUrDnITHmeF2njTbyRruOXo6eu2IWyiAbMn9+M7bLGz1N NIlUdvfbDFfh8E0ngZ+ZUAjeuSXx4/VMUwM+ZOaDKJssfdCT136d7ZHXentUBF9x8/ka+u2FROSR H2WZ9XTdKfreiKNRAlZjfHky/KFImg3oouhAJ5DD54NoyVxlduej9CZnza4ge3bpGSAM//6nvGzX HLJD75MBQeN53/cm5pge8v6iOvXAsNVdL195RTf1i4UNN6VHxuQhTZyNGuigrob/owjYECm7ZfRp cf9RJd+lpQauJR7ZyLrBXZXgvb5ki0c9j8ROOmK45LyWgYzkuIF+5WrO4xuAfP3/JKwP1KQgRmTd ttIDDcozIIZG8iARShlvqpKpWDy9N7b9nl+cg8e00jBsUDESXeHKorMc4C68N96ZmHBPn2pBxbo0 H11oQlqmV5kRXJh2ENb3stDmjO92j19IV4+9Ta7oYAP8F1pgdbxm66p73yVC+YOnGFbMBQ4VuM18 TeAXuQ9hdRGsfwWwzkFC/ZkS0TINHU4QTruaWsAdoGCUxsijSTiWZA1Z7aUcdwV77GI64inc3qpp Rav8kEKj2J0t/7CpOBYjbRfwM4qhaW24JNfr0g9WEbeRB8ujBx3Q8+mHRwBhc5DCH/Ie958p4aaw MJr5DEx3WcQERTWplk9XBhevEdJKNIg7964Rg986Ozoj29fdXtdXRJ+HDDtzKlTHMQ85BmlPNKkV WiolbozYJWSM09ryFTTMCh8c1WfD0HE/vn9T1R3E7noDPKruAHHoE0aRRYLZ+bPZr2G5c9VAlP0+ jCXnBgHBdEvpVKPoTqJ1cJ/bAWlX5wtRz2F3dWHsD19RJrumd10kEvlWa2XtkXD01Q+ZDaPdckOQ 2VlfgGAw0+5MdljPZw6+Y9Gi7uLo2ugSniL8It/3cPytPs9KsVhZ+GTdKR9O3NmyueRkpoeRBPJB MsEOXGGvKo8Hqi7Ywtg9T5SbhQlMFJIYIiV/+ehIYPtPvHU8v+cZ9up3eWvKZPdGEZQrhs+FRaPV DmRX1Gtdjvoyhf1m2c7jqvB8HDVS4TMetitWDo/GmklyboHnyOWG18eQ6+620pgUcWyOT0pS06+C GhlqYvwsRFSjITONBLlWAW18iFsKvMbq1p0W9j1IWlfHvQ5IcLNOe3kIO0ZSp9Nd6hNr28NjSbpw HMccsXDTomRxTyNdIZ8KYNDDjYlx95chcEmKMVfPeT4THrceWtHDvVX34lC0bbrbcawxCPfXI13s xo/awNjHIzFYjKmgrF6p/gJbax/9QbyrSsbObUw+vwUw8Yi5p+JB528NiNGg567fd1VGPuUW27eE InvNUw/ppPRLG2fZHqVk8BKpsk+4uN0lvhijNlgUfCjrTnjwLmAWE01p93+xdRjk3nKQj3NuFHUI hBLzjZ/QJC88YKuF+up588pEa3E4QJ+VMw5MLVkJl95YxQIXz7JIY9dbf360bXuLeP5MjbCV+xMY S+ZswK10MSSQihllBr/4XiUZ/hgjmrrmXzuOO3ydwfq60LCfJNf6PvcFO8xzSs6axMVWyOGXvr3i qYbkFCniMRo87bCu6+sstdWlxKmQqDXqp4KqUtXWbQAoYWi8cR3Zpc/6Uqq8oinbjyUDVcPSW0lv rK1iqu4PtwrMjDZKQ6yFjLD+OWVdRmVgc/i/W8sua1jDOumPP7a2xpaReZXnFzhSBiY4H1KtPaYp Z5CmlrIApQlmr04VUGcsH/UbFhjlM3Ew/SsqztXjYyRWYb7mx9D5wc4xUaysut0lI+44rLPU3xTG Y7SU4aTb1R+YO1ToaB5cbEL07K19jFDWj9xz/aXztJrQwTjyu9whce57SKcxpgSdlvOiTnhaaLx1 YXyOT+3W9ONDvfk2aJEFIEoSWKWWdjwewA8kQ2f9/7eZY+UNifPw213GnJIfJxovJOEVci/PDqe2 +XbsU68XekGBWmZv55c2Kh323g+WD1924HMDkyScJ+maeQdv5jAFLbTDqx6cKVdxaxCYkRp0JCX9 AeDz6hxlw3GHAzRD2+9wK2C+zsrNxqdj5SW2g4at/moypqFcZwEGgcunIGOWXb23+N6BHu0QvZdX EjfQW19cWfvMqlJzhBuy8XeSS5x0SU+2O0ED6N7K9Zo5q87l9Xpple/Wdf/ilLXfZXyrxSJl1rQ4 fKdsuMCXxpUJbywBidtMmp6C2fP356yXo5pQsPrcbIIywGT1V/84XBx+K7Ek/kjE4hprXDKkjG0B qF9cpwk1gFiag2p0ZPOxlnOT50ICI+8vGFXXUBcH8PAFbZ2ksAqtAAb94CAESlVu9qKLpTaCV9Xn qQvCJBIt79eXKpKfmYagZ/ujnG55yxCuLBcLATdEO5eNa6xluAr1VDgBSjOhapFJagzDrUFTOqnJ +oylc9s0qg5jCwt56YRNXeQRVgw3U+qkEClafwxTT9YxmdwDXlCL+dl/EAnp+wk9JzVEvoz3+K5A aaHwa6hXp65ukoY+ePgsSwYEN+Zo2YcOmhjdsxFECbQNTtFAuSAvcSJlNhoBfBYwU/rD++jg7mSP hTdPFv3MyVhLINtFfb3mrXOih+rFNf0OxVmw3rgKwiIgh4kRc/iRfSYfa9b8+u4bZj4k4EFo3DfF WRVlGY2t+Y1iSu2gfWdIyNbWkpclWrDWS6UV/Y7bHRbtiAJz5NZkIhIZ/ZvBT009DfVCv09jS/kS 7mUp4otsRWxA+HsavE01edsgegfIDz5pMCukX6DnAl2r/b0N5/+7UxQfXweIG/EzigGREOHy1DFM LVWiMv8ogiRm9FS5+fu5Um6hCIuTqMNnymAizeUtcCTOwpC2OFRRZwRv1OXk5tV5aaYPiQqMKfZU DjroKuQym9ssIq5tkbd3L0qVaEOmEUe0tgMhTKsdMgHgmWn2qi0Su+xLyL9e0xJ3WYtwYogh7u+P j/ORvskfqPrlbSOdGf1gwTVg/EaS9zZeKNoo8WRy5Otduy15lUgw4XE/uNTOz+KNPEFVVb+ciFWH kz/wIsGr3hTy0VrJFjAF2yhqXAYaGAI4NaM3WJieotYa6BShoz/Hi0BD00WYQTkmNsXOgQVtw3M2 tgJ7iKUYqME7/3B1Bk9UKL7ya/Mh+IijHlpD82Gq98kTY+wmIhH9fyHnitzOx02ZxVrJQLoh/T2r lgrcfBFpOJjIHuWNa/2jym5/TWyelm5CUtJx4qWGccVIM5fbEnpnJl3K4s5cdxuDH0+kKugL9TE5 e/WB/WZbME/aXz5n5ePsyxUMw8YSuykObd+ctKYxEPvwSOZezvgNzAF06KprCi8GpNbESdM2UcGO rSlqBN3+tRkmZeyJNe5ui2VpPt4/Bj30+9pR8o/fwrpotF9uowfMpggHMxjLTQFj3BLLZu2O9c/c pc0vjNHRmnj3UxoQblCRQc8MHPZYeeQ5VK5cqkcZqJztXPedOtzoG4bPa9R+pByyq7LGfCeqLScY iBebdq3bRYZXWHYaTG94mynKR6/a2czTrsndGvgD+OP/C3hNYF2yTvEdkJHV/YX+UDzwuAwrlB53 nnSXQYClEtxJva/4KAZuGVr7c+8J5I3IQHU3oUL5DFmKpqKYGpd1mYJvIFJvqYjY1YPU4JymN9Ak OMpxoDjU7cO0LPfb7K+xcjLg5M6yHM09Vaic85p8s219K3DPoIqw/dtwUZzSKlPMDKYP95j6FA9J X1IF6bZ45mrQngJ8NsJFOxhk0KNI4BfhfRrTCq25vVTDrmcuwl34nu1rrV+Pq/OhH/cZWu4r+XlN BBOmGymd8LkbIvWFAqXjTZILvefs3DYWRtOBjrIk2nqGi2Wwa6m8IQR73KOCCtlvWir7D3+RQoio RswTERgDAG/hnMZEH2wOKPIYfemKL0DpK9gOm7YQvjwzoMPCmhpDwkX4n3rBhiA4fkWj4YGoKbSX F2gsnHDZVsIwXp6NlElMz4gpWiHqYp7e10QGfun68tbQOWaooURf7fAliYRKp/QrCaTX7O5FkFxe XEswbSOBlrsIV2L6f5NgeUKXpXeIRtDGVsAGy/kARH1GElgQfKb5qMDafAJGW09zkoOMP/WFgwOW dBvmA+xWjzWiPuuHZ+XdLJM6kKJCeQQFvCS0Z5y2raIkFsT/s3NCCvoWYvkfU+vzopTGALmwhmYp WG964N1Zitni6WO8MRCE+PBPwieH8AY+CbUHrTt72hSyUe9NN4GWtOk0hyyH12avK+eKnsHmA+Nc ftAfZB27ZCqyUwIklHiCGd0k25QNFqf0X68wvJwM3b2DoHR7BU1Yff35QX3Qzyu7uDAkh7N04ad9 UBiaYhu9uzFP495lXhDNbUakybOPi+YXpbHZkAzYFvPhUanZvnFf+tQ3F/yuFpELOoUpJNqdi1c2 VX6Y/OrJuRHTJsZjaA6cMkvc7lgG2xzuaL8tl4GJHuy9nhZZSIi5QcP5lNi3wCbwko+ZgKraVpQV xP1jPGmeciwnHZw6qQuBI4Fejo7V5INf1yL0BlGzEC47JLsqSNNsrKcah2MHs9Xo8nMd5g9+O2uX xAYlvmlj3qnnMtbKZbxPNBpWYa4eLJzfFUR4ZP9hVtELn6vfuHnQ1e7h+zWuIVYhD364HT108edl Wi6gwldF7tSOcafi4vy7ZlaQt9XynM4Nmhkh1wtv6Dl2iKycRd0/lLxw8TwrNyGx77UaETAdhedP n2nkOf0Hh0u2h65otC0FoEyK3TDGQlMm+KEPhJk25WDD9F9s1Bib/PAPXvuTAYTwa5xhwRYjUbvs R6cQo/locMS57gxcBU3FbXoaUpfOAEisGM/mgsQvK0swt5oyvzsPaqbBjiM9RaYHREbto/9NtPVy pecKdcMAzue51rjAb3q2VvbM9jSmXSqsGMKzah5VIjvUZzW68a8rIY1+klIr+/p5NgZ/T4t9pQ4F EWoN6wKjmzThSfXop/t5qy3qdLIwRv945P+xyozCPCCKR8WowrzPF9/ePvdoNEcX2wxOhppX7+Tn 0BK1F2jBHASj8dNlRwWyApj3KjHbcflFIYUSy0FRvmpk74EEIttA4WcfQDAJMB95JTZmeIC2ztsr KK8dl6J7NRZ6hmytTqMRDTnNvzWdvZc2Y3uNnJjggTru2T7qk03zEBsBAT25CXpyb1RhJzp9AefK mvfkBmwQlnvHNObyzrvF+ZiRlqXIiJ9FhV9ikxODlEaKX8J1V8MKg47BTxIXCD/yuWrGRM78pby9 nxt1cUXNiL795vogm8b6dRxyvWwr+HLVBUc7pf3/A5aNEuclrh/v8t0egu8aGMSadIfCmeHLzJwT NRpQ5e1kheMHiUJwg40k+m1FpiJ0sYomU7eg2a6YZX4qhrgjiwcmx/l8E/7+bNE3poDXlYMqWbB7 5kYdwGKjeW6UXZv+mxmyi8QZrdlfbAPcAUJrts6CVHbw593m9fTvKKUGo78GUG+DAHXGR/nIbBJR aOEimTL98seeUYyknegXtZLJOg3ova29R1s2gngYhhJCiJ4dsZqMKRQF4Xk7hvbNmYeD0+keNsfJ BkPIxKgsxP8KkoQwV/j/+F3yE4dVKGM+0SpUNyICj3/LbB/aLG+GwCaSnIHhHKMM0VZrmqt34yQG yZ9PgiN/0zlTNLHNKw5V3WAOA/Sqvo/lpso9pqGE9247FgHidPjc1/orvCMtkjYP14DC7DW98W3C 2vIgRTGgTuVZ1Q/NEh5W8HcHc7ULpEi61gFXeVdj7StFYJxZXUmIRGTPKeD18EtB1dTP8aMaYWJi G+1trJHEjE8+OReZGQaaKJxUjLtRq/I/YGVkVdJLTvTT7KfoIo6GLvMapW2t1JlSuS+bPBpgpoqu WIhumA2iYpJNYq64DQMvwMBk6IvI34WvTA5SYYEeKWx/ryHGiCDZdhr232GUQOEWGJlkoAqTqpY1 YIVbsHsWLWSFsQxD+5UDdFOgUEfWkiYbeEBLv+MibN0EpKaQiw+oawAw2uwE4XHabDENGaAXaLy8 Hf7HrBjaWt/OhzCbHefnn2beutm7Tx0zcCEAYrxnYOqnY16F23LrJ6G7XXQ5IayGcDErwheSdecI SsibENlrWh9jPVc6lGK6g4D2KMjNVqxQAa+T0+xKU3M9k/96wn81rwzHT6j5jjUaqIXIdoOvF/44 fWXOlwE3TZETXD5cHqpLy6igMwv97mU6HLMvPhfkrvuWRCi7iwfOtKEiz0mVpggx6f9PbpCec/zw qDH9zlnmRHm2L0yiVGoJvrjTtH2AcPsKFrCZDMQDpJNRYehVBPLZjrYaW6VWfSzXXTAcBDNCuIRe Bj3FEQyTGDWQnF57yGbdik5W6xsXdp0gageZQ8lOQUeVYtUa+J0r7Unlj9Oq0BrkMEbTew+kTbgA 9HAYk1hsEbp+p291fhe1YSDnOGAP79wrehqCVWnC0E8/1F7LAIHwAQ1CBa4LBCpsYgUO+8dLA5cB GGGlFiEYDRl9+rv0h3U0zzk9Qj898MwRYe9C4n+nZYmqe2zC/ZVfwH0JEb0BV3J7H8ThMKYrI2/S NFA5nccauUqsAH9OQ3w6js2APbaLdH40PtO7KXCV8x5MFRIZ02iz1wjd6OqNws6gBKbzPhseAIm9 6tDgXQj/OvJE4xUxG8xGfPVzXlUQojirXkj9EbhR9oaJ+DCJhw3Nad50fT1lpnP2z403xYAQLfIr ssrqFnkRGlt48QGZqHjgPvx6LCio9sZ++04KGSPvVKasMoumdR7cEQIiL+zvsfMLQ5bsy7vVr2Op 8cOSB+IsUv3tky9tqdxNtdf2Kmwbczzg5xpqC7I1PZ+cZfkCvXTM4ad+jVk+bhtelwU1E6kB8i0L AQTC55ijL8gJYhoPlPnmmlEu3qL9rHaGDOXjUvlC0/TQN6QMHfRwnYMDnge8QuHhPdZ0yNDeFurx I4/SdGB5SMcfLWCHvTzxPF1cSJUd3C/iX0exsS42smBVMCKxJJuQQmVQCANoA/IqfADZ9QuIO6TE 5vgmh4p56cYxv7a3WbeX9tUr5NiMxBzT95OtAz7wwZl49xrptfDupEV8f0s7UA3Nvv68iP7XTN/p RNfLdvnhvrMyRA+avvRtp0G96tnOhUGox5AZRU3H8QvwjkvjhN5a1cTIKJXcB91bVD+kF6drXcLe R/ouwoDGowbXHlr1VogCs+qaMBmgq3R++aVYlYSxQKdTt/CjG1+STYHlANFC3pICCW+BjnQ5oMW3 qZHdesWOtHie6e2/9Z8Fikpssj5mQQNHnVLCWsR62K5K5SIFTmzELrsUX5OFzWZt19lwmCj8oKWm Nuhi9GMr0ng4TLirT114b22KTSfquAWnjZK7fKVbHazwShDKSDAvUYRj5nbYJ0DAX1f5Rs4D7Sez S5cGd0/UHYZ7J9Q7qX9CUDv8zflIsRNcSloY8xdOlumAgeDyKSGhTs4iRLgSvBlZ249qKcbrFIHR /d5pAKG1E71JUZFh0v+XO92fuFWPEp6FcrtfxPfgGP/A7a3kIujcZq/rq6Im6Ok/JUZYW33zhzDZ ULNrGg2eExU13HwnM7aLwsqlpxdshCoRRjTyLCj3vKWJ/17xri/29uUx/pAbVGfW67g4A4QvZEZR 6MNyuAmhSc+kPnNsVTBibGFnZw30KllsS8hWmRMt97ojS+a5n0imywSLIf/OzJfFc9eF46xgwuUJ 7YA+1AB1F0z6bQ4/G14W4qb338AhyQQgUVPsCOe9NHPEvMFrCW8tsu/fW14iyMU4vvwzhUnGoGy0 TSJ8NDbgZdKh3rBiLjWTgJKZvXlwNqPmJ/7Ty0kPb0CHuzMkXFiUkpaWkQ6GU2r85xXwAZwTetiI sn3mVkZBVMPVRRPzrM4Rx20GO4rwRPGNQs97Pg5vubMqJ514awqJyTO97Lmn+2Q7vAgpg4dAvXFj Xj11MwA6tpMEGAUnc4xU7xFiN1p11i32H6zEmr9WUxYMzpO5pG2eDwLI+zw0e2KOAkaCsxV/Y7Gr /z10qyM5MPTl5HEcd3rBFfH2AOyiS5U7VyUTGBz/FlHekUgJZZFvnusQLYWGerLgU53efpMnt/fu 1NSkvETvjqBsexygeYFCMJJsTgB1pCc/Mk1zyokEMaeog4ZdTahtZVMTIF9DtNWuCgTIYjq5VqoA dr8hzIuVpHUXpvoceP41Ms/J5c3NeQaUmfL9O5EKJyh+E2KgG6zOLk5YZbcKUcLF95Op886u4cpz o87zKsWNBWU7fBlyrBm6U9W7OE5Sl9g32Dv+85GAT2xj0NaSJLLRvFa1UiMhdFwsDZ1UEF/if2UA RcnUG0ExnMEC5BQ554qxJE9QBS91sfpmcFz3V3JUrh8CuuVpbkmO8O59wHtk+tBqVrIF4UHkOQV9 VBeIe7AQ9mG96z8EJ1qza2E18b8FUj9revKGcCvjCuljuciWtQQ78mOjPsu8rJ+Y6qKHOZKS5OHY zZLGJ0f6Cpx2iMEYNIHqxYdDOX8my4pnPhTgmuVXJVH4y5woxxsI7jAa4n/LqOVEIXgqkB/0wQ8D u7pDqB4HU6IRIUU04RAmuDz+pPqB6HdfiXBLoZc7TF6DcYI+3GkSd+gMV0U0f/7q7uZ/y2KsGuZ8 50rWU64AUsbAwTOzwY+0NO8CQrMDpCDUyP9rKyQmmDo0HMpt+OfSnQXUXYipMaMo00xxcc49NDNg XdDjFAZUbZ0FweWu5liyfcednaugbhgzUQDsQ9nJgtBskSbXiVwHX9YMCRLqArJ+9rfjBOehiBBW bhb6w5QWAlnslDXoWcbZhGpp6Pm41oBdjqHqE3pON3mIWhltJQxxAHZ/2H9UoGNhoAdNxJVJEv4T 3h3wtUdpPpshODBgRv9g3Ri9k5Ez5Nx/TziH44fuLNO7ToFYguV0I5CrgrMJ6u2rKPr4dUsNx+DP m65HH1JvtioEokv2XFkFcxW0cG3G1ScdWJoDt2PzqaJpYVNux0g37wVg27b1MKcAH4an4F3aZi9E Fhuvmyea+uwHbjGY4bGmYu/igQ/1TE1TIEOp4tpw0p2Kg7XVO7ZnSbDKsdCDC2/kpq5O5x3VjCBb SCev/nF1GrtAT8tJFEVCtJJoEBP7BTafMv9hku8gNglBADIdfX6TDz4F9rMpkJTF3muKxmDBJPJm /ByC9XwcYIOOXuO8JNfj8bd1PZsADBPkpBOHiAQe+kdMUrXOtDuOGYF1gk1c4IDeT0E3F5T9tb5L LLh/EMam1hhggSMWRX0lXg2S65t9DHxgSOLDBoRB9ecGWSWzzX8SdFbhnPEa7B6b5qqFkERvwLLf Kdr62kpkwS2YYGCOJZtTaTm4EuMpp8AP3DBoOD3n6T3OzJkqR4h3LeQnsYHt1y/mBeCAlLv4+VqB Jf63ZKBaGyJbpVkMU5RZmpU0353G8EIb+a7QU6FcUhFMizJ++71Bbh+r8I/w9MC1uZ/MDBwMCci1 MzTpL0WDKmW0k7iOfRIxZMFL6sMW/tckpzmhXeymjeRhP6wW/rLy9JcYyG06JfI1P+7p9sGyGCgW sbMY/TAlC2wiLa33RnuxeNM98keC0ZAud4f6eniagft8uds7uoMu2TyaX2yrerq/sjvrBVbzDlzb GqMM5tOh187WiXaheiAUM6BQ2m9ZqCoHqjAEMTARJ72RILWzYhx8NrBVhnI/Xd39BYfbnFR+yMG1 fyicOW0EJ71NYjNsukw/OxBCksmMJWOaHkVVjBWKbXnfSWXZ+WX3udUB3UhAP5iDSA03206urqGB UWIWvZ1+5RQ50Ujezc969tznwY1qyaWjra3gKZwbRYWHz+AOd+6DcSlIql/8V/82GleeGOyrmMjv 1ONZvr3tN1PN1HsUKm3dgocYbBNI65fLu0qc/CnZrmgSeNpts2G+duuGijyWsqah+pkClNzXR+Zh T5OjHQaNxXc+8JPDyAn7h70WwYHYqfIFOzlL2EkWjcW0J+0kQPcuNsy6oldrxu90MWEoau5BPjyj ZGqSk0weFGOlCP1xF2EZFXCAhSfK4/TOxiu0Dvn4jfb85MpoiN+hMz+GiZca24yAQAXumC+XqBSa Ed5w2O15w3mgEkSHbi6Z/OayEHcQ+Y1vl5h8xMQLMwfIAWtC83Eruad+kpxu/gtofxXqEuci13x4 A6O9/2r8DsOGWrvFLkOfJjPp7y+TGZ5sROCfZcICwn0PieFLmwla7UjM0aOkU4S99MgnD8W1T4Qr 19KdWpN3NRMenzD89cQ7i3yBhAqrFeAJ9NOQoHD0ovH/36Kt+28QTGs7qNR3ZVomtzgybnIJ1vW6 WJeLqYLcIsRs5r22nGS5mEZZG7jrsAN5P0ALb4uwb4s4dwZMLZb7ZVHUko0jktxm+yVIez2751E8 cnqv10pMwBEg9MFHVSJJJV+fxAVO0tWCsbH0rY0Hl5Z2vPt/3dg7IOk3MOVTxckczf2q0qQ/JfwW OxCJgOZyiB8u2eleY+p5dqkc8B7pZ8OkwpzciKg5DYnbLWPAFxAQIt14afQtpKKjnX1iBKoeB+sW SqZP/U3BGiLCGANgG/agqdfY6Qv06zWjFS/4remjtjp43I9YxtjaHoaGVGbXFr/hoxhuuitG8UUA IbB5YGWH0vNN6YOE59tpSN4+5qCuNvdYN6u6PJuEke9LwWtYRRr0RxjJwJxQLeXbca6fype/VHEI otLWdxOvqUct6/iwCUuFDGn8//85g8WUXZ5nqUMhWK9CqLcCGRoebJ/ylhCSPOsLbjhYj9ne+UXd 0fnSsTFh5HRkKL2LRW6LGKj3tIkv0k3z0hozfkZ2qpnqof5bPix60XLofh83YUyJKmnN9kP5477B CDV6NfYsw7KEyv/7qJTRkjvQ6d1j0DGffFVki8a/C08CSL6u8lT/JeKKfWcepVQdIPvn3XkBBd/t mzTxhhKbVjbBdOA9IszbLDAlWmZySYHipQRDHRbH23FILLkMk79a1JuJHhzi/Kf2LXXapetWKA8W 3lmyuQjVT0LusZYrbcHWaWO8AbtaAySlfluTXZtPo/QMR6pKoys9fyoO3bYHNmgByfP6ekXYAjh1 3lP3GU3GM5BvFJTW7qqYGRDmJwQH7r+o4karh4jHA6Dm8minx+hb5rbGnDv7j4Jptm5oEq1sWoVv /SLslLSuLH4o9ACf4mIzDlW7k0soXOPrQ1jfRSZdbe+jux+8d0yrCVojqjOkh7vw7Oyh9wWlL3nV Slu0eTTHRmQdiJVPcZyatmA1wvuSl7XK9H1XyUiCOsyQDF+FXejUrKmQdkXPd4EQVo/uxJfLERTN L+Q1IU659ycqC7XbvJFccRanS3/iwy3Cm/wUho2rqRX0NeO6nBz76kEGHHqbCcD5X708+2aInrgx 6vQfVYywQCQtCBHKTx2RVu6WA0d0+jKguEAsxqRQMvKmO34OmYWwTdq2KjNwQP8U04ttKnmshkE7 1zugGVxSQp0gLRpWOpzGltxVCjqmOdJosyiKofGwfBMb2JVk4g7UfJHuzE+xANNiD7Vl8uBIhbSA RSahgmSsp8IMWENeIMoWIB/tLxID8JSk1ojkn+Dkw9y0hlhXoMeY1Nbm/5JGPojODUAdrf7nmrMj +VmgluFEx2PqoNtJak3+8IjK/2Q5mgcCxtLuS+4rFoQBLKCAOWgMFWBBrqwuEpELETb6MhKH0ofW k320cQP09s3yShy1hluZbJuznYGUNJQB5+KB7xWl4hBElqJBskGok1Gjt9AOBYW66DVe192bZ0mR HkH/MBrzYuqMMccuTK/5Th6F7LWa0JHPzTBLdtqAJfj2B8ERB6tEt2ouzt7T/l/jnTVVEiLyl8Ps N7Y2AqW0kslgTQAYxasLzgPohNqtSF9w9PHl8tgkVcLG/ZTHLRvGZuA6ZvupjzRxu06tYab99rGz mPSPvm4SmzE7b8ElRy9Y+yEW/pMby227WAJI2cTgcfCO+L6Utyc8UPVzRniHJXiMtKmfneejw7S9 8SsliRyGY1GssB7+854/z4GyxCkF6b8DzYNYiD/GQCZy1hrUNaXkossKI+hliel49E7j0mbPIPCl QrUiCZqmxWjQdPNAz4CAKu099A8g7JWSw8JrXv0owhBtdBzj+gLjsI5GmwDk3jMhliBLVBNUMwA+ 2qeOPKa14opKODjeIgq+ROaikMfV/CjCVHvI+12cX0qX1Ata67ZM6cL4QyjvDH0+YLUQhmVjczr4 O+Qv3NLQEyOXafN8Epuh8jAGJ8W33JZMk1q9zO+9AuLOCwND7fi/O54EVkfmq9IfX1RC7svwaYRQ MYnNtLB4cHakja3F/eYrq6AxTMnKeIluoxQQzW4Z026mcW6Z9geiqgAY6/t4ZD5Q8UxbN4bJmsGI dByjkSbSn8cUCpeyargz7nIHbN/BPYMj0PVjhBdUS0rBz1w4urG+F6A4CfKjrS1QVNing9i3yhCe C/5AB1b7+p3zm2N9BC5fkiRlimmwcfUB6po8UNSgRP+7N9D/TBduZQYC3NNvsP4WscacEMzrV0xF 6jznnGuDlE/iA+TxdaUGY4BtZlLYP66WwjbMGkLNLTOk08nutcSuZR58KaRp0cxw85KqeyDz9e0C IxyRwwcwnN2TqkgbMDvsFN20woeNXA7SKhrzOLObrA5Vs5yVxZONPbDNFsm3lLRup7CzVsIkBPhI JwqGU8Oo3HgYsRwMX4wEdAi/EuvJ8+P4XulaeSA4OWFFWoxZJb7utRP+zHdbJRYO1hQjFjWnfGWL 4V/igeAL87PmeDkQDJHD2gZax2hMG8jAa2NwKtYeNWFOSmUeACtx2mWF3RWRmy/yDWF7xATN0jeE CqhfhhovSr8vs0wr34gtWsRzHgqnfRJiN22646cE1I9AEMRr7Qe5zlCS+pcIl1nDStO7mEsv+I8H 8rs5NKb2n1yBov4jjNTUTCsld3/JQnVxWYc1hz9YlT2L5rGm0g2bjw1GX4uP+47OxMj8K++V2vkY CvZbTJBvF61eyWgNm7HOB+nVySY+2YM6CF0aZgNSjiFjwDJsDl5Zj9Tll3ymNU12o6X6wDA8+nQk ldGUDJF5Swc7cBo2cpdrurpVvgHrSk9ACXKKLsIInhuiTji2xB0zTIHUZLd6w9ethZnx9/TUQVLt f8qHUbmYHVj6L600QuaVs0GFrG/aN561iRYFY98qZ8g5guaVq4GhYj8pLtyObRG/Ue+vW5obPeji OHgs/K97ULVRQnDDZzOOn3olDzd6JNEZjKrnyPmhVJ8ka5yugikD/FvRx1ToAXqjDCy0PqpfaVC9 RroE1x/UwKvc9OUisA3XLzn6oM+Mmjq5FGsNKZD6N8NRjkdTxgD0fyQ2/3mbNBZABdevJTEtdD+f z+qCujLYrqp5XmvGZViAPdQwvK9YWc4tbpm6Rt4LPd50obxLl7UWpEtk/CAOKcB/cZAT7njtl3QI oC86/9F6aRM1J17dvQCqXo++hMdDfBPVcJw2YSrxVUr1/9If3G8fn8ou09HDVa9gEUnVL/7bztNy dPIWeF1vzqPqqTL7dL3Htmn/d+8r8JKTQfIGJV+G27tQNcq88e6YUQd/NG50R9uxKYQ/eS/ypXY8 GmYhob2SHCNm/mn2ohnxWmyzoQoveBNVLkOk4NN058GdnyFw+SA6SqVlSg1eqJebEkIm5UIBsexG jwnJoO1Edm7NXF36a4QLdmsX8U3KQzwRQE2X+Rn4ySK1I50RIgOQ9PtSgEYeA6z6N8MI9KtXciyZ A/CqZmD+rqswsvyrc5RaQobN5+/7UZZclWQTPNRwgIWaI+qgOXbEpiRkaaWrHjIoKaqqeT+kvdre frUpvYPiwYGyKkh4kWkPwzJ4z2anhLirC9fgOZeRlCJ1GaD4PKD45zpqkx6alHGmT4Qo9T0LwI1P zkClDWDctkqKfIz5QHJuu6RJq0R+/Byb18FkkvX70N+dkP4JcwfeNMMqxnKkgfKm06YN/BAGwD1D CMhzWwaCc+SS1UdjhStTHIVtKqgql9uZGvzrjp3uH5Md1U+9qus9DjfheW3hyqzirk7fZDlxCDSc NLdna6VsCyfJm1/yGl0MCa6kQ4bNwy/+UEBvxxRmUVrEwTWsDPC4fyg9sgJx2l9HeruZ2/EegMQW +qWYt+/ixyY1ogOJQhdd1FU+xMkS9Yh31u8yKznBXr8iijw9f/I7EIOOhT9q+rcugCr691YQVDbw JP2Dati4pVkNNoJ2Z6oHvUgF8IMWnGW3Pyc2Zl7ek9RLMwpjdAUSbzj4UNV2COfDVpD+j8FvLOxu G0IrDDFUHT00FAxlkMqt/Z73aEuJazkLEDhezkDp6CpcOdGy5lEwCldg/PvVxfjoGWBSvgj40Vyv HRA3T6d2L+1WlytlfmBOa1jywjYUFRJz/dv05bHk3xh7/k4LFmfBIhgusVLxVHxkXh4dcChlBDLx qrTWHfGyuJad+cV+nfIEeo39NnDqKZJRtQuF1bqcS6m5eI++IlDhqtXbCG8ZZep/TZ8STvEshJ0k taWQPOASS59q/QYpUNLdFM5NSnEF0+6N2U3lsZJsj2ilztulViU4Nm9ZMc2DsiZsT92+ujgS6igc fEDW3T6FAkv4UX+ZOGJnEMrWRX+4C2e0DV4+kqVD9mTpJJgaBUoTGQrSjO6weJh0RCewcJJRcQGR UcLK6Msgp2KHMES7Dagm8P/CZRd4uN5a6bCTBF02NAfKc9f35jJzlh/De07J4K6QuxIozWIxMZ6W bpgD1xWKHnnLrraTv/+23lx1OjwLLFqa5LYIKfV5VlejYv/5z/VuFDfPK56MrBG3u7C68wnc2m4X QXAXTP4NbEbMiOBkE9FPu03fg8UfO76V5U+X36jItEgLJ0SuWvKtz17lkpX3GmiulaCD6YVxnAhb smPZvCMhMoiwZFiXc2ikz2vz7R+h8gGeyB0ujwsnv1hSbWpeSOWYkb4iUSJSsoNC0hCJyUshK1bP elgd1ByG1lxE/4cKQeg/rmQm6E+mA8imM3+0nsRdqJiarKELmJo60z6BlD4ETUMzRTJ0Jl8FzAwd wNqbF6bwV5smUtZCA5eb8rdjjF3yBb2bZ+EN+lrR0c7c36dcGkFaOe3qkbrYbps9PyEpwQKEixEg C1s/l9TOb+bUKmpYuVtZ+GwF6EkRj3wIVlQ6KVLIqgya/e8GUCyyh9fQMSGMr95M6OP+JngnDHMx hLglAd76NDusX2dgEoIqc75LELao8RinztSwqA8a+HaCf3duiVliKgqHJrwyB5ap6H8w2N59wL9D CZmqeilHUJlasj8u4ieHeVUNdfmI5GqqY61CBdvBQY7NX8Put3pjBPid2dlSbOZFB4K3J7sWLd06 IUgBmPIlW8x94wiw+n/46vJTr+es5NykF+ADxcbihQp6c3cptn3OL+4ahLVzXNkggmI/lL2CT8Co mg8e2WRdFmtDtLO/jU8HV9GO3Y+x8QnmDHCbObyRXenP4jPUjdhUKkm6K/MVyL2tHixxds5OZWka 3e3bOewscRT+XDublij5N1KnhT7ltVuGxC1flA1kzfqehv12INwDfpxAcNQ0QdZDqKLDsnbDr0uT YZf3xiVlwB9Izlk/CU/fucOE4mfzPZkc8KpOUmjMucqtdoIwdBRsVrSisPs0/DhzbULZfxEY7SzP x0XSAOYt4EjfzV0RFa8TBL8FTq0/QfZ/Izw2/qzpKpLIQlGXMm8NxifFhuVctTM5hWv4E66qutlZ Cecf+U8W1C4EfsOK2gstiTZLmT7TL2XtLuHKIAyp3xYL3gF2MFCgyDT9FHXAUScdj+uxlPkOnDW3 APB9leQViSs1Se5Hy0c8wA700K8b1zE53SPZ/yADFvcm9kCRfAzQATIgQ5FbCgURxEkc4A5u5Xmx Q91KyM8LmsrUzp7MSF31Nz+UinrJS1zsyvN7KAwKTZ3dxQL4JE9CA9BGZEnOJBKJjSa3Mq0/crP6 giHZzTe9R3HjeMMTgcOAXWVHHvhp1cvfFou4UGmWOlzUkzRg0QR8syllmXZ7uqngah16YtYmyu1J Q39a+plISOIolNkdW6GwAglUYHYImOS68Gd3WCvPvM7nweXdyTxIBo3bmZLo/JaoxjW1d9ziLs79 5UxJ2mQ9onZi6XNSVzmFzhwVy1he6gvQIMFDhh3D5geXcV3sxHE2I04A50AYx+Q0DR3E8PNt5nY1 s75MkR4uTSDQenyUhgWkvEcthEO7bjwPVc/kYHg1gUY++wpK4mt9aL+yQB3zDOcyc+DmARQkfdYk rRWj7oUSAjcIOp+p/iK5olxDdPX3pKC+cAvedgXnwnHuSaUsXPrMN3B0rUbYspEhr0gx+duCGS7w i5iHJfF4wgJw558XmOJvRzU3AnE5KRDNuBAnzazNH0Ean38r4EwDPvSUbaTkIc7+UHIg9L6uNEB8 3XYBfsLc/dw5H6Wi4Kxg7oY6Lvk/MOKgylfReMDwe4tX9pFXsn9OYz+GrGMpEyJJSxJBf2e9gOUf F9Dlm1vvv+w9u8R2OUnjtP60r8bt+g7OsNQQ40/v1t76odRUnlOTEAtl6CiOxxOVnQ5yvRV/yLBO KD73JqmRSBDwx4oLtNveCS08t3iDAJg2pN/JpP7yZgnrFpNKDLkQ7YqwQl/6QhsiLLBYaO+8ywGj P8Rnf15I9JVeIb3IR4MFDlVpBsjJsYQANBFupsG3DqvCmKDGMC6dLowu9fAvQNoCep4QcwlU5ltl ryr87s9fxtzF/ZAzeHH3p/54FYrVfgeGhxpzFm6Kv4oxi6UAgZqiwXMoCZHsalS137JkMcROdcHP WjJtC5/gjG85FSB34TJM1Y3bm3aiy1NHwZzDNlRTcl3pMNM9OYqQiDEAqmdC0mEz3+gUbvN3SPir tDO6Vq/xnK5rWxzkVTzHBcV6nU8kt6OqVvpJ1k48Gl0oiHMj24zGoW0652Q7f8R/cPm7paFSGb5b uy5KlydzgqdvBgw6XqgVOFFg5EvnUG+wAmRcWIghSYLsnBXML1JkyyyGIig5cbuHBVnqzPuJBbm/ BloKsuVRwrqyynJL0bEaNgoJun66lfxhRZIBa+2ordkPXxOkWhaqb9ywsaYI1oMExTTLmfWcWUIx ryW9o2+ml78s1qwYOeQlExgePyipySHflJa3TNiEVSNubwDT8dXXRiOyb+cwKzogHWQwVZoU1byr bxIOu0Ta9w2PpOHqq2a4CBrTmnX3oqBE6qacVy8394mED/tBq/JkstdpJyO1cmGAJZSLw15oji4Q NzDQ7ml257wJ5o2XlK9XyUTM99BA79uZeX9O0gofeAI9A/C3QG+j6P2B5Sl76eDZ0I9IcZD9QcCm cgK2x2VgETxzSRtOlP//JQS74w56+nvyubUmyCj3ca20BzONUP8ZElqlYbGvccNB3gvhyyV1E3eg RJiBrJq1bUcIqMZUN/iGDOz15UK2Bou0uDNQuzCn9NhTQmvWPo4cKDeoT5+BX/sn/H1NQdWIE3rF QYxd7vdEIJJ3DGIdskaMgomkm0cdIJIM9VPh/NgBb1b/EWaB0STa4svTkW3bV2+qYZ/G0450QchZ xKby692YImMxgFPGbNm8yPv2BknMGIA3q31gs/rdlF/qEZxNKcc23i5CS3gtxhZ8LV8W4BG1B6mE lpCO0mqUpXNktBHuu+NyubR2amQ2inw2gDZ0vhM57Puyjcw9QIByAEusSXB3lCERpzUWTE4D8Fwu sptpAoynAdnUR603o86qtA5GS0GSugP4ylWfjH+8sQXT6chPkNJRgPl+f4Kw3ej39CG3Ky1MCFSP +gp7WRbU/KqdKTQzMl1VDyVeOSTlqho8RW92FB1Eoch+wrHH7uiP8G60zWXKGllcxzw1NuFTTD3h ahyob/YM7wDlDhrQ51o3L5YS4bGZQjA6ozOGvtWv0n0nFSpyBcf2jmTycOMcHAk170Ym75IOt2QV yRjItdM/6YhxHZAgP2rpTmrR8Rhs5eX1p389opP/AROjJo1GqsQE8y6/9SZTOPw0qOdA1+HOP9Hs KhUwQKrI0QKIfdjs0jbAIGbYedsNA6pzWtMFbWdLNTqAUaDOtrCmvnjU6I1G7d13D18m3jOxrtN4 Uhl4Vr89zunF3RfLT5K7vCyFJPijLsttcnpcTNzt3f2IngPMz74ayANdAP5H5l3B/KTshQtZJyPh ChrwHBnqiMoj70Nh8hEjTeIgOxHbaP2ofRvQIJtgoZqNqxZv1z5N6V2yJQfDGx02H33lGqd1q6qi k9krUX7jFvHtdM+HGgKPlLt0fIBH3NLpsCz1J2IV6xHTzOOSzPS5p7UXfEioXJaFXISZBZoWnZxR wgr943SiSWVRvAsZIpylpkplageD5JCMXIT2m3/Rukslfld+bmH788LS/JVHYvbhP/RZ1iEcOpei /RJFaR9qQjj7Wx5NRtZOvMyg3JmshD7F1qkvEGDF4qGsC2GyLxQmaXMsrbdEDmEN1FyxLnZtaNJt Jr0U4YqCD6jFbLVGxpT4tPDp481fk0miQeIk1Xz4QkPc012QdJKgObdaCVGlgYVJ2E65pk39hEoQ UBVpeWJ2x4o8H/hv6nDMVFflpfrJTIw5cW1IsR1Lu+rlCxqzypoG8lh/qNOC9vBeazGimstMMgs9 690LqxJRGIxdtBrxT4mVGSo5cXNWkRtpq4rAGzUvaqDJxgoQJuZuq3TL5UAIAktk24q+otEiNBq9 GHhBgbkCNgTOgSsFwTKSFSVwPOMAYiUjGmCRukvwzrF2TIrWli8hirWvDMHkJSu/Ul2nif6c3enF YUdeuM/A/VPVBNHtApBNkm/lPXtthQtMLqlLFSDu2xnCM2O+ZUejj7k6jL8rVE98gAOq3LKyVvoM OQZ+RD/WYC5yhbpfaZMOYi9BOY+v15ILruX6ltZgqDD5BMaT8Qr+oFZ3nJR0Hs9X0wIs2kWgzaUe JOlqZ6jhfRtsOUf7BH96bIgpy1WQsEgUoRiuaa8GDCZS4XCcLILfyclUV3DQoo7EYY2K2HPLJI0+ edfk8OErjt2IcOkHO9q3LfB8kQ2Y/g+cLIvCFQl8snk5R4e48u7MTGEA+jpWIzpzm95t6AyO2T1z RsyqkyJBwbyqk8oxJtfYwmIrWmsY/50sm1X67N3eN1r2Tw0C4k3Z1yDJDjz5k03K+oK2CwMKdGz6 OYArjQcUbTZxZKd7pxlHaC98lbBRU42dsbA0q1Y5SQVPG+iWqDcSlTTh+SutDOSQGF87z42Q4QQl 7uFnJzn8jaDiZDHfCD3XVAVNX2RQWxbZQH6QVMmAvTD/m/dNRu2cSxlOI7NXh4zkqH5ebdhLr5xY IK/XcKLQd/oitxlSph+INENuYshDq9N5NOUqkPZNZ3iZazgH+86uVnkg/zCkx+eNFEOWEqbxrAH7 AMOmIwFzFwjvK/eCWPoB/bc4yeARCjaf5gy2aGa9GGp24zvkcnXw9YIA5wjKz7rTZ964nFWYTRbl GLscGX4ffDl3YHxO4cYcWBC+wn4vIeywRLJGxl5mbSdSwgFU4lgRs/AvfhqbypW6txrkhBox0gZ6 SAMLMAPnFf6Uf7eSaC4Fjd9n0oJt02kAdjcdZPHPTYGYeAR3aSJtBYBj9coyZDeFX3eWetoEshOJ QDafcDtCUJR+UcspgR6WGvCu6MnIlQY0oDSoSM92sETBGh5B+VEH15LglEDEqv+DbXkfdNnU8VsI UDbhL1CXYiXFhFEiLD1kZRPIElzBcMDbXx49dih68zjpvVIwQkWGCp61EJs9OEfwFVGSnzZHK/Lu oVMBQkkH8VkmXr4rm6b9iQs5KKO7kPW15UHCGoZkFvQJborbW3b1HT2sKt30Jx58Fy2NpCq9Quz4 OI7WK43L9/9UtojSu2tJQ7Ic9JzaNpRtx+45nz4vvA6aQC+XvWbmdr/TwJ2KUzjcD8Hbkw2VlmuZ idijFwlo5a62MIkOq5dxaRyJZ2t5ZRLPqIJksjet42RiomRXcAucyV7C9qFNQmcG95SkQI0YeKAL jXFX2v8VmuOyMDn1FNn1KQqQe2RFpAs80VyMCvayMcLLEMmbnqe0B/qCOtHq3YFky2uNZN3LPmgl VNL7WkI0KrfG9ExL3OdF98pqVWWHtBMRRprKkWQD2fI+2fgvfnowNPGi7F3OxTSJdt0fxWOqfstP FapQwUnBysZ2p91HpYJkRw1cSuufW3seT9lClRjIOK02l9qZ0wtKWlvBizqpF3ca+ZTkZ2ciQ0Hu qYfLhgD//T0SSg/R9tOG5ful6eBh2gCJCEiRHDn3g/pf1JsThtJZwCQN/2B5AQ1gfz5JIiaLLo8u TKeweEn3t54Evjj86Tf+OHWJJyTNc2MiTqGKzzCZe8jry199hSUro4Meeo7v20EGFb5VKWfZzYjT Xp+DK3beGaAVvny8PESTUDSRHF/JidJLcIy6AVdoKZgOl5K7yCNcGKgH/CyCdkgVHDH2wG40BPav GkzE2HtgxVVp7i2fgeKvtE6HmYInGfalSUbz0g7M5ITxbr1H28AI3y/Esfqq3FWWb+X+I2V9uGpV DweGlGGtlH/DYBzfN3UPgNWddslL6hK5LvMc7AHSZVQq6tHBLHZ8Wu9AtJWxE5Yt/c3vIx+ZtsXR hm5XwO3XGSgtoJ5h6r7rok0Cv2PEZ7FyDZsNfwdE65CNxTW72hYFDIVFMBBFRUGjQa09O207aFNx EvW7bP5CHhZr8czN2l/ZZbtkeYIDug2cr8ZMtmTFxnF1RLxBDhW5HE4VEPHp5sGH52V6ao+jR0bB tvXLUSiQ7+f2HZb2lHjngPyR6ZSjJ8OiLBvCjdiaah4bthaMGGd1xLupnvX25ZhkLrqUUbs9fTRk OAdtEE4aD1Uvnq1MUn0hpKmE+MaVGwzQ4d56PeejKpXjo42A4GOjvnU9RDkNvAoHkEUWfyBGB8k6 IJThluemQuLTJ8IgAz8v7RHxhtUOIgBkNMPxQgZTxijrHoas130axfxplk40aHhrtI2odM5BwpXb KH/sny4OlGYPSTMkQjRHA+fGSNvv4BivtuEDL1QvX6xnTT8Hui/jvCt+Aw218OPa5HB+pDjlE8tl WjlKWXTrUak22gGxza9D/AFkk4GvTAay3InOWTvrejt/OxlvIKYgjylx+asbwUGM+vG632KKuBqx pHJy1GJprZ+j9fe3AZK8/KWGG3n9TCNQx8WakLYIXCuQaYR+9YJINfmZzt4aP99nzVWYJwEr34pw y8TMRQdZYsx1wShAtvrfMQqEtZ9tPEthdgglPor/ucFB7Ef0DgDIFcJ7pj2mCxdfx5U/RCzS/BBM PJRCUQaEi1KA1H+yTxZqWlefs3Kg27/+TTMQAi8v3ZmP9k52HZWL5ixIs7bS1VbKyn3cPS0xlPVO trlCR+q2wU5PMDSph4jqsT8TLzQ0nYn3yvMurZgWfyXqsDrI9VESkaSGMNaLdyVRHt50SJ3ML65A paDM1VjkcS/lECNOhBJnmDUatRlA8Jh9jxHW4DVgR0EXl+YmhazVB3kSBGY5ksl/CS3gA9otAEwF 0/gS7L4PSlXbnNLfF04q3mgyECQQCb2RRYuoRGXVHDBVZYTK4y5zVB3/XYl+hUxSgPSjrr11Rnau v0MHMQBNiv2qRYkQzX8oWcdqO70pPZIG60IaasdcIduSG3ARPwsHH5ih+PCndSkPrfzPRQBGF2GH DZM1BMReW+rukXIQHRI7vG0Mxk35LDIslgDTUdzv6iFC0fAr+BhgPZKoJhVmZmspOVltyrbJ786t rA1kNnfa+hGIvPyzATIQru1Nu7QFXDY6BXJI0dULt/Ovds4sodfz8gtCsOyvsvoVIvOLw7+3me81 fIh4ywceS2BBcjEYA/9tQRl6Sa2em7bDz52eD86zUv6N6Bb7QAL2fhDC9NgYAEVlSkvAIzMbzd1Z sgCc923arCMwJX6SRpYc72VsgaLdsPtXfi8BEmC4/DUwL985plwV4YzB0JFO+6nIxrvXE00PJ41w F52z2iQUQId1Jd+PzVI7lmfPkEx/J6ok9QPY5tez8pzvzNHYodQCiKO1gpqOOUjVDu8qiucs1ejO L218VsMO4JodWkPycrPrLgzlzIDfyi58W6sLsjfDC/9hqIqCD2K5iD+MAue+Ht5N6NnRGN1oTDoo KRKJH6AztQty3mlvsho54GjkFNNNaH/Y2aZyc80JgihTVscTtEuqhh/IXQpbPFSqRbBN/hlZ6SKx ImhBci35pFvV9mwFLGkQj42FQQJtIKdnGF5y6KJ2rdWNOiCpvFIujFNcX8xvoZdM6zJKe9YNb1SE dLuCltW9ivn6n9pZdr+r3YNTUbGdIq2ZBlDtvSLUYBqGOGQEekeQjMKzU5br34t1Hh3TuMccg8Ja O2OzaLtF8Gn/L/xIR2vktr2Qx4UXmvgqBc9/gSQ6vn29pr87JTU6zLWSQjlg9PCUddS4ldjFDtsZ w1qR6F4Kjb+X93ASpdkOXVXIDweFm0OHH7qKJDX1yflFlQp/XSq/gqIVhD/k2baKZ1LI/5feJWf2 BvjPWoEOXC+Szdi6cDwvTaLVBYjSeQ19OBNrlMFh7EGTM8UAXgLu+x/m0YXsygoLHLu5XPHJUL5l wKzJM+uo87QajhhMgtH63ImA6R/I3tUby0LIh30NpW+67o2jn8XEBDR4zib75TV4UVahRGSeSX1g 3Y/dlH0W1B/PQoM3ZIcYecu6hjrxi1dI7YrLVRvtThepukCdEWM5WWfvh7mYNExsMQDvYwQkcLK5 M0eqQQD77rz74OpBSYMTLsFibstgA9AybE+Rm38Kg+51S5NGsByz2VahP2XQhhEDyZZfpuPCNh0+ bQenk8MlH4cKIVHK3P3UDyneTCK44gNpqPh6I5YcoUz15qPL6hsrijy4+TesinadGS/K5ImNFrao 3Y92vSBr2725d/UNHqrcHMx3ToMCr7pnMy/ZoPyp0p5j3KCtSV81RGA7nL/9pmWfsP/ZoHAJXwwS 77QqP4hVACZck+b++XHuqtdnBRxliZUh1dBxqkdt/NzcfywxGnRRp0oyGmgzyE4m+L486zJTwaOO w7QNjkjcitLSiTXGT2APaJSqR740qtupNnUc8n60CqL5pycCLF6UyAdITOMapxJ5BdmfeFBlfzcY 9/yS0SGd9AIhm+1U0P+fif/mPrF6kHPNy3cw61xHO2olkRY6U5A6TIQqMMA0ifEvpZmVKoA30CTW HkWIIIYoQxL7hiF/ScijGQqmsm4IJBDc08qxQkMLu2efYi0776MZ1akxMlJDj1403qFwkJQVHwR9 PptEgZtvRrsXLnJOIrSKW+MpQ9GZgLDesZ+mry9rT9d6291iJOGroellDvwug+1WEAJMxLYoDJmB y6TlX1uVY2vYcQ4A5dhvAlJxLSGI61cCqR/pt0YQr7PZgBre2NgY7BfX1Zw2ZDU4O30x2aAmqZOZ Jkgic2R8qXLUjwcQUSaFGknvSpfVOB1zj7Asc1fVJGQBWMauBldWQwvyXzX37rdTis9T11Srv255 /JgDVL9eMg+YMwvpueznZK0RcFC/6vf7+lCg7XgMzPJW+fb2ZMth5bSreNil+gbfB8q8ypicwCrT joBEp9wKv2g5IjbS6i4GbPwmm/Rf+cWX2fBJ1hL++231gMghtAclfunk1Ih4Vc0XRZzo7CBZp6Kj 4CX+rrRj1x/IwIOznPf4xktKcD24D/yKeOAmQEaLrlS4cmCXQ9Tf8EJx9HC/eXFZWgVsL6gWM89m nsarS5TsUlBqVsdtquYuoYhPYuvnF2QwwshYk5Jc4bhbuhPQ82jqw2Z5Yf8kzLj9gFk3Ox7KRCUb 7nkdEBhzzAopTgiebjp0JsN7NHjuOZSENACnVodV/cIlkp5mo7uTJsESzR1laqXSGY48HxkKKEus IipEnDCweQvB2ZCaDabrUIgYUC3HD840hv6sDNdStnIvbPKBEInONMUjgxAQegGsW5xo1qANWGIv s/C/zqHjwyvFsP24Mrg1ZHcLhWja6X5q1x2Xl9Pk26ZTjYe1gbVCqEukPk8AGeH6bZA5wVVUOvRn k9P56CQVkINlNxt5WqIgT05H+VDJd3D9E7wFob7V0mPXJn2PL+L9hCQhViB36PPI7yrIPb0uVh2+ 3EONLvA3Owtx7rcjz5rk//KCJC5kXOwEnSactMqFKNmAYpjC0qfyOP1aOgrXbtCNF0qn7odhayen SI2y24fIYfPnJNZ6LFAtx90Yofkz5/4t6RpmBkdG6yQFyk96BMKzYsqFme+VeAP+EUaqYCH9xjy1 xsDTbbFlfVZbjVVFtgNHk6AYfiSA2eHperkh61ZZcWod6hIUhKre7xIbm0eTm1HjroaTMIOS+2VF 171CnorQqWW/QaXI3WDCVMWJbQZKwJwUM0hK8Y7l5nwW4TYu1VTXInwMWfqmX0eMzoW2HglqpfmK LtsUqntR7nk/7P8Tjw4WmuEDAkCAhnI01efpNbALQWAQbQtuolXmdJvIhu4HuetibbyTXWEKuZ6r 4N85UTolqZgh1QJQLD1dhnD/7wtd+FHJ+3LfZbHehwTeHG0PuHaYNZFOOj/D04winiI1CBLKxClD qUFYjeggqMvDOXdoquyj14M5vOBMMyHrv5ZNkJSoYy/f+HmqhFVHoOFGzbJhDd4yXkLz++wkQhoT bK+eKWP22WUz9snw+GKxc0mUQe/snuq5LlmwI9A0//jY2WDDKmx9UZHQ/uqKyL7M8DTdtVL0kj05 MRLU22UVz6+xp+scKGX2yAQPrPaqr0phSC3CcgaAJ7zsdenoEhhq86n1p4G3Wp9LjYQ7nBtlfU7x qCowzm4hB5h6PuABYtH8oT6MROuwjGA6jzVsDtmh8z7cKw4ceJ5uHx9TPWTKDnNQKJYvMpur8PG4 6LuQIkmj73lbA3R/ROfcS/FdFQTODkkLg9vmjNHiBiD74qoKqUrlY7d01VLW9gqjEnsyA46v5xjC duEroIn94aerKUaCIVLxaYaUigNNkhZ3fuKcNq6ISqcP42pyWSq1pJdDy9H++PffraWaLfxI0h23 +3nZw/8a+FUqNeD0lGX+CleEwxPGdWrSuUrSpJII8otL8pVebaMvFIIo15m++I9eFEkojGPuJ7TR 9z8levS3N++PVuHdyC/SIUUDNWndaFTpToD4+WYXZTczvPBMDFkTnqlUKvreBPI/TqbedXH24wMr OGOx7nv1Z4ECKJbhpZ3WaoYFvaaAQien+8VoWmANH+1UIthD4ripV9vufFe0IKyP02hJUpf5SAYm 5IUwkIh1czGfPlsMYmvQoJzyc8u3ma9R/k8vWhuN7gjfXtlLGtn+2C3jKowSdWz2mMqFZ87iCM9q r01kj9sZvixua3QMDTio+nx4x1U0d//x0nzXVZjpLYqyRqX2lx9vCRUtLuGKwSFjtrdXEtLW4Ohs YjBCsF6MNExvyp5KaGFZieQIxr0eWzNtBVN7SOTUeNrgAl7yotD6FGxuECAGKiYNZtdOmGpQ/UF+ wD35AeYZ1A46/t+HkPXbeaMO+cWqv0EEX/YZdXNIeiVGLtYe+HPGiDBmxNms/O25mcKe6fByV7IA 2kkJRMxGkYhwnZ2r7B/S6lZqY02rubFra61+2AuNMuyDgul9VQX62I2QQasWx9UaJhCn4iIRt5yd JsUYVtW5lgPZQemKKknsBiD4sE/F4sje8nSARwxfbxq3azqyJVXCgBuz/O/ilocmByqYjShQAeCB tvSKlsh4uCYryR+LfzvX/axyal4H1e62T8RH0A6uA4iD3VI8SPYGjCcE0TCRQ6WlUkr0lhUTCHq5 A+RUsZ5f0qGY95onWG9L3f1wgOZ2dLk4Zm7CODNmCFFxFdS90KsatQy6IybrMCUkrboHr3WultP2 N+zX6CYWeSXvYEsd5+JcHZJuRY5r3a5IvpcMQYBqGFxdvG7usT25mYJ20Is0AViaOPTVCQ/PR+LA vmJpr48knhefRYc4JoQSiNhk79TCG8FGRfdzMa7uv8u6tK3EokbqwJ7wwBw53m8taYaQorDC5f8Z Q+qZi0k2c9A0c3RT6w032eC5YYFAhuFtnkT+lIuh42U4ZYDFh5RyBKTa9sQMJQwrMIgERJKm1j8s jyUkyolbscEiMiN3rktZVODNHxTXn1PZaYnfJTDC2pqekNVn4vR0qslbkeFaMfAu0ut21bet6rLU EzzZAixe03ciLX1kwDoNfgOqW35VbJYG8tqZgYnzJDF6GPGOP3rt+PIae64fd9Yw3pY+ihAW5WjB RKoYgq3338w8LRad1t8dxEtHeUkZeNCvQacS8AaOCRU7/RxN5qxm0hhM4gfRrqEjqgK25SWqY6dH W9JbC0gel8T6lD9xqdDyLOc/FdxgXDAe7byxc5OVQ88YoVmXiWZ+muBM08KVHVAl9x0FPVq2RlhT Yjz/nM5anovnxSQEduB1Jrfc/ewC/zGX3Q7fOs8eZpivle9fkDcflwxU4A347NfGVppWA+31uxe0 CcSdJVq/rjjPgopheT3vfbRd/R4EOpdJM0k/jx4O29F9dcI3JI+b7WC1Rw6mUrNhWA7u6+l9p+nQ eGgJITYD3tIWleEa2FQa7ygXtEhlVq9i+Vrjd7mB3gI9RUUlHjaPBnVOrcula7vnomev150WC25I 8CTPfOm8bNd9ZmHo3vW02WlGDKs/9LFrnr+4B4aYK5jUYmkxlh//wNYU/FM9xewF5B4+Mo3aGmko tLcYv1MTgi1cqFI7ffHhOkq+fhHBmYvGugo+SvfM1yRuS4F0dylBWlEhCNPXEAxTBajOK4Fu34NF XvflKN1TlNHKKe3XCoL0EZ8Nfv2guphxFLnMwEF08PTItGC3WFS/m3JpAWsAkIHRZjuyWmdzpBIQ CK1Exen9PWvNjnPxrLaI8mh/R3yw3uTy8MIRddcO7jvrciD9k8fCFLgo+qBluktx0+CxJV6U/jr/ kuHQ2bwGVBF7zv3xaQTJQ1g/d1rHuRc7zRaDR6n4E/G0XCnFi5Lyydu+SxB1s4KOVPquDTVjqIC7 iRuPrNihbAhtbVvf7vsd6U1BHRt56ymcNQrQj4etiJZNkVGlHehHAAAMuAKkMfdF4809CStrKbYF 0JjZlqLnC6GvxyGt/BJ2ooJYHViNjznX7rYQAMx1NJqoQZhApEp5ysgduL3IYcaalLhxu0ryDGIR 4K6vwmmLQ/xVaZjbOlNfUk6saHrioPo/AE2pxTw/M3ONed8hfcEZJzv6m/Bh0C3HIojb08VmxPiP hJeRnqzGNqzUnP+uP8RoAkHCvPij3NwO/9c2WQveOFPDkZE+AyhqKPJYCo9wILmy6cktLWzWK6tb wulFtQagku/Irlve76qWnwTomsL4O01JsKSxIMX9KwKZpXGXp/nh3PfLX1dGSTIMw80Ed6WbUQg6 yaFPhqLjTKPbTdZQH8IjzEkUN3Ftrx473fuIOGTO3QFo2WoyifReFKBpnmszOv0Q3S+rEfblsbkl 6eUyzHexi+IAh/M21wLT2UOA0MNewvuJU4Vk85XH9mHOg1/WNMIcww3EINRvacHoD/x9ZQXhlnIY ifZK1NCkd7JrR0BZFkAKPHK1/cdjpuMpyB+LqK6LQZ/6y0+C65Covu3fB8LngopgYDoFYXg24i08 +sPn/aMYur59KOLUJYTbuJbCpe6VcQd8mC/vI49pMpmh7j9y3IPnktBZE80HOaHk9D1m8BDfDOwf 4xqZuO7lRkNVkG+3Obp6xYgGTWtCWQ9uSbVRcmNtcJTtJOOCppxxMwvDXGeJ5N7Sgh4lDmJjQPSz gTrP8zHwiU8bMjtX/BH7lRNT0EIMhgmgot7XsaZ+XYOTIBOfZA8D8MLuY6dEuJYCF9N7vgl4sk+3 JaYjrXAIWokDH4gPn29i7y5srOAAakDYFmXJ/FGAV7ykhZci71yeIlZp1baXPx0waKJCJNK3BNHw JF+HbtlcWXc8JPYwzcTILB0xQLk1jMpi55RyLGJrhOqnuykg4rB36j/WFD6IfZaRyp32M0Hkghco ijoaSB25MHXB3+Mv1gcvUGToYwwI82k7wMZGV48UsBchHdb0J1T/ohUEBOCExm83PS7FRltHun6C Qq2urdm9SMye+VRLHifxDA+cfv8WiSkQKSCqYp6vj8u+gu1azZbZOOpqNGh1L5mfWFuJ7tkrx3QJ 5/sPZ198IJPa9KIr19QflMD8vmK9rL8u8bY3MvnW+xCI4RobE7GXnWsuEnfjDAucszNKKMsTpNgY +m6t5/RCDYvC482laV7bU/Q6Gj5iXcXa3D5N9uLHQrfgUO0vUpcu1vHKi2/3G9pX7c5B6Jj3xVjg NPd5N2S6Oq26UiAbYlYHM+P2n5oDIdS6th/JLQRgqJJRrKr0Mhm6Su4MWJJuzJHwU6p5VvMnjBaG T4SZDrDFbrCENVWa6VTcbI4Z8nFNx8GwZDDXOFx/yFXSekxPdv11xvlnCdt0GpE6KR7Qt6EgVH4a f+/e6CnRd0ixgAikMQ6eFjpLG4tp7P90OLpY/dFnCcJV8ewETqhEjFEofM1NFyaHY9RBOClReHXS pLbO3uJPBFxBqw4HN2SX29Ef6I9HOAym14ldxe4m9U16tFEfkZr2Zh0An/iy24DHaZPY/Y4ORRJO a/LadbZej9h3pYBTuiG2jyNz48v/Aj9JLrDjkMG2k4pQYbOEEqBC88u6TEkOugyzvmoqo3NnF+f5 qBG3fV4ijO73QCM2UUA/QlprXSO8AluKErkrkSggRSL9oYGOg62nMIlTkfWA5EQbOEhhQZLU/Ia5 vLMB7Um+P+IAaRIS7Ic4/pm+txQ92GoztrZgFnWjBRj7AnsEgfeiqOdpqA1zC1KK4MxKskbaSFxi lFqrKtjfRCf2/R+el6STIzcFurKkViLjq6AKa/iceNzHM048TG+dpV9/gdjihOLpYHehshK4LKp5 wwR3gx44Sd+MAGcBwJsLOA9YcAso3DIRXuKw91wgqcKwB0mG1OMjaw/tATwXPKSwWbeyb0TZq2xL JMAdiytC6yXoaxGtK2lWbZh+CIwsT9Bm/EENhZHlF7v6KxFnJz+bGU7gp9iafiux2KkrY4O2BlU4 Apcq8RQzBYa8am7V3hYtloOkDGxjWyKcv79TwApO/ETs6vGwj6jLd3LIa/mA1gyzoHyZgD1FBokH QS1H80SllGd3OoIxXPp+8Gn5qEs+Ust3/470h0XQKaLOc4X1D30v7PKiVnT3JjPVxCJShszZy4ZW cu3N/10t5/LY9+zWIDxIO4SprWFG790v5m0byOdfwqr7GGKeCzh7qMXWEQtmIFkwR/OMr9rY5d6f G7MmdspZ/ELkyeadms8mp4znvnhxGQmH9fw5uJFvAG1Wu4T1nZ8SS2Iz2VippRGZ33QbHu3B3BCW TGwExP4VlSfRw9psHr6VrDSCOb1cYaNbXxKlmLzIv0T7DLL05wyoSmJrJp5OfsCgvVxpYYoXmUwN k3ciQLoR+hvJ64bpyq4FZHmU39FCn2l6w8IAxA9OB5zXczusHqsUpR0FStTBcATL0ydyzDp69mzP jqZkc5IjHNyMzUUolE99kzpgkWx4nH+HzzL0MyM2nkniDhcrXeOi2vRIAc8ugFWWHja2WtZm3cVa N94Qd6AFNeAoVoPByenDA/JePl6WqSLc09QG3QVIZ4hh2Wao6rEjpkbZXPQNUyFzAP7I6n85uaJ6 NLCYzywaeBkqqGfTlWeXEJVMLXVZoun5FFtbwpY8C0JOy+cGsUINFYWjsf5RDIM/FmhFtlGOeZK0 1buXG64eDbafREY9zG5Xwu1yEmj5u+bVMar7piiLMoBkGnJwFT2pJ4pnEo0S3+igRysJw8RQbplK hoyQAUc4kuGup/ssUJ2CCIjMRK6kClYJUKLJrmOy6yobqUzVQz8P3+s6wfPLP2qbwtzdORGOZ7pH ZepwOuXMmfzDQWwmul2hSbV8uiCFyOcoei0XchjLzkdaBaRnYwOtTTPqzrfYAmpqInPplzkPgx6y Uvh2UxZVUAtvgMEXSM8CsrrW7zZ8YNJTnhWiCosgj8Xt30z6N7zb2yosrjrRqVUqJPplMP118ZRj fwdwRXhdPYAMPFa6DaL1i7HKSw/4n1UrgrC4KOn5OhryIu7QVtQyfUGRn9G4R+cfM9r40g7Rmu3k 7BG+UYb4bKCJFCT9sjGrTf1WsBzzjuI0xZnMu2Gm/qWNpKru4OYp1dLXoFEym8jrek9kDVujHiA4 zN8FI3Zb+XxjE0bE9Svv8WUXQdWIgkCKaivwW87OUMsbXVSBt207O6KYiERYznGTPQmFQ+t9QjMk NRHnRwEenEFAx8rJDxXN4S02VB9MDd57KtuynqWJZdZrJF+Huvdz2SqlKs0VLdt57pckJD7L0Uk7 An5HLciVGAeDulbH5tmTTSc9bNFQ+sC79b0Hw31r82xbLbQXoRTmaFC9dG47smJ+5nREYYaArC0m icZ8ZRtfYQVBmUEV/bVEAmnAPrvB/RgSXQ9tjiqEfFpv6f8pTqewNPi0yWcQkGuEvGSZGIEOMfz4 oup1HPI7tq8+00dO5oTvrjfdRx5aqg6gdQODMxUi7kJKe+GCFAhue521cW9UPBsjYLYPQ38Lv7/t D7wFaEYw91mjyyzLVGQm6E9qeGVzqfzH6T3xTGfbQ5QfqcuASOQ/IAlxdCrQtng7Bq4Szz25xf56 2sSRn33iI3zr3whoB5CtojywBN7umaw87XtZ31u2OKj1h6Bk6afu69d4OR5AKc5ulIAcOaJwyq2Q FMyf6Ntz1hpS65NxfhtXFxEvTwI5UyZoNhPoZI6z83En0ag6+LznQSO+rriZaS9MsOvMeBWCE+53 K+6jkgZsuYuTD2BCN97GOtimMX2LeZ2b2y1Za9tDM9xEhhJLvazoz/jOyN9GaTXdhpRzQHk7lg0h ZoeRGOF8M0+fS2buwaZpBueJvFlFjDvfbM1+mwfyvhvBqYuKYmADwJ2zRGiSEKjGj5IJ0illgRF7 AP8qY54m6GAUZ/DYDBFqnCj3w0/GDJsKdOm2zoj5fLVF3CI0mlOhvedvsi3i3mHmC3/Eu+3qoYRY l9r96Hlt9itxV40WirfiK2WY/c84qCwjmca+vbtCTWfCZFsmAPVxxj0zyH91x+vZUDb/+t87SE1t JZd7k/1V85K1xkwwqXsG8L36dZ9DNX1/TYzh8l1jKy+S3WLAMwJyFa6K8WJDCbnegRBlsDa6tLn9 pGh9hfTgpkVqg3Pa4YF68pKcEXOz9S8mh9ZzxOMIOLgJ9m6FA6kraSL6y5M7tLyOjlIr8sgaZJLI B5Hcc8/1pj1N6edEZKC0zqW8RfpTbJaa3WG9Bx/TdV+vjt5CwbNnpgCDeMFWHZM7K4ZaEErrww/Q YtlIQNAJPfihfrr3OGcJFut3pxhnohBiVe9BYBY5vft8deCLiKgpgBywD0kMCf0zJZkt+Z2RbqUg x08J8Ux5BJlOH3QXBzT7cvN5AkDBqDwcea4Ifpva9Bywi9bw1bc482OE2QtJ3PCao7k6U5XctJn2 dGIJ/kvaZDaVyfpx/HlUzFrjv2BpFlNrdFauyFQYxhd35yog8OrIfFO73CqB9fOYSDZQLSdTCiSO U6m9k5kAWA6admPo6djCEKwLgbBYxPFhwTVk2w01aYdvuif98xkFmZTkEdoUk9C6Xi2PiCwcmIrn B6Va2sZoAQY9FZQxFuUC9cfUwRoPMLfGXHbcFPa3PtIpMq713FEY3Z9NYh6P3b1soQQ5a6EnMNsQ THpN4tVWE+xt2KDYEjr2N8aDQWV0kJbq6R9llWBasMpkSHrjlD3Kig15/OgRPuvdaaee0pCMQ6p7 EvMAB0jaxFn5xoj3yLDB2w8VFzm0rNdZ38ULAM6NufZCIzqMC5DQ65ABedSJ+HpZPqRWvP9tybDT T+GxBtfiuAEb6x4wZ88pb4zQqkwNUA6j1XMV2839tK86CZ1ocKwQ+SOES227ZeLnlvVH19wZr+BU oROma7i6Q4y1c6fcJqGihFedR+AF2dhfVnWsMUnlpa5qWKHNNCmDOagn6pD+62njbxLntytyJI/x VOj+xuF+mxRz46pie+wrLQTPCvUPGGj46fjL0+sKK7rV/0p3+ujX8+auWgd1SSa9a+ipa6rHvqYE Z+gsMkiDEKCiMuCSJgqh3qqSWasog7J9eEy9YoEsfFntzvfH4TNeEAOLQ0f9tRAmskR5OsIEciiH qFFcKxAVNcl7xopv5WMfG/xAsbldDAmsgNlgIK1JELE4B+92nln9sliAwQRySB/JqHU4jPTKUpev 22eiaapy4rQUfBUej9+xCOJLVjc4uTfj8JRnkFN87ch+JY/rU29WfpD17y2o0y7IsIEljcIDhYTw AyRAsMmjC6y6IHzZDSdqXf1/xaHPU6mSZ0eAG8xc5H4Nn4VLY9mSVn/ukIqn3Pm20weqVoHjs4zz n07RINY6FoDNeRAaN1T+qAfaKBmQgiYS3ovvKuZ/ha1ZJ08Gw0erCPPhQky2J4Bye8rkweL71ifV MgNKG6xKoNgfRzj9kltXk0LxpuRG8fFbTVBo5j1cDK/cskWjv/llSvh89/B/soVlnvJ1Zx3DVkzR Z13pVlmAk4JjSPAQW04E3mxZdEW2EayFndS5vSChVjxKSWbPWofiBbFybQz96KBuvpMN7MvXZPNU Iva6MEfvf8Ex/Dpa64MJ8fTk13C3fZ8qfUQdSWJtb9C+LBAGxD4UHBsMrTWms40OveetsrchsqtZ 8S7CUpHYUyDZ2UaG/mqXapJX9qY6cecudkAFV83WvFdsuZPYXP8S9OPMAA3lxOMETbFVhMQHTD5d mCb43osX2Ss6bMOf7baBvRMALGIts3f9Acug9vu5FkDgQFAZr/Qz+IDApDZxBq9L5queUmmFORus XzEA97zORVV5APa4tVhaQZ063ntiQWtCNhggGuBBje4EhJhLmwUF6fBYuUKJ6zVcjKSL695zrl6v mpVWAvEmm3Y7jnEAMZu9GkPPdgmtWfy3hJRLeIT8SmtIl3wz9XfQKhjy7rZBEnSYJvH9cxM3LLC1 bj3Ch2xhoDfPGa8CgnyIJe94j0KLh/FoALxmEU/2nULkt6oT8XrI7ZmP8uBbQ5lqzzUCcJCP1mGt JxhPhRMae26Pb55UmmKKHaIP1qrMa/+B/Fklc+sPR9R1wltNOK7+akWwTw0hhYDNEWF8s623JMoX 0aZjIRIggqd34R0XbMyF1qpctHbhb0Pa+Kv8TSB/TOXh8C/IK2ymBsd/Elyuu3J28jYluTTVehht uHprkPDTtoLcDEynZEMcmWCQVKUyvvdZvQbiaxMjKA22SHoYXKtGnzlyAED621HsO3XB/t/9rjYv KsODRaYBiItNyEv9iUjWDpxgvctXl31z89DniOiZNE6GZUEFQi3N2j7MijW0urtMohfmooFLYC3e +iRhT4FWEJNLwFGJoaR8WFgsPTIQXUTV+hejkrUSqUP4gIjHdmkLrV93t5GDSw7mudkTOnS4e5HQ Dvg7cUz43cvqYxoS6Nw5t24qRbrNxGqubUX3tM1oY1mFYnX0j2GBR+7QidZmzBtOBkbJO7X+Tnuy QknBqCBR3oMRvME9b0D2yN+yKrxMXXLo0sP/KP6iBUuOW5eaZCtjTMlxH2Lkyup/bchMf5+7Lynu p498cUohQHsxNRAyuzZewsmlCgqu/t08uQxtuSO0ToNUoGy9f12c+0QUjmZrj36q6SvASQYUH+xh W1uTYtUbVvbN9FMbp3sdjJk/GQ+iLlVvjNmRD2v1EZwrwWt1o71CfihCjUJeUsrYK6tL3+nMJFrm +s4uFm+Gkbuxroe38GHU8FL//x6Ktg/nQDML/6FCc4gY6Ppw863o4aEVrCQOTuWEwkpqeg5CLBum OAEWsOte5HJreqTD7+D8XQFLtQEcgKqsFMAgh0ic9ErzlFkCYs80vqLLzv8whaxIOs0pM5oenofs 1QsPkleAdbSyH2EH3xr+qwhr7GdiE0cPEFGd+NUoWSdjq7dCJjfOeyHO3jWfQxr/JY09yOSSzvib jxCK6IkV6Zb3UHNYY6K/6A8yVCQhD/Gio6uHKENBBiKu2tfsteMX2pZgYxKZwL/8isi0g+QxycIO Ys3gjd+GQ3VbdIfYctO7hsQBzAXJQkHsAm3oyy69Kq1HFWI953M8G6WnJSPIH+zJm7H/8YghGL3z z9BBAHqKn2PrCS2NQuAcwQJA+T2ZVL7ph/8YVU89RfI9Agm3A5Sz8O8YWTBnfL/rYK3RgAoTepma vwUQN5nXETEyur39omBla8pCh0j4bQgp3OaW/kQA2FHEYXBY74V4O5Y6zuptoTJIlAsLct+S+edt xDVLGS4AuRQAeUlEQdvnwyydkR8X3zL30l6ytQ8rX3bd/og0w62wW5Vaa9l0fIWIUuSZgXSldA75 TF3CoTyRxvv/HlwqAKZTqp16DaVkzZ/wI6Pc/WfY3PvjfZJcfGkViZgPG5o9t+rqAHwJmV1ubDN6 qlN6ZsDuQqsfDHInWD38nMegi3a9pQpckAaN7cSt43evVeFGRjv00ZSCI2wwE4gG538eERFo2mpZ IJIaTgDxOi3V/uA3yf+H6Pxzft3MYhywUbY2mhSdCrG37nDgFHxRtp/LTYHKQrQwErOCdCd52Fz0 l7r+8GK8Gy8wqQun/qAGtAQr3XvM5CNn9Wor8KgkVh0leftxwUjfe4TtIQwDPcrgiGDE3hEWdiHx skhqBmYo1ArbMKKkZAnzt0f+M1CC8spVOY8sx4kCt+XOCQ+f+yYlJPLzobQ0ih5AXelyxlyYjDhB qDjiLi228vSM+2sUG6Sgmu4GqXRbP/9dh9Wo5aT7Tc4mbxJX8KOTabmW72AmfbA5O+U7zus5A4kt 4/xmLSQY+jB7K3NyZ+6qKS60IRJKsLUtYe3M5JAapLUQ2LUPcUvNrjrVrAVq18bU+n0rfA9DE6hY 6FdDtxm6wCl9PiYaMEBjFVYZjhR4jkmT1UpcuarSNRA+zbxdjAtrpa06qb6BwfX8qQF7qFVBcwVg ymERe/1GfBZ2OwoIeNPxQb11pz9ytrRtZ5Wc7EJQnoOST+l2AZsS43sLO03HmhU3lETjnflBLx+v tHpPppOaoYNY5j7bMTZM1o7ccas9ZvQafkLljPw4Pk+wihvv2aKCaF8mFo6CG2w9tX8qdQ3jvP3i x0/z7YdziWkIPzkMtUA2J+OPvrEp05e6zL6cuzWTNehqp27nYbmI3DXefD6kkyEyb4VooV7GF4nn otB79Zi4lstw0tKAjv0osoO/Y49mCzHvQsFKGZ9CxLMn4xtVJZOOxNZ3bqg+ghHxl4x3cpT//BXS foLCLe/FAtCw9nTkqn8BXjRZqY2EGWHI/8rmciwg/De968qA9VeG/VRTUWMFDYTUeGepFSgvF2WA N47DVSoJRqTMM0sZqtEJvauUaRKH7L5KMgP4FUkKjrT9qwYjfeIo2lp2e+ZzzpdfVco4Ez0dx0K/ QKcIezNeRFs9xtbuzubNz9rgyTYtPi3aj0cWPxgzWKm+6aIDhlJZHP8QNqPiBXDafVvRJRqPdLjl IrPHI0MqMmUAXi4z1T5OhcjTd30zybvbEQkebEl1YLd1R5hFYUGBz9g2xqIJOuZFHs3hco78oUYm aaXlAilJ/XJ4umpWY/B6dR25XrvOJ58V1lRuxKNt9lnjxDaL7xAs97ZldyNngyykfd8EhFOXmMFz waOsqXDhvGDTmVWaQgzDyZI6Ggd84j2UlfBBccGg1XOj7naCVLs9ShOqB1IU4xpqM314PKXJBZo5 oBWGoXTElGvgfJLOTumCcNfsNMvLMIHNw+/3vp0gYbgwMSozJVZNZmZ1414c0ymglCL2twIR5TFi po118Mr2W88R9tORHECjnIQesTsb7RNNWF5ZZdTaNHUUUPJYXjxbfQBuXg1rooRvfuPuqU9zqWzo BaY3jYyXk5c+opPTGgach/A87nP9MwdTQmAhIIFGVY6FbjubK5WN0mUDQ9kQkl3BJWNkBsfVN4o3 pZGkPYmtWtyBfcEcmv0bKpuczvHKPwiX1TWwVXQ0mBJ4oSxoUJOObH+3K4enhL6yPQB6dN1RA2+3 gyQBzJsfjnjL8DSI1uyKWWrkJP0HvBB45zxf+JLZoGQan8h2lda4tLfpDT+DW/z2RVPqKFPZnQa5 EMxvYpAzUssqOOry2IVEZ1ZrPUrxumHqMgoCqN8o4VzAtNmTeHKeCm7aLlLfZ3GQNlUAjiZP0r5o WmeMA4XQWUX02wzqXVqJEI+MuG4O7mDwGHjuJ4kdBlJKP7cMx8tAozC5edBS+R7n2UZVk7DhEhrf 0GDIqlUdY3zcZqV68gku9LyEsc8OkHBGUDHwg25cMMHkfxB7tq+vYrVUs1UGf2B1iV0Cevk20wMP qpAr4MIr/TuJQgJ5m4QGFtxNPZBFJqv7ER7tmlWo2x0h8KFEJrMdazAe1jnw4oZDf7SaUA5rpId9 8VSnR6ggIl9MFTfhZvFJun+0WXN1xKcLozDkookHYY16/xtirxr6BnJt3MUPbeXLOsuWDSHiLMjj SjjU5nvx7IvsN+bmYse+73UL/8CVEBjvPgFK5VGvLYm6inDvx6SmlPqRk6mgPY64nM1kJkNFVO2j 6C25LCrZvscm8wZUiV1EUW6061PcR21AXwL21RCElRuUcvwiy4+JqLAplZRY2g9dRh4dI/IpT62s xRGG0/hS+PdpBtFieNP39QS80ohOTOv+/xbpD5wAX8suxire3stFd1NtPmPlXTtHgFMEpl8ezV8F 6Dgzg4XDMb9yqDYK+QG+ZQQDenkJCBgERF9HJTR88EzXrqQLMkyCC4+iX/biMsnntxP9yezj2EZD zVdROE0yamnVJJbk7ishPumzjiuWgukQam1q5OnzKUugzUkSaBiB/zTfq9kAzLWZ70V3oFz8K8yx gdOQ3y+0olIskK/EE3QV1Hswx9KwVkTy1ruTYOysQBPBAS35CylcGfmSMzWOMES+odAw3roGsoEz P8wwTy3GfLvdufPUEFQw3TSkG05exBhra9RH3S3uAQbu5eW9yo0RLpc6IjW0Zkn5Gjhl19A/aGoL lImUR2aKi7OyiTVUvbScx1ZpNuf/vpyRxH7Ae1c+O+N/6w5i5eYbb0HN5seFD0Tf6CtjxveSqIp1 MLinfXQC/+5OU8LnYdFqEQytNK1zqGWzCxC2TaR0LnuheHGjS8n8oLCjqh5UIKPN/fcolrqx1mz7 a3XYEIJTD0INIy5JlwL3IDSIR1J9Cg980yLiWByGNIoRhZzrPgZeTghCdVm1pHpv8d2F8q8CwerQ DkcKJFAV5stnZoG5ESYmMwJTR0d5giKenOOPiys2yRD2gCHgj+9tn71AqD6reIXTXijkt3XmjXjF aMxfpCZo7VHjtHkHYazyhxwKnnHoe4fmI/02DnZI1357JWt5FdraQOwQrFk+uatcrRQ33YKATHj/ pMbneVbILftuU1E4xrbjLU6528WNimjiXxL5EoiPTS/1JcXDw7Lysi2fjOcSQ86PEjT1hzZdF5F1 Ir0VksygdhAiKoI/8whZ0Ri8RRhMmm291agMSWJHizBd1S0n43rbkM/je8S256INTsZrF9bmqfGI +dE/yIvQepExrlzh3GrK4AXoePezaVCuYaKHOMESW8ubUZTTNc1hkreXOJ8mUkt/MeImZXzBAIgs lxj8nRNT+6j2mp8dpO/IM3sxVgqFpHnUF5giL5ydvQj6MlgUHrFdKJqM4JIK+CoETbR8qSAYB5g5 ArTCW1UCCCax9HtqnP9h5VVsibEZv/j13s5cAQsHHlD5GH+/5+sADpHYcw9AmxpH/+QT3TYk+waU X84rg8tHe7PI5NLI8FkkHdgSb/HQA4abdDO1yXJwOCdpM+HL0NEPGS4gnD/b38dh2pFaitOndmdq 1UaTJvBIGKrEl7Byg/mGN8vnkuyQNQRpx8T3KRP8YnbMQhRzb/JRiFU0VS29aCUFoajpFhA+iZX9 dwT3lbrLsZHvovEVhoENH3xXX3QKS2Ldwo254lykXid70CF5EVpbKyNyvB/jkK9vdlvG/ZIGZcSE 4DJpw1gkqsxjPtm0CRoJ751NMRD6xSwDT1P8iKZQwy7H9/lpZQHtDZqSeQHGgqCJfZ3QPX91vYJ3 vAcvCvhYa3DIZGzNy7aPlkkniPvpqYGgIJQiPsidhKJ3v7LosxpDXJS9/CUMlmQoV+FzeDRsl/Uf sIvlaCxfgll8t2i86Kcn60ccIBx44x6POxzqkQ6ySW+l3iNRccL1sXlohQVCtdS1ZdaRMgvtjLA9 J2sed2X+uZOQDyGTv6+O4JrduGHF2O1Yf30oRzUfVYXkoV2GfwCFe7dSCBXdipcaYBgeyCnaQW5o UiK+BSoTrQM2vCdajVgS8ZGXAuJ5cBGUki2MEc8TSrRENrXg2hTAXbdWf+zcqnzzLfcQ2MwyCByo 22Zm8MRjfjPdKwpLRXJQePTzxrojKJi4E0eH5qI7USdnxI6YVYZcCoGK0ObIitQayr8gfCwIlXlM xnK34smM07Om6sVXg+xx0vflC1X/JWYNZiKkCpiCFhW8yu8DEE2KH0jIGC9XaCSXC1yvSN+PezpW NcmbzCoellhiL3xoX11DFn+Lc62AbnGMCkumUgkln4HP6++qjj7QbJkHKI9QI31hiBly4LL2EMhd RLSX3hlTsPFS1Dx7BTm/2yfPahCM2hIV+rRu1ZnHvCQ9S0s2F9olSv6gND+MRhdHIU99bdnJ/gO0 3u2Ce7LxSOv6fYz7hQf2nfl+XWMz166/vDF+XOgf/TziS8mtlwQJjzhdUGf+bS3wB9UZ+vEl41OR 4gwo4+dM9g7Xlv4/LQh7t1SPLqw6CtDEMlwNepvGqde9IN81ROFe4cE0vB3036DPYGQ8RLIg9ypA PzopoDxSXWm1tuly0Xa3d42rgWLu651AtWqzBhbEYCykbJ9dtHX/ihEl33wPNZfe11ZJGVaSyuS2 gY44AElarNz80tdwgjjE3KjvMGIIsIkMrFV9V+LMS+qaHqEhNjGICzXzpi7lW2HZLb7ywUaTwODX WluAID0c3lwlmTvn56Aymtq2p53ztUIYGo6OJ1v7r3pAQ8c4XXw+r46A+Tx0NN8jD+gSUQPOZmWr fqDH2k13sQMb386oLjxb3DVzf30X5Fa0J6LXuVudlUivJJQGSDjjzJcuEuEjB0VUTyEG8wZyqxbi rJDCPXGU+1iTLUSQOdf1jQHzElb/TC2rkP1qX1EtjnL/JDrUAdEw/E/r50TmQ+EaTUHN8+t1GLVV wCIunDVNP1rdLFs3lP2JRxRniECnxVNupnDbBlySAOoEeuPMdabdTCI4NgbjdAyv4DoCleDlUT+e D7NAHTWa6WEMqxS64BKMeyvNiv4TX0F8nYrxIV2g2IKGxaz7+yg1F+6uROcd4r65UT8H82tnSn29 QBKwLNDayZrF/SC9z3WS7DcYMfefg0ecMZg5GRkMF7t3XV+9Bw/RVdP35SkB59tNh+rmqdk3nYVQ iFz3dPFOm85IN5vVnDO36qx2NUj2fTwY8xBER/UMc8cIeHGNvi7Vh32rABFFAeSmhWVM7eOvkPl3 JT7OWh0/a/vqWivPuyoPtOpPSgLTfDqAHo2MfskmRtMfVrUG+uI9WoKjqo/3qIXcQgMX4ySdb1Qv D4CDuXqeKmjBUGPi6Vsy8srQHQnk/a0IEKBKGxs+o+wC0klOY2x9eJFND93vJ3EUmMZlt8eECW2b /a6pGXvVv70o1CpmFBZHoShXkcpqiQizu+I46QlMTm8CtrbVJVEcO2mAQq3B7x7Z766MTSB9Bcbe VZwVOL/cbc1S0ty8zKnRBOVlBGKs/KKOeWLlM2KnPwDxOqKJ8MM0yCzPuN8Ih6UnYMcG3HPeX7G1 BLoNOMzC2L1GUS7bY2IxjhzfVK6xJo11Ueei0D7kDVYK0VgLlIK1ComN4ay4AyUKniMHU+ikdah+ 6GmbKh5pFDGgV2/mPhV7yVmdZVZHXsqRqe7qdSVU9v7K9vCpwsIUHQHk6MsDbPtHGH+TqV97AKw4 hyMfBVNZsP/uT5PNDZ9zAt3k9W3tBHCmi0bX5SUnx9UZ5hsK4hrT5lOjFq44jOgHjyYCjy9XPIMQ Ex43jZkZH/KCweKsqWPF+PJ7kHfwNMsLZvAq6DZ5qVaprL8v6lKIu7pp3K2gUI4n/eYam1nuD3s5 N2CCZxlo9jWq46DnUORwViBCdA8BvA0dZNPr/AcQjBM6D9rhQu3dpMoWSkpqarLgCh34klqpZ4qr GoHBkAdAw66LbgLMYemtyjPyz9NJBgGhsbWvuTLsJlkg+O1vrwH5pVZ9jJs3eDTCt+nQc3jsTnD/ TKr1PijLrzqE7N5jnM7Xhirnb4MaFA/Y/S1mU0Bsyb1hKp8UJu5ckbwBhSFEb+B4i0xNav2hzZ0p A2g3jz9m5FCH0BhH3HWJQ5A4OEf59DJ7EofxkWOUOreEh1WA/LEqA/Djr4fcfhKyJPiCbhrXM5JG shSi4kWHw9nQcNlkiYC9RtjOSKPuu2sc1W0ZPZ5kqb887f4P+RpNqmthZdW26LNGrYXHFPbDBaUJ 0pfB9x2E3S/9a90uhcBiaByeXKCDnVFLU8O3dCSPb3VCC0mRAVkjGb9wnd2p2e98NKltc3Ed1iVV jueoUwq/SMOQykOmjZwTvNBvxLpm9DWJlWKFKE9hgUv+SnP+VX5zzDmVhBrT4jliXHWgUF6JFX/A 4tRq+gshwULULqIncXU9p4E4Q4d12CB7zJkST5mIydzU9Ulyz4HGhyL80swnDAuNlcRf6/TcPpNM Cy+pYFy6XTnOEDLU0A87sY5EFSH3WxHyW8RMW2BJw/xkNFq8KxUCScbL7BSFHW2cgPApdqYdH8CQ tpHeAtYAJKg7W1fBhmfZLC6H1FgpbF3vGCGfNxYtd6SVnPwzo9M8uW2kE+kQXG1wWRf7SgAXXSu0 zc/ZccI5rSElQc6/SmOJE9/x0OQ2YV+sT6YgB3BThSYbABjLl91Dkh3MtAZ4l/Sucm766sA83fo/ CFujIaqbNBsIcW2AQnSRYIqxZDjh1vsmoms2j9kSpISnXeR0KRP//rSA1u+u8nUl8FMAdOjSOxuY fRMjhzIvT7BFrWLP4aQqFzVV5pUGNFidnd/ELDbI20aBUQrPMJ/db+baljFDqnccmI2TbKGsjeyr HLv7QwyEcmfBkAZVXPOiA7GPKw00etM0IgagdMrg3N/cAgQKN4Y4CNEP6y8RYrrMtUX/XmgkSNZA TOrl821p6ipdQhY5t2xEuHw10qfLoj/p71HxjocENW7NMtKBrFL4+a+yisVh76lZg5v+dezPc5Zf HEorel0AScoCDDK6mVak5LIklyM2diYJ+wBu8za1gP9N+elmN3Q0+Lrsd8BuVIP5fHKxKQ39IPiq uaTTGqNrlE/ze0z7SaxjHbGF3Bqg8VjHpc182kclMueSjFz65/caF47WY8PJTKQEbqGAkMKHzn/D 9PF3B6zVFn0bB56QlFykk+3s8PuBpeREbF+XxSSoT5H4oTHmiUYmv11CXSyZ3KS6og3/b6rxJLBA H/KjWy5nPeOlGvBL9D3acwb5WFrpFHEcSOweBYYapQOHMY1kFpUfj/LsOjLvU6bcxvJFRCXIiUZS DoPmZLHcmCFMZQU1fESRgmD+auqBStavV423lmzL1h/Pqbz17cxxjtyyzNpPErd4JJk/YtLzU5vZ Vus03Xruq67thtnKTSOWS4j5tyVG8yTkDio8tdRXp7Ibqn0IiLY73jCoJ+EImFwZE6BiumM6runW eyv+LjS38gsBlxheu29/EEy5KUYYHFmFwy4c3wNHju4LxTbtHFAGQ0Q0urcfWxFbAztdCL1y0OfV sqJJPRsMxsitVHYUjZkBCOaJOoqMUxH5856VFOwgAR+NeDPRVu9w6wsFqo9UtegGo88UNJg+fFne ISxZEhkp+akj0uHZ2k9JW0RFJp8JoGMGclvJ/1+jCjXm4BP9SzBY3V0mMSSid8ZrZi6PCxNPtu08 lAbs42m1+Q7NV8uSfg/x68Iznqcy3zJCVJbcjG3397yJzxvF3QQ3gqMejYsYcdphWYReTkgBPAgy 6aVuWhCtydoUvXMMxTjTt1aX9zZzs6Teqpul+phxh8auo7sEQR0SXj9NAJMxG4i8ByIxfpTc1UdF 1op6n7KZUlOSYaWrN1aWJyGrn6FZiIwgYnGsulTtKqtBVGgi1toGh1zAJ64epXWmRm/ikSy3BM+V LwbKExGKcdVegrfTSNCZpmZfqwhSDdHk4gkLH8kA+PaMljbM8Dzl4rukxs/Qoz4ENDWbeSyIcV1H X8r5CFTH0ZMr5AETrtXGrgpOmIoz0aWbjeL08nhIVDPXaAhLOFN/cuJOfbepUCvuLbogXntGRuDY 3QVu0gJyGb5L/l8uwu2h2EaJqox+ELgCJ1lyIQ4DFfO+Wi08u0xRE6VqObVmmAaFw+3qcJ/UTe5Q 7Grfa6vSunVahdV+sBm04JcCNwaKcwiGFR3exZ628DS7GxMi14p0s93wDUOagIblbFws72qeQcp+ StyZ5ym1+r6iSIM847x9lgXN/ks6JOg82DsLntH9+R9qmz5qWRMmcri3aS3tkiUtxVHCYyDwuO8A 5eVA8B6kBtvyjlez7AuVxIZebBAa9KJFlAMN1sWVP8mV8UhUYF1kojRQJhFzKu3oX8woIjkWTvwH BztchgWSFgcP69lzAAZS1dIrbddUsEs04cV7l+o93V9TQWCctv+Khb8TRs6hqje3Oh5dxapnUGsr rIy1IadxGibHJF3WGOF7SGDQSItsAqfRY2pfR0kylDWUrCq1ct5Ms4iKEt1kcntOrPoMtcnvdyEE t0w1eFvJ+CA7VYchQvBzmDD/t5xw8TAWGWY5/gipzbDALIjymLibjqLPoQbfUZVfykYk93ZCKs86 vUD8AZqau4XmW3finMV7sgzA/2JiLFMVo08xgGkEUey0VoH4fkyGAD2iE72nm6Fb7t24M1q8uS9t K/JF+WBMrFiVE9I5/O14aOho6XDBjP9xbfjmZC5m2LbolfXZ3QDI9i9e9ilSXFmKNWUYDLyND73n mdWWCi9x2NzkX2yx4qLn9EYq8s2cnCJU1w8W8eDHPEJnIqMaTAJCfhJYTLEMgMQSdjsdDBKnDDiM R85aTpXAuKvuYzXmC+4zHFwt0dqRdnwpFEpIbNVVzrpTTSY4DtExj29zwajJt96o2doBzaQbSuIc mcVlcvCpvl1Dp+UllHS1jD5tuhctg8byMOyU6Tz06XKtM+2vYgTrH0oavvjyTX78cWXDqU+frWnP +nmH9RlfuZd7tOlWBgVONrZu2NBvCLmteowGxu9Jc0Pxn4uVShFMiB+GT6XB7JIEAgyyyDHDoqWV JqcGiBSPAHOGRcLleZBLgozsMJELcHr95MJ0th0OxFz+aei+j73dUJL1/cf1xkBA6D+70z64V+ic Y8sNVBTVuMdpYgMlGrFXcvHr/VWEkpxp8oMK8SJDn63t+926oYS/PQBOy+A4BAn4GEsZSVa7ak+a 43bg71H14CRe1+xUHZATIzoT6n3/WOSPNjEHK1Fb00DNM0DTh8h0gMw3qA+HTR+fLhh0SWgfAnf4 Bdn51bvH9O4SJws90cdp6Biz4CrpuoAJsvGsb0uAPhC6I3Lme6+n0D4M2pSkYxzIc4EwM55VwaBU QOdquZ/zTbub4QTF3l5BPh2QnRT/XMv5Yc1fAQLB7cw2ugCUjfPEHlIyRzxOe6OJN5XVyCoIyVc0 pPOtBR4uwVVvKZckFtGHZmTcj4P0aQN5/UutJhzXk6pOFFiCh11YH7jk8dXLLgWQ7J1xtb8kqDNp IKFcZ4DbrLjFB+EdWQqQFFOBjNEJ+fmHc9bAEztr27kXTbDu6+oyvNg/Puz20nSqVAP0RtU+mU7p CoYurxDPj4ZNWmNM2rVFfbH65L9HXRxqV3neskOaJXq+mQq81Qkk4uvecRTJChg9D6rL+eL3+gDK dGugnrEgYTS8kMytx3qYl8kBRoAfSahQF7UBg8pLkybNySr6l1rst+opZ1BINqgRqS6YcvML8q5u eYiVkd3jHdG1CKSngd2kJePWOQN3/1H93BaMdVdG52Ha0+b2LNQiQcbFnzU2iylCVTwifXrAKo65 OWatl5GlfbkgpO9Kv3Ecr6TjnBQdoGHYUPbqX5Vx3/h/jLuS8ikG9mkKyPGwCqdCj9thgCbr7Rnc Hzkiyq8spi/jg3PYoaNVDzS0x7lEMefSXLj+yccDlPXU3dhLfQZUFnsE8Py4jih/Eo+JBHtKhrAN yoezzaP3ei87Qjk6yHGHjaD9fp8D2j5OEmnC2e2Ub6aAp7UhB1b86sZAwC621ZYrMwSFT3h773qS Kl9z9YyMawu/b/pTnVPENDVatOgHCG++WlALA6czoPB0gPXGnBVpeL+yLNEcgnuDb5FUL2om0r9G j0n8fxauVPGZSIKs5tCXN7/Hi1zv05jYztR2iYQ2rJGBeVjYFqkj53inWLTZQdY6bBInVGeEEp1m hus7adYZiZZNTpWp53vqTHKaCZg0zb1bb2twgFjkcBYIbi7BHqSDjU3S8GptE7c+fpEvBrNyR67a Zl5N6ZkNXNDwh0ZwcXYuOW1j8S7Aut/rfwSxGmzmWdjD4bo7uHjnfUAGL8zIbp/tjyjJnRIBLdIy mo5sJ6GB52WF1dGkhySQWW9byiV/3MGE8cnsOjNYVOQOLHkj7H6CVdRDZquT0aHu20WfaakG2xoy cBjmYx4EOZnf7R3Ar6n3MIZ9D6Jv0hOkWN/1grApxL91WuzTsfApyBTrPNzYsoXyYgnK9AE1rpk5 /cJs9l8VZLZKzc+VlRYWFh8cAH/klIQGtUvid2AqqM5f5rMxf59BjpgwtIX68w8yBr/eQPSV5wjg 1n29EURdfojI2DxIvg+28+E1qANSNOeqnmnfKwXYZilIkAprp1o+eaIK0snSsRZO46xk0hZPz/0s FB13ybV08i54PJTqryU5caFESyyu90SEXNiaSIfzruQz0FsDaDCJtobixo1cQK70WsfJx8ZELSpv GlhCNL1yWcjB1y085Tq2M6PRygVARVQrRLIUxQl1Kb7y6qRIFK8ToL6YRR542w813eRygfVJPlhW jbA/gn9CBBUZLe5XEmCXl5yXSQnA9T+LOBcz4WSweST7AtWkLSNKGcSiahjKobHEd2p0OjozL/y0 /u2nvQcu6cyAnIW4LXit8WQ0BbqDwGjOd5OYTrS21hWvsKSefy0npR9j4j8DP6WBwGHESlM1mb18 gjgtx0ZI1rg9fUQeOkqVxwr2wH97pi3Icmn6GFGouwjjO99cU4aNaE3GDjein/D13fbLaJ6UiRf3 NDa3S5/7mYmqA1dotZNDXQzYQgRQ8J+SiVzHocdbSubGfwr70BH2Xc8XYkKgt03Ud6bJIxNyvcuo 4kaM0k/s6M0tVRhBXnIxLxbETeWtcKo5FiEQ6tK9A7zN7FdXAK+E6VpAHB5B52gKHSfwNEiVw3WI ua90OQuUcWPyGxfSZC3kaP7Cr0cM+IaiPnurFxtGq8wlVTQF/qmTigWHs+u1/07eNX4G+ntomieH bhGXK4pUBtc7wrZwg8RtVOjHsFN5cQunLeL/C/+hj9fsCV8RLqhMpWzmRE6Es2fYoqi6fVQ425oW NDpRnrV9ER+o++q+JCL/qkvWAgh6ZW8QjTL+9sRnAzG10nfYXRPtb+x+gaRZT5s6dSIg9SQxfoiK gEkOwlDB+27pvdkA/XlPcART1REoX9y9/lN8dFmDeMhJpWGwYCh/sKyP/OLXDGyziikd4qyjUo2G Mk9MG6Ut1Tvvo5EgTSp8KVvo4GhbPcf9zLkw5KM0GY2ttWii/8WPr1rLSupGUv1cbrD8ojnFY5cj JIxi4pimhmFEMPj7VsImUsxdFPXKgByJBjFqZxyfZwUAmywJZOQIowWV9QnbLa2euEo6hps/GJ+g NENPmUh4EK4BMwozu/kcnvgwqSYBsj1YGNjlZLIV+1bMttfh3XehIhgTJAFAQNMtfbuvIuw4rk/Y SRqn2py9stC6sHGzDGP12hOgd72ZiFnA3iPmXwRm6pso4Id132DG6HB3UI/mgypsKcCVZfvUEiQd QUa7Kpk+YIh1sigivKT34C6ZBpI861/XxQ7pbgB4ETKUude2AQAV4hU3xTHM0EKvZmlNAy7PBUeI g9r8OAXL7Jy04LuLd2WKRJeFMxyaLLFF8AZfRsaZq95wDfz5PfuRXu6OPUyTyUAngpiDcsREUdQb pMIw5CREpz89IHpl5Ru3pNl7djiqR6aN5/gTm59wZqGGTzFpPhEbEyQLMFnZ4Z5nNLJpT4QnwBx+ EBYaigIcj4s1NYiecq68RmNVZG634j4i5BgzOetkGd684m2IYn2RSyDLQkcCyBG9eugUswzPsvIo PvIK8RIpuyRzIS+be7P88MG0BYtFhyxSKYtr97DAZNgH2I2lI2tinEw7np6LI1ias/EkfzV7T4Y0 zJuKJOpZqd6E0SVCAbKyH97lT4MuKhPDfPCEXgCTfl9l5rm/cnEbjYzAtoYGH7rC79H9xC5qjFWc 5nRko0MzgymVHgtMD628YF+EedQ4G3sHFitGq/bMvavBj6eTI6zX7yvIPVTQuCpDOR+NGKJbqpqA phYSq4X5MQcabe/gwBb2lLGVZ1to2/W7eDxbZe6yoIDa9k1ON6hlpKf59YYmCowaxVVnRFwF+Ken VNgNljmhKyelsGrUUbKt5T+zAkiop44q9511NAX4m+ZTRITNP2qJYH85dYLZoaW7bt+NcWlBr7HF E4hIFMr1yX+4phgvbjOfeMjX/gjLSQ5x93YRky1EQROf/2GNSdyQNsJfByQgo68UoMPu17rUed3L h04D5Puq6vnLU7StnjEVCGYg6nUijEWCuPAiTALY5TRNfVDg3keb7Cb9yyVDmnwLnQv/qURTCyz4 t1yJVA+xHGDNXK9I9yaPuHgsY9YOPZxiSF1blCG+E6r/sLxpcwK8POUDvsE6EB/nJpzk+rQsy48E AOqgP0UR9qCjbZpvcLIux9MSoxnW3XgTbaGD0zZjuYicz1Ougx592IbCJCVTlgvMOhc5szmJJuFo g/0eYSHdb7/qKtfwupt+KRSYHacRedGXd2QodPB1810wqy2Z3TCBy+QMRdVmJjfUiL+ZFqJPkQaJ vMKw3KtFD9Wt/lKU++om5dAy8+RUR8R0eGRO6Lq95ac+UXlGTpae0AcneQ8yB+/1kZm4ICA1/H82 AFpmyXQ6oCDZtn8qbhpy0QYOu01M4oq/vRKreXuW+mttsc8oPOq7R8NEyaph5t0d9ehQ2lG8+h1X mFl1aW/cXgQgxPSIqkquwoSYOytjIPTrC7j7Dex39KHotUEd/AdAar8FUztySQOJs1oN7MEgQlUG J1QWGi4r1bGwU1l6U38wRhNoDxI27A1/25IVtbBp6nNjwcEhP8UTMW4erconqcF9/9zyBHA9xolg 0jeLQUxXHoVvfUG2xzr6seGIC5mdhLkTemkT2Ib8k+TQ/aPdS4AwCy0WtKaS/P/ADM8t7TBC3BAH H01C963lM7QfUlai2XrA5O6XBEzYfejUgNkhFj0rqvvKi7vbDqJk9VEzMoA5GxoAop4Pi6x6kjXc En+Ini54vAx9KcFPqQ68/6a+x4SQunVl8qX5AcejfkDHXSZYi23HcrR1dOtsROzEexIQJlWrQqNx s81eYMA/uzvv+hBXufXdhWceXwYu3tssjkpd6m01lft0Tnxc1EPWRh6z7b1lEfT7AAvhz5kcxjx9 xpVpq/vWRuK6tYHBTzYtijto8jkKiMLKwWwV5dTid/IeuaLVhU3ziB5Ho5y3qHFc/l5DmurRY7P+ SWeFjYnEZoGtDUlj2wzLSWeCDZMd2SZrOyJleAI1Mtn063F8QhyO7Q/s97dp/8ur3rMsUIYGEJaA TsOMEHW3cxuVTokEot2ZfAWrCenf7I/yWyRhm9Pa8n957wPZKDbE5ENMHBFB0i/cbBgV/d+qZCUK lTVGz6V5fGBQUWypj2a5m5gHY7C30izolR/8/m3QIaXmdvZvBMe8UldOeio6bm9uZqMrGzKMZcfv OP4zttod+OxEza7Yp7mmvRJAXp3pansVs+ir/8i3cdm3CXAvhKiT3X+9T1P8do+4SAIdxKTb92yO NbEGNxoWWMgDk49iBfY7YK/5rt51h1b1wlKaWTn3amG4wLxZlrOG4g7i5IYyLYPn6ElzEuXTJKUm GEokrSleRCECYFqWtnhkCqiuP8brjEzckd679JYlRwivR+ueZg/qH/+NoyjG2p1H9S/X35f8U/DS cc19jUL+C1gHW0chE0GKfjH7RePM8upqmGVLSn6iNjZp4THCh8XR2nFy1ADwiDt0bj9NyT9mPd3D GCC9Mu4y+BWE6YjsjhcwJgi4MAQr7HM2vMpCpk0CII2depDtm+SgA4H9C8OzR4OLQpBB7EGu3quK nXGeqNF4kIXtft3tJIm69re/hLWOB7pLCb05r82v7AJr9Y43ol4DqO2t7Mf9d5hARSOa2x3AcQiZ hA8Zx2HgnwbEZeZEgiQTP0r1EuxC+2RKEP+YxMRBrrTG6zw/YNHYAYQzpS+4KLi9+Fxmxl1hzb4w 22tJJiBm57I9IZgzMv/SHMTkyfX/0jl/BqUoA7r96NZReqfP2xPa08jDGPDEQ7Ehw3F3xvcP8r7L EBc75GB1pDIEEEwxRuLMSkmaD5dfjeoLVuSL2A/w2YxAtQz12sE4jgNZbQblsGwMdrZUuzq8CcDq gxT+CeQBvViA7ZEk2IPpRS2NAFwYfdNKqJTo0WX4z5xuf9lRA+24nEvbvJsvL2SSC6/1A7K2o39/ syMt3zecd1+i1yG9TKZ6DGNkmMgndPAhMz88/3f1p+kQF1wn/NUuGCGwmzYgm9se9ZV4wq874AtL CDZN6hPmIWNzyezvQT230CJ5Qlo4GnUwzF9oHFEFmk0F0qHVtugDCxMG61x5E8ui5cIoaTwjFOBd 8qR5u0WF9zBXWf9jh5NtmQyHtAE52UPxgMs24IvWASEY0Xl/2h/YJlFs5Hl+8vcvzcJk9LVr6R+q F5DNxsYI+olAub0pWo3AYAxUC9RTSbhVOVg7clQB+HZvsxiYI/V0tqa6aQCGnrJXua9W8SR07VfZ AloPO0/D4mVY2HPTGF7jfRI0sCAO/A2CrrtPRpoZPCFhgqNOddVPWUrYtBp0pBXCgepotzQ78Xj6 6nCmy2ZCxZQc6ghFZPp/2/Re3c+30cCN6Ga5U+juWoHwMSXCyssHsIvTeen9gPMntGPoHz4GDMB9 +gDzwh4+bJJCmsQBiOvrLcOWse/OTGGhkc7o/cAHjnFENSHB3Tl5DhXoLnhgyQlFF3yxFmncPm++ eu/g8HzS36R1fEaBjBZtJDR1VbbXFejPyxJSdKxhsP+hD6zy5waSWSNktGZkD/dfXy1CYMS7x8HQ IK9NhHp9ZPiWZVDKdDECLYtmCyf/cdwSMut15msBCcx/abAK+TEhh9xuhPqTq9xqBo/2wgx13pPw 8WcNZgpeiFqSZgBJ5b0qpoC3S9kqM+BYHmh2jxJT0nrfaHwsE8/8u7YWp5tZXtb6okWmlHj3qDS1 gXNtytBUGuOoz9ZXOd+8KL5+CG+el2YMfoTpt8SM1oApmtd8Y8qkAtjO+M7CkBUlKle+04QuahOk 7DuwTTG0jbNfdaa6YoKzKds7ZKCW77JhsQ2DfWO/K0uru3v3pJQP5VuargDBlos7UM+RAZ7qPmMM sBLYt61bt7z1dKIa64kvDLx8B22mRimIw4QzMjGocWVK8aIWOCCl+e61HiVHFC9xhHFWsdszIzUN I8Ex42F6maVgNxElhMxRG2XETYyje0zrC/QfwqVzOarpOQvbPEG0lVb6+7g72RmMoJNlPjlVG6xn ip8Sf0O0iZwh0OxYNHpWK+gFQa9xZESF6on9kKYSUrVa1GhtIp911tXZ2YxDpzc7Zw4Z/fVW9Xlz Wbf5Wq6bf19rqPE7UBYpYuMZOFLaUi1F4ErOjnt2J0QOLjaODMhRo/MobC7uIx/Sh5UoLvxRISyD rxtZfV8mo0XDzKal2yZqzSTOfFoD+OQBdMCKzJcZsT4OmR/PtRlcQGCJmv00yrDkYCVcgimVXnvr 0J6RMn2m/lKG9o6yFL4MdUGJQhThKmOyybmESizqzJinVl59ZyerasL+VpbH/RZF5ukpkf0SzfSa iFRSswki+cLwf+u9LCPTuFvi6BykVC+AwoQ2KesePm1FUKeKvkKL1JN248tqcOnYk5ZOnFDvKoVz B0A6VuycHVj9yFoAhQD2RlRt3ILOh1scIXoKxFq4nmN4/No6ZuNGvXPEXLTjy8hZil75exFrcejs 7kh54mXnV4gfHI2OGDBAz4dqsQ1+KbchROhareA6w2Q5RWreh7uT5BacmWzXl66OveXUgkAh9PPB GQ2/5g7H/Y95Jke0cv6aQPYQyDjyT5UHUrLJJFFnidbhBx6UKIg0kHbV7USwvJo78URXlIVVjyYY JEV6uFaPq+H8JzFKN9A4lQOqrHaSMUvnQ2icjB9OJeFEe4ueHAoW8c924L3qWXrygJpArLqT7jbo 80DLo9eHCuy4vAPci7Ku2VD1bLdaY4Ob/Ipxu9PezNvrjmKK0F9V8FLKQm3u6xHTXd/LkSlv7TUT HVVX4gXbRQP9DvFQ5HlpOHh8ORvnt+8fXJXVKS0aC9VK4CD1nUB9OFByIUKthWEDNIP6SR+sUZam mtg6e6BZ37YPi+z52WwwIWXeCliaIFktEo5SsT0R2d95XaXRMNKgEUtkV+97O8uY6Zfo48d1UN5t L1uPUjUvOMkDc+H3vq6EbN7s1sfnJVbz23C9ncaJQ6q/YebJOQhxfPgV/GrSc6/aoRZh9USW3dgw NlgV7znVSOkxWCXvnOS3gZSZo4ILd6tpUcigBzvN4frG6yYbaAkEfoFmZHATnh/ca/QCMkhvJGLc uh3MlsTELWLi6UWZzJE5Vwsl69+f8aqg6AdtUR43PtvumwWhHLGU9jYZLty9pP8JMVhBn4JFplqx E23MOFN8dDRWvoyzt+9cQSJ4eai+zLPCva8pyRCuPuictZxmd7vhIqqH4Gpr837fDJif8594fIyE ezHpxsRpX1IrdWnO5MO2Q8ByiP18lyLRNDLIwc6c8AejM966WbVdgd7jhqE4old+qXu/e14O4Qtq VwRENNXj7u05HaSpl1+FlRefQ/vD+3WPYY0YorCHxCGK3eI01HEr4gJX7mqUTwslA426Bpvs5hV5 bAvQGlE9HBF/Jk3vZbr/MpVBhLHEQ5+jJvaQKUgv3EFotT6irj0GwYfrbDHhHIvqq4GtVtxITyUN QZGcM1H2DsK5qLOwBVSBKyongNMtQIPE4fXWUt0PLMyueulD3DhAAf13LqzEKZAfJDVk8p1G1XaS b2/3EtGDjQq532WyvBjRbXmFancsgk/Rq5qXrrNDSzDrGmc5JfMhl+ctD/AOmVSdYPKUQsEkzOgm tGmMjKgHWyzDn2kVnpEVW3h2ULgM8crF7EHt5Tnam5mqazo3BMC6P5W1Zffq9ZLbF6DL9HmXm+aW 4pEO1olVWPgjgGgA2PlD5KGDJpHRoviktuRu3/wF8jijNwQzW6sBgA1wqC1Q7OxMf4EdJBZvkj77 bhrroUWwwVTAlWySb7JQE87waPY7gikltVBoaPjhR5Zt134Yz4iCZLCYbCVBewsPWHKaenyWHx4E ScsyDdRLaeCKG8LBb+qUPORUXgVowfPulgOZ0JHr18Ar6kIdg+8HFln0u8f8ZgloInvDiPpeUP1d oNUwsim20O1EpRRIMKVQhOpDP7w2zoykZG7ZGhZ7fMsu8MLDzS0YvekwAWMsvMiAPteAmvQ6rDhY ilyukcgNqCXBgE5g1N7yxE9EmhMkYlH1dRs7+HfDNMCs9FF0cMQCKVeLnpcgXx7VGimbzVG0PnfJ YEuqHCEblsQWs6h/ffbdk8HDVa4B7lgWqqT/+iUIwe9uZ8oGBeSTuytYMazN9O6UNgz2bkmp2hXY qVDNnfTMDoA1chZKJ4yHatINg+sLPKPlT+tgrokV9JaYVNU8d+amS7/ClH7QZ2DzkpaqJbKRhX6m 2myZ9+eZkrVTFfwKNoVZcDLdpUFjDawmbvwIV5LTCScUfF71DndKK0McrWHQsBQqiczzw/lgi/mK qf+LDfpPdDj4Ecr0GvsAGQqDvertSYVdwVFtLOHxVMxDhmNOR5Hnv1sxsHK0GfNf+EwkckWaZ4QS taJZTlFexl+b1kHEaj0TQfTauGlO0MpXOLgXJyEFOoeS9AhVpkrmsPpMOmci0CerIFDcoXh9dnTb DLV9v9buR+Re/dVc6268oz1VdCGScXwu8D1gvkGmasiNpSQn6tcnUtFoz5a5u9wO5zCyL4Or8eQy hfB7EENMntTN2macI2JgXhk4/kiGx7lw2QrwYdvDpc+LovgLKi7hcwqAWEJWHoXxEc1fLKE5f0Qs jdc+I7+A2B5r1pT2JBAGkTRt8VX74OcpIIfZPiUInLudAzZb72NLvjsCM9dgJV1KoOYwa3PEyqKm Ik+b0eqV/MgWCXd5TuuQIaqscqvf5MyEekuDDe37j2YaakAWYAltqR7+BgSHhAxj+Hp171uaWEal NP+n/iH9wSgruXIiNzoI2jF5yprxNmzVrk6PlcfZix752y2GxHF2B5n9/G7NztnKqeG0eO492Mua GK65noNwvSRjQ3ePlCdbqVgekfNKPgFt72aUu+03nlPtlo5xcdWvfX8a5aObk3+L3erGdteUxNQ0 Wudo/VX8xsXLhwnHnL4p0xeMHXY2USmgKQ2Kgb6BhbCAFjdy95naUfR3FbmNvwAPJeXq5jK/0JHB A4ikGf6F9EUC9XojImJ5p53CV2mjS4SxmlCqBPmZyqo9SogwwNL2emZBncKjB0QjmalJemxX3QP6 YTja9MJ8SOD6lHUikP9k4o9KH+j29ReIR6Gd9T5gg5wLhPCMNjVQc8aJqQJ6iJ2o5vC+c8GfIgrc igiZ4NnuwtJNBoUxeq/RjSpQaHalyiwUOd2ZArQFrE92ylPw3SIc42Vf0lc1X1YrzNbK92QN7PN+ kTi7y4Y9UTUeqqggqUTTQtsWOahWl0Rr7920HTW8Gjupypp0kde9/yj4fh43BnuBOyRjphQrgSN4 gTVD/n/PtntmCGH3dar9nVpI4vaLcDjaegqkCRDa1L/AFz1zrQllchZAEAKrv6K8+9n8o4vU8vlD HISaclzqxE4i27cbQoLsRqUtDoj6nIG6CwM2rs6k9AcPA/2fOs24qGpiVKNjaWePXm/dUeZoeyZC J0mdhsO6kG1fFseWGp42GRNPfU7yVpES0/i/aSYY9VFG8CSSU719VSxw+Vnerveyf/Jcl9gfreBg 8xEHAc4EHBtYnuO9ylNNxI+YJmbGSFeTtVP6MMYTjnIx83MrS8yDM3wfjf0xCXzgXsk+MUSgwi/L eiKv+nDhysEZ8sOoGZ2u4j4SrAYdrUDPoKSyd/6BR7nowzNuhOLsb+i9HZKtrAEn4SdKXQsMND8A o0i4sA+vDitlMFVCLitaEGDDCNkwEiqjRkpE7l0EL5ebuPluGqLo/zLbgE7gOqewxNtXRYdHHkCg RYNdvjban99MUfpUImqIsPe63sNjEvqRV8nmo6yRy7BAfTKu94nHyfdPJGmzypM/OEwUpWn+JxUR 4I2NI9AfdGf5NTgciilfsU2GOp62JhO/2jHBG79QLauUj1Rwtf+Nfzt+C0z6RmFxIUTPg9qoBU+h kJnlmhVsZYJfbPTRutA/u5EocMx1IsYhbsT2sdVQ25T1gzn+pJWd5I0x1Xyz6J2iz12neoJyGRaB DuALvukivNkKRie0pZ0yi7hpQKUd9/hV+HsHzHZz7XlmzDZQREE+Dyl7io5911Ch6Jh8EXIekRMd d2kmqgDI8xZuuTFo0mkWkn1uR4xhIOa1uJAnEf9BgnXkHhUxWYLj2UW9M6o77lyQc36oUHW6flAe 5rbG0rjsA371m39Fa1bxXygmdvmeMceubYRbi4NywIyPgis7J61Bp/Gjdts5P+VDfR4j+ldHytsk YBbjTvJktDiJcEkWWomVJt99DLMS6w3dl8FIDt/WoS/YUPkK261gdv1DjgvEAGEuMzqn91K1cHwy 8yCXMtQIdE6tGd0P7wb6P8GqrUB6nKGJxIKHrMH4Qgx8+sHzhN+FDfNA5LXuh2FkbuHUzhg5yWUn OzwZXx26fASyZ6+2tfO81Bey/h9D7DlOymgAUu8LV/7IQJvW8rKusQi8fANFyMuH8FX4mTdB19BU VMsm01T8NgipaKFYi6E2EBP3iKVi1Eaw5xxmQNieTHjXacGj/Gl5cxA/eAW0eEL1OPL5CamvzNCz Eb73vgBHXApRST21KzcgDJpalNyBjwZglIrBlpdg8VPPw3/cHde305+AVBNXuhGltJPQgraJz2S+ QIaYSIBAh9Q0O/+rOgXAqLk2vyvTlBH+193zi4iAM77wUplDAOEi2Ekk3YiInS9jJ7lZ8CEMKE4a L9Xk7DqTj2vrx870cxV+zbaheyw0hRut4ev0O5lgH+E/WFbDjDUPu8PACadIqQZK4Fv1WK5I9CIf W6Yo/LuX/OsZy8OMXGfoCNdRV15O3Z9u1Ww3UaI4dWopH80cJJS959FCZNhA5Li3d/1+GAIHhklv aO6wFWD0vcoGlrW3Gqe+OmFLbT1YIiCw93kaJ3RBFGXLJL6kVLhDU7o5gF72pTid+XGA6cO4CwQN WAowceNpTtyFYnGY0z/DkCqPEYRnvG2AC8EFm3qLJItHzrPmT7JofKdHEcSs1yrrY8V/quqZmAQN CwN0RKcKmfAE2KB37Q66/zn9W4ch7o5aXbHPUugcneIbVNqwAm1pvyLP9P2ZfaOBTBuNHHKeb3oK X/b3utc2ZCRHfpn64g/71NQsRqcLdhM+/oZLHnopyFjt4YdwMJV6Mc3Yvpw7BgnNaDs47sa0DfJQ 7vgNB1vHOsFSjV9MEGpJ+l7N+sLR42gJPJPmn+RdOZY9AWA+xRGuKNsA3ccDBOpv8cn82Wa2e+OR GmFTBMKR9+OWEqsp2e3gLbYsUd2ZEVnZ9rxTkIbZUQtTa90defohg/eSGHQy7NLHTARIYsd/3Jw1 NCAXUAPgBYv8l6LBp8hFTwxOo5XUTk2UAPfWtVwzh4ZANz5BZExXlYZGNHUUwrO1Ygb9uBqBjPE2 BPUn0u3U1QS3njGdZW+x306vGsQhFwmycYm3JT77ZBl/ivy6s14phyV8ccJyGdmOsXQitaL2Lesf O1X2Q4z32fVEoEfiQtEAuzz0Ed7sDX3/Rm+/tBSm3qyPY3EhMN//XrGh0NXH3doqHEVV53MEsTr6 Vi0l/U4jL4UxU0Y9zINw3m0Z3Y+EWGeCoqbiDksrSZU5Hz/XT8kVU21zWqGxRVOPXQudLdmUJseQ Y43A5vsgZhlewbrSlmD3nIjsaqlDkCD3KUNONzOot6wI8FpC4QFFfgcE8UGH20Wz665YIf0VXA06 PXi0h3MlySmY4lc3B3KPNazVOCLDqxnleCGJH4zEWx0G7npiktQr0+AmuwDm1Ex089IOmS1nVU7M PMd6+gqWdKRCynrmi90CZO9tky1IVl9bvhGMSIcDjFDlhbKDDL7KVhOPlqxll8p0JlyRwDtuxNWI 4+aPz2b+t9GX4dvnT2lifHDrJ0Y3fabY4xsylwBVL9jrfoj5R2nPuAQWVQiGIy+oSblXyLo5vhzm bnG28IUnm597tOR8GlOnsinuvThDutZP89rMLwBqp/dmsnfku36hD+alFd1h0RteyaAhyVPrGQQ0 53kME+TMkn70F/TPv6BPb51IHNXlXv9DNo6v3X91zLFviy65Ona17hxjX0acUwGrO5BftAnlG9rk vrSFXe7lNzCdPyMUY6vcNjgqZEV9/LeWGF63S3eNggi6ahu4RFe2CbKx+MeNyj8ZNqVF2v+nklEq ADU3xpzoTr9YEDOApfm/zGy7tdvE5kH3bF6n9gnb1dKtaLoQig1l3pUuK1We6e08YTHw17zAoMWm TfOXAe/aDwWucRGxbgaG+ESpl30nUo9nTstphbeuy79vqf4EW0IrEZZ4AKD/F+IyEVw16IBDkNFr 7vUaqzF44ePj1qLyxyMN9fJiIEffDRBrGkNhTy1XK36o4NYDXMow7GVGr1q9R/BzAp5HoLifNdlz UzCfVU/oJQR7zWu9Ajw8BMpECGgN9+wnEgijX6MZalZTfvtFflSLgXP4reh/H73Ng3u0hdvH4Bhx +HC4IGFhdgDLOXUHifBiwF+oCAhDUmN5I2Rg3mkZ7Dt770kcetXha3wA1BNQclcFVIftHccEYUus 9o7srzkryrcE5W/bh10U+rnisGlJt+5AbG2TDxnqDT1NYVN84VFPMU0RDU5MzeWsXXR9pp7VVToh KBIbFme2qLWsRJ2fI5XF5VjcfIDMUbuCOdWWfcAOgaJIhDS888NSGjr+MvoLYQjypG+v9a/meaG5 THBV/Q9OL2OmJ2rFtShHG3+tl/i/l4/Cs5YoPkBAFi8d/WCTCJapCzgj66xuXU2UG3UplN5Ab/JB uTaxc0+pqTkWD8i4p/JIbCtJSPfgMFNVzrZ0tqpeetiB7UBWH2C28i+wgIQW6ozDaY+wjMb2vBrz pFpYn0Z8Sty2hoSHAw4J4WaOAImUKsFfBfVtXAV24nm6VaBNCdBsVFTzHft8ufv6N8WkcTBwYAnc 7s0CmOeknPn6pEa9vWE7K/pRp82hoFD6sijGYImje6D/uJCz+oq5quMstQR4htAmjgEmHRpbw9My oL03t/JGIDtkEk4rwb8pPs4gVsLZygS0WbDFD1GK1o5oKHZ2zyiw0vgBOJkM7xQYr/hd7oE1qjhV ZdB3LDGgzkLAbi8yoCQvmFwsfuyosO2L49DmhTzP1FJUHrT7cmPo8jYCHGpmSnFow40CNlZh5J81 sBQe/9tMZH906axJIde18FdprDEzRWa/fEM+Nc/klN0RNNxzd+YsuR3aDzVYKhbe+ytzWbdL+fm6 L8eIoSxK147c5/e4I9ofVbenndpFndWYtRUFGCdyZRyITZruJA/KhxxtwT8/8I/7kwQFktfZQcDh Si1PMFmlb1D/eyzXw9k39j6icJwwCVmrab7GBMUy692LWyQp/L36QjDx8b+Ln87LXwYhD0En1Xmn ov8975eBxewCmL3w5JG34/vpXXnJWprjUyx8HUDjuL38yFZDh5Jb4zS9XhhinuCdzy6JIYJ9oozB Cw+e44dbBTrymobtmjlPF6zbbK9ye5dCSORbE/ljzFIZQKVii+cllDbn/jYpaE0OjVKkDwBgDQ05 sIxjgugl++6jyWnhVF7R4A44Tvp7M4/TUXkcOObUTOoVDBxm/rvnG53aSGjgcWukEq3ZzLdsI/67 rlOa78f32d0Yb6v//Hc9+2aO0A1QjngiX8IVXt5TDEhLoWctFtB0WiUvClmT2men6EdHipTZaP0V l0RPdn1ogNa5Vz+JyWOi7OOViLX7FYDaasJzjAGaZMTGK7D4VFCLD0h9fD92eS9n6PN2B2R4zBaV y3/aqPcLdD845/0yXfmAeL6vo2kmDSNGdDlabkhVb29y8lVaXsd/tf49I2REt8HqGXkwB6vEG8bg BRpPE5uUyoDypS3Kep9AR6LsvkNYSsHalIL206kLNnOJOGzD+lDsJxg4KIH46i3GkMMx1NPujAjr OItt2TwcMsHtdZFCkiCheNq4bn9xpDpp526BX59f0njDNvl7XSm7dY4VcFcpETN2nHvZiltuhmxX RinbjFoh8UA8gylT5GUZhsRVYvvOwFYKQRlRGi+69HW5X58HKdafbaC7psKq8fRjnvev06O6l8CM F4Nu+g7qm5OgKALjCtBwGFe0JYnPVb+m0Hsjmr8ZMApOcOj8ZspmDYebEQJyBIKfoLZhkAYz5iMX x4HZBiB6tDS/S2XdZ0D14YvkCBGv5GueONGBrH30wVNKh0Yk5ZTf8f7IbxPvwippzXlZnSgWo4CE E4Xxu6tlowaib2VR6+HAU0VBUfCGA0OFjBVvckUayeKkOVOKiI3yASHSNWmVb/daSpai18ARu2cj UkT/BwIxcsf4ViOz//1NszQOvFSHLdJ3lwSufHaJsGKC3mbE7yeE0e2SRsXYnDQ1E2wfwNMYIKNQ quzdy6dVBkmpyzOCtyrXO/mySSxH/sx5leeVClBNrh8ROCaZqAKLD9peON6Y/2JX0DFAvtWcpP49 RcL283m0SyI5e9F99spoiBSVT2TQqjt0g4EORsvlHSKo9nrucNlZS8dbGOsm9sO7eAbpbAWlPXbB eKxGqvECwMmvRDn1lcK/K5yrP1DUAO59qgtO512tgRmJwLNgjMOBnPY4QVMpZoj5KLV10Kbm9/sk YB/39x+u49qATIvRhiwY+wtSWP8G02LCs9Eo0s6E3wWEB/Df4YTusWGLpw3GenIzd2m8dArZNqCV 1SKqV4xA2cEd1wHibIOBt7968roazKEZwhAAiRfw55svAA8GxF/mC1bEzSXsVu/ZnhdMabMa1wR0 NnDKvOHqmVenxL07C2EYammlza4R+y8C5peZA5d87Wxk3nMbHnB3pCvNYyd41TJy8EEKKM5H0bph AUA77feyrTH5a78mbGmbXMZDa+whgds6dHeZCxRmSjL6U9oyQF7SJnmP4iW6/CITU8EBf4txc8uN vsN35l51SAWZWzhDkidmS/guV5/e4nXh8Zccw0N8A0OM1gGKuTgQJKD/+RDCYR4UQmn6rBlPT1Qs EzCw21AmYWHeLoIpWhMUPWggoAZlsYfJxKMh8DojVliMsi/o5vo7Bd9lnyvRaj6VDz3dhBz2CE6V oZI0VGpHHk77r2tZD2u9/PwKZkE0EUNQbuPHIo/lKhlMG2XPGm/6reSQarMwLwQl4rAxDkFs4geD uDF3bRnI6HnmRUj9E3bY4BmKnUgR0FpOEFpekyYoyTHNYg8BNwWtvMnLNv1JTQ28tLuyqNiqo4KT YTs21cWFEvaNXQzW4GzLDCR4L9LXyLs3xNSiTYzDcq3ZF7lqkYj7n6nxTkxzSRLRvR2Jymz4/Thx UQ/vKelm2sQ8Tsjh1WpjFrA2kDnQrzkbix7P52TcRgucPG7iwOwXEuME+G3aU/DirVm12EwS063N ya9w/NSxKMmJIvcpYm4T2c9x2TvhN5itlKb63w9QIkBx8hJluUawqvj4JGSUAhiPFF1JOZ3eySJQ Njp8KMdz/R1y1rmUB9d1NNRB5it/56a6kkpFLJrPKnPh72X1Yx6iiK6lWjK/LjVYDBRqC0zzSy/m rbL9DecX8hVvEggD+rzQpQZg4KPjjsknS8gETiof8Gz1zTUAqfEa2WZU7k+yPhAlsZRj52gViLD/ eY+RLp2izSZxCakwQX8gBNa+ZwHfVUw3aouaxdi4pxLjM+SbzmkDQjnWuvFL9gcqUAoGlwtVRkxJ RlFUI22E7zNcKLzUYpek0qbKNN8hSJ89lsUCPOj1nrayXvNdx1BGu90GoBfag/gkzc0+ODqmOuO4 1Ot2Xt2GXC6u6qSGR0wECsMRyxAM5BD6CUEzQGWmPzU90P4DeIWfPdxF7brdUZINrI3LV8IP7OZN AMVgw65bftpT74rIDHp7iTLxg183O2qauQiXxoOJ6nFv6QtrSp4d2aVqARcblLqlESgq+9BaRI0F CK6TvS36Sm1/hbVVPXkdfRvjwWH5nNup3KGeQEMK2YCf/UCpJ1FB1jF45I2Gui1vFFCzgNZzuvwU nlbGdM3x+dWPhoJOTF7cBl9AyGGiB++MZ9EBa80/uSmUbyjHQ1hvHdMK8j14SgAyZv8rcck/6C6G tQpNZVsHgiTWq2MdfZOhm4X+h6EvyJ25xs/yYh9gWJ+LZXPoHT0drbl1N3Sc8hEtis8/WZE96Bl6 oOB5mqq+lI1TUjw7+2vMejDFXKOe/YWuh+AjUgLPRlN7afxKgw7gh3JlELRgiM3+GzGdK2o0k3i8 6dS4zc3ztuGHUKUFTI0SEfhTE8Cw9Vdc/tzkM1HdNUvm1/jAKOajg3ZPBMYgrlDX97vd4PzzcS6l Bln6kr0J5SbWz2m2P86r201eeGV4AxzTylkJQ116Z9sknGTaeXGo/f0DLDTYqBONBl5uZ4xXpebf MGQ+dmIfWUFen85pHr/kwms1e5UjX1eF4PaPs3AwV2vCNs0iPTZxOSgi3VaUkTJopSwSyrJNehV5 fKoFsWGtioeyOtF5GT0BV4m+gtxqdtMVcEH18sL74lO5uru/JVW6FLTy06q9QPcm7oot7Vf1Y0pn gKQgvIROnmW2bF2b478zzHHFftxyQhgix9bnUatYhlYfTg77gGfxkeq61hRDGqsQ7+JccsTnGss9 AkqcToThBhOqJD8WFZSNSSWxsdJJq/vSrJJvsxo8Yg1zP8TkLCP3us1Uk5jnLl3GPvdEyxkRtp3p ickBvT/QUmazUJmPXwNq49+QWWq6Cz9lcPf++p/9NcwPMNge0EEuW/yf7WF5n9JqzIt/sMvNf+Wr yBF2BGSFym9zsW2w7sIBINwh6TjDoJAughdtfoFr69n/fWoS32+jOHE7OP1HH9+OVxh0YHwBcPFX 7L6aaEZmyDmqPbqfvJU5VDAfTjH7VNRs7XxD1CT8J15d6yOtEGoxjswpbBK6CbSe9zAIsEGnPXC3 KcAi1KFu/dAEQOzj3az7YN5k4iqewmQU/YLtLji3TwIP4JUFzxYcBaoIFya9hLNJHl6+mk/gzmG/ TJnos5Pn5314Ag94uVHTVLDfcV7oK1a83P6RBiC4TrNIvAtVrdxt92vHusb9AR9k4LEb3pM3YqXf Q2fZfmFFsaKvH0EK+gC4bDj8zVsR19QJdYzGnoCafukUWNc7Q7jO479lAM+XcQUWXWG2HltDw36X Fr+bVMfjMdiHiumqeUt7aYT8TW6bpfdkyx4QmadS2er66X6j1OH4iS+jfITrryxlk7HkFIC5MLjm bIMSFEKbYJKAecuXmIuaPqBfa1bMTR6WaxBGp5vloRv9OHrO4UIjDwpJWdX6WnDZDS5LUDc9TMPQ QcFUQYVXTIHRr0WxzmGwr4+FCX6UvWXoPyADh85eu5EEOHrt4Ve4qTqOiyrZiAK9YDJ1MmBs6Yf7 4DOkb/3vAbEyASHBshfjiL3FszZWfi5iXoPLYSCYbWmuHhhQNVTqRsyoIyhNVXChsDg5uk0TDb5f fjLt7x74qEuV1LfI04Ziw4J3zZhPm0hfw4K/9sUUlEYVWPkrQ+O4kEMJ2ZaXJv3+qegZGRWL7c2f eQp4eu5K/yZRVAbK2EmUTlDiEThYPIEQ286V5x8zqFA3bb5lxqyebZD6kIcV/MUT9BiR9HVQkTod K0QLm8VddEtER8g3g8GT/sYaNdDDi0xi9ARJuOwBOCcZmVKvoj/QcBBGMMiUDBRm4rUL8FLc7kBf iiPQVpdd0rTbx8+mSnYiFYCPrTsUwPSl+3uj4foPZ5XmeNvxtEesnhoEVrB5QKjFfRBvZ8nHJg/i WhxnZlA93Mld0qZxGfwUK9dU/KS0M99kerQR36t3EugB1AShI+aTO2WktHEiNSRKCxg3xhDGhGYm EuhM6yu374POUmXy/qt1tt7hgl3Q8kWxIvxm++o4X1tkDNYIryyQwTdV8RjsSYMXcDF/9degim5z 9ZAAtO9EdWu5+81q005T1pbFQT6rRCrTRqfr8cwT/iTu7hFQWpG+5UsTG8kylOVJOEWkwthk3due oTgXeLKF+JnY+joBzxNWOjMRaR9ADpWFpZmkhk1A/7ZgXfsqYN9Bzi/JI/1Z4II0OjSiQam19jTG TAYXU63dYgEJhI9F6pims1FEyddX9W7D4oFP8rlx7dIH+jpJ94vcIYPdqklG0s2200t27P1/bT7T adcd8dkR33ap3OUsOW6PjXm7ZUNtVKnTxoYF0Cb7nUuxHYrXwyD/549If2tytN1TDFnYyLLyrVDP QE48SR7klbe4AIZpjS0q/uf9dU7KqfUX47qPQMV+IlFER6zEbL4HtPnu3isr3u1B6e7rOSI1mx/X QEJ0Qf45mvtDcOdMxhMd+Qmgkbp8lAPxpk3QoOIdph2d06Bc+H2xJqYq+oQZatmhLU2626AMBlW4 jxMg/dYfHky/RrHEE2BsR898veXzWGiNIaKs8gbiIiEXtN0L8iu9KD0qmMnPjrOqfC1P0WDTCVjf vhd99dFPpoKla/EZHYBfsR0Ild1DipORK8ZnbAdvpQd4papvacGWnQiG6g4pukfX1Nm28M6uVR57 pidVl1ZDy8HlrT9VKcC/NgHFzb90nlshItzCQdH7Hbcal4QEZcd9z61MKUsnFSgcSp666l8O8fV8 6xETBPOeBELbyt+IikGd1yTM18yNUbpE8zKJXyaD+yaSx4C7pa1NMdKrzZEfT3bmYtq73OCS/aNa Tk7eHvxE2MAIQYmfz5MXqKnHrjFUOkazBKywCFUkfJ/5n5P3GqfYfXZTowPV8daIBxzbRCTGcxHk rQGSOGn8IqwIlGaZJYnJuajp87Js0wP6Eo0mZIrZWExkxmmGVh+Tb0qwpGMDNZAwITKRIRl5Z3Ka wVgPY1+kUjcog7lOm2VUmAZQ50KKNaPgx7YX/GylLgs988JMeT7mk2/NjGdAqg4aSQJ3XtGFBIfm zusshoFaCQyt9SQf3+PIwGzWhnAsOul+/ih1gwhRPewMb+HDuDklH7z/RCi0987dGRu1LB93el5k zpEgKOAHV3HkkyITqGmjOB3LD12yfXhqlMALLAFkmt1kgriCP1f7wO5xpErEbJ3Km/FWlcYFvRrf VOli9qvi7fZbMp+oagGomba+Am0WFHgUxa1xm6dpmSFYzN19dRZJ2XAMGpFgYOWP5m0G/TcC4X5M FkQamlITSvQO4SEcrSJiWQS5fnf2HZRoosdKN4ChF+jNS5KGnaSD8MBenN2h6c8fVQgdYFqZeZrD mtseezh7e8amOiVdP3EmgwRW4E1xsfOZ1kEig5MjxFz745PQU/p+Ls2Gb0xc2+Z/08yei2iYS9iQ 5jY4IYqBE6mfYvtaOKzIMEvE0j/7jSvnN/5AF741PQ4ay6DNniobuf6DOwu6Kw5pOrlDm+zvSZ6M efs/CK3nVqh0R6+anXr578YZvf2RpyHkH949XEJGyMQo/l9d6blfopjOY9PZqw4+OvktoLxWX4tY mvjR/6T3I3FP5UfvAXjSs/HwneGGtXOxwir0SamJ3vsy6xMERhzCvMmX6Rsge0ZgTRDR8/xq3Vma QWMtFZA+mUEkUWRZN3ECC+j9mwElPz+u8MUFxMKBk7nzP1baQ3NWjbWGJcgHhcDcmmUfrNecZbrE p/AfJYg561Lbjy424X5OeP8pAcGMj0onlATNl8oaewqmnMltuFJ665Kj2VMtm/ljxQt5q/YqdoFG KoJZwck1NFpyxGndEco50kgYcroUl0Y/pdL9S5S4bOdZ4LzP5Y94kbqBg7kpFdtceXteIkxRNh3F J1McmgEyW4Ye+5q+/LideKFlIlJxVsSVQpZ8g1mWjdule94Rmbjf6WSAVvpRgS5z9NMyvB/8H35Z Bfa8gzU5mtdQmnJmWDEllhWzxzdbcmXHTsGZblBAs/C/oLjCsk0WyA+9bIvERWWmzmNcgCtEirRQ Zcmk/FIrb5IgXIlgJHNzh+LYIT/tkJ7DV8RlahZ6dlZpFKRrrkZMTWR4xnt3MvDnvrnTzdCZ1rR0 oeETabgXrV6cJuGIMO8+kmyBkQ67hKCePgV8kvk285ue1WHMehVE6YCf02923tzys9dHHwTY3Yw/ zNBOVg0gcLSa/dy64Gnq9w0RDWufXierfdJtvBXmZX5PVtTZmONQvWWfOo1vWR5A0lzOsoPf6+zl CxSlulr2q8RMB9d9DIbBEAaCNaWqoyIMFayHtytbX5Yz2udXI04N2bHJbGxwevQznxDgsDVpGRwz 6xZnxJWmD3zM50NC/c81JG6DiRobcrWdtGx7a2FhRNRp7gqSoi3yiH6jxluJ/3Dp96Yx0KcuFc+W nqqij7+fN50MRSPxS6MopfWfnyTfNek6PGftZiOjmDjNjXmB//U8vB1weasyB/8YNm7A9DPaKWbh iflD7uJmfjPIa/S1yGAnJKuTnmhkJnmGbgUwRI2GPfZSUTZ/MNJ9YWWrvUUF4fmzyCn72WUytsZf 2W82TSGgw/yrQKms26x3sJUNEBKe/N9nFwzl2wT0Z3WSU9SzkEbTbR8hD+zUGY1zzSA0el94wqC9 oAWd2dm2w8sNo+O9ERscO+udMoFkbIfSGlFBlCSzlDDkvW3UWwEvqB8vEvsIl0wBQfOpyaasffqm Pt5aOPaZPqfCR222/zTGlDf2MV5+Hyj9T/yZ5qJjmRCiU6/qFjI4E3u+glCa6BAQSKJUQDvt2KKM qcfqefpBL23LPkjkoLrfIAnOb66TL8p4+VvokdyWNs67i4H5roFwXcSn8+KBv2oMGUgV5jo5lMSo EoeJIk4f1OroxXqhvT3WROyOhQn0v1l2VtM7hLIRoI4upiqBmpBqz9ePNysn+yt4nACRgyKiHcSo /frZIHWy4jZm6+TLvMmRdHWT55gZ5e4Xq/xYc+SiUujMAsES5yGQsWUc00lvt1urlN6x2KLjksJp 2gxwCGg0iahB9kPqPyOxQfc25v+8gFxvY8eFXpXkLx3L9bSgcdqO5+DOB0CSW/1p19mv1dkRD9gb HHzg7n8JsbeIrp623vrEmwAFiRzt0DkzqBXRcT10PaeV4tV/fsZuW6o1gCR3bJDNOBQxZSkCeYCT Ied9GkfQqroN2qvx9nZadgkFM/id7EndSXgxkHX0VCj4qWXuwbEJepqUvmkYuSnn89+MoH60KuP+ VMWaSykNYAIBAoEbxEmnUyeHvXBMMW+rEF3dNbT0eh/Pt4iuE7lgN9csyiJ8/zTIHs3GruspOTo3 uRBWE1vY7jYM/5NOoVxXeYLgWNaZ/WpVf/6/YVGkkOwO6CLGFjjpDDDYSKNHwJdwBk2PotLM78Sg oV/BwXkRQeguGKdRvaXAU731tyavUQje9U3uGLvxNaFy/LOKk27Zb/Sg0yUVz96hKUyAZska4Zcn cduB5A6M9QbnScKojnklew+1/QGi70aczydra0ZA+UIPtPJIP5IfjenM5tuVa2dZzxkX506qhhvj Hh9oreAckIiF4zyUQ0TMviZ2WS997TWReS/Z293tsQ4loKsEZyYkY6LDvSb65pzdbVbGN6DxlGSA AbPSM7An1jsa0WMS41Z6EKXCoIwUPU5kuOs8HtQLX+jrhkDnkA0YzsLLJ3m4jW4CkvmUTSkxHzJz qDHygKz1RoADM6gMF7WFRQvE+ZYEgjEPA3+gCGlvpZKQOX2LhmoD1a5axC2X0+hadlhkOfmCnxLn P16SdDTBd1Q5/SyP6PLFf5u8uW5S+V5HLPzFJ0v7fjEuSXfKtt4Qj8suuEdMVHxhvdMlpaw1y4U7 OY5L2UVZ/HLBOrb9SfWzei4R9SES3afMbVLzjS2u80o9JRuoK9UDd5kyfar3LndJnSjHkm0iTHrt wY6zCkLU0iXU+YDG5wL/p6h0yIrftSQSn4LCUveYY1aQ31fhJZI87Jvzdzb8JYfC27OCw93pwN+n azaFbatffal1bUrT/GpbWFFMMjzkso2+lbQdVWE39VsLqWM+fm660LxcFH9whkmku+1z/VYKXEoo 39uq9EIVmwNWDMcHJtTWHEu4dZbbjOW1F1WFnQe8TjQB3s7OwnZsMRut0MYdsJceSaVHRroq4Omz P2Hu1G+D9MMiHTyl6sP6mZvIofJXT5XROzWc9Na7Odc6Ry1ohF9b1/n73GobY1tMURDBJPxKMv5C GX//KTxweneShj0L1Cz0D3FeqLU1d3HGv63o6THZqQEFlXCdRcliwG22XpuM4krCu43sIwIulXMN XuMvYLqmHj6VbmpNXyUd+XlUwrliMzY4mRNR63WDvN2VCwjlXf2MFL5edhPmN5jMoYm4TglltaKm +fEXwehZlvHrOvZ4iqO9s+W6LK1AWo1JdVe4tPM926Mtg89Tj/f/UnhIMaf/8REiYm6bw4LpsOYX EjaPyFZyZEOLvqWPYD4pKkcB5o7B7nZ2M0tK7S2Yt4mBemJS6jqd8tsTWUdRORfQMEfCh4wQfe4F SK4mae9vTNWyWROz3jz8xBOvpKrs5uojUooQvRN6usp7sTBdt2O2tUgh3WfnryC/ekqEbfd9dhXb Qs3M0GYuoFMIxL3tDV+5fCXnDcjCfROe4+qeKCo+IcvnWe35MEFicxHPPo/bXhKheaICvAB1vK8l ii8/sR+mGptpRQZegTT28ak34o4tCse3SIla750kSkMQfrQch8wBwmox2Nht7bGfIybexbGBvJkb TXYMPiL0opsa299VkntfcR0Wm5VGIEzQEM7/8R2O7PbDvlRx37w0TxEnUn66F8+rJL+s6usGY7cD QaHy6OAob6xKXYCjUGxOcmSQ/ksAKBkSTTWpLL/hH+iOTtLfl1yundUb5eAl3Immre7moOEAkqWL JheL8ZHBpKXF7rPFs5Kw967vC+xvKSbwUcjEPFwL/Qv6tnrYBnD+9DXGA1QzC9nENyMKcOWRzxbb xtR6MkZ2fDqTyE8AcWHmHycMccTBZkMZTFkjJ2OaJuWZYudHZtbbFp2HcyLSXb/0o0wm1HtmJJ3S jFj06ZuW6i2ugH63qoOCZRpK1OPKKFO3cryxi18fgulFKOpisMh1VMp6wJ8tM+IQedewbOTXqtnv ufZWaXQ9zXJjGRhczvMQY5CdL021+yF7GFj9ho2SCs4oJqMnMItJHWUPXItjiz6bXocSU/mHi9Js a7Gacg4Wyoxeci4xbahxBvwT6gQrqKXdCS1dOuxpW8tsPexE8AUd09v8CeK+v74h18KuLNlZMwJ+ KZ5n4KrhBOP/7ZprTeHV9grdFD4gq3x5/m+Eb5in+eDNQUYPEdn9E8TAdiyzt8dBHBTqdFxCvDu9 zNCk7QEdoog8N/9VzIZ4IAKbj1bEJOVFURJ6AMTkMBiq79pIfoeEcmKFY7HhxveRsuedqEfgc6GU 1Oaf0DbkfHQ32Bkl/n29Xp1dATiAOb+ORAtpbdAT7Q4GqbAot9xdUb4bciDKAjDjIrzWsFEAKpGp G1mZwARE4abkLSZhkmhcjXCPAaIlMXuoqLqklWMy8ivgt2wtHBP6NHjpPLnMXcRd7+Lyg2akvgcN kbNIFqBffTCt1/svjkHERDjO9h8sdlxCzCWzhoxgK0JS8NLr1Kj3vZvmr/yKAFiV+/tZeRMPhWfQ xaL9To/Nhm2iyftdAQ9ablyKtk1syus9fu22iBywRtXzJ5gu43iIJ3Upy0/imn60D0kKU7e1TY8k yvuTHMutFhR3GqlYHxvN0jlz00FktBVSzs4jp9x9b3/wvqYoO0pJUvPTCMw/3I16X2y6N/qjRM5O 1UKjI4d+WhRPKlp7I7HzmIBQp5I87ZF5BFz4CiuB6Y03r+sOJBGSJOzhi8uY6xdRcMdBzUwbYjdc vVfK4WTH9kETBs7hT07sbSt0AhUtakoZyTarJnKiOnw9mLxfLVdBBA38zE2VusV1ZRg3MwsMpH0s Uln9WdntVE/6e4XkDRW4gmW9OIneiNFVEcBVVCMzegT+7BXC94ldB7gKmcaEV8WYgkaT/Q5vJNbx li1qSj9AYVNm4aqrt15s10CfsMbSBn/MvFJUTBBpBXVz+tSCjLWFvqdVFdz5KjU+bW95PNe9h5rX yjQxfng35ixFfXGr6SX1zY2zHEDdZrXV6S3Wn4XVQN8PAfa8S25JQfyHEZzjs4Z4UEmQy4TiQ5xA z2Z+ibbayR++KluSYc+4SUUg2fVM9EXt1N4vYd2zuocPrhjfHXo9ZRJ/2dyv5CzLMn/MV4+WbrtE fGM8IyvuTcfgBKsMRSbHiAqFuAc1I2QoIeb8aT0puKYm7NJLIv3g+/T8i36NLORP9JskvHiDGzkF G4Q+vCm+um2IwQbsiwJ/J9EApHS96UJQXh1AzkvyW4QE7VRwLZmxHThfKRRAORQ7+XauwNl3MpsD JiskGjVjOpNqvIZiGkk9teOo6cjq54xRAlxj0OsoOEoQYRxy//7dn1yOupWqaFBub3wG/TSn7ZNs 61V0zMi5GOMZGe1I25pqsR7qfqXe/kyrHvqd3l9Iacjc0g7e8hryUUt+Mt9amwqUEp51NPVV7sku MjDhzlmrXb9gng/IBqjGtXinAeaq2l2k8NBezHweipGnoG5qpjlCtylFpZvWuvMuophpIOxoGdXN JdqFOcO0T/SPnY10TAF1gO6CpGpXFMyK707vy3vg2plzauciLI3s5PReRxJ3akJf5mGYvU83H3cM n5ZLryy6uqwhyfVoDPX1Wddq53baDr1T6weWKCuMPhG+JZs6WlLSUNlVNL+e/3Rijdq4kq9yIOED VPh8ufTAQXZJzg0YLoJlfWLrU1fkVS7n8j2ACiyV+MxeTfGh8+VAQxaUaBamGXTvS/1hnszF/X0M Xn7iMjR7r+vpdxWu3zPwnGx8uAI0djs6oRCLyemKvWqzTjTpE6MzYxYA4aej1xvtpOFx+Ft1gey7 61GA7UrRI5J/MHQusZUrqGKDjCWBOM2psyDh8yZZwLHuLLgsfjBWoAnFzk9MuwuXXxKZHUspi44o XTqzV4SCXTw41GmNfv5ZZS7jQ5KWAnihtpzyf7am8s+jtkz3GHobId/B/6A6uDdwT6iZPsQC6zzC 86s8YqxJAj+RPD7gI5cXmTJJcmeoYsZDhhLb3E1uvVM/uMghxqAbsS5ZlHRAgcnUd9XliZ9pbVcu Yrww/nZyN6TOlvaP9fjBJFn6Lkgpy5vABB+BtCqSbW6eIdz4bWz8+mV8T7YDYpJ3MgfTcSrBGcAC bF8/yrJy/5M5+lb8p73IEWFc0PQqxjhSCnSWWeg1YNo7pJ+q7xW9Y1PzM/TFwgW3p0PFQUlsXwH2 tRMcx25egK2yaXFzFKVKYvjqIKXHtxFUUifUrt62HvUyhxEqJ5D5/HxMeH05gxG1uGKXBpUd8S5A 3/PNc9YOKWqxj+3gOBh4dD4c0Ft6nFA3lA4TsHqTdDpjDYcEllGd3Qml9Kg612rkxjbqxGp86V87 MATMb3STpeVN1vq2n5pgHwEzzkBS2NWqxNq9vhThXlh7sLLZuaS6h6/M6sNXbqvZCj5z9ICBG7Wb ja7jv7HsSyeIa3rUkThPNXmbBTXuXwLTSKHwWD73euvVUzHhq9udGNH5JuILkv+4f5RzaeP619NQ 0Kp7FqWrsvYA6I3LgVW8qjJAkZ0tKhFdvJfXOUnWfY41RVWljyAeXw2vHYpwjjjJk6ldFSbiuvku 7FYZlIIZ+/TlZ5vPr/37jV3mQYqjCr8ZivEYG0g9NhcgH06zoxn9SA2vG7QzqWDTPEKV/30t4f79 y2jUNZiOwwn0Xq1/4AyYo5WoQmTp3/UmK2jHcpVAUM+of6xwjbJF1af/WyxUevijIrAiX/3gLFlm DvbdsEou2plldNUiP59smXPzPq92JcCQtFhUeC+MU2BqaYFLsJ2sH2LNSuCEdBmex+U5Jn5PEvCF Ve5UNb6zb8lGlyrw0lBlTnIlSr5F+Oz4Bk2djdTLMb25jVmnIrrQScxDS/84cUURq8e9aCauTY1Q rtdgADFeiOUPlDbbGwXsnKap/TAmsYG7sOVNBuZfvyfhCJgnZMeOYn3m9xaTSV7tp0Y+P4rmFENB EHVST2YYatO/kczWOQdvARgrPY4h/M2c415pOkcRhO1gcfAyv0NF8ENML7Fvy4Aiay34RnvK2RWz IWtozKiRHh60lRZJYKeqqUyth+EPwwhLQfTV/nyKXRuV3+ZYJloe5bctXiL2aZ3B9fE4xD2umpoH updgsTbbzLApb2s4zC50Zhx3QNnG5kM/ClCWfdUi48vcErTnCUCRMzcsA/YChn5SwQHIfvw6toba kF+b9dD/r1fPGijjHjwB5PMG2kw9OCEWSO615qjbIKCcgA0tY1ILwKfakpCbhVccTPJIdHHu/wp0 itvP233e11lCP3L1BMQhm9dqZMXxj/MaG9d+QTaWGsoG1RADFERGRRyxVCNnKzNVXy22w7kvYjxg qLcbMj9ndOAu3FoIxrQ7tScgIM5iRN7NtsxYW5tngyXnU93M4cD3++QnyUWAp3L3i45DJgyyZFgX VLXf1yITbRlXmvOGyDYHKFUGndg5uYWMyn+8BGXAAElJc8dtgSuojXlpDQG6Iv/stI8/JBbuOUpB nVxDVBqV8S/xZkEoRuSTOkKCis3fJia7JgvUyag4RUNfc4MmzlP4jshiAoo64JXbuyv5IZxXuxYq jMw6edeV8UDbUwXeRuIh/kHHtPQfD1NTbTX2omA62QWWp9HDp46pqp4X919VD69+fOk8sYBM5lUG /cPUV+9J06/O5GCcz0eNdc6TeW73MCO52cbnrkne8X3nFkfv2kOsi0F96gX2nAx+zYzcNjBiYGCm ifdahqqOmpUyLfSIIDRlm5+2Y+hw4hv9+r054BwI3fFD8OhfYDzpajiVsUW9rlkj+09Ymz8WhB61 pRU3bWZu1mubw2eFrU4FMpLweRSlciS9vECXnspjqUoajG+ogqtSlu6UsWRk3Fbh2zt9D2Tice8r jV6LqND+0VEnc0A+IQzx3Q6HExNvJm6R0w+mHs7oAl04+BTR+Z87IvgvaakEo3WJ+COJYOq0cMy+ HofIjk13FV3hVt9IyA+IVpWfkNPloEyEPxxWiDVnf2S6WIvCqWCUd+jnrY3GUib2FYY7vjNO+hyu qAWO8logxLAwynCC2bE3ZraI6qx99P2OxPY229TiJicQtPxs8DbBm9+MXw+ugfqxOOChi4HNo5Ri iPKDQ2Q/QPk+EtGQC9hm+orjoMgvBJ3pppjU5N8W6zlts7pli+7bki94iZ5ouCPcdgg361776VAY lmTf884dlIXmEsiv6e4QU565jW25NG85ipsjLZUYy9c8gRZq4XkbQPUMLFPnGBQoPhZ4gBa2LcDT xkM+D+i4SsiTwSyLSOLzNo1KI1tlOk/O8XG05d9yhQ8Fm9Tc1/qogTaDUv0EkWQXXeDaBl3o1UoP 0/v+vE2rd/8nf3wmy9MOgfN9hElpbWdWt7HkTVlXMxlSO2pwnlotSpCsHpZ4afNF0w2ebnzTgCSO 3z5+Ah7bdcXX73pWWFBZDpFl2RB3PYOXBPxWIYLQ9U25l3uyXhsXTyyZEj8ne07EvKuJZEdDDBKl bMzMh5d15u9f8jhwuQvbp2k7pt+t5pAUxhfSlS7EmnA5uo043XtZONV3K8hxgPqeKLEUAyPMiK19 PIdCdLumjq6Cil2QWTEuVD5nUFHkxJaHgxk/SI7493gKbAIDbCiNdXKZHYEq68/i601+qYWvQENx CEMuKF6QAOpwwHNK+u8Gj25ahI6tdjGz3LlCXVBfPMeA9vn8CFDtwFuqIiXARxZx0N5S+4zSr6kS fFovelblmY4dYP+86caXAxWA1JnjPR4thjadc/N5/B81MOS7YEUwoO1Ug1rZto4VZv0eamPwm2Wb GEJgk6GyXpvwcsV3/KKw6iwrkXeOPbWhRPz43apKjxT2qp1Duv0JqgY3sQGDzafdekRFgKKAU0b/ x67BsFgLn2rn6P/I63W3A3oADcYMu5XSsPP6CokTrKUC5IQr1CdkbIngwTbKmfggvJcaYTiMSaoE q4vGwmIOhgLW/ho0jeO2GSpeX1eh3Fp129+BXFQOuN+T10c5dX1v0WYjMQqYBA+FKJz8Q9CkXVhL Ranv9vPY5UiqnAkCCakBagUd6qtFMIFfQ3u6Sy2/JFDLGIkWRjbpdAjVi/iduFrUmW8w7P8QuThq 3g6iVlX4gH111pNW8in94uCE3oKMX9hzWiS5O3M/lA2x7fK81Cfsb2mmwbQAQ++CVTqcBW84R0dw 3VbKE+J9sxwHZDL5AQNrB0P9l1LzQJ6+1GKhALYFZyZ170pagA0dIO1fyF0o2LREnVFWxADcf/jJ t7+eWyhHd0m+YllPowkyv9LDsE1UKsRO7n5XIUSM/frtsqJW8iOkPIrLgZAPB7ZcAZb2NcsSXzLF d6/mnTf58gXXGqfBfMObtuHtc9+/CJjOhb8v4jAYuFB24EkmVvUbM3VcUrJKc6lR2WiVJpw+Ejzq 3r7TgfpofrgEbZwVVzAAXGuQ2HxGyOMr0caMQafZ7h3O4MNQONBLUlpRCJ+FS3KYfqFaM+r96PZH e0nNMsKDQGbTdYk84KtGozrCMBJFgRk/5x+jTyYj3BdesNjEjVivjdZT6WgruP9Q1LCqjyZMxKQz ETzHygFKds/0sVWjW0m7ZrSrBMrxabeFiTJMryURoigcQkkSKm1RxB2Y4MTc71BaTNblibgrWQYk 99ZEjyI2FzAAFlscNzTkrkvXmBDScMC4irEQfCQ5pjb5R8vtzfFpFxSLKDneGg/AAuBEyf+FHU8r gFhl3fnP6BBPlanVb1w1uFd2W4Y6pOTPb9ZPDhh2sf5al5xRViyErLCtr5uR7osH1rbQVAv0K/su jduPh6ffN01Nv3FVCpk+at8piWQOkz016ZGCV81SCf0ujlyXaLuXQ4sscccJH0CZNZeHku61J27b 8yyJB8RM7v9kqtkJfrc8B64WiRJmJThP56eBONJmEU/l485T+MZysmcY+Ekfxp++bvkFFHtpO3LT iS+1Tp7zEUSgIeXWD8n271D6lo9jhIJPwxQvPJoGT7qvYJsM4S2iCGy7dKMxVvn8a3jy+VyXwQQP SfaV3ARf69NzRWgEJn9YGQIKF0yh73VCKTkYC/EgOGjz8vsWGnqkVNliOzwtSJmpB1mK2iOg+ye7 KeTpbxX0qXf92Uar6/vglyMAFH366954+TpIbjooRhCTVYWb7n/qklkdrqfPi7boT36pBl+b1EkY ZmGgM3H1PlMf+zQB/s0rmfUJ266lAMYXfx1fngKBMZbsyWIH+gx0p1591mreWbKyKxCdOk5nXdxw OIUrM0myaMzfg/GBHEeOkOAaSI3Av/yIRXSjGxYsrkuKcmagCxTbe7D6yUYKp5stJh+6uKqzF/hx hTLQ5KwKITql8tFGuVdfearBIkkKw4upecofSI2cpX9eCMCdxtlK6GrbCQb5rgr2TKRTfcZC9h2A vJZPw8KgrJHN+aKTdkEoeCt8FA/xrYAO0XPd0dPY/EuaZclkQr4j1o1yKO3QImr+kReH+kVGNq6g hpYMYtYZR7NWer1zUYizgz5PAwlyL3qH/7U/jN7tnu2sZx46ePwGBKi85WpfD5mJotynCYsFIdXH Tri0IltVj5Nx7vNcjbpqtwoVDEfTY/+O/jRxPEMEcrZpRee+B3bjmSrdWqYFNeJqDDNE1Aw9Ye2V StH8xlpH2mWme8GykR0M4heoHDBPCaSl6yo8kcY2G0hXsOxVYvGpTRa1JFJm6q0XbOrHBYZ0fVe5 6rwmK2H7+w4tt2OryA12eWVEmkMDb3OopQZsKrTA/ZQhm5A9ItjdLUhBR4Dq9InFAH8pZIzX/IbX Bq1uAWHR/qIxOprP1+b1JWVEj35Wv47MFVh0f9tq04OB40m72Ski6ej5h4DaMdPtIq5KhBSc5bxJ ZOYPjFFtkIuinuZyUy4HUi6SZ5sIOYlYhL5RGgFCgZAVYJNcocTyXfnz0JljhO+tQ+h3yY2m3lfx lOuwlBRnfSwS9aid+ufTG5TeCfu313vRmW+++mb8skKaAJUTgMb55cJyyjcksG7kaazcb8gm7qJ9 ImjClENRnavBYBEywmSmP3FKYwVttNUubUy2LN7NUeUD7MNKgpaGsspVDF9QCLk270lQv89AXels wpkVv5yqd2aOYQRcrvhLJvk8Oo69gNNsvBLmVXOYSP4eZnRTG1kNpsYgnp4AVDwTLsRZsU71mOO5 w8StSY57mwtb1lHFg03jR7vr7yEjeqIeOmv78dFvkkuLnUiDCzE7NVVej/VJi+bJvj4FppQHLB2+ s2d9sjmS4s2C+zTp3P3myz1TuA8rW7XZgUNcFZrj1c0BB4LHKldISPT4uiqd8tOMKk9sGF1Scy8v Uz8ooLFhSTUm1JjrSF1AqX97RXZuE1RTfG8TnRBpmfUncMxdnvgvcgWZwwtx+ko5ksSclSc/PbJo aUxaTLOVlkIiZnqEQNwMq4EgcY5bVUjS4C0zNUAREui8jdD/TimTf/YS6D+VxTkCxp5wHXz+X6qj FemeYdGgVoQ5Gcma6JX7xqMFGvoYBBiNDp/RMUZOL2t/n51adWLJeBtl8dSo5OF57FkuHjA24kcE TNSHQsSZODJlUVPl7Q98Qyyop7gGFo88jdsWZRdWDeU5DPIbF53CB1pgmJMqHjcBB5kUFsoL5i21 2oVRFmLtJY0ID6h3PTQSbuBBZ4zMtZYkkMT+pp+hCxeohuxLFhhHoOzXX8lPtzOTGfrafK/NgZvW 4hUWrYJo0/tFSGYfZwm7zoMKioo0Y0qT/ZAdEeaSO8/E1UMXc19GcPqEXuDrVUERfOZJ3wQytFp3 ELjvN3cikJPhGBwkMQzurCpmtKHLJnV7tZJKz+AhdvPRYPuZZeAtZ3ofiAkPbgD9yhtQZjf4BrMT tkSLxDFzM10kJmTTpcNWLPduzG6aU/359ksPyLZr5w1ROHFjrz8xXPlwZfiMVimBW7Th0A/j6YaM i3eItMUIfJi/pXsu5FoUaOtS2tXCPpj7Jvta5MBHAUP7sCSw1gUlI+dbPtfOuA9He8KWSN5l489m telCVUy+65JyzR7UeCZM8k31XuXaebsyS2oJH1zZhWM07RhehYaRYwV7w1gjzE6jrnqdI9b0omeZ W5sxmejq7mq575UWLq22M47MOyBVZMLsagt91+UiRnfhJPfW56Opie6xVcdJ7Se29k7hWi8LtNXG Mb+aVDij3lxOMkxWDRKt9RsQrkGSM110QwOdAx0LXtb92H8VRvbVaojTqh8sA+B/dWe1UUSDjXu9 dnH/DchEqV+IYeXe1vYsRexjvQVihB2i/H/prLZTxH4zG0m94R0wP7ffrR724XBh0jmRaxSHehfy BHVu3J/D7pgG8pILvT1Ogd8+tH0A2V0SrBjSasD3Qpd/baGt94sG1MPY3jr+fIOhLWbKG75Kd5L7 OOCcJfO/Iym3rtY0gm3xo8PSuGFCPtgk43C01xMpEuqD4XzAubH9UYWDoLxQc6T94Y4quHAbPKTm yvkz5txkCukQTHuBqBk8fvnZW5TH6xvFoCLxvooKbmMFtqc0e2sO87NGbeQryB+xLV8eSf4neK+9 8MEzCbuzXPp7gp/UFtBsblAs0OlY4X2/D8/pPzePvKzBM7XYgzgHX8Zg0fpuQwh72wAiuTwVtUrL XCr3oei+m8EB+UYxWFHP6tHcKpsU36Eksdc8wii/pvEI6kWrk6vEj/fP90rV4IqMm8yxo5o36l9b Fh5xnjKrioDUV/PLOKTdnJFlsbBNm8DhO/lsSlVMac2wyZjRk2hTEf+A+DB+MgvOGzLV3Zsvi6rz fovcyuPXKkhFfOLSZj/11nc09LDvykGIYj0nr6FhH/pCGjdljkiekJqNRD3vsH3hjC3A1X5S5SwQ lnJ8M9F+V8hcv6ypei5jJBultZLkNRfL4lz7MP9JpIh0ytaidAZ+NxnHM4qCWZXATG0Ap/z6mVDI VNpr+YPwqL4MTa5jeWi9slEF8u9Ry+pC6d4mNCDTdYSZIuO/wiMv2HJjGQRPv1Zc7F5CwF2pAx2c z0Oi7M6QXf5ONxfJJx05rKPKNJbla7sYX3/Jz3OfFF6qDCaJXZUzmSIsdUwLAJR9wHTxzMJjWucY /AFlB0iovWlC2XDLVpPFE0aVZtxbpxjPlCuuUg3pa5P7MINpyavKz6s9ZVjNiXHZn8y1DT7C6PMp 3O3HyVzsFC1P1ZU5JpaXtZB9mNceZS0rv/fAxyxE1biYXHkBpe2SqGAskP74+jlmvgu0ZK+7t27h 4nyWMO+daqZptsYH2kLY2kt20VsDWNDLjajAf84w032Tm2O2I2ltVUVIaTdCdvK4fL5z65TZuH4E COfDs5ao38Gt2EJ1YosoLNaer687FUsbY23Yx8xzX6QzLjnwTQXb0UkV3QqZake7AFKcF7DPthNR 4m+o/T3E88axrlrsZVxyD1NjMH8ITiqTYSyMGhSw/C4lYpYNaaNHWsKwAz+Cc0xcMUTGKXfDsXQK DmgI4qotulf1AvgJXIDiMTmUBBavGltesZYJD1qfCFML8X5s/4n0PGv7qlEVf5/a5ipzPDd7o2lL VuHYxDGzdPlHfJqn+K0OnUP0LoAGe3zVPTzyA+r8tUw65O+dAjKGuhYZ0sNNUjeNdnNTMwEF53xw 3DbMGRLQoxdF4/VEvNVBvCHlwtzS9kHrLl2aHtueN4OA4bcxEgg15OKox1rv/PghKPG/4JkvpOzw As8Y7Df/g+uhel3jMZvBgGW/dQsAAwqSv4pYWIUT0eIAxzBq3aYe8xdCq9UMuPYWPlpajnuPHT3L uXyY6//wPhavML5qGaDx7xn0yxuQyiaWszlpsgx6dp3QAiYgVcAEw7eAhD1ple9NmvWTijTPeMWj IkpUIhlpd5P34uVjUyRRXcjGYuBpAvWMAQUT/OR2ojD1DiQbYG6eayyKWu9b2qLyFOZ19AoxxCn7 TEhyY7tRh7Krmp3Pb1GU0SghSSdIWrpxEZZO9sv4E5KUCjKggrDiQCEOGiu9EK14FhVp4S9k3vl2 TpBBsiAI43d+vAEAY0bEbNn7nkp6tUu0QCvxhQFWfDaSn/Eo17CFVwJNHIyarWROcpnbwENaHGHk 9kNN4hZmzaLs4hoccQZwBYIYPqXCWu1BGKk9otXvaW4WSwKVCOzXac/I8ngWQvfI5hV2AVrkI76b QMO5u7WdOz3fmSw4CgKU/doDbcniSRN63YxcO45EOCevRJeVGJJAYfDWX8OL/gIVKW51pnsSweYi S1e62Kr7vfVqfV5LMrM79nQuqoVXGlg+odxRd7tk///s2toWLsWWDZEi5koPAPXs8VTsflCd9uZp AjJMULlUdpFeaX4JNY2ORWWL8f6qzWEGiCxCnyDbj7C9R0mMvqY+i7EqT7p0MRWr4LIdHxM+ySPL +yHcOmJiPb+dx/BWtSBX8kIWj+JURFgNkOpmKbpUwaEXDR25bCIufXIJ4OFrEQDuTXYwtThR0GEr 0QrK4U99bDw6vVQ0PaAvvsUj/0BJutquEcWyFc4qDI80KyuIHZwUnbI73lx9VsfjkNPtjCHtRpgK p5X5fr5X6F2+FSJvc91CYLi7/HGwA/GJcsNgjnpVOPC257PKq5xG2SUqyupOgr0Sg5V12nqeAnv8 xvJdd2N/y226xprFMH8I3Q5/Pp2rSeBDbyIAINmmtUxOJXEWFIGFFXwnNho3kpBJGF9n/4Mt/iKs WSsoF1OUF/Evg1hO/2cBGoi4hkGlRLEtiRXxNWOse1S2pzbKyILkAkfr9UnS3XE4f7Xs2iNDqgry QFBk9pR2jGSazcTX/o0FkPG5guxPVqsQi7XY3Bg0qEgwgEgjS0o8TS8ksgTMDxAVAWxfFm4oxGHK UiDWP8cgnqtbQQSntKa7+JBVKtQeIC8alcndOuCCsLZNjZaSxsHTG/6ZOQUz06WmdzbmZMcjto+Q LZbDKSEiZHcKdjs1AJP8zzvR8jJBSKMkuHKsJ48v4AeYhASVTSgyMCQbvbc8cO2H1jCAMMzV9wtC L5VenPkHqpRBDlP6Jx3qvKzvWCsqZyuc2mqjKeMFSCleXfpp7sakSy86fOpLjUVzGDeTJfPrMwnE t3h53+h+9GrIg9hS9sfFF6hRikLSxE58/WtJ9m7DwHahgaw/vtnFnCrrcXzsiFt5IQR+eMhkxup3 cD7kQS0v6KrNyAj2mJwlpmzxkMNXQgEKcl2XPHVeMDFD+vKkamjpap6ju/V9/k1azfCsiUOmxOAb wS38U9RTgQyIZiiH5queY0GyULpNf2g6PueimmQbl3SjjkKh7qK40ukLUguIotS7q+ZN7bFZNqvw ZF4MQZ7D8RpqOU06zSTO7dkREJFqATua7ZtxQcdV1rC+OrO8otm2m6GN3QW7AuX+4zpvAnh5fU6W pkHr+pcpPZ2YbKnqqbGP5qQsTJ2G3xEvCZ0kokPqtuxkMMAQc6XXAHS1vSp7c4l7jdiXbWSvdzjJ Z0iTGgRnaDkC0Zfo7eHAIZDUbBaRor1INrtyTTnzF6SfILnxFUHtl+knzLW5/8i9liM3+vXCSNIu toDjOcYEZmhtokYpWynV197e3dJLDw346MmAI2gVrhPeTUSG4QVTNe/KhHO139Qbffvnb1OMy3JF tgaCCftAdMJrb0SQYvyeXbFdHRggx7Uc1DI1aEJUaJONMAv9LXRDGZBMmg4FbXeBW1iEP31RDB/x bO2elTjqLC6Ca8R+H9o0Xd7TAABeZRWR6QcUdejLRKtd+4lgD6i4FEkWePCisFeIdw838pRr5nA1 eNEV4qUryiw53u6CQaapKVjbaSRxRgGMligdwG2vfJra1kiBNuRzXo/+YxSSSZz7Z52C63N1I9r4 Z18HiQP4alpaElaOB9ci/p5VyQjLW+7ADcyimtBS28Be8vECipI/VeriRbhHbnAlS+J5V0MvhZbT XbPb/MEESqgofBAmp8xuwpzbwWR12UKAcnrCR3hvEA0XUzWkEJ//oZ4D1xVayqVbjc1w3MMzjzfc S5gl9saWvhhMsiHYKzI2TAyMA7JC1l9Zz7i/7TOdjSAKo6gtqg8H4uJstjqsCkD+h9Hcnf/2zPsN 9EpNiT8McaTT1gpFz37ZdJnDdtJ0YZHnj0aj8ciIGLxPCN84k53bBIk4m20iLCZ3ZPiStoWI+FU6 pd+ARLFTqSUmD7PF1uLvTRpsYYDRSYg3/KjU2HUqQ5Imq4uWorcilx2CFORXGvj4a0jUpTerjP3W s5uLE23uOIA42oeeoWstoIj4ja+HTMJUB5uxwyKbeUTgIc+hBPKOsZPit2P9WBFlXjKGTSBRQTD5 fW4STcpyr3OVR03MValSQ6g+NHq38RVhpVmDBSRiuAAknQxV14QOpERZZbUWM7/PNuwTpEpt5A3y cUHAteUCfKOVQcimDj6uR+Jt07PzNm36AUwLW1rPgL316g04pP9jw6n5LD73/Whb6f0b7zO5vjK4 f3QqKu80e1UwtWvyzWIe1hbl1taHRYSWsPY+596K7i72Qcl9a3/wFaAWMATY0cZjgGrZEf1g+IjU I8SxwtYJiDaJvBhasPN05L2/hRB695B9t6OXsZJHkdvtKwjbQFK3zXNZkSdOPic+1cFWhue7rYi6 gNGwR6U0sZof/BmS+GlRRkCAgEoZyPHS7FvYJ89nRSsls0ncMOdHPEC9OYn5nHXckDer/e5hWkeh +iedj3g+pO5YUzLqhFUgS9tkXEI+frI9VEcVPtBMs32/pHHsDKPNBy308U7fUMV/0swegkW4n3Xc GB90s/o6p+3MYy//yK1GAmDrFfpwdx7e9r+QMspUIYNZLEZBz7eaz96Fju33ampWTfrE1jX+4/+Z R0MZSoOTvC3H1RHYWJ0NEBVrMXWrwYTEzVnSn5LjOCut1LlZdzsebhDIFILscoEZ2iIxrb1+Rno/ Qd4mxSGRrtXO1cugQlP2HgJFWkOh9or7J1T7S51J6O5NQJgFqBeFsZbSqdwRb5K0lij7dwef4nC3 zU3fUI8rl0Ca6QyetXJ01VYoyV650pqN/HsaFxVFlbm0fyBL3a9nSpiMyfMWqOhizEJDgYpkGuQY ZXN4j0L6mt8OIyyl2OLfbU9V5LIE+oEyhpIABDMpbK9X9W4riOVgj4iBhTL+jir1QKz/sS3a5BPm 1aKB9OqkZYLrD3SxFGl9BqIR6oHbEirbYKAMV+Q43KbWgNYDXHHvltbwPhheBEhjxDUSnBsDXf7E jk/0epevArcV9pxA7K9QEKL5IMOms1ttKzOGm/b+NLsSTCNqgS084ZbU92O2JAvfe8bNSHYg1GvI feTXwsrhV8rUvWV4MDuwlwiFKLCvukcK60dbQRt4QRPqToTJHqXlSUAbe5LPGbKv8PnvWDf/fbYX MB420uyBjHktinhRAEvCBPDjvDRm24xYCxG5JWTfdCBv5YG2Zp44xeYid3SMx2DMzJ7ADgPdmAt9 8wmlxzJmj+QfzUdydGRkdM6Jjj41QLAPRgwpQTc8h+Hh3L4QsI4x0U6XgVgXlQ1nkiPZdT0a4sM+ AlLzGevQEw0hEYl50LcxPtNFI2YgJHCg28wiaIugjnx7Qi/d87NCv6bl1pBChPEyYQ7eBpwd2f1T 5lg4tVOpeH7zevfdIka3NRmAXHB6ZcY7UvLXSD9EctHeK3xv8qqNdsewazyY5nb1wn0ppPNuPaE5 bxbGLbvTeT/oeKCWVDrMDCEs9oZ9bsuMGtkMmXmAmD72J5Qi6Mx3ZbuH5Cv4uyTBNXWn6HiGBvCH 1jsU3dGI8VruWEbklSrxSadxpiGqfa6QMk8nN/ETfnksoeurTfQferu7go6VvF0DOyHRC5+WcOgs v09tSVjnXpcXc61dgZ3MiTgFJdfnT4iMwI+CNNK7rxDfMO8AeXraymU7ndpwFbV3wOhH2gCy1o7D W6hbxceLSWRnPGXHJQbEpBTzxwwZqz26mb2K0RW3PxLjWXQkh6XD/hETV02trLo3v38zo6n44Lkn 90HrvBBSdNNaMn2qP0roDtiF5s0yS+G0AT7VZpX1pYiNczbnXOudJ58n7nB5i4NXqzcPHVWmqh7p Odalh+wmmKIaNnfJSK2/Fg5kll4IA+3RW2Vsx1Ns4DeO5zg9BtstGdRUk50coXvFyNwTfTORkwRs v4MPaegcY24GP3Pfb9EN6gwoCoCHe/3AkUjudSjrtB7B/9Ux+7A2PPmOUcPmn0MBbEraJzZcKJFc 9Rx1NKePVlhZwDu0hjtMEFXQleW16Yqjg4omS2qjag183cNtzFyilMlJxr8AEnEh72UuBjSHZCWG uV7xQ8f5/JKBcFm7iz9mMU/eyKtwDdQws06Rff/Ru6J0TxJHSA+GQVVoIQVQBBzA7BFphm07uofV s2Q+mXYuEoH7ulMnbORUNWidNY0RIXdpWHP5PWGKv/0xamYZEi26Cizi/+TFPx/m8z11GKxq+FGq MYgHrkwN/T9AuYuWvcDKo0tzuPYLaZlhXidfFlkCH+dWgnk5hXLUwV4QGmJF17MxiCgFV/ZLcB7X A7bg1EoB36R9tj7Vn8rXRQuzwrz1FR8C61TS4CR+OUg+M0UZHOP1Ajn6Tvbku05anE/rtuZflI0E mdgUmtAeF6oH4So9XCSR4CeIw1srkK7ylxrQmnPxawe2jnJmOIoFeHEaUNvomS3l2+5wwtuS2tEm BMsRlWrsa1iNYFmZFPEhbh+ev+w8M4llWMDGALqiL6hsiQ3B9BcPKhp8Rg/XIHgB/dZPeQMwZpAj Sw3gZ//9flNWgImKBXTy3AYcMG+yQLUihNYUbSzESFVYMY+U4io2b2gLbogQu8Vw6crP+tsGD+y/ 4gf9UJ15GCYmhT0+Xgwfv0rQnmZAeAgVoibj+0PMgV6qCzxFWiEtnxBVjtC/Fe8LO+dM0TUblEM7 TJgKDNJgz1f8Yo9kgrheyLmIIQQ74AoY8BRyXUqnd6ZhaGrq/1C9g33ZhZmZiQ/88ggQMHH3r0/0 kw/Ovu84lVLL7za0VcdinPtZwwCS39acMGGBVr9OmtqWlr5Xrpd0ItLQu6z4Vsa3jzSncLh9IbNd pI9BfRpIo100riXpfYTYvi92MG/neOwOwE4rbouLpNzw2bUkaQVG+WthhWfK4JDdQ81hcp+kGYN6 Mb1DkR/xP4r2Eq2B8BEBfIlonjGu9rYf5LcuLm8SBLSu6dBuXUq0UXrRSjami0qiPV8xtg+Ey3Lz SsDbFiL/YZpdUWKVdYWTgkcazaHEa+5buc8GUugKjN3ZcXo03DgOoURxBfffKAaz8Uo7raLNIvcK Cta//cdlTmYhjHCJoM6R5pk1yAGgD+DKj82PDbL71sXBsjET4cKZgskq3yUgXE9mESBejXN52Z3J 2JYxQVlxeq8pUS5oUeAKY+ReDGOsPICw4mfbm9HQHxaaTF1YqxZKZI5ucNMSwz/2riakvsT3PBkl NWLsh5uksuT7UmDXsVufaN+UM9Qb5KbdtuCewAevd6NZzWwORCWZ0XLeW2q9kz9NHWEaBvuZCnoT wtYuR3FJbh9MUcRN0qaeFXIXL/g2wa9gcT5V3HMoolTEzf9MaLxBeHNPCTmXrGxzAdFXZAE2PxX2 vs6EkRTTtJTFVGYwhipwdO1EtvTBCDDnb0jk9KUrKgQaLqlE0/hmccrSwB6si75vxn82K6J3HVLC npJO4ZUaCQaD0nXgNsq5tzWkVAMzoJiF/XP7/P91GcUK+aW05PxNdeD/juF/0RFrskHUm5TO9nzd TAfO4wAPrMC4MOahfeUmoOBZkY+IhKV+4uA0Lo7Kjey+PoPGwCJJxiztfGKMxNDdnrPdh75F5v1e wCPGXEe0E42TB+kGBl0/6D+OKu36TP60TT1ZVv8Io+mz4GEUX0L/McDBnkYtAVhys2dSSOCH5VhI kL4e1pHL+1X46CTXrMFREh9UUh36TDigUE/3YjJYQ981nhwgPyJi5xPlXlJ842PFb0vEWWgSSSYj UtHLcg2GmZNMSy3xAd5zcVugJdIUtkqjaj2HNQ+oXvx8YuAx+8dqNQTDjRPnV0UEej1pKAhd0ETH ywD93PLWQxiyEYFT8w7bpFJL1Wb3l4nw18IAk6FxmbVBp8qWDFXHcs0TuUVWbaVkntBurHhUzNMX ed6R2GqpO+YAzWTEi3Dw0MtZYDcTSxiS1rIRnCaVXkXY3+Bkm6fp7gqDUEE0BsQFZg+A45lBhEzL mMhysXD01X+oxCy2wU1PcSGJSdpXJN/eNXy52sE/hjv1/8VFYZQH/eVVtpfD9W5rN3AkHM6t2KGR dYKMf6zycbbU+AQrOhgfIrHJg5jrTQsOpb82Mq939h8GzcA2k7QZQiqFUbSplOZJ9f78ahRkGFsA uQJjjpZMIPBC6a3Mqr3jtOFYFtnL9I2a/xkVSeo6isDfhReK0XPl0o6jTk11v0RNmpssiWt0pGzL f+x+O72oFyUOKKF5eSTW5Q0RUTyKAsdPD7UyXjeHpHe6luz/OLPGlsozN5QAFkHfgazXvMFWKGve 96SqVRL0pQW1sQ74LwpEMxvy0sjz6DHrP83Ul3LJk6D5970b/HY70ZqRmVbdyTi/WXHPL2LXo5RZ OOpRFHdlhUtRptXe/nShkZcNvx0jat96pCM9zQ38ihFKLFOFpWd218buEqJ5iU+S0mVHN8ytdwOh NAuyXm/o9Jx5CiNMVd3vhSqSmbE9UsKLugofVtaEGABQRzB4bn6TGwOo9YYNetudKmNUAXzZ4wfy F+/sXWZJRPB9bF3Dd/CNPXJyYGdsdktzc1fWd5Mfy4aekWGdSuUuzNhEPLrDJW/AMIDvcJ5nRweN cfwUYJohOAEgTxRjHxMECOniv7s0rcl3LLv9fYhGUpgAHayh35BsBg/H2IITTWSio05jUt7Fnd/t QfExgmeIXc9DrcmE3LnpWZfeAHJHSbPRG/LgWY4IeKT81F7bWWd7AAneBkhSeSWCUZX/t983G+o1 UBZ0BWTezYqLtwQHKRvGWCHuqZP9JG8ZmRSs0LGtE1isZrNxzXXF/0jPi1JNDEl35cZwiTZjG6N5 MwKZDYZGDOCBo3+k0HaMj7VsozmotPhGceOpRyrDpJ9SLjfT7kif2pFdZepY3r1DY3xMY8ltPsNG iFaHsAMeYENq5C6HWq4C4GzrVBKqct+swTTWjN05mssgQCnJ4U7+7uzB3iWKLTDS0P0ZAofdeV7u 8xUos+710WHqxlT3OFBSAtL/tWHygg+pyPkn41Bne7m0Vb0PlOhLQIlkZdQs8qNfCltdUP2mK4DD citZcN5qlJfPvX2YVThTM3fn9wuDksIGvBXVthkL2VhVjHBTxlnyBfb+SoyaCd3wyhiTFhKIB7of 75yoDEdqn2bBwrszYXBo8iHmoLOfc4W9Z4PAaks94CK7dNdxo0sI3JZILcyTzKDPvrOso3PcpnH/ W8Lr0IepUtldyyAX7zD2ccq1G3ZMSx5j6hVw64dHrEkO19MMtsb+TOgnOI2ERLLP1MlQ0cZAtdoF kSGIivqrem0iLEBkZ5mH7bWQOvZHxsiisaxH78JBkbNjVzCZBD4dsBGneO8lR54NOjDCV5uSxlcJ oqbHbOHoUNcENHjvwkQ6Qfe6nMEpj4gzvmvDjAWfUfdciGaWE21b+9CNUvek0wKEathSLXm6L1rk HzOV13U03Y/vUAsb3vO57mEH3d/EuL12TgW1/vB42P0yBPnWGHB44ibMI3hcVUEAfqhFdBauW6yb QXEhoFHEVsxaJ72NHmtxo4x3zZoinVchiaeIWWge6XTcLeIFcThF29EBIPEdhKNwAHTY3ShhZkU8 VIlgHJDPNg3TyOeVdRpF9e7n+t4hUtw8cEsg0SYqWgyym3M4KGdNmN4y7SC2s6c3yLgXGUtut+3C +TrLO651h2XI3FoZOsPHCPQZ/iIHHmvMWEfDYAiZxBI27Z8H9DIYwnztf2ouSDVlgkCIf/vb3Auk VmiKvR+wYXviuT54y0w7QAkGaXpf6oxcRqkkX9e6JLO7tLsCYJXiHUVEAGqUiLxyGgUrpdYQRmCm rPc9EebiWjryaFLlj6KXZUR6JCPKBh0vYTX3XGL3BXnqEMzhyugmZCXCK8mS80svhpm+o4waxQ7p f044eBRS1f4EyqrPNsq45YR5BC38F2efm7/WMF1oo7uphLNAA7pIIkiy1IrH04C7q5jP0i/dRdfr 7KEdtegQ9oyjwHH7id4m7giXerbxpw1aIHXUafnRlbLaWm+j983kiogRW7DMz4zrcWOmFSNMhuIh G/XZYzvv5XaPOEPmsbWJfHf78IGH3LkZVL3K+0l1Iom1vDHZHiv7Bl9X7UDSBa3bH1xsFAMKXUJ7 op/s6Aqk1lfXtsjB5AR86qpY5p3PfU/hrdG1oRXqCZshsrIfByJhFtLzCrCnZ15odVF1USa9q7Uq MsKTHl31ioA5jGg0Wdx6OR4KKcbZDvSxw1sz6x+qxAN/ucqgQM9oQZRNBOa4YPyb0yD9lQGdRcbJ BPnycIx00jY+hcgA4VbQnMhUZ0SlaidDrQIQ08gBpZ6hWJLjcqxIo6Yb5SQe4/MERu8fJB07dCIx TwrLoAGxaJFPjFFWcBPYE6nhh9VF0uGBEijB6perTADCiZ/drDVXdvvIO+cMcvPP2uyFXEiUSWPb 49sGRvBobC5MjwcKLuC8yhl0O/66S9orlvueH99YedMmaPftqVkbxxYBGhcmf78ffIALEUydXTNk m0W2F1k7ljj7zNk9JtdyHZ8b+QzLbQtGwFvQJqaxsV54tI0zLtrQhWJT88Al3viB8LIUOhlUmMoO CbSJsPjRzRzcE0r58QfhsJhhpGXS8qEx8pArVgilTtoCMoudeEMtcERbc48bFSVKMzfSHUKou0RD 2Ey0u7dc6yPnCfep5VLM//ZYznhvgKvrFJirADhSHlA3RbIBsfxrr69fNR+r1jsSDhJE+X5Q42yK lYa/jxF4QDMIPcvDRqxU56Qtgc4oNJgvfOSzlUV/jFxB4+oCMqLkAc9tHYdL+BXUfSAJz3KrNhcW vLpY9rUWbJ7PM5kKhyynoJngx7uLO0LroK3yogLMYogqbmuV9+0Bm/MYTl3uIAJcdxr5kgydhjrQ k1rjkZFmyvhLSQZeVNDTDsO1lhMyLSAhihPhlL6uKw93dAn0w3tgR9hUj/lWl/TC7XpJTCaS8KSy KTn1tiU19SIBDB0Uh8NR8L1Ps3AFXeHYqwKYqLjv6JRRw/qBEqnRINQlQCfVs9jccrIun55BRuT8 UQkdfsVhU2ny278HzT08x2Ye48dGgdUZKboOYCpGwYmxmvoO+4cTtJfPvCItjHJ1RjI9hk2Ijj4T DUfx9k+M1ISvNfBWsmKBK3RxyPvu8MWv3vQErG1QIk3+xMErl2BfLlAK4jcpfS7OtRl5f488//7q T13xiIqDG5pNVpX4c/8es9x5/BOd5QHD5e6l/vrIc1IPwVoOr2unJi9caR3EGZ/9q5AM+5EhfbHa Wpmoom2IGL5RxqQgqD98/AFGAfnxXAsAwUcIk4hQx5matlLvoHeEGecR1WTlEbC6pmY+nyLuY5xH K4N1Vx2Ex0sej+Rjx5+D/f+Co80uVGXlOPd6N/29uUJsYvTjVAUmD1Fdkin02BghLg015IcYe3Qg XDJ07ytjmocay8yWs82kaPxcbz6vfLhVOiwzRTyx7tu8Gq8xsXSuyjLqGRFEuRC5wTHDbAB9tJdO TUBclzvN9pG89rfCC7+nxo7EHCg/jsb4r6ueM9dMvhnTUJ7WuA2j3lmgEWlRF/blUMd7ly2T3YAu uuQV1sRUiTKeksC/olg/Bk2QukuM6YftKL9jqaZ2P8mkkZP+CE7Q+ybXSXA3azY5jqU2WN2VphgK MhUDzzpddO1+Din1nIq9daUAXB/hO96laRSRsKB9drwMXigcSiU9y43zMPynn48SIvfGEZY38eLY fRwe9008TXTarRbrQvac1mn119fqcFVNOIg3+YuKjV5kPAcMaV5UtrO1KTf9jtr8LgLi33kttxBZ cIwUi9CJI8mRXicXcmOZoFWHjiN6Z4geFV82tA97vYkYM9xg8l9aXrj9x8ulA8fNarZ5Hpiu2oz8 zTMRbdgFtkN3UDo19/yqpXVfYqENN/5EM5evWLYnSe6o6iH63jJfMlqcLFG2yVslFMLgnUrL8g22 JLL3SPxynUxycYGNlB0aYDHOp0X5sUAm20ueTU0CiMI5uZbMUgQxJ/cAdi/W+LjmMGzD2SGToT24 76E5SBP0pj/KOINpUj1jQfrQGrRu+WYusF34m5TNOSNyW7At5Jcfoloizepm28iIceBfU3J6YvCZ gZbtvndHFwyQiHcCNzljzdfmS/r647QCJS7uxZ8NRb5rlS+WWax1XilX5cGsfgALj8wln37u+X4d vvLOzyEImiCJRWcuSJJg9vkpwuPxu3qYp4OHSZwFJdllSao/nIQxAJGM8mzIq0hB8kltXGAXxBUj vkI9qJ2oUauTS+E4E2VhnHFwYyohFDAlz0e3NAhsumFeQNpu9YFsoX3RAeONHM6ajn+bCopon4Bw F4VUrs4PxWTHJsJD8Z+/Bryc/iSuDP01ryq9Yi+uF/SUbq4/K8iHphcwQPZ+drxBhkdDNSwZDIsY FPdX2bi1IKgueq9Xj+7oItz1w+XrafVeuRsSf62WqTswWAxDMiXw5f34Snh+BvbL8i89s1VcQmX5 CPaJCpYSrAaSze2Rsrs0pzsvw74Tj2YWaBHESrogDP5y02nskfyn7ykqPuccnwBZP/RlooIkkn7T iX52mYzDTN1gNQgtDAJtGaC3aUc40Rmi0g845i34PjoVQZOQ5md8vH78l+wbtEBdFAze/MrQl4F+ w2TJ2EBkcFi5NkKWayVnWVlvSFb8facXoTFKSNtslaKY1WZPm1wkTRtnAuO5xCi+Trlaj6D1mcCV yEclFxl35BVro1XKooAyZUHJsjDq88FAJuGYOf6edxT5q51EHeg4UUawq0VyVPSQbdW0fR/MgTs0 Dtr1GW6ms/1JgVqf8GwW3imrBw8TrEf9YkiK8AI54r3qrNNhCQydRC3GjoB5CCHGv6boGZScrcSa yGHJqy10iAiGYzDZzgKIBADUE61DwwbzoGxYTJPQuoQ4t9VFEwUgNVNirwmyJMMJ2eGIdYXOQgEB /VqfI2+3h6mBsh1cRe5ogO1F1eO6WaFkrNd71eKHTiL9Dv3YT+NJn0Vwb2c1TaXMObo9qkJt3Ric 0swbeHyH2tIbrpu55Ovi1L3IAMW4IZHa/FHh6rCwoIbg6HpaCS4Lm/yrQ/BOi38s441UCAB5DoM7 x0QBqwRX23z9rUAvJCMjRBoCuz1BnQ1SOofAQ1MwVIkg4CE+x0wCfRE2cMTF4i/KgtLn+vY8473S QYsSFGKbXysCGBis+d9BgUOTtQkFoGsPWQNPRJyHuLqN3ur3CKvnaWH921sxkDjFEcHI4gFAjmmn kHb9gnopMyeVBidk3c4rSTrdn355K8g7SnGKLLNO7BB5qTidao35VskotZp7mwhKL0DzliFdPKkS tFp7PZeMrw7GG2BeFm/OK/eTrxutebpyAaXD3rhnXRbGuPxszelMaMc27jeFcqiJYQxFxw5fanDy 2/pvViH7Q2AKivRxISUmBeyNQ/qZ62lHdVj8GZOY+gpkiAHxIaJhIQC4QQFkoWb/thh9P/H8Jooy clKBah9eQWsVFpljDYtFMTPzB7vuG7Eh5F9U/rlqcEwHtX8XB005kY2XHObPWMUQXKA4KLsVQ51L IwAAqp0nh/xtijxvOieN/mfJaBbChQTuwCuM5HVU/jP9LUSHGt981U5xGZAiJsOI2+mAQEqwyER4 G1aFYHCuHx5crV306+gBUpBvZ5/LkUljkAcWXexfL/rji81RFCeBlAqSJHTdYIJhnY0ACLR32dlX cdZkSqXM3KFo+Apw8bgJlrqAWGyOOFKrFYzIQLNeHCUAsVcijTm6CJt5Swd6eQgfnCptBcBwgeWD WKA6WzU8S09uMyMOy8ZZnVrVldzW3WiSsGY+R8D9HEqeZ8ilcx2vg90fJNCypvdrzIUvBCAEt4+p 3rnskucDqqPfM72B2/uJXcZfPf093hAmXDmgcyVQ+X4SWERlYBPmGdLA/7Rfd6XNOcDgpPPw7wnb WwEu2y6sKZtiqP/dGwRL3EvWIvW0dt7+719qBPTspTNkdkBD/CJ8tSNYdTM/WLed/Oa4hdk3ptle mIeOxiQ7fdO/oIMKOTnDC6H/ikV/vFr7saS8MU6OGS2GkSWGKSusuygAbqYXpZnkCUIEj2sdurvx Gy7WyjyCIjR8q7naDZKr1RcYSzvgIxfX1LJs03mr8yWgaNOOeNtmj8ogMRx3mtWFbk802QsVvzyC tkqUn59LuiloD7xnoH4cH82AaoOuifmtyFzPgxII7DNELV/ilX4YZIpnUAbLW8Esm2QljbkTuw2U Qn9WD4bX7mFFfIhM/QzC6SCYn39R0Y1o2PjqC9HxAOBjHNFFPhe/205b/48ZgDNbVnV1UwU0vVg/ GwUnYWeap7s2xe9MP2Q7znpVe5lCg1TEJ2/WaqrooWecK4PfuvtW4l256xRRRVCM1E8+BcK0irQH UcYjgibL4fSQmwu+AfNhcfmrYikT2jJNUS2Q+RstNhxnPO6qjEF3vylccZxISqGXM990HC8UnuUA cqzU3s6I/6m3ESLTl/jVyC5+7hiw/QkbCcDkYVOaz5z5eSyCb0YeYiqplohmpAk9yZpeHk2BDWZK 1O5WDLTZIXeJ5OKRtQtDQQRMor8IBqsYSwxDm3umrCtsKiChVQmvO1ZW6FIWuoTm3Am30LsPTDiC lqa/X+8Ka9oi4xBF9atXC7OZDPG18rVmC+6iSh8ZKAvUoIuuGFiOgc5TKSkcR9z24yRkvbP1VWqc UYcXVaZbxIbdMxOCujJNySnhP0KX7STBpccnsKqcj6tJu+yjqQZFVx6kXSXt0LicD3amGHmHKxm7 6j/01Uzt7RpYG8u69vezgQFoamr2lMmSXsRkFoQbCoTWHNGRqekErBsAp2qp1DDtvJtSCqXkLDLM PsuDbnj85Gbme3KSf03sa6xG8JjOT9ykW1/p1smBMzhVSvSfvGVHC7fzDebJbRIyQQpntRVqrjEb quSVTLFFhwYx3i7eEJCMPMqdZLIG6a2+qhj7UlTkP4SbdF6e+nyt98QDg8XWurlgKd0AyiWlrUnR +LrvbtGdXIKmj0CZvl9oVm2H3h8eTkdImjlng6sFJflOAPvuukS+YHCq2MMHKLNW9t/1SxhSsVGx 0mC/MOnpReMWS9tdYVWuURAD40aHLJ5QDsqBN9EbZp8SgQnaPBqBbFBXuYa/DS138S8P8WYuzyS2 piwSlmz/UjCFNgVySZ36TGKlLPdvu/P1JOLt8uONiPycmNls1nMzMYYsbywh/IfcugfmbKQ7C2u8 3Ss06/nuHBUogENnV/ad6k4c4yX7gDWtZquiGhQhYCg4JLyPxZrxwKdllxTSn2+93PAsGFaUvCcG e4ALYADppN9k6ZNAN6IaZCpPhHYd4qozqJGcDi1JzeiI4ntnxLCqrFlQx8A5hZx2v3efJYHkgrZf ZPvjhErdXQEDL4WyZW7AbXmEdfvyAiucnKZhOmT6oIT2JC/VH3GU3bJ8W6tSfaKllsw3G1BAZvdt FsuzGkIb2ijaZHVpcJGo9plbxnXQnfyiIA5upX5SGLKosNAsQhhewMbG/wOIBNg1kH/ryoRBOZ4d ENjDe1fCVcLgtj6kNvQbYlrV13fRP1DfEBFV8Fjs/tKpDcZgjUFET6JW0t4b8DzLasw24fplQbzu t8XxFcGb1P9w7avouNucHwTCiE4b0rRWVeJkTPRtV/uJ8B87KJj6xjmV71id8AgawPKHRc+nsmTL zdRqq/pJ7KKd+bnCdyIIOp8I5BUifg+7XukvUkUXoPoJM6XkBrT2SeVAhB4dlmroXEptODPYhAOn HylL3kRM+u+FaJ0xUAtvObNBoK0f1GcIrCMoYCiXsf/73nK80Ve+/IKNt7Q7kgHXn1SYuiFcJNEp o3O4HzNKHxZTP1Y2yFrGQMa4gCyfZ8BZtHOY4iUhFBNR5zu9/SY1JLSO6mqkKgCZwieU8i2hmIxW 0wsoo+iuCRDo+me2U9OxwJX4jeljkewoSoYqhu/A7jG1xlZy0MwFDMXMF+tsTBx/hDOP8GoS9WYP cbdDMiKOYIfegNVNT9f8sthrgX22zipZV8INRuDxWz+AbwvzEKu39Mc/Y5hANozzSOf8sYoZypvv Z3ub+pUev0P7iJKs7seujlxBYlKR0pvesuG7J/6mrq0b0JRTJ428OB+5Kr12rbV3E105SG3rXugM Ei/IWNfE8pDeygfMEmvVnw6hBsrMLTU9rtrLIQrT1+mn03j+GA9bxeyBlh79VxS4wk0rWe/5/5nj Wvw5gLpH1ttKZ9pHMatd+xbTxvDsGLrkHvExWYXoBxbTdQ2eDBP4AUYD5f7JmUPI0RKjbGtm5nH7 3Bljv02qlsFGwXaixFX9E7EYkmvgP8sGWPOvdE9DGjRkfFjPkrHBVvqJnTHbAq3FpP2wel5ziFd5 rU48sprCdXKvZs6tc9hSVatswn7JJGonpO1NNRrEYPy9pCGRSw7+NTXmmwawgpbiVf0zf7Cu8mue 5yQAdrzkGHiZmsTXjduKT7cxcjYdMryjCYROb4b2M5sAWZwPL5unHGkPX4Qqxq3R2gFvEHNi2/cw wj07EmZyVV+pHt1QsNH2yeZ9sCizfGctrHh6E6znapQZfqLdR+HXLYqait6oK2IivIGl1d0RqW7V LirLeU1Fa28YpIibaxN19EihmJfjtoonsDxsP+Re8P+pZ8dv46Q5jdh86zDpeXN29W4EFwAigTlY XL6f5X0MfXaBZeelzDmB+SfeTY+AGf0NjdAUSPXg1JqlhMusIhkLRyA3a3U3ymlTQtPpswRGFspG +Upn2Xg17vONJ1P068KglPOsvHtkvJx2SvBb7ID/ZCiQ2kwGtATcKpOVV22CIfFXR3rlT5JVj+oq pYrICZm4DQvk2BI1Jhl0FVjNMFGreRbMXg2p05WuUwZHdEw48SCgBxpAS5i9e42Kvl02HB9Z1cmo NtmtwC+MiqfwXvlOPV0EiFdCsQGqicr6gNxNI7J+mlPhAJ6CEu9peAKQVEn8L7mBvxngwXvIOPpl xxaO2Y9DIbRh9aRAzQikqDeRpPYwhI4qICdAiKkeVMrrLMeE3Px0FWZC3HfTiy3aaLbmWqIC55RQ skBIMgd6q5qd2HRD9NZtwGKg2vorcF3TzLcIikwwIS72/k+vvFzu0HV/L45iw3MJhWDjqdWap2Ib VOA6u5mKe95xuOY4QjKOd/PYV6ghjTLJ8vnspoThUBPRXTUiB+0WfeRy5zUK/vPBD58Rsx+Vlz7n rvLKj0w8XyQZ2Qp4rfRZs+I6yFIiS+i2peN30ie1xPXJndxsS/C6Wu4rVeTiF89YUggIwK6Wh3RD TjSE5d0MGNIPfYg5XYlM60fU8WQF1YTMLp903wsyCF30H5WQawi1sDrSks2LpikIU/EOR6Kfj4lP zUytB8RZShx68N+UqYWDpAJadp03nCsAi1hc1Z9HTNbbrb+otX4prrzyk0d/hIDincO7qpnQh75P D+iLGnsNLdBCx5RxIj/VSSEzLniOyQWeZlQWqcg5hBD7tQZ/vs35J62zMhKkF7AJMjE31hpeP9N8 c+qyJAxfQxbnDFSOeuHo4aR7KJPNAhqWMvqMS8N8tnlcc/i7XdtSlDJANiBfb7/VsDqsbpi9gwcv i5iLPv163fwYve5BYbGfaOomr6cYDhtLxmmCcznO7zN4GHn7ONqxrQu77NDupiC1sEVDyeJbigdu kxoAV0siF2w375NhkHptaP0YeHUa/qgtjGT2v46wMgGYqkVoQh75a47XY4CEYcO5yyOToiQLgDLc KKsgd30KtDnzsI4dSTqPoWpQNEjXbiBUm/LdyYPwiKq8Y+f1veIpDC/GEp10Zv9qKe6Musrn5lyv SEb++SQeuVv7zwuj7RpU/L4+jTvWWXbsYEl9ppS1i2OBfzgTyN6if+hVDfnFOltHtoELHpP4/HIP u+VJfbTp56Z5tPS3z1DogaGVN6PTuQVRxWPW7hIy312CgfgdiohnBUwajyc7swN7cEGKMivzsUfn 1J7pfiDXJ6hLK9tqFvK53XzdqV+RB380/1tpFa3XBhhHpYoQT85C2adIysDHe7Lu8k+YmoHuixuJ OAXs4gOav0YxC3rQKq6uHfSm50fBllCxjAbVecDlSF/Zps9j7XIIbQ54Wr2ePOFyJ18IPJGxJIW4 7M1CUrEAPAnlCudahC+EXqtLxnomIbH5QOyiBA/gNIBTFxRhu3zXkI2R9M8upcWYNn2HiNIkaMIq M8K11s27G4TIHeOZANokzk++RvEWyfzYIfaCAZcHc87G/YpesYl5viIFXL08FDwhbhMzqVEE0/iu FPKM+Rl7JEEtjRi49kVyNEjDQxis9ZeIyNdCT+52RTuOyqe/GhAObuKNmTvvppcWEd2li55VOVO0 szbSYXW9w+jiTI25gKpjd4ZuA6BhBcIARv3dN1Y2f70eSsL9VaqCgzUZNo9s8VT4Wr08Gbw9hTqx HdlWnbYDrQGr7AEtdm7s61Utm+zFf8THrBV38N5qSGr0jdtW5VScaei4aojn0P+bJS8Pz7spSbp9 TSZMmQ3HbsBwaedtbK++wkGQMwYNyEaMuL+LToubXyLsS5qEcQujBsDCEt53VwQX8jRSCgi98MIk DMUOAE/ykj94aOqCsVe13ei1zAx4IZBU9rqYR9FvEyXzOA7/I8hwEn8Oq0wYDttdNHx9kz0M6BbF VSu96h7m5E/fJG3v2xkknO1KsInDjLMwqZlMNg/v/lsTHXSVZZv7DOgrhGg+j5+tHaGKVWGivG6I I412gaw/QL7rl4N+Y9zFRBS3dQp6WcWfgBG6/7lZ7r2sGm1fSqtnAJUTRcxeB1xhvUURfKSLPTgY L1AmoclFRBfEk6SGYppuYwhRaggQcd78pxNiQhi1nT5orqPeTjbhT7UvOCMl98PfVBYy5BvaDZtv WrXVTnmUA5GWPf/CaVEXjCe8HtRdeI/8sG6xIpS6uOQ8eSnTo12gDsOgwHb7O5hhforw9ZisR4J3 mKqwrdSJlMwEY3lmwQkzWa7odQ6wIaU5hy27unvgwKCcEn9riPic0lY0LjJ9rBHhXxZlLpHFc9qu KhhiUj+/rbWo/hFYbvzrkLJ441I+U+nR920ULoFi3u3WMHUTAk9p7QMr10sAqlAGfAjDj2Oi0FGN vJq11Lc9zr2gX1vvcdPnm30hIHtFhoZBQk1yoaYRA2XJ2iXtGKwpjY0w42kKzNkbjnNF4BSDiDBy y6rdhxlXO0IXXlYPzYw83DH/BhnfxLxRI1D/5e6NrTwcFPDs3sFKHmp8PxMn+Z9B5AupUHtkMNjg 2ZcUpUreCCshVWo5qpi/ICOuEgQxnjM+xTrZwu0Fqx1hm94jhgf4JHjZgk/KT1qjcTIDMRMuThNR zNM0PaW0CnR0loUih72mHcXdvnUbw5CTkeD7Nt+BV99qO/RqSXYgIJYBfPtGvdtLLlchHzLG2yoo TxY6rIw5SdnZ/rZjLpubQWkFUEm4fy0yFpoWGNT8+WnTmWJGIRzQcPbDCQ9UVKFPXo5Di981BeuT axOa8xzp43r24FdC361jPgq0N+szz0Ip61fSPfxQlE2YYbCNMd+nATJ9PjWB/APW33pgPE/H99Sw yEcKuW8fx/qW2VEERSq7GUk7BI2bpIATAsdvzZjVlL7YFJJNk8gr1ntHi4OSLGN6BhjpIJwdQlXv LyVhzW97PY+m3sPg8/j+hUTqcw27ad1GPUGle0crE3aCfj1j6AenfKp9G6Ngg8LGMEB13KtZssuM 1yQukI5KFaVi7VLARXHBFsw0DjgBYwWFsWNgbjAknu5K+fUjm3yRM6PAApx/fv7w+n5kuWjZ0zto UzPKpdOy7rYxEmsv+J9WkmOo6MPHB26CtRSeZCsI0ZeiaeBhBhk/8wj4gq7wdd2Acdsw8um3AwCY RaocFex/jXn4N92TZjt31LX+C6sw4R8SJouuW7q4aSzjIpwPBkwIpdMEkCKf3pRI94Y/MBnW4Sv8 2ZQZ+CWZ9iQMSiKb4BezpGbs88kBBnCCaKg2/pqLOiCN98GmLTjvkIYbBBER/iz52Xy7+TCdwa3A t4bwqKWskzJusKHizlyF3nrtRykninUyuUI1aBOJxuQdrYAGX+iVc2hsl2SPFTrognFemGvDiIe7 Qq4BSkoBMoTb0vJ88Eb/XwioBsaKQQiNsGFvSidkOGPosRkchc4VUrbFE629fLy4g3W5R4OB5ml6 hLoybhngbZd2SsRZpnErqfPK+g7FA/3HgU0bagFx7U52l4pupN6yub9xjpuCw2eQ3sysO650eP4X zVuDgcch3uZx0iNpPkB+FovK6DmqfuRMh0tyKl7E1O1pyZxdU15UkIQdO1csxbv8AmklrKuxH1Rf WpOx/3Yd10XUFWYuQPlqDmRxtLRH1W14MxrGTpX3xH9lQSCNHnjoI4bhkzXrTZ/u/ZTM5myyHlDl +ibwv4voNtez27po23YW64tRis9i3dRREk15l2Hp8RnssD2lEMFJnzJIz4fNp5uUD8LfRMqmCWLt AappJbFLer/FHCMdIrSMMFKlNa42YwswHr6cxns03tUfNbSLg2N9/qbUrLkVvq3ijrVnvFkaF2yu 0+eWgpIGuAMydf42xTgRuWZ/FpWjcLYk2ND1OO54F1uCmI/XHLKiOJhWaeZRWCWZLDsmwz+24b+B CvuVFytFBXez3kuvKV3sM0onhIQ3cDiUy9kyU6yWhnJqTc7VToc23PFqt2WsRi4enxTWYPKJ3oD/ QjgD4wsIzbmu5FtPxEG0TnTRkaJUQyEAq7vesveWMlYTuUrAE7F9uig4l4Y/MGkNwUIEvN8CLeZV qPL0e6fzT0dhzYNMlBz5oN3kILcKvT6zsykHXuHmFVHHP2DSPjj6/yqM01aPijVPJpt52nAugmFQ u5FzWColabD8Vh7AWHv/tJWC/U+x0cjiz4jAkVreHJIduMlmN2HboZaMBLLC7EGC5BYplKt6WdRi t01ULU4Q9WzmOJg9aQtoX8oaCQ3oam8OZZAcS0IA8TcZCbxPZ/lbxu80j1Fq3d1/43SpIgZz5H1b dsSH4OEuHvXo24MS3n+wmAdM+TZRPQvoohz0ZJY0kxmcjS7vNu7v7ZbC/LH9um1QHONlYpB1iMCV HcTc1MPrwykaDPeTmhMb1wgQ7oxakufa/6naQd8bte0BX1p28Ugt6+DrRKe8gha7ZJ/J1KNZGpMx gjp9y78oWd6iv7SQlMHi14REtV4CIQYYFUlpKsIHfYade2VaUJKYou1Qf7oULviZFWnFFO6A2Xaa GuRKvei3TCNR12+DMimZFQnYakvPq2ShTa4CMqXCiG99FPGWLOQnTP4O15CVNSXhttv/6M2Sx5Tu EiltE4/+VcnrmnpTuBsrjjXD7zSlMownWDN5q1/+b1cSfUPMkLXu25Nlq2LlJtONpDYNf/yJNZD1 qsHepQonOQZaVBgZSjdo7zKHNlr042632m/jx5R5pk3v6RfWVpYej9Sju9BdCT2cwCnVcVIXI/bR GDL1tyIauOt+qJK8OLtTX93+DwgbYA4YmtZA6oCqDUPNXwWMmgWDdNFldsLZODW95bAidiSZcUl6 3uMzNSCywEZzm1ghelYyO8JLpRtKadQCzd2Y1aAuRVbWPEOu2w11qKR0/c52mIflCiurJXSCWY0a bd1NHJs1ghHYaT5OkeUVqOjqNNnt/cvb4FYAWO1vuBQEwvSOQTrFtrOEZa2cJhZ/XTAlXwwkISnL 634R8U5tbE6V2iMlNmbRk2SvNLfYtGnzDuVCLpsWPzR3LGoVoYzvm0sXOODwT455YAMr9OUj/3Hh vmyr/eWEPactA/JMK7prvrJtnD8UclfOQGi7om443GvFlAs1MYIy3MeJ2GZfzn88oPfb/YsfdQ8q 5rdcsCfRzSeB3Y9OrfEvmhMkEo+ZHmCJPc9AFuTdcbRKh5z0cvB1y5kgYtRxVbOPn2BlndditioR vMN8hInRhZk+Wz3eIz3s1+4ZLFzbgC6qKZ3AKdMODhljDszbsk0fTIBrUkr+tki2qQsD9I8zNlnj SVmhWweQdbMdT/ZXsPFkOTlnEBtX7DUfbSATBAM04+rGiAXzYJxYpQqRJCsZKdMbil1RmwlT02dO fmkthHaqua+dbCUa9+gOsYnOIYeYAQgkEejYkLUwvebGn6lKuUbQP4ulHB+8HVjWYjR+xWugqIBw DLGDlZHbDdRxmMHWJqrXSroP7QpSNgmL5w7aqcymCLzdcQrucx4LqibSLMvJDMr4oStkLe8IDkbz aEuyinOVZNr63deULBYGHJbpItpay9Jb/6UyKaYmnd2McBc2RYz5M5iNafkVxfrq9X7trp57DXhd qDQdzt+X2+XUKMdZdfV6uwl2Gt37tmjEClQTt8evAHE2KyLYDArTp5IMh+0CdH9gxdQ2iFGdku+P n55UN0ZpHvLgdf+QwY+DupcmOmL24pn/Oxi9KMlXevHEyupelglCguPctqi/0l9R3c1bnvvhUc/x JvQ8/vt14E241v7snDc2D9et9DTcrKGJ0LYCM60rMChDlW5UQ//R30lhWewBrQydM/PxljZLsEy/ u3YYWFSC+AuGgdkbfC7XxtT7ejNZgYszWEirlcQq+C7Bjzk7Yrrhwz+LB92SJkgT+t/WC9UmVE/A lZdlXEXdMJ5IfEWEjfdVjZwvy04vyGqUmCRws0HJWhDvXFpnMw/s1fnT3FNSuxJoBzsFemRkkOPP LtQGWu07f+iF4DfLLAllrfWNvm2k11jV5UGuSTirLuTd9htDuOTkQ2fWbjs3cdbQNQWxuITjMaMW xbrjQzojT53uA6UWYkSUtIqpo0YGpw6Bn7ucjurO9j/PpcEljXRQI3tagKEewjsr2dyd33HRubYH FzuH+Xz+CMBMDFR/wsIae7yLt8vPjy3La/0zjqBQTGFybwQC7nfOrTIklH//j7/9K/1+eU3FxzaJ lC5zYSlgdSH24P9z4faajSMpN4r8QQ4OzhvHUUR2PgQAcVBa8v1aqJxuDRB0FUyoVFOMKQ+WGQpm iWKZxefIAIpiUTvGdX9MxHgosm5hJ109PU+ciaZdPOhSUtcd8TnkZDO+42A3ebu3Hif8B8XcIiZZ LqsQI22MPLSQDN2G1wnvOTh5YfrT/8OL90pg5gtevvQ6E473ZpGpottG6Aw7lp2O0EYcZuvXIvvO 3ISJZyudy21+6btPAp8Cd5RQG4iFf3gFxs/gYrqmXKr7QrrGyY92HGg47VstX7dOvWDNzFD+hChb +PNVRN74gNAVPjBDnvl/vXq14zrrUbONlL2Rh/xH25LHpUqzhTIkzoXbVAQ5cAGUTMbMWanXKKRe cJH/4vnNG7ByIxFs75D7l60lmZEh7hQL9QosnLwzduHj8YmCXe/WDW8Z7T93/kq/A/n275BEb69B mWLTIi6CBBAAf4HsqziXTeU1+LoV2aKrNmKzBNOZ4W8kExABLOEgu480sXfOdcEE0Pg7ExuVSotU 99/tWmt92aJqBSYUP/QemALrfeVh+EyD6K3FjvJrqG6GJfp8mtbnJHe/mFaI22vGjDm7uVEvtDHA 2uANfzxZUCiYnybgw519j4AJDcCseZSQgED8rfFkif278EJ9dztwJJSpCJSAsPL4iZUhuG7H7PhG k7QZsiwj4F+oFYHc2aIJzX2qMOhj+8Eoio+vkUldOX80RBbnSLeiFevKQAka/M9GUOjYArq842u5 FxCcmJMIFlyWr/ivItLmw4Lpo445jgfRYYJo5vRhyjsxnzCZ4yIbXcoZIz1JIg3+ODrFXWWGuO6t N48iRdopel/jLrkdtIx48w4l82MPF3+n2TNcTNBOn6iso8mKkchS+LlWBj03j6+TXsIuPs1TtgAy baFDE+50jw0Sv0yEm57rVXcipHESLuZYzuDI6eTW0SdBpbflATXRPSXZi7u3Dsh7yf7/1iRxpYyy RoqPDqAsCuRH0iu+cSeaID/fs5MzTwClZ/j4gyM3FQPPNYwikbs2VORur2H81/Y6PiXb1SmfCxyy bU5eC/xIaFfhEDH+ALaLMU1AodkCaqtHgommwtuShP645UoumHFUJTqsnpBdqTc4nLdb1gou5oeb a0sTof/b9SAF0CagvcB80qeuOZZTs+fjy8xFjx7mBvIdN+M3blWt0UUnfaVh13/8D/YbND9USVIw 97/qUKB1Y66+5yRNgEFpV5+UH9AT1vvq4QTKsWlgaMBs9ayoPHOpsRkwoeNK6bnB8DaSzUO4+9uZ ojaj41/c/XmfLavq9q2PRmBRjnv6/MwKff7LnltHYxkXVwzWmLHfP9gpTmz4BTNvXxfn/9VTfo5u nSyrzMA3POiPx8lQ5LDx013jqjq7Of1sGiayqQKFvhSKMQ7wqXMwDT16YdXRpHqM6vtcF30shXOn QkfsWZ+SOmddAntDtDQuQQbyb0+e14iX7yN869ak/P+JYrDVbl9uWVwAkwMRQ4sH6fXKjVBs/3KY ftCGuM9a39bYljgltRk0vS8BKFGNWKVz1ku20FMzllQGl0QMxrtYWdypjS6rMWHnfWKM5ZiMUdZf V0fr8D+lw549ydFhkiCQRDQNv/Y1mxrj+GHubOPIcu3b2r1KzlTyZljEoIjAGzeF5jVAZjBbbEj9 j1AHCdrucsWwGJg7nsmi7dNcKiW17pBKvm/br+YyE6OYFH5/Czqg1zoGzPtKVWOccbuxHZwca0ka LD8SAlfxO6OSDSFKfxpnIKh0KaTW+O8yfCSB8zNCF2qJqzn+97asoEM8G6KJso3RtjwqUmsKRYRm i53++INk82X9cSIaI9gSUuMLMl8UH34zOaw+UW3Bdlzrj1dnR/ezIfJnQ4+fiVC+AKLAjCu+nmhl zLlvGgFlcMJLBJPBSQa2IFy5Chaq0ajWqD+QMzdYr93KSPKdvxxFI6BBY9FxRw1oMAUpncsInQF6 QQBQjNCxlnwW/z5nz0VE5jvTHGnz4MTavJzipq05cb0D4/GGNRsCRlPGP9QHIHq+r+4baBdZcrBo /fhTd/6icybjJtxVG+PhirlgdPn83UyKtfmV8EUTu6eoaV807QyBL4mp7UyKSURyWeTwG0dqdSwP 8pBwtCD7CX9948TS3BzwtA9nO/JLzG+jUpXjHOQNGtegXYBXh/nm+Feavbml+DUhN7IekZ/AUmtQ Y3OWzicIYjwv5cayKPVPf8Ly1t0FuqMb4Zzm7+M9gbLIEEVxP0YhX3cs2HW6k8/s9omoLuIotP5o 6EwmdboKmy2sdWQCTMKgHK0TG/l27kMJ2739/q5Ke94QOvnE0uYKGqJZq9af0d5bkl6TSJxdxS04 3t/o3k4k9VcHPyd3U5LMU9upC2/3IbaO+AgDcsx7cSetChmOa285CTdlUm6Ee3Z6jgPJvfeVrjLW mI7xd7olJxlC0ZZjowdl+YFzC+irddlgurPaQvcucHaKRY1KLvq+bVD2QLK6EtESSNXfil3oXs8S N/5etow605nK59tyY4h1tIYlMsmldxusKwxrEqDCtOtfI9EjSeo6OGFJSs45uwZwdI/tYwSEzGRp OX5vkeIpN1FucX5iA7WkeJOyTZ/5qWgnCjJuIWkd4GXqDro0Q60ICly6K25uJVN/ZdG1mhLN8ue8 Z3d5dhqBcVZPld88ik2tuqfl5+W+Pek4ZAh+sWJJ114XPx7wB2a+WWqn1Gc4+sIVL2RTNMjPpZtb F4/1gtw3xQSr9fDNy2g5eYyLMQAy3wroFrrabvcOF0Cy6tpK6kmmRUmVzq0qFLSizEMTLqi3BQPc s7WDGImGRWD5A8oQVDbaLXW5h0BlFo06RWgYCCbb6xluwjJLvx43aoGWsrXbqJxXtFQGSfMh5PCk 6qiDEZHlZ91vtgNOtThnbXZ7dEH1+amDjIYfODQtreorcWAEvWlFj2+s4A8kr/p52EnM1GMXfzNU lVS0UShwqBVWBIPeNhrdbd2v6G9kAjGrY1y2ZKlqZFdCYqgdaEkHegtmbFIljiU31sYsiUD8mL0A 681RK0MPxtWhC/KlhNJrs9LcB5uB9ng8JXDXxykMwtGPe3nH7c/N4kAUj6FnITjfp9Q00faG4CQ2 UjvB0GEgK9cHyfHHbleiWOnuvAHEUdUXiecLxahbdMj9Btq4yVWihA9hZdApbg4rBuM/51y/9B/H Lgw0t6KJm7j4OV3Q2b4o5njeFz4nc/ZHGHzotrvsecidFqLWxeU82yRTiEhPyPZcGzol5wu86VV4 3AifhDEgPf+IOFJGvlA/2ysehXWgxHC8yQrSUp9Il227sZdrYocNGgQ4M/IrBtSPZwSgJ0ClDV5R a7+bhchgSwLCvPea40qmJaUD7DfUBEG1Ci64qDxZyw/IVX/30sjAfQuiTpV5JpE/m171gKAwCMu+ JqeE1fHtNA3MIhHOrb3lpnfbOudoEbh/EAkPFv8NN1upCw1a7m0ajM6GEdPBNTMX5nZSKpf9gZTH IZNRfBu9qXaKBYMwMc3j7qNi1Y+4pVPkZ7Mpzgkns+ACnLbvcgtyB9CBUqUPim9bw7Ix4SncIwp2 bh5LdFfC0/cjfB5O0SWlCvCDHkVIqgfGpgFAOnowBwxrLAa/nPnvB4qbyKGpLDqqN1Ov7PCx/sj3 wIMw+pPIGaeHeoR4hsUI790fsLijOtSjcca7lZwj5hHGVaZ8wJjSYc5vvVO/7IsdHvGBHQOt6u5W RN3F215aWhm3bh2aE9RSa0vHz69lZ+bTtfIdF35qSkz340lszBbkI7FTfG63nkXHsOfom4dE+zGT o/GTnOEAgIJEgoKCiPfRhMHa9cRheOaQHXRdujoHZDc3344Zo0q5G2QmG3u9OG36WFx6c76htxqn kT7aIjBsQU1YqArxM5a/PxxpXZCc0W7Pvj0shqW5P7/aN43eTR35TI3QRyEiixJwjGxAT5idOhr1 ghOnJJnVJVvQ9/1TxONcaM8SHoc8WuSxZ4wrbz1wa1dKXia5SSxs6Fl9HBG2eugOAg6kPxedhVHW O+44HpKyKXuTuQoVNtp9OUscJc7zYBTKrhSPtu42vueprY950ZoLR+QBsh6bUH8RrGK4/92ME9Xv LbN7f1OfczWS19yPZwey5li5GS5X0ddj4GIWCqxUO3rZ4weTeyP6mr4oR169adaliPaKvAI5rOyN FrtJneqqfe/qudjJ/QSZVUhWmYzgjdljU7fZz39NtQ+xrTfPFj+NyuDXHAtB4ZhSUPJStC62/PYC jEhMoP7+mzWWuAPGmnnRoHoobsceL/D5jRuz3b5WYOdoMgmkiJlKCOtQazW8I0ORTcM59ixWBX/O gy+8DyFG3g57UZooM3EfMlJmux9Zl3jR/icBKchBoabPcw50ctHOk6BI3bkZ4va2gIQxBag9fyAA sGudLna7go5zTXOSAGcx7PnMrFezx0ypav1xWj2l4ngsFiBJrenRD3QT7vY9/BQ4T6+X7fIHNHOC WMUzjc3lP0mQi1GZwDMC4eQ1MQ42n7IliXM9xG9wuAQv3L4OylgObRlohzB0w2ZlmYf7PmlN1AZR Qa2UrlsaauWSyYJxkEI41r8O2t1Lrs0qvRHfDqadn0PADtpmxCNdD0/cnl5jRajGL/rc/nlTSYzz TlATzkEBBCCA5he+NgXV/HNOP4T1yn1c5KXiVigHZftdxhVPWM42Fih2tl7x0SmFVJnTgH57PH8Y W89KdAyCpqaXJwmGKz4maGrwkrh++By5GBQScnttsX1pBJVr4C+IuJREUQMF5glhcHds4OtXxCUD KzOCb3bRJv/DNKNUxj9WQh6g2+QgERCkGPKIA82TS8YOmT9Ex5j792nAHB4vsOftXRV81d+dOz/h 1Pr6ChqzmbCfHUSTBoYIH8ZYJs/zkSPz3nwFTdnDa6rcx0CiKStY5ol40DT83rAjj0j5fyk52az6 M94PUegsasNBA56UskXs1lI+ZjrvoP6PkO3SvBoLupFOejzoETLREtQUJDyXrlUpo6Y66vwJ0ebW 3RblC9JwYSZuJcV93j48gmtu4Qa9Pudmjjl01PIXcfdjttezEv5s9+wJnBR+CV0iTSB3OhSNwZVR 1EBvz/00iq/ciOpzVUzxvr1K6xIfy71WG5SNb6AEhT+3twE30pCtNYSMIEpwmlsrUZWJ+o2vPLLv +NZRzIbxOWyS5jgYzAEANi5G0AX4wpmZL2jb6tRhnA8F9uvp1WqAfC2FzpqEJv7fZisSlUZad5Ev qX6GZ/tZSqtlfwnX4SB29yqZpvpmQ+Zu6lZndX0za7zbI2T3yUOohTYRPilN9o73fMFPWrWollks vLhSuA9QWsUtpUwpI8qa89t/n3nZUqVh9DvhbnaVs1cQw+Kq6xlXPhpV3kfNqdlct+ziktQQUgqA 0mwXJLnfWSTw1ct83jvXWYzsT9CkRoH6rCS/Gx3FoRCSO4kqBJOe7cXVWcgFgh9OgP/nabiOHCTI qrticn4bg8D43aTMLgpY8fVc7KT6NoGJl6oKqPfDMFlqOFONFDwz3LroNiB8V270mojSCAQ80xnZ mtYwnrSFueHQjS+laf3JRQKzcKxg5FgokJuIBJwu6MZF24allAAcqAzc3pHFetNsEobFcy1OrRQ9 wYVzSRXwE9HxEnz+HZdP5FDq7FdZtVK5DNpJgjIkT78iItbgWbTZlgB8nzWIYfnsrCVIARMB6baa eXMCfzeN0kZ5JORBenzxA6Ub5rkDwg9refMtu4/dBbD/lYzSwdWSTyVoTmjKpGb/S2gvPp0uqZrp zfWXKncfiDgg8/kFroFl422F/4Iaj2Kspn2cxjSvS7aLqhEgZvGp/MFIzW4ppXQLiBufpTXQ2yCY mZxGlbTQ73i8X2r0wZdZSwvtZtQhTZXpYSdkRMQYm+VcO8PKWwnLsKXfSXHzs/uEGDsV3dL+CLYc CZSQn9Tcjvs3s3ALg3DbInAILq5eM4/e+S9nOQq4PSOgs7WNUN0LOnjQeUOjPBha+ZtmiJyEVBBa Cow7JLQ8AT3jKXcs5sVnc8GpTesd4FwulVb/1WxmJnzf/y4lxxN3b6hhwtUIVew3tAg8RgSeXDoi 9f8/zOTLOdVCEC1k/YgbeN96jwR5PDSwa1+UIFct5/ZGvEL8u4cDb4y7NiGAG79QIzNCKDDsJZxX e/55uN0LSDXLVvXA0LvY4glqqVaFim9VxCvAFnM4x0mPLkiXwRA1uPprxBPSTgIACZYYTEMdDWTg YlkntYqMkZAUiEC1sQLT9CzcE1CPtx7vqgqG2uPRiUmC99F6/uy3fDUpJNnW+1WjVkgVKD3QHxG7 UIOXcPn7ODRlb63lfLxlPm1PovG1P47cPZIbasvOUWyD675jjKryFW7aspzIKEHPdlVCiihlYUGs QIT2CNE5UoSr0xmA1upAxJY2LJ3A22RJWHTpeMk26TlQs5392VE3i4uYIprN5tyzuJOxsUGlFMll uC5QvelJJ2nFBjGYQ4YpfsQQLmLjFehw0DR0X9i5K+gyyMJ5I4aBQPGyWjcStvewjzTcLBpCdyI1 1bo9CTR/+AzElDhJiJziHD7xHWFowJWPZWnhRObzX8O51MiqjpQJ9axA9OVITxXEMmTajhLa8gCy dbM6g/JTI1xmkJUopFC7LK/lHsuIIzxz07slk9zfCf3pUQe7vFQTyZWCh3xjTaelUZNiJBRM9bDe cHpd1C3G6PNoUvulPSV8E4cY6DpXIrcLoXhZRXk0G0tJkrgoscCvE8eLoSBt+y+2LYG5HL9hbeSQ DbLaPJqDs5EcJ8/Lliq2h6TaQYW3BO6LGq0Zk7ctJUeBd1GotIHoS2VkGDJ/CD6BtK/G4KnbufSO jzRRk8n1CQfRsm4F518RvjLkcnLQH9oC5z0l5qcLm0cnmByhc8h5GfSXQRqfmkrfVcjLy5M9MDxX J00ik2yr9hO6F4dSGtAQKENhV+OSjig2MhY/IcKtV+vLmfC+sFxz/28U2eWyUawel8laI81KgC0T Mtq41D7lm8PoLQptBipoAKJ5nj9qkXee4xjHPgQA/jXfzcfXF+a9wUMFl29vUzFBrndTsJ/Ti1Ce QfN15BtHEigjSuFLOmgPOev26Tw0pSlJkNFJc8CXTXVCBb9+LyQxK/KlmH7bb36I3I5mMwe+ZQVp KXgt+1XyLXNL/B1oDcy/0A4YPhyI+Mj8EpBYhbUPGyLQ6vNhdSbCHm6Uk0r7xon5C55G8zXJ2wXL AVHY3rJ4EUwf2aICnRV6Icc2ss0S8TINWOw1FdqgAZD0xSv5uPYRD+EUatfr49tRM1g9Exr+vuiT wIU3/FYsbvgtN7vONyIKMS62uTmgQIhI7zoqcL9QzucV6q+a1syf/uOLmPYesoEYjRdSkv0WD7hS 0TVnlLpdxMTFKCNsv2wktrypKhlq7j0nohGbARZJH/5L0C1VkLyeJW+sHNoHbmQ7OBxXmb5+blNi IbSkqwWqT1LtGFhyhlPhAQip35TpKH1wPt5/BhClOprgzi0VaTQ7uiQll0xhOIUNSxU2KBESJCOB S5y4oyQJ6EPtXW+Aa+DjDhE5gAAjPkF6KVt6cgS2ujchZP/VVBOKG0fOqTssgAd42kI1ayB7ATPy 8d86sxPGtnil5Zh8qkYZzkaSrE0VkqMBwSB437wf6hZNnCh9hq7Q/0OH1oWfVclGN1RILVGSp/0w I3Ln6azvisYLWFBZUQOZIRY+E9RD+ueYDrYaLTBt43KGRVbhgStTUjJb6fDrY8tJdvzv0VapUZ2m tjILl3pfBd7MJ+lbA2xZS5pAU8Cct/1d7K585RPNz1Fs5Vzce0hGMZUDx1RnvF4i34ufEd4skRiD H0AbWn3XNfZS1NTdOh5m5Y1Ao6y0ZIT6+KfUV4Rt48f/9baD3YEZ+Ll1J7iU7O2hXzgkf7iaZFBz H5yfWJ2O6uZbmxGziV5I+Ptc+x6dvSI/EssAuE78w5cbKUVJI4GMSnG0Eojly7e83utY8E6B0zWr KF1WEtGLh8wcd5MzmyB45L3DTjiDAZN8pXbXLYM0P1MZtkdnvOi3i/XKE7064K8eBBHG4ax3gYr6 0t46Rpl3ilBDCm0pO2I+uS6tusiAkAlenOZDI88PtiA7s9rAYbhIVsdDz3YN1rVQqd4yRCH84H53 7y1H8VR0PXOo/UreSRSGeQfULdYEEamvwV30W0PWZt+bYYw/WMLYgDl0PoyCfcvGhbv3yxBX9DSI BDwjWiYMWFNMjg0bSQ3X0EXTLHAwnJcfKii88eqRmKE0i4tcBwcHIIvETTJVFIGN4dIi/5nmU5uT hgyg5lrhoRZK3Ujr+c1hPpeG7PKgjo+jHC6yckqLXJDqiH+8Gg00d1ap71eoJ99cRzCI+GF5WSCI yQUYpe5n6uvAFMfmQ9DvB8KgTrnAydSZuTRrCyGfdLorLO9vPbb+0nXjkyuwEx7npUE/bVOJQQi8 /8ELsh38jQ+HQWjZ41YNS3wqx9wDTPhkQ0/94XpfFZfWm7UMq/jJBgSxpRCYcEgGATSfuCbjav+9 mU2gnPxi4iG3fmeLbqSCT+mzsNRcFrDZZMs5uxEoe0rPVo4vFG+7xdLiAU3xKqMOq8p0lJehXdM1 oTnq8S8/aGkTBBr8orx+DzuA8VhvG7Bnd15BBney+31inilKhOOg176SEk6ae6/Btaaa6WXGMbPr A+RqvItM0EACBNt6UOeRlBNbIBSzXn86PAfR/SBC2o3qtn5kjl5F04K8Nq4NpJ6wAWmWIqPfgadt Rtr88voNLGttb1DGbMhLX9Wo8/F4KgcofQ5HfKtxdVzcj2CrjpjWBeMeqkCUlcJrXmuF6Z/yShY/ r7+FnubZJ+kZkpIlyviqAIPrA/4G8EzU6D5LYGl1ziGEoRUeAyC6ntgEof3R8AEl8zBXvfHlVllb jCC+eZdbm4skZR58laeIbDoiOo7HQoiY1Tt0h8f3AyY6Rhybk+smXStz28GXYkqLnTohEqm4ageR 2pJvnXJJmLVv7ck5oHAiFb7EXoAoqwCWwT4EawnqPEf5QF4/IH5vgFLxnD9ccmbtGXQWD0VvjBA0 AMWrMsRGfW0QuyFozK6aYA/sJIFsrc39erKwRDbsuGYilT8Jt6LYVuyIdhFTi4ocC0xPWQz/CnNI jto62ybFEYeQX+yBwcF9JEvLmSuv3I5SxU/FNGKuNAiZkA5vqtr4MktHSjWzGxqy4Al+ARffT28W 1rIUgvhjDIYqAmfJPpgMhBwJl8/j2LkzpvHn102bDBWmhYeIcLiV+mUmfVYX3buHlJOPFpuHvHhN HAfOYaAKXDhQDVWamgzryxmbpygp97Derdyq32m2cdE2yUVavuamcEPlO+ikwKrCZbYpstWqNZLR geqaaLK7vY7w8O58+GDc+pJ5TsXm4PyD1RCfuUHU/R1rMTp5ALwytRz00Qlt7dFY8lDGSRPZDhNH 6yzTFiqHFBS7ol7fKZhAhuRb7CXJciPyqHggVvcXeTzg0QRLgPSVCsUo8YfmjWGxY6eDOqzfmApg o9PZUQ7yqoBq1IWzWAIzWmVFC3z9SgX0qn8EWcRVXNb+euj1f9PTaOJqD2cQnh8OYvmxyg4/29gV d2mnTJ+OvlkELFiObd88Dj3iQ9bI0+u6kt3ISLNf4YOoUXTJ2S1vFETCYimSTSPv4kvXTdmRw3/9 oLUK98GtDkuJk8iSmOc7VJ1zVxf3ttD7Foc5xP3lBD5XQu2+kwDc3XZHvgB2/19uvNtr4CsEVZOS cOiqr/h5BVUq/WAS/4/aUuGmBJV1S9QuLY1L4Co3i2Hq7VNiBN2pUSs8zzoWcZT/j6tovHV4s0z9 jgPhklt7RzDbbYiUrs2OZlQYLfrjuGNn7++orXLbgJg66n+FZ6xwRNlD8bs46gnFhPPSQRZop0w0 QUy9If9sHUcMaTkENx8vFIpaSg7TD/+sUFwCZ3+gAI0GpA2qTVykKFnC7jdWYpj9SbbQBkHO55w6 PYoP6ul5hNmo2YhRDmI3FBqGACSUBopcHfhrz1IaBQqLlzg7d974xeo7HT/HolYozSUBJSWPYLKY aqNnZ/ChNBDP11XHwXi5MGeWtnPXQKSOy2XMkNE0nwaZFrg8p2ICutpJw6MP9u4eHexNM6CDgi1C Vo4CkqwHcoVuTIPWsNZLflq8dhX3OYBr5DYx4Zpbe5Izx8e0169d0k1KOlpGQQ1XlORVQnoVB2+H wK9x9y6v2r4v9CTMwBevETpEIThi+aAiXUeuEC455zxDwm2OY8WyoX7/8u1IN7fD1HclS95DUNH+ rhu8LReVoip1NIMwm4XK/CG4/A5ZY1m30nKC6wFpc3Fipq/P7Pxzj4ygdYjvt0hkujB1n9NRrnmb aKcLFt2yFLDg8g69tuACj5Cx0U5zdvV3DNI0aYqbC003LCYIB4f7hxcS3tlm/273EMUMk/nr+iiR VsRgq+ssfXpeHDdsV40qm83k3FjsnKG+Z/diSnoGBjDEbedYD0b64vWQv6jWBOjir25bsMPWjqXl fnJOokITTf98CXevSnDK/N3RRA+mYi4xfz/WjDt3r7A2JNqPBA+VCnHmGSUFQebPWEsqDPMTX/D0 eZTlQNfX2MxdcmnO7eWbw5JL5/FciiqMV96nVgjEWbzf1+G+ir9H4Z1W6mwI1ag2SSfeKybxNq9w fF//2TZvdj1iRJhq67K81Aq+s2nlrCFuYGJJLEFiXC+nRFNAdgsWQqt0GE+xa4LhRSsoGhfdVwno gUE01ddae9iKHOctQuxzE8l1nPlUfjik/ed/8DWQPRyCpVJ0GKm2enUNXJm+Fg8IjAol+nUgupCY 1ePafl2pNYwF32yaTzKsGJegIXkZD7ksJ/LP3A7dx7Y8TMDVPBPVMRai/+MpYUZFwiPFx6cNhNK4 YD3gqGH0XY59q29UKlrB8AGsjfPHZULx5nVAIIYDS06t4itLMbXhrDJrDHv429o2Cpr6Bi2JfSOP oAJrFS0KSF2/brwC0Ccim9xuCV+9TGyePKdAIECmoWrt77RJFQHbqN7BfX+8P2qT2mTzrlWfUhc5 xApDg44oJyVfQ05KoUBoJUTBFO1MZM+Rp0YIi/t1zN52xTkzPnbrxD/RpgoysxZBh4oNmtQ3IFeN RrjQE6FgwA/OO9/zPtbtbU2uXsKV9ROFd1z2X7sJOTHj0s4GCZjy5KC/+yeH6ekOkMH+nz29fjY0 H83XwhjDtRjCjUEoL9QnhSOurF8wYO+JHFf7xkjmZPq5dikk9+jR3AVBRTjUjZuama8ouLjR4BIn 40l60XxL7PYenZ3C2OdrbPjqoouVufdBLkWlVVj7C+L9gSdVN/DxcIkKWmFQt6dN47gD6/Dhmp60 tI+QpzK/X4tKsYnoa/aJZEfM21NEDKD1UyJH+98ovz4BLWG9qHKC2KGZcq9mpqnLgk26QClFbhoo EJQEhxOhMrm+K849KcaKbpPJ8NAbvUK//bi6ijIaWbCaelFZgX8pLmSuYaAAcuriLVMbSJ0iD0nm mDOOAsO80E4BxCXQijoJqIBS2KT+2PbbFOk3U29pAqFHi/WjAaEYRQU/khG5tW0v4WuXo8DDUQhO uhsLZoQra+nPgQBoNMJ+IdSdP2pkB9x2pGr/rbSX/QpXetlrA1qwBi9gZLBYTos/daM30yN0Y6v4 pTqGygNd6M2j16R7tPYfyW+OV1tzZNMGKuftOEq0IDUbCX5U0DYNoU3OQBLSLT0tB87KbcVA7GG6 TxhEHgRpSoe30TUz0lgbG7TESR9Hh/DGADvP8WVVJ1MDyJfrck329HJGFxQDW56MMv0NrUwhC34x xT+4GrCrr7/g6fLLFI1rc+hyp8P5ScCWZAPmLHo1Z18Qs288LYv1uoXA9Ao9V9ioAWSEqrwI8Xz7 rgR1mpHOMj8PDqVzL1FC2UI2ZnasB/z/o7VqMyQzPqxtdFV6f91jvmCHG7ZAdqZrt/zyk29paLEV GwjMK04CC4b9928aAVMAm/+WJ4Y2D2CeiCiQmI950EEt+YvVcLmJ8nU/bdjm0H6cQ3l6TPvL1m8Y PGAmsZxeiI63ml8uC6ks0WfScuro+z/RKhyoMjtlN07apX0d8gZhGNHfRKTJelolD5Rrdcu7AxSI gNK0gsS/H6qSqnYC/Izpo2UT3kKoFRodprS5D27DEmjnjUyDEoxniZJKzCXUMxEO08+H0Tz0/Zug wf4FPnu2feejJ0NLvOAOo/bLdIpbPkD4/iFlghec5hhtT2iNDArOc396wYXwYhn/yrDuh47UhDwN /RrCNWdMgSu20qBhSaY9LBd7p3tfm2FrTjiIcED2UF0R7Kj3tbEm9Y0j2VGkji6SurHa4Gf4ju2E kivGQOCpFDaqyU3IGUyxTIq3aBGp9TZGpTsdsSltKZ/0VvW9h6BjeS1NqGo+owygjI3xBsf5Ew0J WiAMqfCn1QullNXMt3c7esRW8hU2gy3Xi+TZVSAke6cOtlKEzLzDYz42PF8y15kw0LecdlNYb8ft Fh7/wZ72e0D0wb0eaDE+8756gtbBAcf9jv0nxTVeVSsJgyMX6nQgNeJ0zN9EEnVpmctr66qgigXG Jw0TaTLfo0K2qeqC4NCPvYCPEcSzQpK01TFp813ToZDBrw25kKJDbp0EkjJV2wfuf3R3GEPEJS9q CIcE9bcmKU6+Vih4a3LKhNhVqbh0UUmxj2xhfuqYQO+tMQ+6tspg6C/vprwW3r7d/8Fbg6rDns9N IT5ZzbhX+JTONflNTX9Zy0Mt+poPL0/yY8hRnZKMq2Ggp8+dVWMD/L927e9QEQ1EdptM+ZKkSly2 evJVy8l9WohL7FiWOMTgcy9iAHtyjZN3dnYBMYtOP+pOaOsefGusiTzsIqzycVJ52PMACRSI7a7m qAjIURjrsvNAaENVlzvWZkeKLi+s1a5nV7r/IJsbCDq9WuHC/oQh4ygZ3iu1Iwlhd4UrWRpJXN1c MF13LvSNkR7FOeme+Z52os4x+N+4kfYr5myHm6bVEA9R9ZW1Fo0Y7UaeuCNr5kZURRVKoMeKaWzx clYHeoLUgX6/XhIZVZibh5SQUlL3K1egmuv0O5fKX4Ttnbwt/bEg1PFXDTb/XkOz8PX/OyjhIrrb aNYwHKBauA4mHah7DGNXopsOvQVvipwcTaRfh7aNxQ1z0ZcUlEph76NFTI6VqNZFw/2dkIDcLR7E EgCFJ+H4AjMlqZ1gY+q4h8Xt+p+iOt6uPm2OgvTovJN8jjyP3U/W48XrbBvf6euBhlam/nLyThNk TwCIMnlNFOUt7OjYB7Q27a8O8GleRWQQgGA78VHOfyriONc6dGD4pJiOL8KNsf743AzyUMocWdNw lOYFlsmzpAxoLH0SrCPYChqI8W8LZVDRZcmT1wzzp2ulcEKKvyBlIjBw9QY6oRG78B/koqkXtxHL i9/K8mnFyVhnwSI4G2kjRhRG3NXeYEBXejbly4p9RV9075HEZhj6s/MRo4jKpunGlNk9KZsxmw8d 3GiSbr80Uy1/absJ4aCi184z/sL6R4VCpoWI1OINsNeBF1Kfxp57+tJSzC9i1j16F1OgnRXngO0q TKp3mDUH9qwLOSsYQqyesoPdcyfYg3dyaFexUBzAVJ8+hPtYnKbEnTP6ecHxltLoQqI6e2+xvmpE JRLRG0G2ztv2xtStoqrKlxocG29ib+1BENsloAlYW8Yzde9Q8O9x6FWZ9fmCY2nEdNFRCS+ZXW8L gKLr+QOwUyHa4rb5P2Q0HHrMQ0rdvjjz6TqBpV2scYJITI4s9YXB2AWW9fDY41lfrd8pJ52IZNRH Zzs3TTo8HQG6uNx2Xx/AJhH9iQ1PvE1TSebdG4rXdNLky0X3Mid2KR/uUho6wdrDdZthidIDoKRa IOKKNhdBjDeZMWL/Dat4ysTS7RYHWMH5sXgdoux0JoZFobffw6mJNdiS8K7RpM52sWnxEBvXDfDA q0OMTSI0xizkwokF3PqqpZ6ERlMrsURUYFZebYqh8ZANpEfuEryaXNXRfU2WXSXReR97YpIxaPSZ xvhT5pB+Lxs8tmM2ADcnZ6RIDv9HMju3d5eKyTKZSyulGR155xg+RZFSvZs0oH8oCgVoNQtaHX3m 843m2OvqyWnEMjOfMqyEWh3oKAjm356+bTN3NA5G0LPXbVn5CuUfM77bZg+XVpCUQfYdKVnF580m /zM3rlmvStElVTVFIxPDgUa0RZ/SrOSGyzY+0XJVtCNIMJdCoLjwrHGKuzAbNFZEZCZvKZfbDSp8 cRNXaasFJ5YqhN87CScR7/9fOY9MxlnxJqaU5hgPPCQrqJybgVNHGOgyCZY0kboOANc91949f8At eNPuRRx5fZNma4a1bE7gNRH5s4ZYQ+ayouiNJJdELWkbMKDWFEF+PbZB/+bWzL+r3GaSI9fhYMk5 rhwCsNaJs8aX64zkdl/cM269cdqgshCQ7d0C9NJcxcSwVLEB/VFcqm0Kk1VTii0ozVydvX4UHjU2 XdItyzM5bCYPGYmwoVfOOI7QXsRey6zB3ZIUFCAAmWEeVLNCX8XUwBtZn+6HRaBDXa+nObbhNtz2 yeS7ntc00mi3e7NWJ78iYpE/OBhE3Lds5sNUEoTbDDV7CC5Apv2A4I++mhnrA4nJopuwj/0sBiK5 8zS7wdDXMJkcp3OybqvQxdsYz9IEf+6/+RR/h5JGDYDKjD4svEPwj2aAMXtmuh6UChjcsU+UkBP4 dV+GwUnSgMxkQVpIOVi264N6PKHo2SEby79TsXzSodBdGO4fyRVccXgemef+hqDWL4UWPirq+vPO C2UMCqWw6/sJfpYsWKncIwt0PRZbtdDWUKitcnNgdAml2aUV2AcuDKktAM7qMduuwiuO6sgdtY13 ipcdw86zb6DzHbO7n/6GxbzptnoGU/hmN2e59iSZSRcaIdo9jFhUfNhZ41Kioeeukzwg84pLN7JW xYVHWIWP7ni2/ijZUxVk2tCdu3Op8fJtUpOe6pHNv6vz8+UcDAUD3IFZ6t4ADmJPOvM5RiP4B69I xSACsCweFG5RBmidWipVMdXrUEFIe4Rkq629t9m0XU6iEEhb4WZrod5jtB3h15sBCnCkO+1unbEJ WnBtiQa0w3QbbLx6nIK5pUN0zOg1u4kj5YjAf/D/nKfzWyTqDSblabZ0W4ViAaL2RvXgNoXb17gm EGDHbiRGrMinxskeS3GQV+9KzRy6orC86pS1J+JgvhigKtTSRdKReMEsqlwysC3xVkbSY+PvWukX 6+yBgY7G8zsPNpXQbJyyxIMnkI4jqzbxZOsNbq9aQjazirGEJSnQI0iroKq08JiO1HZZkduEaQeP +RpLhSZaia0Cleo3gKVGHwfHORx2FahJuSwIuNmOGI0vxYIsf9DUAyaLUCY0VUTGJyufN+HivDd5 GwHOjtLS9+QlDHDkDGDZbY5jlVD1cmcsrTWN+5nOa5xofTE4yrFpTXLlcU2XDNZncXmbhkKPROAj vMtnDlmMNVdNy3tMLsHmvnGm2a5qwJg5MbeBsCUkz12UPoHaXA/uaf1KvJQxGNw+2EGywr3VXwMm ssZcJt9jq0fvkFZ7Dw+8V3BLO8HK1swiwK/xDX9Oj4crmk7dw15VQqGyKlCm1k7++oiZp9qbYHVe h6l4cEDFowB2+eEq5giUmNcCF4Jw5lPTdZ6t0hDADHzk9vp3aQ6BqTvTywlUINdyU4HgpmYTRkrH Ee7VhYUpnG74C4PTgDuYKzjqGFIKmc+gtdBWwBUQu1SEqfeDBRnE6q6UU7S1PQK692BZtBp9WuVg Cqs8138YVQU2vP0fRie9qL1u5gy3HrxwNvcL/67w2JA+R5BUAaPUPLQoPwGiOhHBLAgNZ6QU3u7f 0cZGhGQJDw4hHyDabajeYbZCEYzmEGpMQXJey354Q61BFbw27X3ZyYZMzQxBQUuoFKUy0UHMxg+g jD2T0LVqD4B+0rBn+U+BgJEaiHUV8LPn38jD0oFPIx/QFe7qrtzKuxQ/W8cZ8WY6tfPycJqUHHX5 kbUNDqH1Iroq2zswOUsvdgfrm7jd0Mz9fv/9kJ9ZxdZ5sPeMtFwOUtiVgtgtAByzaPFyJd8fkEAG xqwzN4vmzg0eH1H+96rE886GpNe1oMyRbRwcus60MZTSJf3QWWWCysfMpGR2+hG6R1XEzqFXEOHB Y89FAI2pd5tk81nXdYdHbmw+jChOn8D5oJrbQWChm5+BKIv1644EwC/hVaniqH7PWEWfYaZzYtTF LfOBScw9PIhwGD1jPfZleCRrF2wsTNF48TfsjORLc52cCpofze7EIuelcA3mqDt391YEwGw9JQRC wz3pKB3u5xwdUXdjBG62jHhYaiCP8YYWYR3PURI4ZN777xXNxPpNs5EqUSavMcXjuJLH6Ox9vkp6 ChprLaM4cPpH30FOO3BzFIeAeO/2udbGgbgr2A7UhQE2gWpQiBQKq90ZytTu4DNQPpS2hRmiMssa YrrpiSADtZ42f8urDBgQgVVnXh/69MlaMCTx7ArGittVVkVo+7x4hUQ3lC7Icgz126lojTBUx2Y9 +DQswGfrJb2kjv418l0BSTrnKxMG2B2Ol3GoSwM+ogHHv6bcGcTMga14JS+Ydhi56oHRRwsPQBkp 7UQ+9YC+g+w2XKMZrtwbcN2pWs7VNIZiZBC7GKXztjziFoaA31Xv8tgyL4w/LnsNhCoxLRbuRWLw ScnoV+DQIwfCqjcbpNetxEwvRNfA8X+O/XNIYGPYr2SIuPYCd/fWukonRPCOnaJuNfX0vi8K+Kxr dT/ftjR2B4BLvhz7rgkVmoATAvlU+AQE9j7wsG4Eg8PZ9Eb8yy0vchoJ6eQ39/BSrFdzeXkHnloC BdYCSShexft6UGVL+GykV1xtidJHPPn+zp52htAK8XII61g3YH9je9XaHTW74SYPRa46LEUbu5b/ FZ2SwtQL7h3U49AetRxQVHuRAdsSu48q7RUCA+x+1aL7M3BZXnnbyVYOcZTx35T6PuYrVcXFvEhD GQzzyev7yoaGfP42wagGV6BWyYwB8vA/D/qgXWHjmpvIVcfMwl5EkzIzBbnTfFGeOAp6z4bSGkPJ P0/Td2wmkrpTkKxkVn8OWbmkQXAGkW7UeBYWIdRldhZTn3B3lqv8nhgnBL1SrmS10aA8jqnDDUWo XzgmbHs8mYWW+1HIbu0FkSnHYoANQsOiNOL0JGTEFz78Mo+D4nyvxVBAiecK/OMH7ya+n29D9zg/ tb2KtWlaHFJKng1JNbIMq5kLb7l/Yd/APu+aeI7ZarVWj4t4CCUdM0P1dXrALiVZhLl5t4JxMUxa 8a7/+pMh1vlH8WfAmRePuE+h8mxteByl/0vA7bNXd3FYzWwjOoA9xKxP06DmDHUExKTV+r1Io1uO ZQIIe39mbXZdq1yQYuGZva9rIrmojgWzPuRxBNMQ+EoailUQUx6mgZPH4Gs1lV7LFmC3Cgw2sxdZ egXDE4V3VoY7sjJLwWKttZxIN5wJGF8V9SpdMwGi4h6lbdI6vtCMdrnZXQqLxXNjgfg6x2LNnLQb NVAMr5W89uyan7HhQ4Uywkc2QxO8V/g2xx1HNurAnw5AKJRqQ7eJRbQz0dzElv6LaZZjzI1GVO1l HZypImXdOfLwnZmTtjT7+MihZOCjuwCfL0CBgEpKlEZimyx6feoydK/vLH/f5fCyUcnDu9NRD/ub ITceZAvYtJumaq1mkk4D2WXd+efvJ2RdeTkicj3jB7OiMmT6N5LWqG65o0GyyGL0LxsVtAIGPjPr nDgWKTeettIt8rzZ3IkRYh3GOrpeNcJn5bZn+6OINdyQMKlAEWNWwxMX0v0ZDJe+EXJLlym9hXqO UQN1jahlzY12VN65THnnuXHFKf3ZCjEDS7LtK11HqyCgU6i2PjkVjdJZbhBvilv2dQZwPN/x0X1n ueDSm4dq4h7f1Y4Vi7AzZg0xfRyhd9HD1UFQtqvDovs55nBfrcUQwjrCMOSpuQEIZ+f8SL1LH4fE E0un9a9YbdmiCnameNHIRqmbjmelyTOvauXJaqWJtUq6vWt/YTh9wiZrNijMti2fZWVvDh4vp3WF xrS2+OHZsm2teWOPOvOFmqa6vmWoolXLDWvsetmTNr1OOL/dNF6JUr4F6nKa2PckZMqvuZEBuja3 88wPG/8wWhdoftgQLIwanIjG10mVrbQswZpDNO4QkWmxXLM5NkXDsivj7mvQRh68zqEzqG+uAU76 GFpaQkVFum7bEGZ6X3RhXNTUJgvl1e75rOiZWo73n/1WYBeU7BGR0wCcdrMz3Wj/JgkMLzvn1rxJ VE/FqeST9BrQ5HZnv1AP3UnGPbGuC5sJ7vNxVX2/k0wHGMoktMtaXNpYV7jYOQIp5+6PGIy4KjiI 8Tof4WFZiZwrKNsACYfj5RqPjq3oHV+HKaLH4WgK3j2ULotNxRrcEn7UfdGfUrfuC8tMEHrna4IJ Y+a1jbjqojqyd+NIGe4NRAMVxaDM0ZQ4zIesiSMgpwRrtrFw+TXcSpiVoI+8Mw+f9a9+ABqIZbad Yzxgr00xOl4cEvdDfRabVbE7t+mFumc70l/NKreXIJjhzDUySNanhZ+2WLtXMoTOfJ4EuYmWbZcb qXWyy7aOUU9Snn6YXRz2vieFW0iSoW4HRLj2vrzO8bDwYyKHs7M0DciYQ17Gb6tMBTwU5n8Qw9y6 ukipT84871UjlpRX2dbDcdZvPXV9eiIHUlsI4ICBmfs2vZfkDrxrcaVZaYYIz3B+Rw5w+kJL4HNm Q8d5eB2B9AeTcxgnf0SYCOHFiIyOX2jDZLlgtcZzkTmN8kq95TSMdjPK5rVL2pch2NfzerOnT1+t LX2B+p7L4qnUdMaadhk5bqfMyKvOwzGcWrDsgOfbhSv4u30cOfDuiEiTT74fB0PPkNEHK3WVndnd 0BjAMJzwC1kMp2Qcs2tGsEn2WObr+2tqO61SdSjOAMU15S7uAIMX6kBw0niIw35gcRU62lIWlyTP 6Ri8L88kShsfml6TFZnQIiom7kCp95g/P+KAGJ6gr+KC95aKxTNvuKCuoXPpMHPLRd0kVE0k2UyY W8mwJvyMPjsLGx4egqFHEqdJPBtY3kH3Hh2m822+uOuYoFjWJLyjBpcdUvmENRuKsx7V3ySVk6c9 0qYas8ygtcY8B+/9Ih2TSL+u7ercC2i2Sa5Ethyi3gdMQqCq3+aFwAtdVcT7dG4UzL5BnsQTddCB PdcUFUGlqqccQkckFnJwPrziBoHfWVVsoI/Aj1pFqik7u47Xm1pP+w5oGWdbozgTJj9dsQb8YEIc A6ZvEv/2qt7fuOIlngfnPx3Wv8gQJN1rBxEfaNwusd/tHgyMe+mYTXtRpuICQjvIX5NgJSW/PWw6 B/7IikVqqzlnOglMvqkpXhIm+B8k77SYug1/TeFoGzB04BCxQBHVu4nhTbvEQHKQ232VR+pEoXHv obXFohZHL192WzeHDlYsCBqq8wLc9FwExwSlSTCboYKUOs7Gn0y/R1+uGSN8P4wq6SbsThwBJYYh pyuearT2ZpC/fQHuoEukQkTIgoZPy7Jm89WkG3r0H2/N2pzo7//0EkjcI8B0nRdusb540IflH8P0 STESvqJmriyiGYaixma5edsl6e55z3cNhj2+FyxxymQNojTF7asd3xwgpUpJL1QG1tBNy71GJOGC 7v2xLIwM8fvjOE6Ow+nwYYXoYBTcF5v38A8LSwzI9s6dkuCibUnAj2UO5tRKmFlqlVIWuCzbMqEx roCeRZnItdh2DiNXR2s2NUTiucs3yxgmzUxE/4sBy2EZft3yzP0SkcVi56r06P9UBxQKldRectWl F9itFc9hyyQtJVvEAL2mvvig0ikpxuEWGTK4h0Bu0bD0Mr1jmy1QPY+V8KToExyXAh4Jl3/wgvD+ u/ApFXP1wjImKw4uPB5vbgen1qXSbAUCnxFdZxLkmGgav4IDwZG4bm1LypVSjxURnGfnqO1PeMrx 07BzbmiGhLVRwUndm+vG91RjNMBgXS6C2qyQfER7TRG9OW6MjnciDwcNU6HKgWxQlaCI/+Xc6lYa DpmoIX4shR+3nI3aA9KeXh+oaSiY74nqRojrwWCkpf77bKk8FpIVFemWpkcLfe2R6Y2nly6jDg1t /GDW0xg8SrAPd9TgjrxCI2s2CJwBVth9XiylsxqCC0vCoe1dEpNBlJULvCjEaQd7xjrhGXnMBj8C 6NKnW+g/c9wWy/DiBXLVvzWbv3p96tx/PdFQ5D68jrZI616XSexeP8695AGw/6YSW5Nhv01UQ6/W YLjF+9yMoOELFj164OC6GE29ZjCbdt5vN+2uWdchsk6lZ/suAG7IXXeBNKtoFuORrtTRK7EnkAaO R+ECLUGPWsU2OxeMPUr0/8dNMSXNt2mA33U8nYxC7yN9Mmg6sgw99H5Ibv6zBBIbedEsveI9z5SV oUUyxwNSeu/a537N3MMrwYfyLeYM9u8IlAKD/3LpLmhB9HEd7TnYUY/+JTVs8GGuB9osdHsSP16u B/1ekHCWDbXALS6Cq5jyibrbfL7QFwLle25FzQN9ngMwzVEhT/2JYfgKhoNdlGcEMorEQk0awVpq L4fj7RsX7V9SMHxrENmaQ+iNrcFfvKhUbWwxd5SLD26CmG0szAhG+h76xb2pKvtCcZAsR5w0Uobm jfgHuK0e+Ptqg98nDs0+ZxvLR5cVdovmAKVq9GjCv2/wNUYOgW8XCQw0qDjblvxQBPWp6XRwUbBU YRbp+AZVsUkK3s11of3mrtnbcz/uxVvrAvarvvgj1wb8PXzvg9AeaRfl69Fqcz89IImR+2FVd60a zyYrslZWVBLCKvdbENo+O4fDMzpqnguOb+fy4/cnSzdJbqQweUTCsC6V0ByzGxjbzHgYQm+RBQel Hz8Dbd/m25cm/KuTWwLTIsi7EQByl0WDTqPqmqf1iUamzyAKkKyyWGcVBfydLVN32Y7Mjt6Purm6 qm+G2hwWTvyD+ITBHLlOW8f53AnB1qW1DihCC8I6/O9NgBz8G7WIGhxtUmcQoeSAQQ624oM0q5e3 p+jbennMSrc2VFuC+7v7eNIBc5VMISqVMzgMsKep+d/oOyJ6l/1XoDo97Z3U6rw8Pc/1kAO5oTTT mPceXzdRRuhQx+HqXcNVqgce3Hkb2MogPnjZD5J9iMSquiU3pgTVia7W6hny5elj13GZrq9zjp24 g8hjTZB/ofHOiA9LLaNHUEIUUP6TuwBcaRyy0lzi8xjTgEcjywz+iLa8KhoqOIBMmzs4l1O8ExGK XWdg8lKI2sh9OEnvq3Pp6k4TonEj+g+p4OyvMdj5woGdOC+TiT9ScguZShJ2Tp4vgJo6g0J0UzFt Mce2kOpRCajanqUwEhp34Q1Gy4oPjLQYS0/H1Xjm9I7iBvdEr6FCdXvtEKlEvfoqJdw28Ma0SNCX F2KsGXIJX5VHAtwF3mVs8gt7gHfjtIo69/dtfQkbrft49VKcwK65Sz6kjLXNE4GjShghgPtkUxBD qSE5kfyk7WV1QHBlkyHDGgz8DqUSRQ/UDZAKls1qLtOz/Dwmaurs+9LJQ4CP/y9bD7pSCTwNpxvq agBAYrk2f/ZFVUmImg2r8e4/TBOWPGgiRqtU8BJuKvPE9sf0/HDXjZDdzxzQvg0sq1Rc6djeX6P7 KTuTU0JODhuRUwN4Ktku+uygRPiHIrZufL3Yw5vSOOksZGM7u6h2v3aQownNf8PBVNSFqvUJy0/9 arChz912EQt1VTKri41IhhTgTtHABtHM3/4IsAEVOwYOwS9a3bOMKxAHdpbDizB8tKgTw/oAeRHu Tf8kIslrfscQGJZMVYAzC9Z90S9tliEQsrho80Uvdua4wIalqDuUj4iMu4n4/T76N9Ou2rHdV8EC eK9L8joOa51f8nsWOM+VUu69BrCRXNHKWvhJdek1xY/iPn0XCkFiJfSKWp2WHpVeatTlpPKymuqI 7vjPQGUchIdt4UbzE6w/tBxI+590LmcA44DSz/fJfckHVtAATbVyfDWGDj3eYdCPQmJT5YfX7h+c NB8y72oCP5em+tu3557WHa5KKK6DDO+mSDwdmYA9MDwHo6Oe4iqh/Iy3j41YvXgJzeI5mtr69ECJ k91aBLE+rjOzX101J/ZbRDc+u+Gsl3RvWadA5Ks75PRdZ9EJWxGlwTCck244MRbxOWkaVzwZDhXY zMtq1/z9Yfp0BPwADUaMUfugUReSqRK71ssECqACqCY1+kjpUqrZpkdJde7jk50skHe2gD8/H56y wuG9FZrVl6D7s4FCg5BvmI9MuS1uzRlCAq5sfNGhbpf2smyCDJdogXC7WnC23UkddkkZSI9QRH9Y wuU2c6MnOAH9mIS7S5yrJkglyfF4g+8qYL0Yi+YeGcmrgs07YhmrrlpGMojYuDF5f17jyJ1Od5ke +e3NjuBDkweGrQSudvFBL4LIV9ARYlNmvhlaxXSz+NfFGiJbnyrBsihcAVe9fIE62AD9rOXToFr9 wPIjb1fzRuqEjvaglxJA9SXSKGWPGArGA7G12xxtn4iAbYyAMpmd9BYyUybEM6fPs3hFsT4p60zy FTTceFRfMltE0mAK7X+Ku+17Bw0Z2oYgkG7+mIvtjNCyiV/XNeUYJo3Z/Ei/jRIj293QZNtNdRcj ItxfKDVOjvmr3MwnwVR/vbBT3vxwMquo7CjIP3eSDFBehgYajsVW/G2s+02qeEx7a28QnKsIuhOj b9hXz27+FOiSrU+MbStsm4fIxVfyOQVkBNPUs+fvFm6B5hb+N3ZV49s/RrspSiOlT4ljHXZvcOXu skvdOq81ZiSCStl+r+BbGmTryvPK+xLQA+dVxb2mv5Kl6Yjf9TqevHW5aIyJAIZwWeajdkm8sUc3 Byhz7DiwVner01iicd2XzAFelX6C3Qz3Bo1UTRgwBpwUebHxVZPRpveW9pa19YA1EyfyLn906Iln cZzFuQEDP+kOfDZVVJZtcs6SQ2MQGAsxi8UYon+zES1UYaaJ670xpT/VGk2I2aVvOTm5Kox54zO2 PMzqSvNbGHyyxV+XHa73PAJCUTvsNh/wBn4Q0rP9jgSaM6CJle0omK28mM5PG80MU0GZVcvaep0r GdNvKefLIxT5EPp800SoziIO+gdZ7eGuQxl84RnerP5YF/p9Ds5GQJUpu+qnX6QEiiSzzg9kwNNB X1OnXwlsWyOs1EFmQp2lpNBXpROAXa5hmKDwOP2Jnahp6tbiwwDHmixBBwru6dYstcugMD/A7k2H gXARK28Bw7l7ZtVIOiYR3j027OMKwu9XDbwKl8OU2+W+M0W7/+jbjvRB9n4mypFFTNDQXbrccM7W o5ExP9z5WMvZlfJaJ1fB27g5DIHxTana/4vYX64gfELPak66GxLpqX3I1IQK3wWasTdh2FDPaQj1 QIElExncVQZ13Dp6F0QRPqs9tJC3JhubFmjnWSdvVeOHAI7mqtWzkRAZXgL6oi3wPWY8x24phnlS BiH5EjvmLO/X5FzIwnleweUD1xvbD0kk59sdkGDPCvIER+Z4ET/NLyj3lYWl1zivUNdNo9St5eLe gA/v3Tg/1DPuEo92BzpUsxfz7GcmroZi8cedwtITdPNsZ/aLF9HmgN1TEjKv5mwtFuruBMmD+Weo mqaJdidGOQ+3P5HcdTjhA3H0HLpKiH7AphZ9wPFyMJsPh12dXYGuEkjK8Gj3vW/k0c3UQux47BwE 7lZeG8dQTpCgMgAfR9hwEpcDqShZ6ZFgRA96b06op0f+B7FwioD/CerUl34XKJ45P9QyOEf8g8aU czbI3Uj/nqQoyali16W7innrDp7fXbP54zUAHmzg4/RYyUY/+xY8zfxA1+/Jatp7bQGZlPEdhZAB 2lOlxA0rvRbDPEETbk6lkY5+Y5SW2Y8diOFIeQHfHg1yvWluuUD4pd9OFWL+xhLNA0XrxtQKNjWx 0UbIkEatBg6TTM0YitiOnp5a1SQp8FYQXYCy3sp7h3omXSZxp6J1sQY2UGh9w5vxPxCaidVu1Ybx gzebj2IZxSa5y7nM4TndwFINkMrdR5Eu4l7JUxCo5MaGKMIspKvsIUTf8k/mLWO4GEvsEo/FvZLj EdcZZIiL/XUV0EUvU5L+wtTDxr7FpTSt+TYR6GQ0Dv00XFvpS0/QDbIrsweiLf5JFfX5DSAA4n8P n9EV+AgoVBAjV9vVK8Z829imIT5ZogBrSvcJjt+yUMDc/Mkfudt2FFx9jY7BrTyBb7A9QiHhrOuI 5K62GD3rXZQrjWD6bISpFz5nZw6yBosrepr2yRS+jLGOsTNc7dPCIjb0fgqwyjzIVeeFqWCsn3yf h47srtrhGQHYgrgsjgBixlw39+CJYvAAZMMW2sKE4fWmWYTpL7AFBG+dDIJ2RTM2BJ4jPdcNP9CY XxECITJO2jVdVQ1Q9vYPeF8oKhS/zakybRyz40/zpZVfNcPJCePjuPMzzASVPJeS1vINsmq1d2zk c6kXT8/dHriq+wMgxqR3ncOJ9dH/0HD4D/VWacTN/ALgRCNUrisFHS1Z/uELBtZ9+B8I0V5jsV6a Bon1ACazxK4toYreDfWrRml6fCBYRlZDzRedQULRAUjCdnZou459eHecKlFmZul3VrgIHanVA+S2 4M0+yN2A9amcF5xA8eQuTeN9YBI2XZ/HSI+DymJ1xIPvE45hPZnCjNZClYVlAHzXxAau8MSvX2Uw o7Rvj5hUU6QquHHWNqPVqY+pXhxJUUFIcSScXAcXlieGInWrYAi0e8e857UaTkcJo0A7Zzeh1WAD JMN9KNc8XDfWaUX31e+3MHMCufs4xoUp47WNR+RW/RSpu8pme1RbqQKTW/ysmcaGRCWtQcnpc5fa nw4ISJ4fQyUNZEmPJLuOaktjez0QtlhTyUy3ESumkDT17cpkSr/LuqAzhmuORaxM7ZD9roG++s2H 7wf1w38zY3cXuoRsyTjUEqH+6X+c2ODw/Rl0cm59uoGG2nWuczfbFRuNIHG2a684zvaChIk4gMJv nUy9HBgznw/5QqqvKTBD+IsG5mnVMLVJ6HSWmalDMFmIIX8J2HxfNObT5zwNME3MqM8xdTLb4jSC YrjZucf7eNIMjptMzfKd18YSLEu65fwkTlwZAM/9ztOjyCTNPhF1XN29sSRSJSRtjnEVLyF+WI8J bG1ml5IMYyR6tj3z/5Zk4mHhCfnnEiWFRIywIhKJS+y/SY3aEkV/U+XH/J078w9dihh63l5ZuWiW RbwhpDCiNHca0glc7aIp748s5GrQh5SwY1lqf4m/0+PKrnt7FaODACaCMZXtbq4A6VGefiaUBFeQ S61Lzf8Z41xDUkaq1ltaDWk/h0av1eVrtRS90fLcd9bksgXNQ71VbnkvFhOICQkG4C4kz3Iw4gqe NWYAxLNdKaVj0cXtvq8x3p7wNDW5OmM/AgqBSJUeO0pWeVRDroLT5APvVGULhT3QyRjiENMCDPLu AHmE2NS/Xo80d7c221qlyc/IDuTIx2W9nFrWdEbvhQaE9k5hiDKnjmljw4QgfLnQV4EYWbpT78Re Iem00Trb9AkW4KAVZsBzbbYp+oUn2gurVrL/4WkeGLjdIzxYjiAPCkN/vWy5Lq/tzwhtuV6GvNj6 kPole0Ke+zJtshUDQ655aHjY2xtCliSxrOhzsA5h8yafAYB9Y1wDul9+dto9Rdgi86y9uWwVRJ8w Or/HFp9VoNSvN9QW1aYulJEFxLqz89yHE/6S91wbBMbZr+BgYm4fTZWaAeHm9h2KaZxFuJxiAd44 UQOok55to30l/oR5e68UDcjaLY96ScS96dbBce9k/6oRG+oOEf591GWRSXcV20o01stVt9l/G6Mw i/9bbSFQp5102180T/QIpRLCkt39O51kOqYDmJ/VjyOJhWDZ9GJuHZZx/+0kMYewSLrI3WgaeclW HK0ZGdtuKoc5QYIQ26b3LZLnWJ9eh5MV6d/VkFY4aBQ58Ha8cI9CYxugyIhUlfMBoY+1TrrWKgJq 3j7f9qkTDCWQW0g+4HKSZBS2n9J541TJTvqI/dnP783ARSY0NOthR+Mvix3TlaWprjRqhFxM4OUe JOcwXf5OhmbkFMg8IZZdINRmBEyWpWDp4uTjcheNC23/OaYchdDKrJzgn2BerF2QOTxcj53pUkSW +9GTbjqAYf6CRFz8s2/YELBV796NoBD4fRiUCvNlRwDzX5dRgqtZ9bFVvnhp5KiY1omidzNylkFO Lju2EnVkWwRPFWo8OGP3hStis+ZlDdvIUijFw0K/S1qZOrLZQN8TJYr+u+Cferw0ncyIwj2G9/oK jar527x9Ctn6oDBIUxjVgx8+yImwUiMmRSmmuhCqIG1pgyPFGWpGgGMZdEdlKV3JzkdLL8sT6Fem KcIs+p+XlusDxhRLYd64tfiYoJ/TDlUVg9jAiaFAMfxTRSGCz+HOXf1CCVDe0yFXJMZ8A9WucG4r tjXoIP3xAAyHtnLgu/dvf1xplPYaRjt6v1BYGHXVWU1cHwvdFYdqR9Zczxy9aO1guoDl6iMW/Qtr m607JM0OSbPiiwZODwhKBaTJrKFSJoas/+uWb7F8mIFg7NNgh0dJ3toNWAtXkjnU61oV0hea9C8K dibv9EwEuyixHqrTUA/7P0sogFDDdSMyFp2Ny6zwnB2Xq6yigJxmmQ5Z3W8/YlD1JUzWq57BErr4 TZsXSHkT3W1OfsXP7jYGDY+IXxyS9tgBZv9ihA+NTC/jHsy1hmi3VHs2ZFEbzxfd16O73B/fzQES ti2NmO/sOo8melGER6IfsLJS2Qo7iXKtoejWmQLjqgn6F2U56O1anSwj6OxA+AO7zkuGeJlAL7ng /aLu1UaprBiwnOOUUwDjuYoKUnjc6Y7Rc9cIr7dltnYeMBfjhMITmWQjg/u/tFPTa/Dl1IUN7Vax qWltu+aAiGd3Dr+9Ecc0FQreAKkekJFpmhw45Td/Ap/hrH437atqiK/4sE8MotMfSGtY8VixLANN tYWAZQA9QNGVRlmd5ivuz80Z28UhW7FgdbDTsINOPxYh6VcSdXdQWGEIWfXdMI/mO7oD3yHSAwf1 sM6ebYk/yrrvME9ljActIUr/1U9QleDsh/PSZOqAZ8l23cpbR0ADceOgRaZSPmlcVVXDDLv5WBJy d2OY4eceI8IPIakis8yxzOcPZtGgAoKCLiU5STZadGgUzTvxwUsB2gc/vsSVz7ysXf4+Hx9N349N DusTHe9aH78kSjU2ysfNqfsNszk43mGYbobMm+t46ffJZ3q4FhFmEvGgCKwcGiy3JC8guS3UHYOy xYHw73eq83fVtnc1rNGujexOO/dvy5KuJvU+D5Ep65U7sgdlE7KWfq3/jXUlj/TtwT6ZsysON8zU UKiajirTTACxWsFlKYtwzno3NKkNjNCML5vYNFIbvkLbMgnUFBbe4+ahB3tFmbTlvzX0ru2n2fHy De24Wc72CKRtEOpSEtq8+RY3PPgrD/6St27wihCUlpXv99RQOvRZJ5YfYZP0IiU+IWHTi/tb1RVe AYF0/tPjM42o/xBttv+zq4JGvZpoyZTKTjeKEyaVm6eXjhwqHnvGZ4eRuJ1sk6DMcTMyMByJ1yxl U/sVaZJ+y0RFpGQVDvvSd5ZCHZkLSzkuj6yRNbNMxIlLEvdVauch/OvS3q8ZQfuydhn7B4Zr5+Ch 7GOcmm8C/YzYsdyXcUDebk5EHrB0YsMD+MEgwjmvUszQ60B3vq92exeyi27QkdI5nx7EtpHI2bB8 4wBNZX1WmQ7c75dHg1ITGza940Gj0tYbj2h3xOmjMyesSWIKqmpqYlgzn3UnqL9u5O1iMOmYOMxq qid1C6y7cnuWEGSG1o1mdeT2VBd2q7453jaHjhmNLiYTAqucPru1BDwJLQYcCEOpNO/ckVSLRF2Y vcwKzdmwiPzx/34BuCkebawFej+g66VMf20PRtI/qQWFTnvGHqCicUtnsesD1xJgwv8iMcR538iY Yhp06e8K5DvwcUtcywMZpTE4gaJd4MHsaABgzxgc9J+MA7QGROU+an3Dt4nWPASlxREFmYBT8ZHA Czgy8QjD+ZT8u04yMvMGuYf9B8Z/BP+/YgHIrT5xgnNEJgCcdodVL30IOfoQt+zJyjkEV8XEVIhN mdROO6LzNx4LIB+kcvTysGEFAlYgS9e5fuuPsBx+Ar56o2+9MZALTT0luP/PTwEipH91aAUzys9S ALRK9YngUdXDVC94fsklRoZZUpImoWbx7MZ2EXR7ixizSE2gDYWhxrdhNHjUrn5+uxlfT2UfWj9k pI2fE3weFkdho/QyzJ2IHdegumsOsNnV36LBBr9SnmL+CgmeCfGJyUU0p0Xh7kI8i9lRyTKP4VfY GNQ7uPaNfVpaty0hcdII7/khzUQXXVi/1Wyj5ZHODU74SIHgH2eJXpCXxobk60LPuaAsdguxUUlz dya4nBPdA9V1X6gwjYVHoBbn7uIwBSoON9sAv+LtnxV61hgBtkPIhgidmqUXISaQKop8EHSzDYRa QQ03wiiy/bSM5+y7A33bKx91EhgOz2iV56cZQIWpwV/tV3u+xdITzAL/ngaN8vu8XBVZnNbJDZ52 nP4JuhfgIULeZSpBF0j00maV1SHsaCMHfdkKU7kBJrfxtoZ86KkGNnZ/5V69veySNUwu2Ho4OTdz 6VPvGgtL7Yp0LDtsxUxuDIM0Xx4BcfKoHyuIsIWQHGLZbUpqDs0EBe+qgG0wsQqehiwMquivySHr 4fF3z1e/mh4ONVAQqAS8xU22IYTrfop01KNea9X7tkG390hHDfJ047rSO+jteajC8sA4M0UMzDYm cU+cRdrH6DgkqzF5GCGYKCpFss671Qj6LLVVKX0zeeb29lK6cv/82mlVgWTQY0zA/vFO63Gx9ImD 0RDJy89iJ0thn9xv2iuPtJ5GdrtmZv2FAbcYBG0XuaDGx37hCNLTvlqS7wSJc0gV2KFSuzJg7X8M JYHDb15pvrr2ysV1QTHVfbkGt+QnwbLoB40wgSqcqyQAnk/stG6+Aj8oaLfDVpp2p43t1hckklDN ISHsL0hGl+6sFOlcuD/avK04QWoWky2PUptnN1Z2L0KUBs2o+EgjQzoTIIDKqAxu8DbE5mLjdC5h 5Rr9wIDW+joEkp5QaZ0RVblKLZCbaNaSK4R2PREGOekulQ9sU0VfaZBzAIOIOdPNfJq6gXZfTuy4 JzTSFHec4J6PN91ZOnueHSPF+ohwctg3AX5EPzX+3HiLs8mjkbzFWRnfpgtehdRI2QGKB9+pj1SI IebuT/iQoMPY2cf9hsQkTo2XG9u53n6LGpnf1XdIivVlUu3s14QC8ZX9hhH0ysvdHQsQLlK1ZFLk D1x2dNpFdkE/zR/YMlccadex114TQZgJzYvlQRsOnN077bs2kCTXDhP2zwFywWdhwaf3MdmAfrix zt+Q6rJ3GUFo/aZsIKB6LdbTXXMFzfVKyyDU625Yfq4JEHx3Zj9Zp2ew1iFQLUagwObYOHsCu7hz lpVnkazjAVg58GPILElkMprqFP37M8FKhCJ/MJTtp7tNA7434L4I+gZmdM49uZ52K5hJyupkW/yb HDdgERfNU3hm4gZ8wrMHAyzOjxwWLgdpUkF0WUIWK+UGEi+ci+aZle+7O3BCy8XmHgUehZtpcGQp u8R6cF4wHCVF0S/o+EmsjOQClZIOBXaZtQU1Sn2Flvgm6G8DLjueGG0WLl1RL4xxJjqDym371Czp Dic5KPhwlmuzUdBLwz1oNF2zYL3m5fBX/3AmbkqNzMpB7XgIPYY1xk9qUxSRcl32qTaXZuRVa9pl j95LorQ9ExM/89M8/fn5YwYqlQs+hDeTUJGB8zbdiQaBaAgTN2xU65T+bW6rRiRGlBNkNh7VgQRH V2mYDbTCFB9mxWWbz6fKRYs73xsITHfFTZ390ptllRF3GMbAsZA1lnKlbdGGR5Xfn9wX4wm3XD7/ pSZID9Gdezx1wH+i4H32uMZ2mOsHVz47ft3VaKa+wy9oU3rSRz0j9A20pk+DVldFTEYhV0Wg7BY0 TqiNt5ld2xFhVBVhqT+rEUhJWL/bR1c0BgCDkvV43BXCSs5b+h3eANFEebhyJVXYpr0/32uA1BO6 5ldcvIiKuon7TgRCyUcn/2/okdv/M/3gnx/yDd5XmXzo8gHFs2mgboqhzZhG++OQmkFBkxWsAM2G VPoLc+7VT4KITtZeGF8WJsBe3tOL8P3Hlwpu8G+PQ407LqFMLwnK3Mo2gcLZFvSTrYXNFR9z/qrT gsXR45Tn8MVHfPCHTrEnrfyyFCeTYkAnRKFtz+/Iu+fbTg7SyHwLGbKEBsEerqxD0dvQ+dhLVkdR Qe6NyXGUOsjc3hGafmN39kb0/MkJN25vC4ZPBPM9VRA4W7nYYiM5dFxLSMUxQDYvsrCcMX61g5yQ bXYS+iEd1Z9ZYlu1jq++kPzapHbQZ5IsyM3sVxJtyV/5r7udL65j8MmGg/4D/5bSC3CZ3TrI7UMx zamzzg2hgLzXG3225kWXPSOYZhDY6u7BI4JC6euQgDeZ2vDz/WQ1+XWIQ2vBdBCalLAP3anSynij vEmzQN/bZYzIP1AJE7ERsOtIbm5fV7rxRfdlBkek1+TkmUBEtu2/3G7tn+y3KywdWNf5J7ySm28c pUDabxPQNxf/csgzyr2lf4yJETo4KAQfcWFOtSNmb1GweOeplTovS31MlmPTyVQIlIdRZXar1PZu QIfRs8bXMMGg/mw0RwmPKUMgNVDxOHWCQU3nSuDjvpFxmld2mKXDGx3LIsp+RgQ4wG7aA1KsmRbK g8c1q4IBphNUpn/PAWoRiZEtXbvACjk53FY7tGHHqV4pyok6f3ROxghERvWc3HbvvX5laP9Zq3PJ U4eZAM4B2xDIu7QN/+NSns2Y3S004aI3E7giDgd1xJkZ01JkWzPpDycQJe5aGSgDYfqNDNQUUh7p EGXtULkMNKLp/FYuIJrfQzlgyYq0xbA2cA+yLed8SwO8PfHBemyvMr/Qd/TgiGqHWUqwCdvBK9Lz jedKbTo5uNXGCDB6hTenT5DW7XWr/gPg4XRCAUsA2FRv+8LYxqYc77qmxmhyAo1Pn7gvtEr52LMa AquBRI6DgRphrr5bS/cklY9eVIENVRPSr2cQHR78homNolxok4FTBWuWsvM7nSECHTQKADOk5mFE 0qBcUGiFD5q9BSMn8NCZ7bc8GyWa6oq4/dd9/ZZh2uaD9dZQPMEHywSQuaocrUf3eaQM97SylNch zTgHrKmgeOxfzQGZueFNd2P6TALqmnNw3ZbTIfwn6o06l/MDqRqYESxDEzMdW71/9Twkcs81n0op 0PV4l92tvSvd855+mO99rFsOSlF6tsZm21XblMwFSalBHUlV8UVcVYw7s+w0Cz0mb8pJ/oc6TsX6 DHVEsalmpxSqCLUzuJlRaCIjghqzY1vWOG8W5sMkxyX4g32LLkqvBkHR0hJLJTiDmpzCrZgfzXlu sEaAHot/DGMY94TqkbZgjPwmyUaSWkWVBt1G+isGLjMyhrGPsfJpHLo0+hUtL7EiTYjuzSHOQnjl WDoTbJKIW+ubqjKnCFXqdsq3Sb+3f+XJ0EvanAatZQvgS26ueDxS5/HRxwLaaUlYfQ30cWO0RU+7 lpr6mVM0E2iJ9uFCFeDmSJVIvKnNbSKdFFZWPwYWMBM9z0a9Kh1pOsQORUTTDLPVl1hc2njyNpvG tGIFvrCBCVQCx0Bb5jUO7MuL5YBPKDMwMXHO/ZDQeD30IUJ4lUPuMpRpxQPBjOekYsnt5AXVR9Io +XaKX/qdAOLTltnIp0r8IOzdFhFV22ZQQe/nLjUUT7Wwy4qwxz1MOaFJF3OGY07MHbxMLKa3egM9 cdjP/2Cur26WjsPbKwvlN3QBjV7FuaYLq/2385UM4HWoD7EpnGeBFjEPu2QNhjCtw2HUVcR2N2iG rEGOCd0vFmUSYzNCYrjfHbLCz2dmwAjvybg7Q8XciPGmvOS7wEZXnCukO5nz4O9Gl4ZmnfxW1b1S YqDUcitA10LrXxUzVmMCgafnP7pdvt+GhSXQn9PRlVWr2KqZHAeOKrEO4bWufqP4YbiGqzIOtAV1 BlX+wHZvRoaBQJbz92g5SH8UP9nJTqFspTVQW9MjjMrl4pkPcUwgJ6Pbida6QNQjre0eeLkFmVnN WRhxAcVShM6/vPru5u4X/T3FJDlJRQmI6rxydL6HY3iwdMxsR73sMHGauL715M3HgZmtz4XUFd9r z8zdsuGCZTrYWWgb7ky+nUik8n/SvacGhr9PTIYshpZlFP7ePIlLDR/RRrkit1F/JWXzVoW4rjBb jGGt4+uVoLLXif1quyqKJwofB2EVG6W4fB4uO+ODbzO0lh63XJ9gtxvaiE0FmNLyK2WNVmVmRkOV J8t3EJT1xhOjWapgCTs9NaJBbYRPApmuxwjj5EYBttCIivcsP/Z+gS5KpPJpPvRbc+o6XZ+317J8 smmseRefHUv/7GLVCXbkJDGfnKiDxnC+bE6OfOSvvP8nYKMJ/xQxO1GUK+lkxl7yP3zAe1+TOEvh mmhAFEu0++W2c1lhZ4eqWp4VRktLXWf0MsWUBBi8HRWZ5SMoJVSXREM1N4slqWLb4KCB1YZIMY6+ pw3KlQJC+y85mXUfSta0bZj+uq5ChqebW0xVnttUn71dVOFM9S3EuGX2A3Zzzf/Ut6mxPJsv0Inb 4Ae5bYdyksvQ4xz5zfsfhz7M3HPFrfU2FkT/v+L7L4f85mU22Uz0LwdDKpNN6RiGCaRDnANjNyRu jxuKUef1DyXvpUTynOkOb0/aNgIIek8+JwzbGiv95WlrkvPsFPD5cYPk6FQU8Jl8pgn5AlwtNHZT yoTIP9aCFU9FsuoQJHgvGJj4v4OsgKtC/XEz0Y38JyihzsIhJqu4XXYEJlSkx1mT2pF0QXpmS/9E 5j2bC52WKn4tjQ8xaAzVpQigLrbTg2596sO5qE6sfeQ8wRgeEpVzVHNG4qmOExtTTP3vFdPmOP5K IfQnnS7b7Fa5124SrmCLTlqcAY/EwPGvKrOaXnufLqDVA0NKy7SrxQ0Ql6llMX5YcW7TMOWiO8KK tFtFuMCX/z2COxki+k0rHqWhzwxb7CIYcZAwh4UbDTVnY6No2uI1TMo5nP8RiPJthUSBy5uBLDpj rSZUJziZvCpiyKfsHz8gnoSBzxpCog+MxxUTqGt4aUo7bIwI07LU0pI5LE8XBNvJElXsgfodc026 umCPyTdiPTY4C1QnUy0dos0OXCup8pLowaoEbOHGviucuoeuPv7iqx+gAZfjPHdIP5wp8hXIcgbh vAAoIw6kK3MPK6MCLfPJ4uSiNOVKq6OygNKA4JIBv5U/4nKqh4LwdNhoth4vF+IE7XBVKaBZitXb ADSS4acBYW9pMb6LDNu231qy5nvD9j2ywUHsY6/GJZzZLAWAI1+hwuk9mVtF4S8IncrvrCGQKslv 0zaiY/iBEIbEjWOJuTB/Zt/gn/Ei4aJGJ7BnPJI/uy4BYGtnQjW/BKHlweyMJ3dHGLTbv7BrSb1O CQTZ/jku6BJyo78Vl6+Bnin1bauz6nl5gb7gXUHe/JeqZR+4HPEI8JZvog0v2wv7GSIFRmznGTzu qNILIqDD4/xbY8CkrO5kYPbV88npr0FQPQfaHEFCOkOR5yHcHHsbjhn0VNwBgXNXLWjJs2Ptb06b aNmH5ltIoFc0AmZ3ZFk4D2yRmMbNEprj3w+Men/7qzcR2H5QsEmAA5vDzJLDIyzGxcKckS+YgIJ4 o7QyaZVUXh3XVjegYMn9HsWzi5IunQOYaGx4Hitn2DLVCW43ESYv42KL/mYgmYU9gTCyEakgRCHu Nft398J3VEZasIgnp//wHU/IlyFK75EbsE/rlfqi562HtZwUKHH1qpTCxvgpAQDRlQA/v4oVlVyt PIObDllt5/P/M7K4tNgVmMC2Yppzfd/ubuOd83+uyPx6b+QYjqQwP+zj8Qn9s6BU9Pk8ttW80qam d+FlKqAvuyzT4SjqoFbmlM1i+lZPOOcWE3dNVUrM0JBbjWRgoEn7E+Swu845StTluFQ296a2j3e9 C4Pmgh6eSjaYlNNNY1Jzmu8DEyhOR6WpFpG6hdfPPHC6BlPMAdJs6zGWt+L8rvLbzmKZDnC/Vez1 R/Q6cEEeafdTk1fKBS22hUlZT/CTTjbEBHS/myC8DhXSq/sengnIv3ORSaAkh5PPJuatrJMo8jI0 AkHNJJt7jEZiOGYMnZIZpCR/aw+crj19vWLQyMj4AdeOFPZMC+EJYXJQJ5AH37EQ1VBx13sHepkU d/I4EbxRb5C79rKaLnH2uUk93OMyd4vWgB6pGlV7K39y8PK8qlk1k5dOGV1X0+LSpZd2plz2l0E7 +Q27TnhkCZbVzFVm8E89sIBXopEuMzMeUv+RX1Prbb0RulcydqOL8imcSbnZmAen27PbqcHFWAuM 5o/EP+F9CmezgpdDADJWTeSeR7uRveOplkl+00ZYL56D3tG17jc3dybYWTVcGVc7AZoxq3exttsf 1tpvMquKExCo2tNIFpXzcCsB3S7Dq9hzaRZZ794KzanpKWb4Z03fXkdkNF84OiEijeh+/LigS60V YP3A6kuTqZSyf4EpCGnEfY+fMJ358JCH5ZVfS5xwvQewhzwijo0ttPPqWzBZvJsXhQ2x6LcbCVZ4 xbZ+OwKyTvYMUnV4ejueHYz0xuitCLZF71ythsy8YWpTlS023y06mFRcIrTjnf7odUQe8k6jEtaS XoXyufCqJ6FmSLSBfxbYtxD+KdTYYBRayDtt32eriF09CKiuzEPoMZKCURJ6IFH78qUDYix2Pjd4 sMTDOxQ+T02vK+zIzBRvAAlqcbkEZ+2iVDEIaqhA0T9MjCuFLhHBChfgvYPxOsitVghRktYD7hpf yZ6BLQbKTdvstyObb5giMRt4efvjL8mlJj0ROV8ma83sT3YcMzYUMD8Kd4FRWhAGGjUvSsp9M1ys 5AGP4p0bvLcrauVkorSSxgmBXXFrpfT8K/G4tr6rtkJDkDvOkgPelQx7HVYfUs6Ew1FKzxFNI4fZ 325KwRfPQPUyF53Udphf32TrYv4XoFTkS3MsTP/IYKrYBjn6iNckemC74AnP9i5ZOz67ve3l6pU9 VjMKgmgYHqqiYqJjG6eRBYaPpK5PwimEk0gPV+Tpauarok0c12hpfqdF+d+VXT77/5oTSO84xXvL qPylgYJugdN8AFE0I6qSADo8erLVTKvjU69N3e3wsE4DRvTgmDR5i+Pubhe6Npfw35NKuHTatFB8 zN0Z72Dhi1OO74fyvlGRvCA7Xj6wHdpyc4j2Zd8NXUgllCGp3IQVW47iYFUU2fBn3dKwkm3T+ICP L6P2wZscbEXJoTYvRZx/rBZVioR+JSmqtIkREk0MHMFJHEk2hg1r5NPV5S85VG5lXtZmtGmrIffr By9VutlphJ9j1B/P2Q9FuRwgHvL5PJoM7xLwGdz6TYg6LiIIReL4aOsSqMULRHJKQ7RfhEOPwCKJ wbAKbSMcGf6+DRabKwgpMbeckOFcN4HWqXLk6TJk1PK/M1TbR/4KxuUTPQdvlURna90T64AOyWTV 2awe3k5HGwP01HA5lpt3DuwhUszuErQMw8r5kY/1AWzX3NVD58nlHTufpx3rv9arUldo/P4bbgYU KSnVkbZ2DN9hlZUVjbhAWJsUYwB5ZcqzJ6fEB69hQmq1dfxlRwRIj6btsrqxNJSrZ2Fh0vzuE0fM fJ+h6PJZaRn6zHZgyqPIzjZET6QPunvDyLiwxWi7oWkzbaCqvP4ew1ghWurBQQpdNXQPy7g8LFWy mtd0hF/tgGwByvoYFErWAbiboKi2GZ7pBdIj+25xXjU5dwrp3kkLA3Pef7CPq3nhijKGZGEZRpUo PWvp1WKv4NENGAoQRVls5DClivtxfjTYV+lNAC7l4DVw6GgU7o1CDJsqWKgjicnXIvjzLRXocOnX PcZGmI2x4c9OiL1G9GgIlMX4GRZoy+4RRWbmu6Sj4JmP2LYcUlM8q1+tKgREEA/VcfutqevHieVn TGaxJBW60ml5S396crNVceFeAE5M4Q4+qgNIvYwNt/jAzC/L61xn7KiKkK+94aeGruoMwqvBYv2l PcaljkE+xfQVBfRPSCyFKYMD5VrZoLHM78p7vTap+WlK1IDQZ6JM88/RuBMZ1m7uG6GjebdxxjGy rlgOO3i36SwiHpnX/jjMZjhAfZn3d/N8qA50SQbFhbltwpYqfTzeZNtkjWTa57PBRW3J/CtMWhbT oQh6XtqBCQYGp49ynHEMj8YC2iaBEnLoBsKnVSEp/6j51TE0XmW/zfYxMIMxLBH2aENnb/PYEWv8 9GLf9mO+zOsflON1yf1/Ul5gwVm7qgFjqxTM8VwxJiESqk7979rfx05O5f86IHL7rSAo8onvqaVz hQwmMZQWX/Uh61Iq7DE/P3u+IdzXnRE7veUjngq+IlQoSH6FPPNuQ0BruUVoHHYDIDzQcOFs9S/c MrK46/c92N7Mx4p8e8sshwjGXd6KSd3Uqdicwp5oqeLFVkOVg9vFSxVu10efBFrNsK8Z6nPjXaxe SUb8kgEjKEduXW+aCexjDj3jQqMSn9eu0Nh4ELetLrElEHgN+dSKGltGqoScqx23RLZUBKcP0GKw HUu43YXFclhpP/qsZcU4BlcvNQiGAoTZMhGcABebA/75u0QKUWmj30cB28x6dBcmxmYuOVNN2Nag qcKrWI4+cZbdh94sKpg9nblDQPEdRa8w9KrfJDdTtyPK58kcjtlOir2ENGaf5rmyREqEgiLQ/8Gm l2WSpyiOZNPDGIXPxglvjH6AbYApKAfQ/FRG6ntOwIwnslL0FTbPzBYxU9YyH3k10LZNMQQNnv6l Vb46wtB/t7G5nLdvtd7TfaB9RTeij0lGYCaLtGXTye0eHjgLyHtG/3sR+CHdos6kTD7YSwyjhHJ+ 4vZhzm8R4AM3OogRLzEkrRB7VfCyiwo90NMX9Obk2Mq7UiM22XeP8zTFJ9FskKEnJzo+kK7lH8of afL1XIfsLZadPV1b+c0UveKWa3tHg431cwP5lqqMw2X7Rr0abXmD1jN57u4cpem4kPVWLkcBVmju eTqgFJDzYerMZswGaeNm+E01xNarweG+UFXYniz6n4qVIL3A775LK7ipi9UCcgNcwj8l22919efk PQ5gAbCJgIfcXm4yPX8rOQH4DZRQHNswU331LXzmmEpyttBAnfIGl7FUukEsvjZuKcM9vPhoEGta EbO2fouEORRb7rTrFmwUK/SlC21EhIhmdft4sRlI6Ynn0gc1rmM7Y33cio++2EXf5r9x9Mx4THzA LMTcdvDazRwTlHw0yWXl8/87nfITfNrPSlv0QyqXsyxwLy9Va0jWh1T7KwS/mVUYE6bj7potAtWb IGaEvtFdOinfGH1d3AY1Ao+4lGXNtjSyJ6ZIi+gKckt4Mq4JLrpiJVWGjLluPZk4oCYytTTWHarQ R5jhjnu5KYOPYZKpYk9kaDx8K5nVKONzOf4+9+rsltD58vURkOfvZGjcfAbDGIsLMtOtPOSDYkAc 4Qb0J+7RDb8us3u3utdYTcOb7QxKeUTbj4nIQhVV6hQqTMKvKIvU7y6dDwc3JYrc3SgxyRASohsh 5x6Ot17DO4DRnhmpghqtmrSt7AxLCpekiMAto5DMAe7cDpKsxVSwVojLsg6rRa+Ki19urgJnhS34 teVObDwxs9FBe5bnTiRXKh2kkKkBqnDzbDxUyqtasMbXPIWSeNY6Sl2KoYOIKgvrVSlhSKEVGaJa FrksT/XQHqAriwvEKrfL/TdJWRcQpv0GSd/dNUi3RZnYx45FRLQqrcUp4V2bMWrltxzwBG79ETrS GJjQtkN3xSpp1UkNbqmuIdUgf31eEE+Vc7PHOqd6Tg/cYQeJctNxoUDj7U9/bugq9xFhfxzcFMKd UYfFvMq/4qcAyLpaBBR4jsCZf+RoTm2qAg3COQKgT9ZU+R9RwNE+rBMkUA20IsAa3lSylFYft6Wl hTjK89xQIMwF0NkyjX3AfvGTGryuU3sSI+fncHZzsYb10AxNBFUkHYVqijpFUNVa6l5KYBTZkc5D 07vjVG1v2troS7RfayLefkf6iJkjDeA5eJsQMUDUOqzvFFYNhzOTBgtxbk7Ao4wDo3zFAcfDZcPo GG1WITUqDvTvJw1kNWSKTjs4C2WlVN2iyw1y94OSfPqt1b5E5aglURl/efVxa7WljGV/73mWzekY baiFCRYOrEX2INaQycDaEak0GxeNiBSAe/FlEvzgMYu2X0wVusOx7QKfPcL8IJqG98onBi3tijM4 +gfdQ86IIeNaMFMsqtHDiWhTjB4gHocJnSuFetAvC0F8zffOJJln/OcmONgRcDdSZM/o7AcNWnio HTNPvqnQo6oeDQXJC5VS/FmlQxVuPL4rXaOA4qDw3VB5UBjfONVVPV4ifkALnw2wXWkyq1K6b6jC KK9LlGS9Tm+RdtSnmiRJQeUV10W/MK11HVTHa+UpDHyN1c8gsmd64CODL8SpnvByDylCJYPTIjSr o4651ePMiXWMZKeKJpQittDxRMh5TwAOXdsiHrK2/fGkTG9b72fKm3gUbi5zAE59MLgez9ZB2DX9 6WxsUEQQzUFmgCVKPcNX8fLXJqr/kXa4xJ4AS1fnhPkFwRC0J2xT3UPr6znuohXT79MBaKlIU2kK quigC0wJnLCK7DlmerrZKCO9N5ZS/bJ/aDtQGR0IOz9EpXeYqsZOcCen1CWX03YxCjPTKe5upUep tBPVFqT3um8z4/RzjR1AhKJbCCvEWdyIWA961zWyE//i2k8XHABaM+5nwPDcf2150trik06GKL7r zPDP5Pq+SosACG2w0r/Lmy5D05hjnTECAUX+3oFz053Yjg6DpnJqahv/jy9paGz0Skbeaw2xsSWK gm7Jk/eE9AbZgMb2320x74Q5WMh/D5RmPu8Ga7pPeLRlQ+cCe2LSCh3fZgi5LIAQvLN0+MzXfNl8 uq5sYO9JRWor1FciH9ST5WMuz4I+OClLmoudw+cfrraRmDf7fv3oIRmnEkXrlVWcCiaiFXLsjuV9 JPcH7Fan4lukZ+OKS85GQFqmRPOqJemQ9e7nVsSavpOod5yZBGYGFK+vI8leBwJRRQ7iLwUFe/SG PQuPqqzSNt7sNjldOAYPPrxn5hrSjgrgJaPIzbJgWDDcABGGmOV4QeYjJAw2X1hCRCjO0/gtxW4z JVSDnVVP0UN3NNQa+VbfXAffXzWTWGfY5X8i/BFZnfs9B2f0m8Jhq+Be7NTEgURorgFGb45ZT1z0 Lrwe/tc8YbgY0Ly9hSTKqfugczlVQgAnyIDgGdKA05Ac1mIHf241NeD4qHX9Ss2ybs1Fc9iFHITT iHtPXTaweUQeTc6TrheZMSoBVgHqipd3G9CCxg+juIajNmtMcSiDQ/5+Xdg7GhH03H9CVitfBZ8C kzFMdjVIqoyQU1ZBMtapL612KAvr2eov8cBt2691X6KY+WBhugiY4rGkz0AaaV2DZlJxybRrZYp5 im+tP1Ei2klNYY/UFBxXzsQ75E3C92Z9wzt/OClv5q0gOk21LAQJF6w5JWdJGd4djV7OrruAV1so k8b5Mqhem+lYo6Zqv5NlpoCU/JO8L/wqK7fU7vVHlM62x2vUiRblvABAlA/DWSIm8VB7aketVfaT u1xzkT7YzZuZVD5FKm6HpH4U++TF0tjUFNnPcoFSo45OgGMoUCiETZ5GfArWJYZ6DoGeLacHfChO nqSuWZXoCTfUOO4zfgiA1Da6zxrzo2JRwDGv+jAbywI7+18oqCXM1IOM5go5jOZudMzSRnTbuTG9 NdEvrupoh9zjCNWanJXLN1A/MamuxdxX41JEI2eRqmE/hwHIFXKzFpWhhN14pqljvQztbdVUgcaN JXx4c1YOyI0fz+oHpIzdn9Z5L46nmOQeH5KkVUvjDhlTt4ICyQI7E+fWrR5kRU3V6C4yumPBvl5t 8IARsYm95sb00riQ/Ig7uJqlf6Dn0L7l0uzH2yn3Ixe9e+P7EXfGjnXsJy6kEkopdv4efDKbkrZw 0k9t0GcAoSSpwDhcmQNjRrkqOjji3QxsRYpXLD4uPPOnGIdEhnKf+aYNs6GT1dNvI+rnlFFIwvEN CQlvViavZUKTcHQCEBt9jC1CiliiqZyCrLIHzhT6EPE0pijJSC7Nn3cER/uRBwHU1xVwH8JNTeyI KjIRLT4QcAB6KtRww/Z8k5FXkmGw9vuLrqDwXpA2UHcNMUHCH5WRh8MbEfGBWCdd2U9TGsf3XPv6 40wyreKc706EHMTp8R3NEjUqFLrn3rm7c00XKb+JLTxA2PUXPyptbmc51Um8xDb+WFJbrvkTGFke zcRJqafK9FQniD6QJXGYPFMcXOZ71Zn2P3uDOKwo9ft+dUFxC1jEn6UVT2r+RNcv7xs4qFa81LJp Z5di78oGkJ61QLMaYl0YsIEwj1jsK8ttE8norlbFcgpuoytYNR7V9M+0A3O+Bd6RCNGL2THg+eIC gp0Nc5KlXYIWpJ6vLyH+MuIEjXsaqCp4C9IFxvU2fSjy3850S9NepKU6liR3Bu194P/8tWmU+U4W XIZpC32RNfcoc1UtitjVkQcFeP+cPaB8h9mjbR3bTIElgJ/mNQ0raVosm4XvcFmiVM/pEJ0oCRPY ezeBACjNTb4KuFVMxUZjYa0gFYiZDPbOT8FXRom7aZDsqtW/PjsDAXxXRhbyiKoFGCjD81sEY0QC yn8czgD1b+YXUvpb0OdHIEeNimAi69zP9BLuXt2y/jS4CgPiCHePCTMSgtQK/sP4+CyIHivcO7eR cFITMOop4cnQaU3S70sudl1f+0y5dedaQTpQXBPoCmusFnxhBD+nUpwBSgQTn3zI33box8p55OrK +rsppvhchGzHAkJn3C09oBWCrxbvfTZ1HNqV5/MWe/Nwft7dMrhI0Ta2e0FgvcPlI7StmjSoehG0 yWk3FHH5B6vnEYxwrAd6CNSU7P7nwqyqMSrufHx5+HPU3ZDHU22Us7b10J39EDoFUdMgyDFFitNE Jp/cd2D40yUNucYHKk2I/XDkurFhJxAn+fpEE85l5CaZrqsLqSJmevp6/8QH+VC3JxZcYzqJPega cA7lU2ASLDzSa6P33dd6HXs0qJmWfHiJjvpcgOjZAMZcICKn7Tm+pifM9kpgyTFLJRLEM6m4shBD u8rXGbqKerHjUzBo2SsS4euySzb+Xv8Ir1Ju1qHwj+x/8wjnVIyVBefGRktnOKIyaCJ9HG+iVFWw fLbvNUbV/OZw6I8sfHX8/reIlzmxUTcj7pMxzJt2luuTO3Oskyec8toVm6C1/o7464nGF5NSQuSd 24Vyx636X20HwpqXg9CCC5knB7nX+yrMXE5vzZFVn+OXXKNVT1N8ttdLOD+A9Qk4lgtcpptRJOn9 mCJsNsZLOeiBqYlk6zJlb3YcdsENI64zPZ/pnrXUBg9krHh12XzR9e1Q5gXXu9iIBpB9qaa4kbsc j4yC5y2dPtjnyupjYOB8nAZsKjd7QB6I91iGf/4Pt8okbctbcl8jA6ST6SjuJzKkiUv1+0dncBQg Etr5DFYU9yJeftpHsHe7nBFM42hnk6twmdMV2kW9i0VYAP6vlU3h4IfgWfBVYupTdyJQLqnqF+Ct ySHVG6oxzEwMLQdUfZd864KaOjnUX06HyzwsuIoSpqMVnbDDGGe4CdOpgnpEfFf87mjGZ5tTECx+ 9u8d/dSa8+gpz/k23gtvrsPf5vqylSt4eOJytBlPnVnttSIwcuXU3mYQZtcvxcMHFqine2o0YEFj aWNwugO8GeaJ/oiu+3OlJVcBYzOuympQbSPoux1ge4dIikPtWj1qXe+r35opjcoxqvJR6sNnf/aJ l1sdIUk4NJJOVlkyJXkY79KILHKmIpF8vqXyyp8cdUTPuIF0X9DR82jCxU6J8jgCZzHmNhJ3SaQv SK2+4ltwIDZvaGFNatunOMGgBSJOVCbqQh4GyF7Mjt+9kqqwb9OGlflQbPfMfDoa6Bd7QXY3jtWu +DVWzsRWqQYTF53X3AeCUpBcs2nMg8Y6QALo/PUjYxIYCw6sw08y3kON++IW3tr3zwe/TVsv7FeT tcmZnonMAFoKwJY1iRyM74szot1M6M9BIbtjPL7+ymfCahhrrubi+Hq8taTVJbRbecqVBQGA1Wl5 Zig1KpK4Z5H3Kaygyq3auTz3Og/JBfrPTftobhz6CbLvykvKEFXzjF5s7dxlyCrak9jZxPDFq3qN GTEwMryi5Igc/PkCkfcfL0L1mvyis0AE2Huyreedg5HDLS/JxtRO1eFHe2QJrHczwQhyg/sEU6fn Gh8pSgtTk3ljmeCgd7R1xQ5TjulaTQnOIoRn8yxMdbBuK5DP0X/Nr6mDcKM4nJJnweH2BToHD1hr wsLazDi2xgHFrSeBaJ7XV5gj3OZwlzpmrR0O6JPIcMFDii7aGRiDW/ABBly06Pv/bgzqwn0T0g+R MX1yBzfobEE6HpHR0MBdmO+PfZIuMxFdd6s1+DQulW5pQXajgVwvFA7p9HYtOlSOZgfTAww2pQFH E52N3XGhj9EZUvSjSjsk3p+ny2DilTtWIRXJgPxXZYpgw4B+3ZPqq6zq/whnxIulK09ekT4EIaYW KIZZqyfnxZa4Uv9MX4vSP0NyETJJVJh9LxiYyjATaLA7m8iR4dhOivKxn10rqB63bJmzIvX55HNK H2oajw9M24s/98gbGEJV0LmEcAOtO9fwmIeXrZWC7qhdufxIQ7wC00OhMS0H7D7yRtmIfbN/9o/4 3vpV+mhjxCXYhhm/RIH+QPPAlwDMGj3pCYiIYqUV7LZooJ0ZekUWaLuyvpNMDbg0R9AenpXHHU0L eYBItcjlkrx+6b0bLU0KM0spDWyPqS4EUSHs15Z5r5jFHdta+mBSmJeIgZMw3Bgtp+1h7U+vFRWg C0GmAvUCOKGSkns65hiUrpxNgdLv1YMLlSC0ga1DGUNMSRcKCCVJD5jVfKhKtQy1g3sxsjAidgdQ FgcP+bxJwRdiKtcGzitrqPuZFKoBiqecfbHybpDd440ZYpCnlwmO5smD6twGncwKnFjDhY1R+fav fXRbEr2P6sOWyaOLbYrSej9OOLp64hAo8RN6n6K2YTykmHYAi9niJhrkj1HrTo0jWfu86FzD8fGj L2fkTM4Vc9Gh8a9plcwubzv9sYZRFdNwlePoRA1WqrsqXQm1xAQ4VwTgNz4XarVgjqC9Z/TYeejv e9/oo9B1LOav49tcsYb2DcHHVSUu8Z4cnCfZZXf+AXJO9+Lb7YOsz7nocuyr56y6A6ElzdZMq/Ls qvCaJNsYWVS+kKAHkXm1pXtbi8hV3tOSdsW9mUXizOW5ziy+NjYeYxXJoSV5dGZ8nIUbCXTWT6nz DoeAKud7WWTvuAuR04OPpgULb+nLljL10pria+79svmdAVcl2fW0vKVhLOASzGFTT0hynQtKkDyo ubaGuAGcHPBt2SA7GZWo5H7zctzAxSs3UsZ3b1cSqPqdbwqhJQUT0oEu3ZbW0d2TCcjA8FXfgC1S uih/qc7unYuku2ET61oQzI9Amt3MEVVCEG64gCjrfhP229NU52Z46jgLiz0GMXRzrhXDbHGlLOPh g8VE0s1uktxW3WE+LHkil7mwRl3bhhfccFh0fxnQNLaCvDH0Rx73BGumA9IKZkD2O1TsgSEqIp6r i2B5mcGSaQSMwGkg88ZFD/nqVsjkp8gJyZ82pU5Dapp5S9Er/ZNPSDnrXIBIV3QSCOMqO+vE6oQg WHzuRnEHKwvLq6yEgecuAfC+YFBMwpY4M33yQwThipU362VZ3a5b03iMod0PXsvffehg3uz33TgH DrmCque39AysnQz1WbOZpPXTGARqM1NWhfV24YNHgauIbr/ILU0dMwPb2AajN2qIps5Qvv0PHjCM 4XxkGLAEpx5XzrWHhuAu6uauknxUt3T9mT0VdpP5x6JsF8yCb1jXmZgUwHBevEfFAiY8kQB+Gfqf EwsGsGfSH4wU26s5+yIaieV+qFfzIjCmy0z/G6irOol8B5azzn8SdYYP7reIdYzE1Ocn/DSxdZNQ k446Loy94KO72W2ST2FCBLTbX7Qf4jHCMX7ZIXsKjcrJMNSt/pAtdGnT6K3n76BwElkLp6BQ9m+N VDFIC+bitBBEeW3S7Pnh0vDnqmDlGcCoXQ/ysJ46ylo9fwq4NRsDowPoZC8pzCntr1u4Nmt2rMaT HtqVkbqAiLDFncjZQh6vzwJmZTb7PLC05yCN5wzESF2dKqI+Y015K/H3ObpxDKWDWMuem6T3M/AV 5kOSIjRAa+YSj2nWtWQ6DJCAvFeu2ojBxQ/Q+CEg+id/xONcHgusx/oKXbb5Kf6aFuaxwWn6Xg5f IdrU+IDa6F0DYTf9sxrF6QUXE0vu/WiWCdOzz2DaZyZApE39c/ty3G+A9wfzT6QHahbDSK5/S8JQ 64br8TX8OaOL23tPZbjf68Jt/3A4nrYiwU9COL1NDOQ5ShlKZIcv9LCuCARwseWzh0fd8VMXdZvZ pXTLwwMX+WvT9bRNney7Nba7o1LmPQmgGhPuYZiElT6yB/ycHYNIRrvyJS+52uIdhgCghRYqhUjW qCnP5b6O9O/EZPicPI2s8Uh7HxAnO9Q5Z9XOF8HLsmf6DNzMvG/Odnu2BjIJ+snSP7NNJUaPNihy Yz9l91sRce+W6pcM7r4E1XXuAwTytX/g8mMkKaC3ZkkZ46EJCskEbKc5ODhuLMZ8ByI0VpgJV6Bc tuTYwtccn/l/9Wv7KhNuKUcSING1er8M5/VD1efMH5PG1dUTsEPC0cgdSY4PfQDbGzF/EaLamJh4 NlDpXoSesnR1GwyfHZW156jexgamBk0qRZpMdvdCu/cHkilz8ERPpgOTjHvfnZ+PLV+XQkBXV0tP G7K4d6A6/+RBqX2/QnYrHejkGH789lBR6KTyz/tdHobH+XRR3sDtYDJZwCAZU5DA70UHL3od03Qd nxR5gtsW9VdwmY0cwZqKTcZ8JRnzC8ucy3ay2bP7YcPVEwVeIf9g5oVRXZp5cON3chRJQ4ijplpO c5liFpulSw3X02aG1R2RSUxsPEGptH3pPn1vPLRpavN+Os19XzM+nZE0J86xoHfRdWcgjQ0ZX5Uj WBu3V/WutWoLso6IqLMoF+hdlxknfY1o9NYievDdP7WCLdUqScjpdOfNikRn6FkWtFEXBvti/OBq eaJicJsXct3gQOcSxvScwkKOCTgjuR2fTGmymZxngMSzQmwsRexEscg/bgr6EbPpF2JughVRJukD cB3F26dPsk/mg63jh/29gT18aXaTknNOUFVuSnx6AsuGlm4SOL9ea98rx63zXYtWxT2fQQhcyT1w THRVENSL6rD27Ya0Kp6ehRe9b+Nl2TwM6XQo1TeKdSOTes31FEUVuWPQ2YzQSjpHxsceguCpZsSG j/E8GAVyRa+VkgJt57XkfHdqPNLvdgd4HzU5PnOiAPTDwH9zLxWEM9gjL+X8ohICXjNY+Dv6CoI3 nYObpqSLd1NhNeI98b/Ne8cYvnol0NAUp3EnnfyQlR2XkXD9iWmRasbM1oL1+BYrK5CkaTm9F1R+ jgntHC+iShuUhKpRwylS3YCkPAksabpUc/DHGxE5s37O8HIgz9IwzG/HFEI3psTbUSJzcvhHOv9I BkW1ORT4/4S9Rm+KZlQ+aECB1kuG328B7Dt5F8JK6STJtfKjiKB9hwlq2QXr0hyrqkgfzSOFiRmG FD7HxNWyzulKorBp4uuQr1vm3/IiWNrA5C2IB9fhPn/4Sjp+xSZ0t3pPG5OW2/v5iAvc0ITkbqPI KLs/w2++AGp6jT/0XHJj4YYsm34wNNVtAu0DBPLPOc6npIlVmw6hPzeXdNXG7jZQTQzkHINJhIeQ zXwE6Fo5DT7qlQZs4J/z2UiZ2W8KMlnwNULA0X68S8tPyUQ9VDnpPl+3YZ70JUNVZBJjPLahfZyP xcfs+iyhGRS3dNYzpLb1JytvSaDlibOenwucAtqeBfFxEIwvOtzJKSH4u/H8GbQY/U64rJNhHLNK ZInlyFRB0VH6tw1Gjju6OkkjYIe/iw9kq2FV5VdTPE5aJ2VR5B09pc1Poo+gzldQtKn91+EmAbDn 6uj45WWExOkB0lrKd5zh1bspCPB7/odvLDNlRNEjsqBi29ms1Sgdu8nIn6MYE+cKWgp72Tc2Ywz6 wZ6FL1RuYl3/xL3tg/e5GfwkAV7ITefY2sLIMwkY65zHAy+cRDEzl35PQyB+BblcyUj5dGzKHV3c 7sqjOAhtzhXTeuJpitNd7v4h5rl+W4P8bSt51K6sfedKUFCgIxafzIGTpcE1IjzD9roV4Uto8RHF pWWTa7Xmxv63HMeMKrAQjt5+n/PTGYebJem0FSWAxZnEzrtSlFtxXkJZuj/pLtwHnNRWrHDrV48r gxHkgzrXhukr/MZqy5gcJz/qlQwbqQAo8TTtlHKZFTzY8nZgkCzRkeFXORIXA/wVvIZ3ZTlKkLrm Lugjh1aSSqlA1sZ52Hk0/XVW2NPHzZvIKXbJNsh1yBAYQxIOdzQCB1h9jbiFH0rvWdzpJCwKCjyD 2cNizqtqzi7ebankSaVixJIaEwY7FL/aBP48ovEXYSw8lowTxJn7yI+uTMzcnd7csmwo1LtlyD7+ TLLzKstwRL6VXdgLNn1rmeaFOsJa+JdbfxoSGSsVYbV1GogPowRvPPwe+X5WGava4csGdE4RMmVA grUxyaKuW80RtyOqkhvOFshxtBU5vGU3/nH4lM1S/wHpTZtOmVNlK5k+DXah+RpwaQ5eU9BUFWd4 GuuZM1Q8I1DmvVJ16gi0GZ7zTKvga/63EgkT0ISylQ+1ymaByEmVRS5zMPIkCjGeQ1Hjruly3uod 4+nB+Ofn4OFAURm6DN7PEj+KJjE9MxFQkjnf2fphlwjSa68cXFc+uNAfxeFn5wZAZ+4i1ddNcHwV 1/XXzLFqT2Uhg1C6eFL89gGbihlAS4KQTrB/5+J9WRMh9vwdq7nEYZQgFyzEiFbYDMz39AMuQYkX sZ29RDDQGgNsUQHDxaiM1q8fJODdOi5oi6JpzX+19J/lpINzN62vza6E0CMv/b15i15p2mQyt6aQ HR81kTi6zen4fzbZsYCrRFcJiChaofuOlnu0tls84SuaLsz1aYH0yzd+GDZyNMeCXE7qQc+sX0r1 inZqt0/W2Wcj8pK6HYaVIc5YPXOZh1tWRCiPb6Tw5nNk+1+jX+D+nfuYI7ZO8ixpF+95DvehTNRn /Gex7G90ejG5N3/YjOoIp6nSGLBwB6Gi9b5thy84P1ZVfpqCD5BTZko0Mm8QoSZWnM7QWwYpymVC 454spTjPTB1ymJyaaimWZWVmiGJbUwvPBtLhWDwRYsI54c0hCW2iNZWJnzxgQGSqSjvXMw3939Rj MZ9BFqAXuydbOcsgVCd920dAgPjye5WOzsZJHawVxhW3AwxpJTOzZ4dmfmOMQuQST89kKhCQT3Ez PWE8CxfyN2XmxYPBARcA2qdkrzF7aed3a3lJEVW4XebEI2BugFJtWS7P9Oo+xsZinZ4Ch+kXaygC pGCS7cCdxnoihNKnjmQnS+XVU7NEtHhXzfBYqIDIy1H/llk7/L4hzUJxzJtsExf1nOESPruaWuxK uGrWQz4G73kpfQb38PNbcipe0vBDz7qDTmkQXTH1EIetI64s4whoWmxO00GybSzSX8gxRAsjnPVu 2RyQSKOEF9xQpwEom5gnL2dLTZLW07l+sjhqP9pwcSALLYlLx6Cp5AvCJ2rREfBCqqSr8zSlp0CV Hz3G8lvYD1wASALykqiDVcBhiW8tFza0Cw51X8Rl69ul7H6++YRvWAdQF8i0y+qPgIxFK+93zaXt bYV0S5Oag6YUCBHcjx1/HX2BZ872z7sKb+9W5NTyZEKweprHmO2Hld0Zsr+JgR3xksBYAzuzd9z2 sP0+zgTILFDnCshxCSprPxBdqMzfN2+CaZRo2v8WEvBhZ3iZ5oPD4NSNn/C/X+cxdp/2fbyy2mjp KQ1iBZfV9GlrjgUsbCHRZ4Drft/Lo1uw2Cqh67TD1mQvbQEWRAJgU+Ab1oZuevYsa636qOYcudPU imrskCZHhLBwCak34sjbATlHZo5alYeODa8kgcCrlmPL7HBk1qtL5ruCWvKcWDPpnB5neKqoFq20 mi+p0nKdWQ+Tof3GrZeWVp4QMT2smDnX2cQ2ih5mur/+xIvwVjR4rI7aYdvjhTnQOmh5Z0F6CQWW +tM8ykBuYFo4E6Lu77ViUMxl4Gt8g6hvi1r+DMLA65ZELdnLoX+FJo6lI3EXaHRrl02o8Qj5OcUY eK1WUOuEd+EQMBpzTbL/dS7kKC/fut7FCqZotMaA2sVD6aeTXf6ewji0ueiWDABG5IFDS0DlqUEG ZSsFSNCNz7AB8IK8Idkd418DiDw8hlWTjDAu4mrn6/WM59VzP7V66afaBBZy+1KeHTJxnSzPNzlJ Y3/8CbiRYHBu2kGLDc8RwxjAz0XTu1Bygkgh2FZn/Yom7Acvbh2iUekrz0cHaNNiHUBfSW3bckaD wJzvadlJOBHgOwI1aVTH9wnuJKR0Id9KCVg9o/i54kqE60HBRRWL+MvLNNkVBlWSVEijiLDac0Jo OJq9LjrEsD5EAmPTSZdGJUcCIt59vCyM4KSNvxsGnLo5FV8iF6b9iQQth7lprCDWPixjYD1vTooG t4Z+yHa5Did5a3QqJu+CWxNiNwt5lbhr1Ars7bT6BuYG2Jw5y7eYSJdZy+/CITSqAhuuRgcq+i9r yPzEH9zvo69pb/Ws8svDOTM3/38rIzaSSDUEo75PsE+OomM+jYcTd6u+Rld72C1qlKzrWaAWzkeL 0axuxa8FEboyJ6BEhh1lPb9bs6KfbKEVSqA79/XwWf1Od8obcvmgmca+yFM9R7i3HnkKP+C4Eekj TQExesBh3bjDfRwwJEihtdXhvaw3GpaY2rh8CxBDcNBf4piKFPwoJZ0xGCo5h8bq93sVrUvlIuy6 gQOdbUKsbOdWc4j6T6RvUVT6/cBYxmhOY00qh8j1VsXOhpi9A4CBYwvdbmg2dSslR+IxZGIaTAng lCvvkLwUkrz8WK73sg1mimsz5pcdWSXNi1NImIQ15khUcN8S7/yB7nJiNZyuVfPthTCeICGWgG3t iiU+kZvOJq4dZT3Xtz+wbIVwOm/h4xttkk53dLNMfuJydSEJOmVIG2rh3LrQeT8gI9MowbSACt3+ d6+FYmP7bJy8mIrdDxDnRwNe9dMmoqbcRUFNJGVJirSDGNFDZNNTLiDMpaWee4vxfJSo/nymflRe JoOZ7fKqT0AbHII6S9XRTPfcxV+14EFnhN6xZpsIGj6ONc9B6qlg0ukdR5uFLibfAGI0IP4/XK+z hUSqlUd69v6rPGP/KdGdiaUi6wZROnaXZ7eZxZIeGR66VEkIQUAADJqoRxViDM5uDND/R5V41n9G ZPxKFfAlv8HBeJgvQQ9Wq+fJEs5EZB8qe5CeKLdL85PhK8VxFoE/ie0DYzDvBASmFZN5iNH5s+Dr jWApj+ft8l/JJEpV9opbpM1ctDfBQf6IJPOpb7sU1bF04Fc3W9e5LV4nfqg6kDp+hXQBzluvVCeV 5NYA/ct9uAMB7O/09EkM+vS1Sbhe5nd+ytTroH73so7MDUGoKKKksp6nx+/sze/ubBp8WVe3gBE/ I/JbcQvFHF+Pe5tAfME/KADx/FTckK5DH11r8dXklwTqqvMshJH8J44O5TmIuLfnNyRikGebc0a2 WiL7OxraywJTk5sHwRMLpLrEjNJFvHeTGleaHhTZ5dq1L3HPFMO4rDKY8bI6MjupVQq29FcaD6p5 aZXDzRX5uf+rCfilXJv0/F3idKaXdPp11+LSwq4C65L4hIij0fp0sbkD53N6737KFxNDuLQfwLaY DcrzkFsVDuNhBiyNDLpsSdcqkKxuELg8Vn/83AgTqpKnbTc5qNPGfN8ceWqNqdi4dR3km9vco9tV hW3IZiZhtS+jc/ZZ+V5UHRJbfhihoJh5/rIEOqOldvd4xphNOUv0AuqT4vzJh4d+lLJ3ZuiBeFxL SbOlLWeXgSqHH790/NMJjtQVvSnqAWsj9j4yRA8WLLbQ06s08leNCQ+YM+4Af6qWrjnWu5BfGBPt YX+IOqR7X5fXrGyXIzRBq2ANOdtRn7aD7TITznsY6/ILdPaxpWUteyN6HFApu1A5FJMcj64dn52T CeusnjsIKolv878pmLcb1FE7JTFRxuqHI3YMyi73r2kVKTwyUOKxqPUR4l1jgWi5aLGglRpfmyrA /te+ImPRm+msuHN2LPqN0yCIdgbTtva3jeU36tbbIgSsBMCCuyKNgf2uS+fGxEhVjNmcGph05cqp VBG2p56s+n31DVcu9riaAcSub/KPk6kDD8eJlhf66cgiUt6DXBi2Mg38g4BrDrKXibWffK9g+MUP UYDhZIcUwtGYJLu9IqCkoMdXkz89g2EbWsXt8jdCagB3LZ/Lh8kFZXJ7TdQTou5Htzg2jyGbM6H6 S1UQ55vKhyr834QvQQFmp9rqtmv4rAp+04sopaUmqbae3ERpSgVJuDnuxseEsmzJb4+9l5LdTc5D U8/kh5B/BhjJ4Z2m6I4aU5uCGTDGBOab2foE5j2x0sg8JHWR8KOFHh5g4YB+ahuw+MQgDuciqwhq ft2W5WwsaOuZPUvO2/D51l4wqeWOKcNxPwaaD1T6wgNIBVE6J7RZUtQnTSeC+WZ8Z22N8IV52pGo bfuTn+NXTLv+gKGDx90rPBVfQrmYe7IboVgJVtcLr8iS3k+2Y1uiyeG/yf+US6jmFar4dliyZsa0 Y1INiiLLAoz/rr2EFxXVPgMzJ1y8kVebVSdH4m7slzbEJlBqJRjWhdPWFtolv9Gcf6DsfZqv9Hom 8Skflpj5Np9cF+j2p66KkOHFculj8V20gbol5jCgKRjZMx2l5ONDFD0RlZm8mWPvL6kfooEe9/a/ oETJNJ1RUL+xSDypu43iZFU88ZY5cZTmdXUCCPmnrlepTuwP/MJ/mp9lcJWelW/TPHlj4UyeZYMi x2+Si3pDjKjjSK47Z5gQ1Zd06WrMeC4NpIEPSUOzj36CA3k1Za1CdQlEm32pZ/GZHOiBjfZPtK2R iPXYOdphKlOY0Rz5mOLrElP6Xuybv6Hj24usKRx/kgrs1LK8fFGUGF1GgS2D2CNV4/VkRSRmvnIn 4tNUZPw2d1FpSdoL9biWWpZuPz6Dsb+unNZbZM/MJuQIykuATgeqDTjb9SSYW1gZgQgaPwMywxRZ zmdOQnSmNHhM+uYfmTc400rqIhMoS4GG9O6rQ08ZyI0YlrGdfPmyG6QOay7j83WvM0+NT7tn8Wn4 iuql9+86wuxuCe53xp7JuR32iR9jO0LBR0Eu0U8qZOjHvk3Dwa/hFDn3zo8O4VtuaV+CsC/+wlaK KZiKFdOog836XxnwrLvGa1/CvXcBJX2E9ixwU6KzjtEG05yHXbxN8SGmp4e4auT0A9CFffgZABUi N9k3Gn02ETKkOHqetA8K3mBr+M5OfQGWfuWEFBqMMv1YMuugegcwLFlb0yezeYOlOtvUX/qJw8yI 5KnAM2mURUp25uZLftZwTEJQoLi+qSZFNInP/QlYDQhC2i71O2EnIHKbm9pPyH+RXVI1Hj16BNIW EfA3OZGk3QU3+CcUEkAVyq1h5EoOHl2PU3JvWRxkP7R5tETrglxbukb1cfGx+Mtm5K6NUAmqXxx3 ST24UkSLA6Ge4esw8wg90Z5oxqokbrf5jHMgdCqNtG+lKiMqiDXf5vR2iWEfrHRLWoQV5JQSflAA EBOwEMv8QEjWfuLAONt/oO+zvALPcdeZh38dGSlLSo1NIB33px54FMWs5lcCuJfgPxHkVVba/T/a NSUS/GF8V0IH6y4R0ANW0Ovhdgr2f9kO+yktgqO+hnXwp6PQS5Q0MwnG0g6GX7OZF19lT0xpBI+C +c49L2oZRf8NDQHMXIRxebqovfI+8Ag+KnRP4yE5QbEoJda9+Coz+hZDyuJTh1QNWDRHRFjOuAuD V/vjlzh0+h/MXxccbsoc5vT5fDu3/krPcKshU1xiTz400pi8b2uxO6dRBZDeoutE7gi0fVUELHO7 zNek0R5GoMDl4eOCftydgJn4Gu8Scb86cnR9O4pwh4+TkINrJa/0N83PVf2UpHqS5WXgVM9Wm0ub IS1o4oByf/NXIgJx13JcIxa1Yusbr4tfjYw9pEEGXuWRW7R27rtCcOsRfymHqbLWOuNo6brFQWZr ZFXi+vi4408/qykNwTIc1Ln2tkQRiMy9x0OT9yvoYiET3mKV54k0abvbfiO2hPuR8f5l7A1GJ4tA rrJtkPGV0/PpCqKlOVHssyECBkmPvNul/e1eEDBcXUw3eEXMwKRFYN6c0cV5we+ZhtoNAkeKVZWu ZN6XF9TfcxWYiXnev4VHQ5snKs2cdBfAFVaXJoWFDtzcCzSFxn1ym+vt97OGY2c+9GXHcIyy/Qdi tf1x+6niS6mEcdNnHkGwJYGvxiyorqvSR0+jF5o5UK/6M2ksUSspYz062xdxO8+PUoit0TiKNZQk aU1gmZ2qMOMdq1qce+Z+5oiSg/fFCl4xCwkcjm24tvLLSoFe+g74SNTWsPs8txPhHBcqMY0KGZj1 BNONXOeIkeK6cOLEW2yakbD1YNJeuDBI+IryPN/2DML8D/6m/jCyBfQTo0imFjqVTgDxg6E8KR3x peUNaSTMcIpxETTaqGDsi7bGnEstSyQ7x4RLhqIek4/pNzuLTDYR24ekq6d73KqMX96OTN63HAOy 3mda1kZkD3eYLqq/isVU2blfcFrCvzNZUfXHnfVT6O99gwII7Y7Rmi2+t5rLLswgyjWWuvpslmNH 1Ge+c18UGk3PVorpTL9oLBzL5v9HziU31O+pHn3ccYOrD7ftKoWLgTZJvnHnytIXFU7FcP03tc3+ hM1inV4H1FUJCaMOMoO20fBY6GZAmYlFCF/FSr8/GvsYGhttYT+A1cJJSpEwgQBO1ujHSCNwyklO sMTcgj3rpaTjXIzXaJVFYpsZphCqAkJi2jJgPwOOGqov++Tz8llKx495kFC2+z/vq9JVHWD7rGMk 6vcxbmnwvmpUDVp1fd98D2U+i0/cSKfpkVVlTBDmz64SB8Je99NjLnHK6CnRC6FSOl6kRcry/yMX tnCgnGr6hbvSf7v0/Nj78et6FPZY4Uqnns4VrIjtBW6Ibnir4FBasXny4EnROFWF5IW3NGp8W4Zs KmYMm+j8MF3/oLLVQq6yM3Yu+lp8XeYuTewUC9DXNPLFRqzV+behnWzH5qYLzl9MavCYGl44uBnh aqvwq5Havcj/1ZErhNUUmEjNh9dFi3WgcAnvmfE6gY0D5ZQWWtJLZAzfqNSGwA/5a+kyOdkOX8gI gkcY2+fJ0FjYng02oHL4soL1R3HQjHcyI9nGw+9RyvyGOrm4cFDjOZBpmCxmTNurYwBuzmcmz1OJ TueEjMqYEJY9eb4Sw+KfyNnbRyB0iWwvEbYt2ozZYr55kaJ+gHg6akWzgd57XHaz0IJS+K9R3wLp 9ofm2+qyiRqLkmcRdxcLUlj6Liv1TRIhzMGOcFvUu6tzxwebN0LY7jtfJRlHJf2tkRIIaQ5uiTsR j6oesQE5FzKL4+P+zpHY/lGavdfCQATRW5tuZqbn7hOzxdNfz7uMm/kXNR2EhP/0MuqHGyfDcbsY ItdsuJc68HMeGlqUS5ic9sAy3G3Xar/GnfGcOp/pGy7kb0tejkHCVmkOZle9+NiGJFWA2cp50YZ4 l38FnkgIeF/uDfRwPhyoxeNduJnTWejy3LJUpjcqLfj8vzoRHfK6Rfp/iBKeBhmhdo/6Kecon8nK e9zsuz0eRGwWD9K5CWKXrnnRRoJVY+oHsguOuSJwTEzpZUJP1reSygGMcRkBpg6of4bBKyCU+Z9E hYGA0x7yxoLkk87O6FE0+bK+eKw9X40uJEKZdXuogmSA2T+otGVZ/MJJC8lZrFTh96KkplsjJteA Q5opWDVEWfJa+bewUJQjkP6JYbYvXcXESAinvEoZ3dk7/56OwOHayI/gsdOZQzQwJ7EkQdduf62x xU2udmAFQ0bo1FPpMppswuA6DXITiXR3/HiktEk0mohiK/M+9x5aa22DTPoujXJ9IlwRtcoOvcO/ HqmeYvQMi9MNCnG030xk11NrpVzZziuufm1M6pnt0B7wsw+34bq1X0eHdNhSCYUDwVQzOlZud/Qm u/mjiMiS9Zl4qy51ZY4geS3yLowrzX22MT3a8gLipwDmNpVdDy/3Tkc0cdDeV/3+R/SFP5JK0+WA FN33jSVs+i96azTkfn/1mO+u/heBhCvGR5ynHEiNsHl/yTz9a+824V9cV/iJdwJfrD9Yu29YbU+J pIAliepPR5jhnjDb1iBcqiXIsZnnjEGElBoDgy+hh3iqTKMqUBj/lU9cE6YUuUFLPS6M924HZs/n txaSRiPGmlt3YsiucY2sVYAKsSL/2nmd5CXkiAFz4BxdeT6fy49rjaTt9I7JqtQ7YMENp4WRWjA9 r59R7+g9UZlzWXfNh43gZjipMbcIxqU0uPgt0mz5VYSO1fkykJDpIypoyUx6A3DvlnPhB/PVOLJi TJZh6Oh8kur0rLH9zhz3Odntw8+c2QWVOuDrRh5AR6Inmy+IeW6akLJhLnwY3R6Yues5aIEe9ogn 8k5hFBeKg0AHCz+1/XihOaLTK1v+Y/HGaXEJSMmaRWWSiAyjrvyVGuPshIvCPclEbjmiP3HN7WhU fe5dMsd0blIpik0bzu4vgVERqufQxP1XdS1101ycc7TcwKa1uzzSjEgvGZqEQpL7mHerN5kDBOg6 IP6bWNmE8H9PtgWq7w6DNezAu4ohdq2qvSubp/LWovBmISfEAttEp6qUCX1B1mgNOyI12DaD7V3o MXwo934eMFIE4+DsVImRF/J9HH4mWtCstO1zO0i3uywdLvFf1iykzBwxJd8Ty0nWC4CN34+rXfMb lrnuokOy6jDemjEZ+4v35FiYxcqPvH+6ovRjA1DPJfS+7JcSRKLx+p4GLSpbO02pq4n2KHSXWX7B Szf101jLlzHkzAQ/REriwx5mCAmdpjQIYgmIH4UQ9G6iEHDegf19UP62XVW4DVWpEcPzsMJPGgXy vIcRPa3JML7/wTwwMKBaN6NYI4kUDDjvNLUbPardoRCmSr+/Ygj7vGb1PZsSPBKZVKpivuUkna0g hgf+/xWmWCI7GLWeZ5KSECk63uorKgbz08KDnxKmPRSGJ8TbOaMFiFWnJ5C5IXpTHQMeGubLgikv 9AqnN6z7ifct/SIdFMDpFe794kdgmOeOW1I1LnvLdPL1cH7JGT6TA94zD/Ancgn3fNnkCjSemYNd NElWRlSKibMMHDAMRmdtzwvdSJK4IG/d84MaiiDHONYI+EgoQMKmoTxPP+VDTjm5Jxc55LekWht1 ReOIfonoFXu9HjklqVaMFSVP1PyL3FDR7EiNX8cUM8fcY6NuYW4NmLmVCnueYy7C3j2e40PVkei0 CeIG1Xj+z3ZWnbaYefS4b8jN2mwBb1W3uGZe25gdYZ34iANE5FAy+EWddhobHlRkHAf0QFTWPDr1 nIIsmdadsUuc8jBMDETEbLCAqJsLrxt4wIVoQ04Yjrl15BDUr1QdfYKMpGXvOAbZIjRzdawQcslA 8S1CujwlFq2Y2i2OJp8UFUnCZvbadaN0Q1ukwze1lHxjqGtitPwkICHbva/glKKo35cPHZ4N9fBN g4bDaxD0k9wGv8nr2wPoIx/5FB1Wt4CcnVN+HXiW0vIptZSYQpyw3rNnJWV6boFpB5lQ90MIqkdF mr/wzUedZrOsU8yNOaJml6QPpQTkygieOXao3J+urkzOH3yGptq1asL6MUX2i28gfHpwz/HEzMgt F02MMwwrHoZG50o7vVwCgCbJSCKKUkDCZiWL/3TDQE24TRHkKgftyhzND0DcDQ5frDYrXzIwdoE4 aiVXxVYebfEfkV7AZo5+NLKfg095tv5wUEMu4l1Y09H1dqTruoCU/cqxcYkiDfB738vjBTTpolot vaPqsYorSRmWMnklauqJnzbzNJ5Gag+MKW5YQ79Fy6PXcjzeUeS6ArUOleWg5TKxjQG9CeFrVkv9 c+UrMRjAd3pVNZc59NZXFI4eF7usu1sUJopFnUVVPqSGXPgChRKcKCiswgDvGOPsLcl+ZVz6EjZv NvAtbaJ+zthLz6C9N5MET2MGPIpdwJ8jCQJFaGMPUKXlxbxn6VxGKI+avnyAUiQIvkfgYxNQZGnG gbc5pKp1J8Rctf6SVBPSsI++R/TJota/ivJCl5w/yHl6mhkQTCS460NmvfExbRIM2RlRa33nPrRr hAh+RG7j1A7N6hRdYRxjqhafiEroKnJHMAgRSGM8ccIhANt2KfP+0Sgi6GrkF30u53Ig6cv22AV0 iMNm4Y5ZbD4JgrmTAldV16AMMAh9DnnQHAlZtU0gf/peH2BuD9SZu4MK6a0ldGVh6t9k5ytAyG90 ov7SME2dcpDHhJe3vGkhASgwRhvMAGz4f2fvDW2sEHlQ0KFz9o/4oPWm8lmXy6kIQYvK6dq4E5AH t0v4usJAlvBAk2tZgYZFHeIAjfkjD9m7kB/4l6y7GThkDv56IJxiFHRtizLFpSzIFsuFspBdKgXw C0ETEoPP4pdts/p/vZYargd0dlwDGcrgxGW/m0p5BDvzWBKCu0ByC/+7k0OpfzWfdfhcB8C+olBG HQJntn7PcliAlKEyCz0vl5TL2NYUfV67Jf3Wg4VM157UDLgdhpz8wVF+KzalcF8XzbuhgzF3WPAa lJaUHbicl+U5rC7iQgrxLclz5FTClqzlM2rf7jWV2djk0V9icCOLLdc7SqRap6GdNpait5HescHr cnj3/HPVbGlQEH2Mvyi3TYnP3oWj4OcmBf2YovUF4GXKSWeyrnCazheM1GOjWQOB4y3/xn04Naon GSMPLsCsaBcPM1nub3hmZio0JRxCKVShf8DWmy30gOPyR+MnTlNlx1TXZ/eP4JOIbCG1FBtDFjvZ cMMleKpeW+skM7oH93/DQXSHiblneT5Tszy5vqkPsyXjPqnUnk2OPmxiaXCeab3ITOTfrA6sV0qh iDSiglQ486gTknuLEa+N45ieQEYTNjflPaQWdMZK+uS7qPzXDGsJWN8qbhSBGodGGYXNkKPqb57U RrjOU280SHZirKPrVPFMnekTPKqWeF+N6fSxbUmWgzuUxn5Y0SbwHcPOAYos1hu3L8LmuZpT67eK uGyBfzuGCRGG967RRV7su99qht+Pnl6ctQqwTTnwbVi3L0tu4N8aVDfiWbA0pop9cwoGT/9w3wHt Hs7FuCnZ6Wh/vElK1pui2lJxjBRLmZwVg1liww9dGuHTJbqsHR8jtERSUJ2Q1+GLMHTPj6W8hFxU FtJlQZ2B+sfoN5vY/BdAa1T4VaSskYxWkYVBj+yyNlVUvc19fuJ9swfW++RdbyXNrzMbmjFPd+bP XUhBjGcr6wHhIFDEvR/w7R2QEE5/9GZhOYuVcqqtI+EkQ4aeBWtPMMAUb+h4TtXTstTO0fRoSW24 /i5Zc2HKkzr3+xHYp+lL/T9Wh+SO+YeTXClLWH34dKoifhgSWUkau+Z0e5zUzNn3UWXqNpA6U2+2 P9K6v/RPcQnjOifbWgujS/YC9zY9escVnKkVS0a9cMULL96VVrZUtKEcPHazpyvENsZxTU0e6KoZ GetCz/DboewkySTqQnkeIuCEMalhgkUQehN0WcDMNLQW39KVoYMkjUIJ7J7o+c7bTWVjI2IYJvBp 7EDC0mfw3wPSVznlKTTLGadLtK914r9VDOeyz9WKfuYX8dmrhnfXS2/FoTZTcYSvncydGzHfEaYG Dz0vrkIzxJrJ5CpZjSr0P4ZFRKrkaMPTToBZTWIPZ7BQgLnUSxA+w46OSlD/81jjwcdBwnW41bLk j0ve5ky3mnU3xFd6KgH4KcPTX17uYKldkT3HDuRQuIp2M+wutHIzvGrD4lTymag7BXB1IhHLsXyo Xs8wv97ksZv8nBmx2SXwAorIQbsgP5ibfvuhZiTTyrFMxVN/o30ExR1/CEk+Ke9j/TDrpaoN91DM YTI6WgKMaIdoUaAmbG8cXbm8dtFbRBAwk3cCOorI+tnn2VSHGKNDvAe1ICmsVbZZqxpuxrymCZ+Y bgCAAgNgHkC3bZJWCfCmochg4pdvNcySoqBBfxEM8sNgabem0qI+Fb6RlSekBjFEEywp3EgDLND9 hsswrI7Jlk+RJyxinOlN3+2YwDYRwDR4WuwF7QeP1/C7MHpMj8kgVn6k76+mO0oDzUivYTpQl6ws bsh1khOpbWXXmtIn9dcSejULMTHT+B2D2NH9G3VMPiv1exev5Yd4COsH1TUV31E1njPCS7IPKKlr LWgj/SmuY3QeXF4PWMSVKdTMD05f2j744BvfzqngKWRFrd53S32kMVHA7v5qtUZcnZ/jK4LjiNMh HGRRVJbt5dBjrmdNPJnyL9z9eYiAP6/d1uym/wY7Tp+P6T8CXfnA+lD5SQZWTFns/ua4bWtVj0pQ mJ3A+2FVz6U9QaJVz8rDfq/OLeTFl5+Ntga+/QPde+QS4RO+93A7LjxHqf1Yb3OKOogmK4WAwcyM fb4qXai3WpBRRvlOD4g7OhONeuCQtPlOCctdGv5wptw/5OTz8FRGrjxnmjS8dvsmoy5bT8+LB7BY 78Ny4VYMM8Rit9T+mihjsoKoiywUdFra/JEt0Z2hMH0H/bQsGm8YUBM+bvl5svCkGtTmXv5HQaUj GYM5BMwSzSCnb1oIO3C2FHzveDJAwmKeBxCqTcOKUzx4btE3Jf27106YS3sZWwLfHZudMt9eNhju AIZ/wMNwatZVyM6OIBq1NX0jrGkaLLAMI/Ftf52lxLSe/gJvV8K5CniouREhpJLE4C48fw7e0Bpg ycD65T5b4npaQAAkAyAKZUhZG8CmmCUKfmKKJhhijcmV/aHWlvarWDRLnk6fhVwpDvK6ZxwuNVGs KyvydS2mypWTGaDLYVyYmpGxJfCaXOyDNI32GcMjhBY4ve4PIiKbrA2yt+32VQlJDKWGAqoCkVOl 1cOcJJw90olzI1ae6qnFTn1MTmlZNL6rIx/47DQPFmYYAGjH4SG7tyxRz8PyKllvYv52vMavAmlG lHfunPCnBie6M1iNpZg1Nd7jL6TJicrhDpQzL8XBX7Z3poQ4b9R5nvf+CvbR8N8rSF00O/fRjBiJ 9hyFmKB8+j/QqBFvQWYc08O7NhNQJ2VMcqISyb11TiGHWGgZ/HBQSlsR+Yi1OXZrDnQJkdABYyNM 26Q/StW440nTdBkC1AMRqPI3wa1lwK/x1dC2X1/MuQpEKRkOe8/az6pe6w2XByw7LeQF+3s+OfNM 3zxHKqodTnX4My61m3mHS+UWkAQuDBfX/GdVolhC5lNBBvWXZ827EZ6kuPWgy0RKSb8F4pls1Kwd sryQFkxfXbKyjtwIUalgzK9h/21riAI4tZhM4lyWJ9X+FQyvI1E4dGl8M/PdTLmFtBs86kB96rcP cOUVF6pNCn+AjJ1BfMUgIdflwxCZKa5gcB40+EDXa9Vfga9LupBTTGTal2fjWu8mvB4y1g7SuhgC VcE0MlTNfnqOjz9n8xv+sNkDGrtPf/35VZVyDoDubC91gygaXQR6BkGIvDa3xJerg+/f/NbFwDA9 dG/RkM44k57/eqyoeLhCvSvLxSC4q7SP3neOu4NB5F+YS61QsccIm08/1Kyhz0o4ALlewXt/iLiK eByhi3o04wpO7KslF8E3RWoaly12fU6iFY/yoGLNbQjt3a64TQQkoOUAAbncQgdIuDYSPM06ITvg +P+bxttzwE8F+COsEX6apss+Fh4y0lDhb45yP7opAiKA7cqaxLdv78jSbzJBhMFzJYqX0NAasFiq xOT1QjWvXsVogycOvD1JNeIK0p79vuW3KFOvw7T8FSn5dqbd+RdS513KWrykaFsSp61zMut5Kq4v 3VPvdYNrgNQDPTHHvwNhjx3qdO7FEw75x3zfRALz6bVDtpLbwdi8K27azYEWLOIC1pOAjtgv4Cjq 4TcqFX1rdDVEDLY0nifR1CWkHHaS6Cw9Rzc+63c8uv7kH/MtAHYuVK1B1Jugv5sPK4pWBm//8Mi7 de58u3rurYyrPrEwcmZcxgn96UHNtQu48eLu6zQ7gCL9fvE6/x+K2gODau7YKhikZvmJFE8RlF79 JimwEYfrEcJxXEmVMWhuf0wm+3keWiMM4qqYnPobVdK6It75/XjnC13C+Tl9g9K8NOy8tL99UpLw MI2e59dkZ1lb2GE419KsqlhBu1353J6XbKfxmU3nMD6JJa5/jsfRAXvxLTdKvCGlzKli7+u2rYn9 yntwDJpnaHoUy+KzrziqD0eu31y/5EAjx49rERfnI+R3A1V1xWfFILHYf4A5rrxm2m4BKfwHIrfc mTwUXEjbFdS015ilX31og2bYxGvHk7Hj7XKwyxq+md8z3RhHtaMX5tgneSS4+PRFjA/M2LkaOc+X WjlYDgMoPE4UsUIM4CKnA1LZcbH6e/g1oTFzpAdOdCmkp8jq1sB4a9kfDr91dorsgTY5GCGZJMgK +eWFx9PuBlSuAG4WPNCz8gIRtrn1DYpcGDMy9UGWJGGIB4ZSR5fipeNIQsXYXhTIhG7syhMij/uY hl0L2V7oo5CfRDxurH6wDF6otm4ZoxXEJNGyQAq0pbYZlYrECQMaAaAD1Hkd4uR2ea5D8aC58LSw mJ8So1LgJz6cUoAk3p6f5UrnI39MZ8d+luISzlmjzK3FynPki1lAjCAEVF0hXaXIlQedjCazcny6 m6kmSwFOdmbOdU5TXgFV9m7NB6LpGl/bVOXFAhWKuYuuwxr8OFLyg5w3BEUlBMQD9L7tFdtkL4By iaMKJd1++CrEfjSwnN5tT7wD4l0lmRk4qMsSBfg0XTrtMNhow2XOFgklZ9dFtCZNv3Htc33ILG5X TtywwwDV1mNBnSGq0nXQ6ssdaGD0yOkkjvvLmAgIs5Che0qU+ltC2dNnzQvyQZooaF+3E5lbG7R/ 1ryDg6DHpf0ZgWq+8AktPhgz8q33G+IbvzapdtPBikwsqfbQAA2hB9jq/4vozepLeVYUm3U4Qth7 WP35IV9z5i80sET7oxj7byCjAJcMv+h9wdITzI2DNhVApiUglcF3TW7Xtk/7qZeioWGJUxGlflhF oHJ6jprp3JyS22H8nRC0XA4d+8b8sSUCP3WTZ/Vq4rSIqAO5bjBc5zlgRaqyWAVWzj7FN6JA5l6h 4dkLzTY4M7pWprzw1xQkLbGWZu/uHUjvtEZ2yQfOclw23IUbZLSV+BIhApLwlBWELbCy9rGnn/3j NWTOIm5d6q0fPceeWIkTL/zSjxhWBmNJ4zTef5cYQjR5+L6CiQgmVIcRwTK+7NNPkoHbrQkTEb4A lcE6jF5doianrvPP8oz9HHSqsPSHKTfPrNk/8AISrH6gY3FtS9L5vaHTgbMV/NDgDyDPREelPZUP XTMWQzFJZ3hLVGc0G9hB3Xgh15MK7SHvXXkBZ2k2kqde+VUa60nNRN3WuQ9Cp3V4e7SOVMOtsMp2 TBYBbXW21hKiesHPLTc1rZ1E/mBDGHLuKjUb9ZjPjYXRPYEmFtnOGxQpU7w2W1Cvjy25mxU3mfUT RNWLT+Q4KxXUKgZhHG2p0ILRjxb+xX4pBiSk62zc9khZoLYVmTVuJ1lQScdq3KGp1wWEB3+k6PjA v9uWCuhb9o37MrlIe0c4nW1Hqp7Jt6Ty2/TW6YgWpEv1reHWqWgy6VpZVpMnfvTase06EQX9MxCu RIzYLXeF7TaiFb8w+CJoJu9Qhr0E7zRkJz2/707CuZ57Q7vX1B8xWefgIGE+GoKSWuUmWZbpEYoV 4F++/IeFaGDbUFgeiHv0PjAURgHtxQLsu02ZN+IPdS1ZjrXdAmQQG44Kimi0vCKL3WrrcMOBVWLu 6VI/bJ9fXjlzuqBSQswi2XjIAuq07CDxVoKeThYYsDlvKGtetlz31EhO5jnDniXDIXRHAvQRYgYq mxb4DEbWmBw6HcrORcAMNzOipaQd4uzqCKiBZLoSX6qgv4v7VlFKf4nJi911fEvy/rMEqMk3DhUI blg5mG0UuTojdvZzVYapTrCUOkZiP/0DxXwF6/XwipUfR2C84HRtM9CEza2qcQJXOYH1S21IcZdh uQi+w+8S0kcRqBKU71qzKCxegFsrubQq9WbqCjrY+Ge6wGd8ZcMHJ9YlTisG/PkUJCLpdipSZ0Kq PlFYqUj0hGnjscJhZRxLwOTuwyvnVlzmx8uKDd4E+dVeleM6cwb3+4SS6kDOOnCNcjAlrnus/uJ8 3mnXknevEZsAa1EEP2tJfIGdWyHSzVYdg28UTITnbqoQHD36VNBbwyjOZVhzRNcroR3KtnN0DP4P lpUncK2Bj+2njvsbYB7nkCiWVIqfcxQs88uQu0rIZ6/MIXoIJRj3skFxBotijGHH8kRUgRi45Bpw yebZgI1UZ4lJU2vDgwdmFVLM+PqUHNB4SLPJ4RhGVg/Guhndgn35mHZhGBrLKXxXP8WPwboZrpSl JkoK/6/BgATOy0Jgf3GWPFWg+zl4SmfPQaORjt0Cm/ToPyARfFBYUP6KxfwNhQLSrTCnqfW+uLyq Jdci0Ar9fLqbIgrtlD/hMMywTNOyndcvNfRQILZJ0aN8T13mtpYmuwzQmLubgNVGo4jknZ0dY+PV lSRDHIBs53qOpQSf2sNzXQC7WtfU0zXPHU/h/7s3YnAX1AIZCjoJ/7zb2OxpPXKJC+hsoNkzLfHP +ZQ9PlAeUQ2QNKdIg9+Q16pehYWTeL2GaQq3ZThFpP6JNTNY/dKiiVwyuCWTdpswH0c8zmAom78/ qJJpJY3OsGkGXwqTiD18uy8MAe3u5EwF63ovPEpzlwpqxVq8L2tJpWc9oJxhuJPxFbWZk6ke7FtL 10YSTqKnG3RkWU5c7ehkAI4eEHR8SOmMNc2ilGZVmZYA8IRPmz4N6cxgOTnNpZrdY4StaJ4x3Esw 0VX3ov5jgyOSHLlrZQA9u1RQgq73QITRG+WarSZOEc7RHq3MRZNRQrsD5P9PLKP8xWIpKax+bBdO lrgj1V2+T1trDkVm2mEJXW7r6KjptWNtyFF9pLHN74XsbY8tmZ+nnwQIdNOLCQUE5FlyV5lgBCOG hHbSo5uj2/J2qnXAYs6R0xIX9GwMG6WT6H1W/mgfJW6KE00cGG2dsgmmoh+5ENg5AshyQGShiVjG obZWsN89wawsY+XSkS9EL5DQbFTU+DyHcif8DzKj0RA/qur8Cufu1Zt3p+aZ74ClpLj4EOz7qdBA ErC+R9kB6MQHPdG+x3tJWwrNa767dfd4krkc195px4l+WN9yPdFRP3LTx5/cgIkipjgp4O8iJbHT UvAIrOwNa3uooHRd3fG7rt4+AzVFHE1BxPyfYRhvTlgkAvHoPXxptCiD4xtv6R0cVV0Ne08sF4yQ BXT0iYRzg3IWdziC0saBUBgB9O4LMa6gNZpTV7nmSkA0vDnQ9EzfBseST3oWvCq7nfHhcnCZyQIv /gyugaew5TB8eL5v14kzKF0F4trC7k7jeK9oNJDrb0GPE9yZQX3G8kT+jZJcYdseuY5Jf3/dhb7q 9AQAa3FGp7Skc9whFPimCZipkxfJhrov37gSw2WKEjKBQf8D1DHMbF8n9Qh2+Xt/Fa/aA0McfYfG mIsEg3lO1/Wtp9L6EhWN6OmtWUVnyO46HxSAa7zTNZl0/miMbor2wD28Z3hOxnI9hi49pJK/Abwi QphYBRrc/buI6y4B01885IwtCSC1M/+hbbN1fQYcnlW1/x9M5xeSUaQuhXIob4GxZPj7KJ1VvSsI faYkA3KyWYS/9PZoF4gCNKIcSPfxTZLtqFW9Nc6CoTe7By97cHBlbdx63EKG+Mm4uuQS/aVmxVx7 iy8DUqVvTVFb+Wwq/zRWmOPV/xSr58o/uGE5waovvBvsKSOjyZX9xBDYY5MrpHj01OipcrwmGHpy hgUryYsm84Apy6avFOfalijdZCWAESopr12NGXjjsyjpJ+7Xuy7kW33Uy9Xusr+sAQiGpwm1Mga2 8F3ZeGda8Z0K4nNnMx207i+vetvjBt2ITULQJxfBqYYibjOzU7z6KID4fpuH5I/h6C3t2Bk5kc8L GPHiSaufBSQ8qaajKG4vWpQ1vLydo8/BUBKruHpoeW7rCIUNwSgYNfGt9rNX9BpmgeE7phIxkFUf YGtMt1ZZdRyCGHhCE98uGqIm4miOicyRj6ZoNHy1smdPapC1qAAmDbNu0879sMWfktnud3T7K0e5 V6Qz+M9KZbVx5/aVlZTZdfxwZ54WoWhSJcVtoMffah/qrHsBvBHlFphfGMbnK3++EkXlf50lv17E g56lPCitc/DeMBNr1BaRSTOAcmCJaDvUEEabIoG7okNrIFmA9exmGEZmxllFl9x6SXqla3xBpgSd 3Pq0OEqEKqgMJ0DNHBm3MJCnoEzwR5LvDQrKCh/4FdMQ62XHezfzUvM/0UfVzt0GKrfqRT1FlF3E KBN/YeeVYwqKm/Zkrwz8bY/dGVt+oB17FCgP5aNAvLMOQ0AXxzd3ztIgfN9Uk5Y1qqe7nV7gAQJa QBw+OjEn5I3dymuuFtpI/GevZcMnWXndtA35TUCqolpX+RicFw/qZW3RhUS2br3fjp5rIHYeU9J4 x49288E4DQm80cuQE3Mgh0pp+sHdXysub9g02bP8wMhnymHKPow1ypktxigBEJoe+/Cr14zPTM/f uzy8RqJRmwKmkF3eqUpU1u5JrDHnomwe/NeRdjxZCExipofy1BwjDb09ah1OS+REFm3wmvv4GX8w nhf0jR2v7WcQkVeqdeQCGQHH5Bm5e4Yr13eB3Lp4kjNs63t7yIE48KYauzNCYpeNwiaYD5oGAgR/ Z8d5lvhKf95p4qFMyKNuDeY99z5GF2P8e58dhSWrAR0Y1QqLjPAUrRpRgHM8T1vYJQP+AmUm1Ip8 rgIGHmFvmTATRBsPKDtEKjKU6UeY9PSpQ+Y5H6QQRiu7RFIWtidC8jkEwFe5Uy9vL7bwO5HpbUM1 6ycK4yStCsUoi8xuv0DlFLIZSyhJy+n/RkjQg0+AertNJbANBv6Revo35OT1b+iMlAX9SSp3JBVo y7UktHD8uypVd5vBitOLP/X3QZYGfP4SPPqfwMYypl3L+vnriRdCB+G3vSm0lzPiGhJgmHxoKGMz E6Zh21ot6i+7qIEiABRurkOg+X08h5C+hZUFfjnSKO79a3iG+GdyzHcp2uFiBoiwbrkd+IHXi6Px puvDjL5I3dDa3xLsi6voG0MmJqlsnbgSQKeqvrmX4ZuQSzfyfWL54ZmVgZpbcvOw00P0xE6jpACZ iQwBQVkD/5AavJwwN5T8KcspEeH6bd0wdCiz2Ujk65voXDms5icJVtizE7izPyChR/pek/wvhck9 dx0bmg6QFrtfHaCwm5ni6UGuIP+jNSrW8jbdJ0RiV6+F1L6v3X2orjLhD81EmydAnSyHd96KnexG m1PpnwOJnGf9L8s/5gKfM80i6Twyw1jL+sh5CCjXUUkclwH2+QUeF0soKshJPLDeL1EiElgR6L1F 0CLu9kHl0dxK0qCDMOVnWur2YmJUGGTwuF6Efe9iCsQerg2zdN83xlGQIKr+lyN2tPjrxaK268e7 V79RkgXbQZCm/cXTmqoAXwfvA4S0DLkhcQyg5taYLl4MWHiWyia9Rm38lofP4S8ebmYj1jSPODLx Vn6lAhthyjQ5VkRlrd2Uo3Zu2u85fA667sCwS2BFBdPRUuEbVN8xV5Yd12u6kbR5SjIm9Fw2CjOu bJCgRgHBOiFX53E1dKRXT8Hqh2YBpsA5YnV3UW4IhahDhykw6dXl5uNIbDvwg8xbdpo08U1eiPSA qVbsTh5fvetka6nO6sZiVhJpAKceezBF7ZeT2ARfQuFBhJ0yr6b/VfMQgiUE5gorVPu8FAtJBinO rUkhwvgD7GllGiv/Buwu1TzxUjSZ1ZM9gTDJNInbAoi9wRW1xKpQbrtRrzKEQ34JZ02MZOO1hLL8 BBvask2AG72TrTAid8UGuFU8+oV/f94rQ9aGVYp6fbofKrUVnAIRxGkmCYVAXV0T/sN8ZlmsAsEE AP7fOM4I0mHmtGMqq+oYKFxoEmXXdNv2H/4zoLx0qqda7gUPi/R3d1cBhoR4YKJ9FBLzJ0PseD5q 6JSaSfJilIFZbpRJGS/Q3ivHKMGhoSKn9n/FZZ1mL0WeGk4Z0Dw84A/CEioCXUmYENEWKidncWkV 19SXKU0Ve9yJdbi3SPpgrBom1CJLrKaie8RQEBeloe22XIN9J/5hg6UmspduTMLe91Hl0CBJ/4L1 +DwzdsqFGeGLfiAp3zA8Mrux5NN7lvNxa1Vx54gTJ49wf6Fx/H2sB6VSkm6bilnGuFvrTioU8m2x vXJz9xysKezudWUMqV1DICJui7q5Rl3ozvmXswxL1e1BTxtfvaCF/vBg6qpVKFYi6Eu/5FHFGpNF 1LoKXilRYGFMQGyz8OSLqaL9hQFsNkpR5vUt0VYkqxbEfyPthEiJCon4VfDcCAcL3kWZBncIGw8F 2/kRjbMHYkOx0Agnl85LF9Psmnbmef2eoLR4E7C2azHaJarK9GaqSfu7HRdHfrCp94t9rG2m+YZx rL+GtHm97BAH/cqeBAExkiy7HxGm2MLIfdOWm7vAiuGflR8Sz1hdR4+OjKaLZhOwp4xcldWhP1cx S6ts6GSyiYn3XbPl6q6mJHdYKb1HZlnPyxRA3fh+g0DZSxVcsJ+eodNvyPwtAxz6mPxIphsYoeMa ACKqfbuGEYl4vOsKCgZqIqUwtm2owffMMchiYVsYNCXeNxmShhRl8I71W7Wir/1fcEzf6BOigR1x zeKTJ7GqpoGaPk9KNNcJH2hRx/wpggyzYbTRm/XRC7i7fK83DmO1Av94Ihcpq8tE/M4CbKNnjy/T QRlyzstUnbtnVqpMBo4WfZAwP4PP2LqIQELOTsQHXntNHETa2fwD/5e6DhHNG6UllJUG0/pO0tT7 UDEl3DWjm2YVUemRgbgzA0sIKAWAzwbYjAJ7DGfjfI/EoDy5CjvZOfSkls/PkEhT88+Ry47SOd9+ BckX9tOxRFOCOGIYfFKVLkbjBJBpReNZdHt5RdZwuPO7nKOA1mzfjVJ/43DUA3j8JG7D9a2B/Pmr 8lOG4QK3gv8buZAPoWR3Zd4G8PlaHl1Kk1GBe65UCNtH1O2PtMtOmCdwZPtFuFJYOfJ0SivFL62r r0j/d8a1Ea5NNwMZWJU5sJE/8i6tWufCQwW7mxSCOdTk1nVOpebhRQciw5hBR9DKFE+6mVjHDHbf UVY0w8PL7PJtJ+c6VrkD5sgui7fBnjveVUVDZGGSxkN7+7QLZSPJHoOJ6JKbd+n6A4/myz4Kg25u e2PpXkborlkBcC0tK/hFn3vUUhpl8eYCAsb/Zr4DcZFQwYZ7m/hMQLF8taCPYax9DwtYpMWfcB/M 8dpdX0kJoVUw2vddFrtnQ9nWC8DijPzooGkGbpF3OFQcWDdiuZmMdqhRjLmoqzPMQBKpjCHdAdSI R0hYlSVcfHrDDtTYYI05VACLFqOeptUOQPHUZhiL5wKMpAUnKDsT08D0h9JEKfPOWejxyZJzRufx 7lUNZNAMinwrjWnRRCL/PMMeq6CW/dGnOzUipIXRBcVhmU9cqrv3C0Xg36Dz02mvYdKrad4dwufP dRH53TZEEtNWuH9GXr5CXWvI5zClO0R3xzCaE7o62u50Bu7mxM95JJmsgfg4XsmhmmN5kPaF9z36 JlSaBqUWHwkYdYpNzMuMJLShmdL5XXOHbumXC7/CiC9yApbyENTeQ8ifTeCoyv0bLvx3x5E+hHia 8IQQEKeHt4iqQLmaglDdyhLL1u40Ewxww9OQ/KZ43zjAip4MdAQywxtQJVzma/AQ4WvNxtBPsJch nouKXvMf7IoUx2OgOf9/ABcbQ+CJfR47vO0UqbrIkwRi3gZ167bCbWhNy89b76PKJ6geYIH26Zes 0rvTxxIODGkYsr5TAMu0Behs5G1l1E2f11aCHoY7kggccXqkX6fnU8sBv46G2Jl4DuiHf4uSqlEd EVdCaq216mefq9ktz2tBLn9tHoK0Evsi7Oi1SFWL8QCv7P1XMIQOed7mWztQ0oegfMGwNmjPT1o0 dCW26F2kdSkLesaJEjbylvbvRd9mN74obY2Kq4xyd7hXmNGN/ZEjeSLp7X0+C9Ztvl5zXn/4ee+X 3QQq02TCYlyxNKR+xt4lEyKNIFxrEK8HjQQIv/xhFy8ghniZWFy5rKf9PaFqov64jGMlrsHk7OH7 a+OliS5Z8Fwzf1+ypAXZxjfP10kU+BU2n3xxL7j9HWjj5Wuag6SoOk7E3jq/anLxJJCCgrJRLeQe ZifGO631Nv1a41lU5AUR3SStPTGzJRI0nmmgUS2MmMzMM5HyvpqNEsRl1o729dlLSAIEKdK4NmsB N4DvaULknANPuBhxKp+ug5wZIJhikG/LZDSh/3gYBpObEcZ21Liaa3AkBcz7TXlOBVjkVcMrpJRk M3pI2Md7n7QSupvN4Rxc8vulaCLhWSN4bVJeqrArtR+94pQKbOh+2vamLDz6s7NrY/otAn8WNxf+ haBAMC0MOJJweX0gPTcyvnNivXz8splNbvRVkrK1V36ts17wSMFd0dG9K6elNkUooLB36ZMM81ip N1PQRVV3WINz3MRTGqlzTnDCBy3xhvEzOOiIJlyMVqzE8VHUQ5ccnOMPRzeYDe263GiNgmINayGf lv7hLljTVOiDhfp+c9hrmLDsEiBKz62Qp1i+bjyu7btJDwUHYwyiMg/Y5MdX7tBQ6Ba9hmBnccZu Wg8tIXtRw5ElR2xL5g9aVkijYcV+L2nXDZq/pTSb0K3xBYzsks+nMh7E3ct+40u0Ecw8d8Wep59o y6Z7Qq/Vw0fd/IoaTLoCMBaCOBxLpsxzy641Rd3YHdJYUZ+Q3KEdY4tJfv7Pv/aLjbHGdtsek5+P gsBmlvPWnsQRcGbNHcarPLzPuwDmnL6AzG+DCP0jSgzxE95wlbZ1CfcePWSFqyTQ97ZXj9L2sb2D UMLlmrPZC9+X0X0YcRZEHsdQA4ERc1DxdMCEjSF/Aqc9O4f+7MGnBsyHh4MRv4dh6Y5Ly0xTjtUG 16JXAQGajDcYUoqSB3g0YH648uEKAVbbLfAqITDEpbuKjGKy4oiQlYeNKvrGVw72Yf/A7gtk8erV Q4uOCtg5JRAdw4y1oakjjcakTdaYM6o2aJxIMHiGBfQv4mnbifRY+fHIVLuzf0JaiGI/kAC+SmDl Uj6qyawyBnfWmQ+JlTEd1dJzQExwg5aI/IUt1EoDRMYCyflMW7wev7WC2OXHolh5mvllpWczU4N6 fNL4slFY1HpZHK5wJ4QfFbJSSnLSlOpoXr7c3qIv8QZW/6hNiL4SkOVCL07ctqndbCr9gGt0vJh+ J/zmk0mrsSvbbB46cYbPzLF/wMPwcxQCuSntzPmyQ537gogF0FkBS0YUoiuZPmOKom+z4hj6cY8U UDB+xXACr+59jNPgjOuiqvOmp4x5kSAe+w2xnUJGSAirKQmXJ45Eu5SSP01XSWrAHxnjXOf8BvZs 4H8afSZqnps/Ky/CDUOH0P3zmpR9CQTKqRqil9tqcTFamDkE6Jd16kr1TS+SxWubbmvlIEIXqLKG qRh0wkpzx7IJPCXQNuL0D33jDhe6BQiF/1VkVIHqReYDYHKQZ0c8jKlhlir0CdYDOaJxrgt3/S0+ do2137UWbAJvoFPrxEWGhu9X7tmj6KBD8v7O9jxCDQpGTVXW0wAVNoIZ9zLWn3hiZ0YwcVLrxlZb S8pb1PBjIEBzBaJJ05q72oESDi73MdYg+Q7bhW0qRQlCYm3HzxSRAbEMg8/9epDT1Hwf+/ZVozDR fADjvdYZbk+OgE557BeZMkVtUsWj6KEPBoLOYKjUYOn7A27rdWVm0ww4tYdptmnghXQgM4nWnuIo 5xVV1StsNndJibQNFsIr/uS7ms1ttijPRzkbptJymdQ0+XNS9zhxdImtorcPrR3hUVTqCfCvoMHu ASi/ciY0WXegRGRPmSmcs66A+6FIhafcxgJ4687iXi9OJuErCo6aLv3cDNZAU2CSc6nVxHqhYPcT /vRJRlHpelZYPWovpW1THTwe0Pw1UdFQwr4UKSy4K8T8EULOHToTr/kFG6ialsatI8L2cSQf1P76 hy6IQysIPH08S8MC3zhMhVJ8ht2GCBZvfnM7JCFfkNbWId8FiRYHpm2sHVu+VWQlsTfBZ/7uZ7sZ 14VyAYcijEpaj3WeyYnQo29wfVWbthgz+58cMUJ+ARTD3L1Rwf/9xDKp3FNnYi6nNibY/uk93jSz BAO7uq6TymsMEzlbY63p15+MTDyUilBlfNieBQsLt4vnS2ie/mkFPqHpwS0A3zVBXEFCWkfEDjrm BRUTWn0mf0pKoEF/BVpGgVeDriuY5ovMXGXSt71fU1YgvaocLMiQaS6lB8dzb1J8G+jF6QTExici +f0b9xy5npN4q/iayc6gq+c6Ivx5E9QwYOPzFyXMSGfMsqjTSgf6wd/pavuc3WpbX5NqcDhIzCg+ Lk7OhKBsUJrSIrPc5PwrQLY9SAIy0b3QQtpTbFSXgLE8gy0myLppWr0OsGO4nwRSglvtso7Jl4Ek E5mIKDDSStI0EAJz3EH2x+vAIGFnlisN8yOkMzZj0CnDs8w+jLozbBAVzS2TzxEewZA+NRTuvfJv P+fkO+WDz3jUo54Zjmy0Ej8B34EOOYDg4gxRMtDgQ6pb3S6XhmJBJehrfngZ/NIeJfIktyWwj70z nPAE7BkpM9ktVfNrbC8OgGSmoOfYixB4F08q8/RtCnSSLxnqRkUFd74B+25uCT/SdZ/fQy1/HQWa eHlHA7yCtK8CRtJVzL0k7jVqXFOR2iHgqobqrG17LsuLTkZ94QfofwSdSLa8zUKZS8IkUNqyW2UR wvD0dpsrLCipu0dz72C3U2vzMvWZAES4zvbUClZgUUsxoi1nXMupJcI49fi1s+kEZ8RtX8xX72ay +7OlezcAjf/TPwfxjkTcCj6ZGNk5I47DLUB40LlExq6CmEKnkbhue50X5Z0yPFUfz2j+2UvTMlb+ A7ERuBMV6L1ITf010xIbmmI9Dlc0TYEZ6MmOgpuAhB59cMK2wwUcEZABiNINPDRuRlxRCGmJiJRi 3KSlw+TwePSWt1EShfxZDZs9Px8QPeW48ob1Yu7sNdoLjwUtcjJF2Tcc/i5xBttlx8fAd7ifEbmh VB9zwSWEv2Yuno6+1k0wl43R4bVP1WlGw/ctN71ygpFxYLKyipMvWBxbp2/N65JTUoObB23/oDa2 Sg8reB7N5xuLMebS6YmCPVfjE/wXZYV+52xAME14l5GdAAi4dVnhixfSHgiwEun6+r584kmM2bW1 nirs7gxFRnjneISaqwqKtutJrONhawuBK++XfzDNAlhT+ff1JupCs+7215VH/autp//HgOiZfK1V kjLMYBZwGmE7dgAfx6711LpoYgA4jahA11DrrP4t30vAfYOK4vQxbwCMUGF24ADiP3lsRHvrdneZ ioypxJKeUWoS3Lazd3YtRV3jzZ3mhR3vA1mRiAEMAlEOdp+8lBXJ98BOORr1PD9BeD6ovwoZsQW1 HyA4+FGRjVBXRiNEDRK2FYqgzcTgNB7CaHIxBnfTHWp0FvgvCNpCZFVobh5Dlu8L1nld1ab0yOmU xbtoMbzmSvUukILLtsPIj+xuWhDkXc8l76QCgkJEce91+JAQuhE3wHEkvgTFnJ3WNGq3y+4o4Rry dpVsTQh9kitEJRnIL6rzlx38gEgaJLCHipLhSnYrbQBBJhr7MpFqlfh3Y0mOHtLBooqCty4i8gTX F01vJYC3+I+S1sdrXfP0OmzTwq+n0sogAy2sxLDqSB73yujMFgTJBCAQtPgTtrtdFWLAWNp6DC0i epa2uaueGBY4caddG6fLQLteN15dDocSFl4J3D6KdQFqyzChh1v6sQlf/72VK8HnQ/YMOiFXFbMY QFbUUNjrOpRRpfzAO9+UCLy033EPE00iqA6S9fIR06caMe+lz2zED2dTdN8r8km48khyHoUd66MF 1vqquFwN+CjHygHHOB5oUVqPUgxT6alYwbuTxBT1BFXGZ4fD2Ws7r8w4bfk5xdCk+2uKv3C1k9Z8 zhChOoKkw1KE8/gGoNMMI5ByUquQeRj6tm7b/vGMfhxwhEilTAt2Qzt1gB3TgBC3Wn38LdBDTNnF 2Lw+ZHvhLWsBWm1H1FmEKh+xS0idFPWXhnhdKfUSORK+USKaELwuWxy667XGjz3h0rFsoqx9Xbht 7cQoVSFEnRZsHJ/yaZ/HFxqG/nATU9Sdyk+e15vTV3dtONtRMN+jZ10CXD3Tslz4/0Be74YSHcsa r3Tn2zx1t8aRiflUV1kMoD1/D6ERS8qljRb4rGp+Uc8Qmbv4nj+/dwqC7Qz1NL+aOb4vyB29kJkK KaKbtSfB2zMp5vj5pe40hqxxPhiLn1HKJViRftab8eCmlTV8m7ra1oHXP/FjyA2s7fz7EXZlwMsb J8vW2V80OxVdIStZTIRI8zi01wbCSDGi5aUJO945p5Fntlz11zCwx0oIW414nEV2S34HW8OH3qO1 2u4u3uZ6voxAa0eui5FacmrtgjWvDw1Uo52+NTwKA2gRsQ02cy5kw0HoivIi8Q/OJAnIMWvOmtY9 lCefKGF5ToSl7oOwYGLLL7BezHIB3VtlTQhePOTOfuj3B6XqX+qK9CeNkh0q6kjHrrJ4tIVHCNpa q1Hy0oLU72p9XOPViT7XfHNxFsvtkVZw3sy1+M96xUMSfPLjOlycY7rZADhTh4DU8/iMiu1za94o qeQ198cwXtrAycuBiYYkcsMtEXKUSCImuztHRRxGffZWOHYkumQqFZcbIEE4Bbz+TA3Ofy4VNCHJ ZqS9prx1QH10YfU2souyGsFf8pHvfs3IcXVp8ci75SDRWTtKPGqc+n0ZDBB7mW+6CGi8oZ5I6Pon rI9MJPE5SAGdsVY8l5+IAXw8xigpQhqL6VTYSjogWU7HwiOcNUkRZsvEAuJ985VMNDz1+l7xDrAW w852vGPtQzFIq3Vym2TUCWFo2N0b9F2x+ygyrsEfcXxpXOBCct8lAvmp4Wjo9S5BHEkLfrnxr/Zc su3LqIVc/fHigB65lx3QCqZgUVeoJIob1sHwO9z0A8y6sHcPmHckxw9YztJ+v3VYQ6NByWkeWrWc 3GgfAG7wEbgIRRirgfgyTWg9aQnGfUipnOKA29I7ff/UgqmWyOt1cLxYDrX0y7Jm8ikkmUIy772b 1VPoYRvHm/zvgoXie4sViVda0/juDef6ZMBuSC2HS/clgPekmFQapZpgEuILBbu1ufxkUIu1ATkq 9oZME1h/+mdiR4JWMLcMImMnSDEjH4gdNSmUcJLeN7/HvphPAHSXCpX5zaUhkD63YTGYJDFzqr87 qMam3UzWSqN/6Y0GZmGDqiS/XU0E5ExxEyWshZav9Sh3exFRgR9JNbYB49/pF2OaBssMynBe4N5o qe3X9XEwtdVr3dzztprgNkg3EAAff3ZHLmd9dOoK9yGVAltAqQRngK6sC8b1Z/UrZkDEaZ+IlZ89 7bN9SDwrOUvzl8p2tWxQrlqbtx/+oZDtULINSYPcPfu7ubExYImEhlE5XINQWISpgBhPSDWaL+uZ RkGXGENgKXrmcjwGvFqGEwi8NvfrkfmBtbXMg1ZhEsh7/H+eaSth7XTSeh6WbCtVqRMbMv+WyKX/ JculHutKwUs7bq+DHKHejRC79ymOEd9Alqi52GdW7Og8sg/mqBXYdDx3g0XG0PGwAt5BjTs9M81S kXOgirPzihwIVSTmCzqhxwFjv9oLxjTEXUjoSHDJSwwLRnS9/zLKK1tmQv/2Du8IlhOLPsDWLcem zXwyBZf+Fus+FnFy8ww8fhu7eo2vFG5DJdT73igNWouWz9uKg2s6K/3pCH5rS31g4CnZpWvbU6h6 nUY5xI2PABwjxwATdMGHuNnPX1SQ7iQ6v1RfgYTPn0qZSWJFQrcDcux2hvxWLOGCETpOXmb3X8sk i0CLk8DyCNP3QO7aXOrsn1aY6SoeRn20Simye14nfK5wOvTNw/ejrS2BcAT/g1zL+KS3K0V0GryJ 8WOr6LlGHEU2aVNmV+7Xp+2MG3VglwqsqbUjC+eWqs2P4fFNvDgwZ722ZWQWhSqtX0k2VoArx5WR C2/iQYCd9JG27AjYtVAYUdNNj3gfT8XopdI5X88/FOlaB4TMhOu/xNKte9u1wo03DmokALKtftI8 1UYfsG6MFJdkPr9YGe4L+s+KOTt1eVmyDrvC3ptnet8sOlcy+B01fMf9m9VqQp9UeGJiai43EoNm vaQQRl4MagAKTWlR/EqRwvKSrvczqtUxjGNTqmEKv7dH4xgVJhOlM0Mxx5YFPO3MWmrYPLGJWqi2 91AlJNyrRUmleiod/w8OqOWyIRBgqGkvNY/g//LidiK+i//Eyc+wRy9ybT17hBRk8YtRJdbccIlb 0LPGAB9uK3+2XAPcxrIT+sEWI29nbm70WefyL0HVvJzjCtkkItg2wTLfE81MymN2sGOV+9iJhEFI jhVjFcHPlXWkg7MFpIFhfCZVq5Gax8UWFqlxY6vveD+hd+zJrGMlkpp7MkZDspcMZj2W1keNOqup q7mm38S471c/u/RAuHC1pMIqPJu4E71Ek2KKf0Qe3Fx9SbLcF4dS/95K2UFWGHMVBBybGuYrM2N2 a6JTggS6E+HN97LAkBXx4mh+9eKuiZOuUlWGijPXYOZ/Z8Nk5wi5wUJosNDsQOBIlG9PEVrGsDvN ZK1J5Tpyg25/MeRO0YNTT7TCL1Xuqyt1lrwMAyiBXd+71eFtEkEJ/7XI/Tq+nQz3b+g3sYJGWmUm T7GQlRM0EGUIawbvAp2RkCs4UJJ+e0jt2kavIkPGDVOask0gD4VMsGxkGVs3pg8axYnF6dbsD34O qCbv1qj82k8CQzBP9jpPpJ32KiTFFjZvg/VuO+PYikhD8FxepKF/vMWZ+FDT1yk/cUljz3N9p0EH vnVhU4zLnNapvA4EXL3w/zV13fRRczlQKDwW6CHdJuf23S20E5gPoMYofhV0j3MRpR0jXRfbE6As nVE3Gp1ahXgi3yDJNslF5VfOeE+VPPjitOj9UdbiO5JGI2rmLcS1zL198ljfHMbdspeWrjxXrxPF IN7QlpVJmoQ31XKrn6ccwoKaUIfOGgT/X2QuNEkpCWZfadUW6o7dKyy2cUcqKNAh5Od9o+0GXeVK Q8BRgsYMDrPZB51wG6e9sMautrmdGpv9NPG3YnFEhYvxhoob3XqzoiivRJ8f6P9Ebo5SpfPaAQUi v4fHOPa+fQaUog5Hv1cs/pAapHgEcd0GUcEmGzM+EnAq69KlOc6Hhnsa1tLip06rMTMe7w0GcOuU HifKj1WJ/xOeYyxLNdi8M9G3tuf/EIl+LifmJ76v9iBEZJd+nA4SHI4cqZr6DQb32yi0THjjEs7W W8HggCV6LCFnSMGs5yaEDfgc/qHZwgmu/ZOwdqcjQczqE9CFMfzH1VmR11K/GIV4h+tOMMf0LRAs e1aIyLMzEFjE0SsFWQWlAcp5t/ltyZd/xI5I/m9lIE0tHiEQqIbYU1yMnXcQeYeuv5fU0BfP4+QJ NLX73d15U94ITx/w2ebat86unLjL/uUq5mGm6fmM4tQQMEjgxHJ8So7EfHUiIpqUuuhxFtS7h5yE seygwx9StU6MEJ5lIVsyyo98rWIA2GuUPegHURr2Gjy+FdORkhS6O6P54k0FXCRc71KpeHY3vVMY luE303YTeaspNAkUNilV6oT34xMJ1DaLOXNzF+kVG1dT4DXlE0vR9w6TePXr9+pSMD6NBZcAPJx+ EZX1vC5uW5IbxJXNe9LdrpV8oUht3TiQPeRWK/fqweTeHxGq50d+yEhI2llmr0pDSeyUF73er4zC MUHehynQuVHv3LulbZlVaETAwlpqJbdY14IR2nMb0yJdt3uwNLf/WLTgGZKmPeWOPakobScPVQ8H Ab7sJczdyp85hRpLQH0q351+pXVttx8/YnZuAZ7zWvd+vqQG1gLpTyce4+WC8P8dkU7y/RKEuuQz G5SfueivJLKmB3YQNnp9cQZ4FPlUTrLFb/Vn/e+bYTIcrAhjmwfFyVgj04VUqVGFT7v2gRS3KR7x pdy6Fqgz5b3v+9si6PbA35t0hOvWDvGfgE+OVkRE+rWRrsehXQTyfJCY+9Fx4FUyG/MIJMObwqQE ZatlipC0tdXkSrRWLTgpgh27G4pM2C2C6lieN5ww8hL4qCSnwDPDg8TX92JoEg+HwG+uBO3lLPz3 5I/VnSThjEcbeqW6ZEj2iR6HqWz/edhWcqkxH1FLBnOhnlG3ldWAjBqcyqIE3lZgA2u9TmFYeIg2 6riwb63NYcpcZtwh6wpwDTzT3zpRdRqqS8Jr92bIWu5C+is+is0RsGWiMSDI6F45L6hDLiwY4B+e uNK6PjtZAd0g6QKGxEub2yENOrHdqybXsVTgmvUABBGzJ/qGdtI9br7ozMBHm3Twl+BtgtAylE/d YOW18swfHH40xm3KVb27Sc5CatZw8jvXFO1W14Wm7G1nUVPmQdGVdRO9a24XCe0L975icLwoduUm 37dxx1ByAoU7arK6bAmpIGK5HAJYmdNWUNSGM44dlWRHkgr0IWUdk9lNvv1SBiuk8vrD4dmBvceS tSZNe2EVxJxh7BfYRDRQd3BBSwFZUUawSiD856Hxl3WEcvSjJ83R63HjZ7AokGWd2nyT9/I/aix+ EDyDwnOapMGwVnCtVqTEcNAU8Ctsi4NzgmzMeGjdBPS7vYIlRzkLpGlauDMNxEdVjBacf3R+cGQL DwX3nlzil+SPN6XF5/1MJCupGWTuDukxja5xj+7NAlIryAVA2or0gUk86NfgsSQJJbUN5JtD7h37 CaD4Yj0mEYfuE7DKyj7WhcQl4qkZAcxUVqz5Cnz+T5+dXYlsfAS+M5qrrPT18xfu8z9wwP5ZFxL+ +wc/pkVZbz0pAhyOkA4iSPBVEZyw9Yynt7hktHxo9ZTDIl8kUZ+itIolSJ1fpstE4vjjH2VGtkc2 1/1vTPixXrIrd4b8UZmcUMSrMuDOCXZhXZgqS8U1xxjnJ7KdovqwlEU1+1NtNqBsjTLdrEFrR7FZ 14rmbW0xjcF4+XZPWhPeRastTs0mnayL4dLRs4Fc3BLtOl8nSMpipWnbzcI8luj/J98lwwEro/6y FHv+1x0TL07mQvZ7UBtHTuPg/SHK71WKLlIJsQEErCP9nvpMnxoyQP+fdjzYrePrB293KBHVMOsV jAWGLHJChwX7EI5WTagHQohqu69+E3vpBxBJyEAuo1DgcziZgl27+6amJWpCs9UfljmuYfuuo3IV e3arHxKRONqArhbIo4vNrLbl+J803Az9CjH//Y+avuiHmOu/v9LssuFPtKMb46X1QEy8voovfBsZ 48fMVhfxUDAbGTBhh7YprjWXNXswE99Dsp8RdSOgJl3Dc3zpF8OEqSAV7yIYguGUSSXqNIgm9J6K 06jflQfa8oQKkOYNEGNg+BWcfDDouEeKHmnq17148BPlZEL+b2OGrEkqgWFQ+hH35FKqtznIupG3 bW7UELoeYu2KBsBinnbUH1HRtCi4dhAW6uXOUWZWubNrY+5MrxxdXNOfY//bQ10KSVGyD7vb0Svv eHTlk+M+lZ04BAiaJohAB8wrXvB1PrMHqlvi1bPrgyki+Fz/3KT8xS0UMxNZ/LYxv8zeACfV1bYB Bjthi12XWp/R1OpnJfySATOm82waL9WLmG9hJuH9KEHSK/4wrBs5c2Mm8YuAZ8oC8qqlbG/og0Id OLg3mgL8EU+k4SwJBgEtkIt13wboxXUkyvkrNJ5AFqSKD/IMpjEqzRtIP+get6MeVd/BrEatQUnD STlipeOmM4qQUaZPH3SUfr9dgjhlHcxlendjRK24a44gLj+cQhlDL5U4Z3zjVvYG2XF4KEUExJiI 1fQ6BXdS2g0f3ECg6JppVUq68/GcXYzIzuY5SRcahPhICjr12EhSLPKXbjWnkQdhMNPUH7vWkVWX +r2k+h0vMkheMATLmN/GNG58xlHY8/rq6FjBhelI+Lld+EB2QtrUvjwA9YrwhBlZ/cwm5/KX2c01 FSWFuxk/DIUMp/ubl/5uJcXHcb97lLu/WnwxKTVOyQVrWO6om4GuIXgTrmvktp5smDUZru6f36dW Fg8QpQ7muKfrO8ks+/xLJLh9/OhnyCh4MSzzlMSRc/yGeKdpJkcVwuPuhYvOzmEGITPu6Wj4EjmT 9Gk5jPHOG8iuUaj+TN1Wp+3qhWlWooksuZa4qKRBlUFFwIcsa4VWPBcon+p0B7+zp0/QV4A72MK9 uR2gleabXPHdB7ZVWvaUTW8uykIvRIYPoSh995WX2VRzICIqU9oK5Hj3t5CWdx6hOA1aZml2Tnfv uvySdvRhTqaEzB04OUNcKJgYwVSoA+77UUAeVI0V4vLpLNsm+T8ia10NeHQ5JM+pDYZGcE76HKth VF3l6oQGSt1fk6wueWo51jS+xdwczfyBUhuyrllHodpOUm4e6yBRjTcHbejKoiVIC9T+5E/U4cEI lA8qKqLPCHHkgnH7nGHVe2+Wrp+oXbix+z3J+aZXBypGXQFkW7ClhgfQ7KfcCNhshssKSXH5Nt2B GL61Uy439KMGqFpF09tql7bMQgO/i9twTjA9j/76qOBIv+MiTsio5GiIFSBG6xhFmb7tEn5Cr3F4 70oJaCbjtdROK6L8jPr231HZP4SkTCE3PEp4MVuhnwTl39V3r8pg4m3tBTDmEglFgX1h3YPYp5Pd aswJwonqZwSklSWgFFtHzWrdODEDSxLkUrIGk8mopRnJX4Ob3AP+qLF25J7bWt3aCmbX/ConPWVw k0myBGLDhHCDvnVdZImxJYYlj1HRwaoeSDqYSuqtb7rD785dxpqPGSoR2vyFtx98SYOoXQ6inBLw cKpjgypPvGvQqN2QtuiN8yBmbTNDIszeOShMdNb8X4aeka1HvSDP0lXSWirHCwv1W4oSEmWfIftF Aqk8gohinD0/46/lKAr7d1Szh9fK7TL6cx089JNcK+PuhKp8Kf25M/62biDgjvAnm4bGPGxE4QVI kEfg67I8T+ZlL8K1EcOJ7TeHeFVOsLvcO/W/3046FDJ5WCrH2jwnUgYQnwU5BAPUKgVbwHx8G1+g dq7fQpApmEBVIXZp76aVxiH6fjzYF919bANnxesnhwn1iowdKjbWyftxkur2XtevDIvphRwBiem9 VGxlGbuZ+dK7lR3KN/tatiZEA/dj4xvnXELXLax4c4BCFHLtoQsyjKEK2Lud2Zwtuicux8r1qGxv tGjstxD02zgsxOCXSiC+4TDYKtYdq3iNaMD16txuVHo9ElNweVsdnWxe15zyL3444HBI2zMLnjdA 5hOGjKhB8bqHsZ2jNoa/rNbwUVfNcpVpAmcSn/3yGV+xTLsx3jTB4FQSdytAawTRM3iOMiUCgOGE +wtxz6oBv8cTijFUchHDchPcVCHMjaEHdo7QXeVFm4yPsvCTnL8BEB8Slf/uqiWGrufejW78FyMH b/oDE1QUgbZQxrPiH4K5MzuLET2ZVB/eTTRNZU8REcEK3lfpvMlG/u7yObMncHcEpTchj2I6pay9 NujVRPmi0q1SXckuLoM923RgsghIBYKfmkLmaEnVVG3DTrkgaOJVKhsuVmWAak0dmoIUzlF5R1UM /jEfOkwROXUpr9knHdESdbFtNobV3pDpU/IiTxS5LSv7d54+rrEzW3OjtgUNvJDuACSijOs8fK0V pbZj47YSy05GtLLC5eEDjEBymuave0Y1iNtswS7vKDj3h9axEGS6J1ablLmUi0fEAvuL01nMss+/ a5WAA4M8KsihuMtXjFsVLAw5uDjsUEJlxfgqaKq9MnTmMvaMCvyWwSUIF5NtlyQOMbI3ok15+r+o PnWm7z0MXs73g3ubx3rNNm703DAAnc178/CaTAwMlUm5GALZ0n9XqPMuNVqM2IEct9rI5uQhnYTv x+vWAroA8ahySy0qh40l2XhTg7HRcM3+BioYZuvqQkcyIXHyLNRKiH3zPhRLOUFoDG9rEQeXtRWP qZ1MvYZ2vTbPmIojDYdWZjlL/lf/Mx+qsGoKYWnDOgBzVKdJAJfPXl8ggT6XwhyD8SOvgQBNIyMQ JYBOpUyjnLXWIO0JsQ3PETf1B9nnYSlHtzC9lawPXyhO4pWLq//nRFVxm2Ow3OStXxjpVLq1fEGB 5Lqn+YVg76xSTfwvo2S4Wq6hOak+cPs+cTdwHNH7v7sw6ZCe5hGtTUEXlvx166/EofhWLwyrlkJZ crvp9vpFzqZm9Owizf7nM76UJpw6bxgZhQH6TzicJQkoz5OqDynoZPltXteyT1ipzCV3qPNzSEqM kx1zzU8eM5qa/q9XdMbpfiJKeTLQbCqDGcWPyKSVhfNlDnW0QQxYGVijgdOm7+5mxk/tBbBgWJSg mfRWttef+QA3xKhmsgyYh6hsfgjqK/yHUenAX7lsMmoQ3e1tU1WuztoTmOD9Tf7rpLvNP+Z4hmxk w65FFccy6lbSds+ZS1Nh6rgZ8SpJwB+5WdEmVDd0o4AseGobVuOXykLohzFvGNeN+NCnVdx4C8cX Eb3UXT4GguKZG+vptpJ5FyjFPAI6/7LbmEsqi8jHNm+Os/y4sKqJR47tT564NhEXrBDMpkQQifU1 /YHXusKAm0cx7Tujfu2HazTV+khN86yexlZZhiraPuB18e2ZG2ti6ayOmrNtXzbaYgDbpT8DaXSH 3dIbJSm4INDl2UicBTFj+KIiXNrpNBI4itfBfXVBahL3itl7XO0WKvXKl2lHkNJavJXr/3r+BO2K uboa9/Bz8NeK6J/jAulMKd9thFExQKVXi5o3c/avmm3rzbBtCWbgu2SVMi8DTebTNpsoKnsKJmR/ hg4MKWzah5kmzn0mooL9NHMo7qeTHoJsqOnMZk/tLUdlYjqMDV5TqUgp/GMT2IwGOIj36xlhyacZ dWtDyhJvXvhmbTDdHKDuNBZgcaNkKeXODzz2hA8Ef+LPuy9sNyYBqPrZhjiokQzlySeyt2Uzq5rt Xz6OPJOigHS+ZfWHwdGbBq7fZvABTSkzoyY63P0OlRummHbxS0rWqIGYKgBrMxyTHk3qMWWIj76I e1y8gFze3aPIY1JfEa1hVGWXzPQ+6A95FnfLLXsVMAmT1baDl139q0lvMrpRrWjOHliUOdo1EAcj fUQ+EjA= `protect end_protected
bsd-2-clause
16a11e5c829f269bddfa67a3969683a0
0.954313
1.808047
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/fpu_d/d2l_d.vhd
1
6,109
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity Double2Long is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_signed : in std_logic; i_w32 : in std_logic; i_a : in std_logic_vector(63 downto 0); o_res : out std_logic_vector(63 downto 0); o_overflow : out std_logic; o_underflow : out std_logic; o_valid : out std_logic; o_busy : out std_logic ); end; architecture arch_Double2Long of Double2Long is type RegistersType is record busy : std_logic; ena : std_logic_vector(2 downto 0); signA : std_logic; expA : std_logic_vector(10 downto 0); mantA : std_logic_vector(52 downto 0); result : std_logic_vector(63 downto 0); op_signed : std_logic; w32 : std_logic; mantPostScale : std_logic_vector(63 downto 0); overflow : std_logic; underflow : std_logic; end record; constant R_RESET : RegistersType := ( '0', (others => '0'), -- busy, ena '0', (others => '0'), (others => '0'), -- signA, expA, mantA (others => '0'), '0', '0', -- result, op_signed, w32 (others => '0'), '0', '0' -- mantPostScale, overflow, underflow ); constant zero64 : std_logic_vector(63 downto 0) := (others => '0'); signal r, rin : RegistersType; begin -- registers: comb : process(i_nrst, i_ena, i_signed, i_w32, i_a, r) variable v : RegistersType; variable expDif : std_logic_vector(11 downto 0); variable mantPreScale : std_logic_vector(63 downto 0); variable mantPostScale : std_logic_vector(63 downto 0); variable mantA : std_logic_vector(52 downto 0); variable expDif_gr : std_logic; -- greater than 1023 + 63 variable expDif_lt : std_logic; -- less than 1023 variable overflow : std_logic; variable underflow : std_logic; variable expMax : std_logic_vector(10 downto 0); variable expShift : std_logic_vector(5 downto 0); variable resSign : std_logic; variable resMant : std_logic_vector(63 downto 0); variable res : std_logic_vector(63 downto 0); begin v := r; v.ena := r.ena(1 downto 0) & (i_ena and not r.busy); mantA(51 downto 0) := i_a(51 downto 0); mantA(52) := '0'; if i_a(62 downto 52) /= zero64(10 downto 0) then mantA(52) := '1'; end if; if i_ena = '1' then v.busy := '1'; v.signA := i_a(63); v.expA := i_a(62 downto 52); v.mantA := mantA; v.op_signed := i_signed; v.w32 := i_w32; v.overflow := '0'; v.underflow := '0'; end if; -- expShift = (1086 - expA)[5:0] expShift := "111110" - r.expA(5 downto 0); if r.w32 = '1' then if r.op_signed = '1' then expMax := conv_std_logic_vector(1053, 11); else expMax := conv_std_logic_vector(1085, 11); end if; else if r.op_signed = '1' or r.signA = '1' then expMax := conv_std_logic_vector(1085, 11); else expMax := conv_std_logic_vector(1086, 11); end if; end if; expDif := ('0' & expMax) - ('0' & r.expA); expDif_gr := expDif(11); expDif_lt := '0'; if r.expA /= "01111111111" and r.expA(10) = '0' then expDif_lt := '1'; end if; mantPreScale := r.mantA & "00000000000"; mantPostScale := (others => '0'); if expDif_gr = '1' then overflow := '1'; underflow := '0'; elsif expDif_lt = '1' then overflow := '0'; underflow := '1'; else overflow := '0'; underflow := '0'; -- Multiplexer, probably switch case in rtl if expShift = "000000" then mantPostScale := mantPreScale; else for i in 1 to 63 loop if conv_integer(expShift) = i then mantPostScale := zero64(i-1 downto 0) & mantPreScale(63 downto i); end if; end loop; end if; end if; if r.ena(0) = '1' then v.overflow := overflow; v.underflow := underflow; v.mantPostScale := mantPostScale; end if; -- Result multiplexers: resSign := (r.signA or r.overflow) and not r.underflow; if r.signA = '1' then resMant := not r.mantPostScale + 1; else resMant := r.mantPostScale; end if; res := resMant; if r.op_signed = '1' then if resSign = '1' then if r.w32 = '1' then res(63 downto 31) := (others => '1'); else res(63) := '1'; end if; end if; else if r.w32 = '1' then res(63 downto 32) := (others => '0'); elsif r.overflow = '1' then res(63) := '1'; end if; end if; if r.ena(1) = '1' then v.result := res; v.busy := '0'; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_res <= r.result; o_overflow <= r.overflow; o_underflow <= r.underflow; o_valid <= r.ena(2); o_busy <= r.busy; -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
b45dadd64001450c2a14aa1a8f100da7
0.549353
3.345564
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_gen_v8_1.vhd
27
19,382
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gQ4CxdvWgnieRLRQ2AMwpJaA+X4QUP23A7mcpTzLH1nina2JWDwyro/SbR0koY81VxQ8tVNBYSg8 3s+EjSEjvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gPnHmBrjBHDleV2Jfu7AAgNyinLiMa4GswbueiHBD8y67DvELbF4ryETXsYzyyRC60JDgiQTY9xS mNBL0n+tguqX8nripcl2WvUcK2rEIU4vEmrY5Xa0k52V9uCE29ruqODz0JXngqZvaosAn7R3hB73 7cI2IgLWPL6sayUHq1M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bim7wErRMWV5FSeSCuJLdGVUUYEH+U9HzwEGlgElmMU1LE1rxBL3MWBw6E1Qg5kGmxPZcrNQKg7b PLZUD5Dv3VyvXW/HR3jI7P5DnwdmPcuCjrrkZwCh4jjzor7rIj0AM8ubprUHwkpicj6rKGNYRGRi +lmT6hjwlretXlYwE1YClKFDSDei0UBfS9a5tRfCcNpmoCaImXf0uTOJ8unbujREQZSIp1snYBqM Q6qvNMpDqcLoVSU7OrgHQdnonXWYqY/ILDCjdL1o02B+xcnkuGf+oGCDs8KSCPuzYvirbLqI8N91 feufkvRKEcc9+CQ7U9kVuEQ2Z+MB8XwJtiWwVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HhRynIQ+TRImN/1ISEgCruTQGOfZ7yQ0AeSPRr1UgeSXeBV4/j+sqUVwy6KpjxjyOB8/Up1pUaXk C62p4kvtT61bX2llnNuuYjikfaIxGUWJ2S1a+GpileS7Ui7iwtZy8qreshTy7qb9L+4SycH2S0Vs ofqZzZCA27OgdUdAA0M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RhnO7aE6HcfX9+ngWNOvpaRDGHOLotkXich9kwwYcDEBAwcff538vS/s9YC3iM7OnnDBzfIjK9PG hZTnV6Wbh+heW3iD6MhhmPxC3a+3h3Xr7G6V/gV+8tP3qbjwLdyiI3Y3Tl9GXzeddtSNdvaD6764 1AS1CtRtG1cyGvfnXyGxmyDzJ91rqIOqSJbBOVjL0a+NolFyEU0BYVthKlZ39r7JI1kVtcM5XAND LnFrRp5p6iEzVZDFdricPTs3V2FwNDnZSvZ0QADHlENUl1ofRaFRtXOEIahTDRwJJzBMRTba/K/s 3AtKBuzpWzTyvSqo+1PWwgrrClt60fAvHko0Yg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12608) `protect data_block 5fO2PrNmk6DUgNWBFaEZEwdADkRrb13p36yXhbMQkS8YOLN30xaqL+Du5W7ZZTqKopQ6y3mkdnFA MMtYyw4viV7uGqgytEQQizhAhaf+PykQ7hkf/9hnoO1ykkN6XcwFqVXRzfWkuX+18zHcuDYsdHt4 6MR8uDqPQ7Xb1g+wRy7V8HfBE3E8F4PqqpwSFNTBQtzJe9oOwjTBtWl/LvfdymEJgvtqHhzEXvuu brW+IgNzAukQtn2QwJCgBG5n7M2r8Wbw9CoLZlUvKXZujMRLN5LGh601f6ZJzYis0WHK7Bnq9cqK dmkJnZjnPtFzqelobqNMoZABA0yxA+jb4we95c4WfSxcygv+8Lg70/Copt754+3/v3bHE9WMaJx4 o+I2TDl5laqhvojrdOaLURhUid1z7IXOITs6IQG1ghaaQUcXc3ZyoI/pyUpwX5NOSF74EBdPZksV FKRxDsU5mbDWqV4AIB/cWSntq63rsDwXkk+323z9YBF8SGxf5AIfR2J3ekoSV1xU0ELhmsnO16MD xRH2RmmZfurqPn171ddeT+iaX21PO1ZcMVs2ZiIUCTLKQJzqQMSTf2MQ2w3TP4YRRqUYKTKeAw6R vomy4GQ/JZ7A1IWt76Cvoit+ZWO59WYZ4iPWxfPYCXL81+YLLZCZVp2/7Ew5u/1YnYaEHnnhauOz E8GsqTEPYzfrg6oA6SGylqppd5uIwvgpO51J1RhJYDv0ZBq3NwNIR2E6cEKTtsTyqdzape4hAONT NHZTAR9jIuVvERiyB1ZoErbB2DRKeM+TNBLOzWmWDVcj1LprKG6CE1bclU2hY3UctlZSnrvfLkxs fxDIpShLVAcnm+jhf5sGxlf/nz9kGDHbh57NGWV1j0fMyxRv2nbz5lZefYu5/nO0PiHe7/h2RD3q T99goCC/QUrrWyBYh87cIdpRBBH+UV+TcXXNAeY3PkhDie4mH3Uucqjsyyzi2fSsz1quFwdhswUN Pj4+bart22H3n5uPKLORSXMkNEZ1EdSAzu2kovt5YJsr9hmgkgBR/myfN8FQlTcxp+z1fkk5dznG SqegJFWzrefR4AeKoBtGSfHIB8IrbsiUqdOfOu3iNuQI/DlsXe2y0ltWqZZnd5lDjK0s+jSv9uac 4tpKIg8NCR3sG82QWxgSKtzdA6wrrGpQhtTWSVjBOFjYxwDli7Tm9EaEpUv7GgGRqm7wyxNy0vVo m/rrk5ln2gG2T7su+6Cyge4lQzyYH7GrJAxjTwto/TSwTeQtIoqIu+TRTZ3MWEdQufcT6N4sYpUo rxq59JlSfenmEYYfEyv7C+H5E/0pkDYfsTOIRakQf5/uG1Sy1jBc1KFW0YHkxg4uIhiz55goPVra vLoJEThSE9NNs6t3FAKMbLV5L6Wm9DBJ+LdUDZ11RGLXscb+uZa/STyS+waBLHnauRtbNlKL7sp0 1rPCjqmBXjIH+MCC46OI46TWrFQKYJ95Pe7lJnLJU0yiifKY/rBvRf0JGCQ4bugwDQL0Vi3O4wbt hHKzSL7kZet0YsrIvXVlmr6CrpSqwSPb9Eul6SYjE8eyQ9h6S/RLj9IJjcrKRHHBPW9XjtKT3Jvm DTg1BbktNHNiOUGVQZAjSZeaER12HaMKTF6XUeBX15fX9MExZiOplL+9kuKoaM9ymgITG5+ELugA LlQAGWaJBuQWNcugGTdKorJcSdrcqmb8/PuHFY6zzMXlZzj2K2Hwtg3dPN1o+Ao7DF6I2HCllOV2 80WtLZvSCgVi5WW0H+C7QLgk0SIx7BGFmvv+s1z1Y4WA3sG8hi9Sa7Q+kXcHKrbUrHjAFL/NxeeC IXI4YP5CveYd+Xz0JopwTvH2NvOwKc+6QB++SV0PzAjLEVRPg2lcUbKZTsGbk+EWehJ8C+ANiZdh /+RkSJnXnUoZuhHyL369kIzOBBaOcGcN8H1jrq4gg1oyLTsmHbiGODyWTeUfVXo3jvRKDKeO7u9c Q9eqm2YTo9kuVdVrqSOXujb+SUaT06h6FlXGd+KCxPJWUz9LYYheyEf6xVbF8rMZgnt2x/nCml6V nh+1BWBKXBFLqiyY8jFlarY4SD61RgrND+j4KZBVrEISZ2FxZAJ1yXMzYek62fst6amq+e7+2a45 at+UuRiBkMJcysEvmNmmbShI9ALmECXrlYi+jQmrxpFDlsE3hz5Qem/LF7MNbExfGJOSWPFnjqA3 42G79NcGS91jojpH7exT7AKO1fmRlFiVHSW861EUqlYSjnpPWM9BfDfHFCiSrkgo8gpoAcEVoheZ VGaOUBSg+vlsX/V5LzSRyOUlWpgJ8qJT0AZKQjGAnbkFBcLwQlEMjoLdWBTToj409DMaNopCJFND iIYdsJDpDih2Pxo8n2k9SXS6CxAQnNF0IwaRwgT85FCpkeWDKVZmahqLFXcb0VViScto5OLy3FYS wITlxAxkLiVw0fGJNndevGiZGw5EOh6/bDhlesI/OAP1i8yPRtKn8l8LwWmj+aWZmKVGqsFvoERI oP4woF9xMZSRwnVhV/LEmujI3VXwFjLHBsB7xcxFDOs9NlB2YPmsRzEabMOldlXSgE348ZIAS4iw 6QFcT3BS9V0Dq3zbYcx8gpm2K0gfRtowfhwlYDmugyPBWXKIAwiQUgTGxYCBk3AWhJwmR/wCvHd6 q1n35nLYHNUfcgFpPGezD1K24vLkHu4M09HojVvfnt284STcakZqV/aKBZ2lbX6jo1JhGBpDvoxP dFHtjjaoczCOnbRgcqxVFT12I86L+dadNSOOIcYe8S2NskYJEH3969YsAz1HT1xkErISmuGxhGz/ 6DBcKMn9vgF/dXJfn+YuNlvqSiONM40LEf8I67ZrlQbek0pFyOaTjzv2fgmUsOHdTdJ1MS6dUbqb FSWJIin1fBFhpRKxs0pdNmnyjwSsXjx7H8CLUP0+m/4gQAiH4MeRtTH0ArcEniIAES2b9RnALau2 ri1Dm40Vl8ks+GWIWz7y+HzVXs6f6pfD+9b15GFI/SjCVgCan3JMW1UCo3EVMMKMAH0sp/Sf7LcG JOcllQrL4qSWqa+VirFPTLUZchHTUihpEGp0RdFmR6fXGwRBW5b+Sn5FWjWBsxCmR0aLuITMwCGi uKNE/dunvpkdV0ZshLOJnxxbT5M/rB7BQ8ncWOCfluOqjgeEh7OzPv7/xMyCatsErntfDhibrJmY g2yvdDimFylMfpUlAxjx95d/PweAy0a7Xm7sI3TATeC/pJhEFowGGDd3fTyPl9u8Hos3sJykvYsu 9wstZtiC0wXBqWIIEWPEb3i81WRktS0xCdwUXW2BXzlhJb25BocZiBb6yjh7bSPu1cau4j8ZZVs2 Saa7m9HMTtNRy6sOSdGPiLL7kNR79ny0sylkb4kCG225RYUSdj42W4eiHY5i8E2Zky2TwSqt3SSi Gfp6QCbOFNgRhXpG5CzvznAf1Ai7nxsfe/TyNXoXUzRzK8jJ2PLGu5+s/brMgFXVI0qO95SEAM2W srYsUeO/1NSple0XfxOmNn91hk7IXEos/N7LWaqHWF6IsAByf5S9dCH6b22e8/1LTgVZfZnbkqwn 3mRlxQszif5j7b3kgBra7hkTxIL4DymX/37s+98wS3eAOgSYN3qYSYaykXU/EHqu+D6gdGsFC4cc 61O9gQIEoV0PMGt/oO5iIXyTfL8N+/zqNuVnqp/PaVq+zUtUks5drmIUe0t2xZWJCeKw5wKeqWvv iEun2p0rrP5lQG7+9pJJsr4kJonimxnfa6SpvM/8Lib5nl2xHhQLKdezs3UtZEwzhK2SxSacVSuX 4F+awIHYUMV7W6Q8aaMTWIPcqdkMdNcGznqb773CO4c9oj+EsnrcFCDfGYttb77pOzGuTnE1DcBj +C05OZmX+5BNLlWl3XlChqO48TNZYaRSqYhO6VbI23gb4orCUIaD9UcjhWOtyXX3Pq/IgcmxzG+b SiDNcB4I4dT9itkLTDDQufC326lrIHTrmsC0LOSDrfB+KDgalphTIPtStuhkhDyNF+lJtRI/F+3z e6e3VR/1dJozDnvKepRSqNFj83bSSlXwBzjjfF25H6bI61FZDkoHGiZj0K3LY9rKYCYcapIEPsb7 fR9p6CsxkDGcSmxbSNAH47pHQG7I1hqeKpolLzovuAHA4N+RZeHPLaL0s+lOejEDzf/AE/xDHFaq tSfQ8CWKg65LLQYfJqhNmRQOUF2RF+h1+lW0g73Lbdk/ylqzq6HRD8AE035Ql2DKFOZHZ5Cur61x m+L39c/O+tSOEjQDtOock1jRoOJteJPvn4JjN051NvWcIKf16Gvp5D57JMKZNM/01lXRo5CrDF6P 3esBFOi0P8eWRp3pHnmrkJl+AHfIcdAOoLMSReARtZE94CEEy+r36e44OY/mnqAmKXLYATUOpRn5 fSxa6OHr1iqP3TETIBwHjRdv8/YGK45hUIKGEGvRd8l0/iSwkwJzSSP04jfsGBoCCre8WFMT60oI 8rkxkxudoLP9LKUGRJGVwM8sgareov3gnijKTtvStbts4CC4sUoFEOKy44Fyv7MLj59cUvuAlo8X vyHdtFyfAUYfHynAPc/v6HVW1dmeyMlGakwYmxC9i/gqAyyaMH7yebAtDgnLzLyVXu+OR7huQ9JK Zyyn58QXIeV2fxOnluHP7A4m9w1F42xOvRq1Vk9CARcPoQhiv9AHjilBKrDVl6KflgE9kY/l+hIc DIHojNDfRlJz8OGHN9TjV6v02uVeTiRrrR7f4eeyUY5gfvCPQ5GwVIGnV3eP8gI22SL50JGfw8EH M5C8/0DTzWPV3wL22GGSeO0lqonXM7LBI27OutiYktcm2LzR6tBnowC8eWKQHgHGF/t/s3jof+8m FJrhsefpj8LukFeE0X/CpWUWXcKpgxhq9DSR12DPLPDNwhdfKTgCnOLXyr6JI/p+rNX9H5uHuRYj pgKnYOHF8SCnBbpyTuVjb/HVGbQb3zrU4o17Yn57pwVlfnZ4hpoZt/e91FkIh/aexvXPjT4MsJnl AsBzCZhByXepim8JwfhVT7UH93538ECvaZGBR7UsYkJmt+ETqyY8+/8xQOfMsJEpIPBdQJKpiS7e 28lhVyZQUYjnuGKTZZjSX2b0Pg9fMA/nFr1DqLNlYJ9gXm8X+ikNfcNdBJSfBJHYVGlBdViSRyLu oJ5eQGAANpC84jU/V9rITmwEQGRZ1rSsqhv3PlUePPuexUEEPyr3iVZn/L3O+pbCW4srvbYl/YHG Mpjn5zRFZcbA9DtbP4WvlkPpUVBSAIYcKsHwW+TuF0JlOVN65nlvwn6bfNUUUJzlk/TnNBDFRrPo Rz6yKR9PiWLCTXCXffkBX1XLEAh1FanbRRVAvwgOZTA7PFpzNPuxFchVyWLhl/yBkfUjjJb8YzJz K73U+Qch4zM+W1nqs0q0689CU0JfD2Y2He5Gr7cJoigDHbVipugsY+vEqUopY+dptW4YncNZvu+1 NfAC2jDeB5FoOrwUB4ic5mNosoR2Sxn03csQkOZdJH+c2jkdyvmDMM9nqjXgr5/GUEtgrbOqFTfn hfjK19uAl9gmucHeyZlrHSgoIQGxsMsKnY+/cWvP5yton5/bj0ezim/uSdG3HHN/jiicwflCJ80m Tp0joxcYkkBs7tv4FEbhHo2ji9FWn+hia86yzJ35aeUHM2Vf822C5uAfEOy9vdOse+vMgj80JxQz tqHMVQuypGEMjSIntajlFoAhfpMYTLmZMKl+3NBmrNK8VoCpLVnqJrsJyVCVjRCnrW4vcO4BP5LH y1GPLGbTUB0qtKOWAp374Y53G3uDwrhIqvU3FAo4xJUtBiqX1TqnjRgwZ58vot/kLsRMMOStIatF MQuzASAikq7GKKZHAJTq+pBso0Or3/0CvXCSFBfNH7A84qC3CGZE+g+j7IDVkHZeBMmAJVXGBp8e uOiKWIJ6OUJKSaNoUyA/gK3WYGkk0dgcffM7egSE62F+ZYZ4l0tA/qHO1clfd4HWsyGkqWBmiZc8 uSwKcZstw/YSTJ7Gis6FQ68SIOjn6QdhlKYJWYaIWWxP2Z2R/diPC2tzdIgn8/hZ5vIUOnl+Gn19 /pbCAVqllczRomLfZmyrNjjgY0wsO5Crj25uqSyy8C77oVtCtn5tAYKfu+6NbjFzYq9OHkttPtKu ySCWsc4UxnoYmk4WWxVrvW1qypB2H+Xp8Fk/ZEA2pCviskImSheZ6ItBd0gkI0KL9aCrnGqF6nF0 7vlbMlDc6wlhHRykm+x6Sl+7qjLEKC6RZ/9s9n1cWcbjkE3so7r1jy5jjl6cK4QO2oHUU8aBZ/+W ZTkPKInn/g2VzXT8mHtodBga2VPW/o+SpoCAUdalfhibjH0+jklCMnur8/39TQdFI1Du8pKmBWwz 39FG6GQe5qZixaKx2eaozz7bSxXUqGC2TUQktVfw0fRoS5fsxwik+13W5v6aRCseZjHZgFtVuUjx rWAhTjUu/lLuKD+wBedO56CGv3z5lNFI/2b8IrH+Dm3VesfQ4EoH3P1ZwEVOL91XEXn2sFErQgau 9YS3VZXabapgyxp1ZSEsttyU2rF1gOQq17t4dsXPky6tigx2QhlI7TdDa4oTAvng/Ft9Rcq9Ybzr Bg3/rRA4YBE1Ezf6Y8NyN3Z0HWhh75R7CRWOVqB/wuvpvtObkJOJfbk5OuQdpgDTWL/KHvxg6J8e c/f1hIjzaNfhg6i7wsPknnbIrRUmdxUK6DJi6d44AO6sc70Gybf41iruCXeYGcksy3LglRBZqk1r aUohp7Dfm7VUJO1lrQ7rcdjQ98rE8KSNotz50jSqsSUhzdLzmjfd0CJhEq6zveQLdENbh89l7EuA L60fQC1Ges+u1IX8Suv0wMONy/5Dfzigt9E0URmJ1kJu7D4s/X52NBKyAR/5cskUJzFvY6T+/rYk Dlci24Yi8gYiP9TVlB7g8qxOq7HhEygShmCf73jQGS5kE63DhF/SGKfVZcyiGuzly24bGSbjfW7J AetCxZmIR61AI4tOlSkoi19aLKOV0KsQw8Zd8StIYv5M4opVzeLghPsGkvxvBUI0PKTrU10QpOJM nwZzhOc99kDTiv3sj5yAx5BYr14AMfOXTTweB8mPfYSo+yZ8KgOk4EBXCBGjU5tfUXwpf+afxo+X 8KmAuntrH1Lcmn5/+h8fCl//OLI40i1bXqlvqMKT/T6t0s9TNunPrNQEac859QhJ7HK3GYwxpaww iG7pndyS667+Gu9japTCfmIllpuFf9Vlb6AGeu7fS70TBSxoUdjpa6uGwyCb0EMUQclSomwwJrLm csfYXDbEtRtHeWub+dqDCUR7iiQpYCp6Ifh2lAj4cfP3uavVE7dUNvL2Pzdwz/cUg1pxVfkSFLTJ CJj1ajGldV+Pv2hdAEfmqidx340qCmrMduUdZylTQJJ17jqDzacfkOBZ97YtY9EgftK1hBzpZRMP pDUcRz5b+XBT+OKG7IXCrmOurjSesTT33WywPLD9jhZsIvI/Im2Ha9szFtpJyF1f43XyIpEhLZ2z eqix4zx3gSeMTr8PBztgIhj4pxfhA0TyNo6IRVS1f+6rdp6LXnNYrenyQQLyxrkXQ9xrGBFBCB/4 Asn/OgDUbrzqz6dg3Ui0RShztu/kqt4w6xpVa5j4sNpvgucmrNza6T/HyuHEPU0B9yJzplDvEoV2 yfDzi/rmRGwbBsxqGIS2O7wp4vbpgHT9EDSln5ejgEoI44iuLnwTLfMwhJJV989sPD0ZxKF6Ythy j3l4QzrgqShjGKaJYRdXbmeSfwuKoxw6FVBSB6873gchR0fxRTfu9ZR1rJSnmDKSXSugo0PThCv6 j8fucPTUvrO3mPe4Dh0WxZ2DkEriBNcKMYsCROa0/yFPWsKB6hPFvRqJAVhlKsyKg02sCcSZmoeP m85dekaTPy0dTmZZrHUDi8pxMnvCMweZsIm+1m+chQy7xpn+Xid8HQxJma4fmsPHhVhkzDfAbaIx zg9Umjpp3zHvaOaktM+NMYQu2fde6dYXdUxWoRVvqRccE99+6l+wA9eSvJ9lUBTHefbiwMi5GOkr DHhKAHOm+sCMxUoLwhcW5rUrBYgTxxZ0jK39Jhww12tGGSlUHu+nt7pLZb38nq+3B+43L01DzP5s JUnS6M/+C9/qQTITHtViSabjWgQmD02+XU04UFROWBJ+PB22QylYWbq4XCCl9cECXOcQtF9sOzjZ vpSoeXTIt1dwryXVJ6o5DW1CqPAvbETjoSY1a1kcxJj9ipFgzsCsSZDkCkus+JYC+VgZX6QJYLmO UP/kymmJ6VtZE8R1KrM4fMDsHnGm5mSyteI11smOI/htyo4Dp7Fyfqb0iAMIQnM73MVTb53O4Bx+ XpNQU/uYVwDWQszjm7avuLnQ+hphU1+ODVxzOkxrCNzuPBLALvc9eFUFheB6cphFQhKcbEqDC99c Yj3xgBzQ53xNAcsHa11iQNgaZFc9flxgd66A8kZtksJD29r4XeP3Q+EZhyNAx/NNFITGb9bjRhdO MJuNQfSxvSoFj+NrJrX3POpU6B5iaCVIXXl0otTLzUqBFB/xuAvVkTtC8EehBUUwS+Zr9t6Bh4yG nw0Em6CGCaFv4eUnGK1y0FlgZTOd1tqIw1lNnFv3K0fjAApShaYC0o65h+1ocdN9dbprb9Ex+2DS /cRziUVLlIpx3chP6vVc4Tnq+mWQJZXXG5wcAh2wBqD8/cC+eyiw1PYvB2C7d/XM0T64UTsA+HCK jggeYmgiKsPQujrWSWLxIKCoSYZdhUAo0d1S5Ftv/kWjuuJgaaGFcMMLAhvfOmhKLYqr2/ApP/P1 EAw3ljBk1kmbPo1a2yU7C0lEgfqA104lkK4Vh+Blo/eZS33+zdy+fyleazIAOkVM9HJvfMcBHWzj C+F/dvjV918QmJhByXuKml3d3eBEdpodMPYp5TmaU70wg7Hn7URb4SAYhoPUyUoEiZfXYs2IXxla h7Vshlr8x4zwD746BWAYc1gC0OskUYMqDajNJA1CoRSTWJDwnj/dY7Q0+33D6UjfeQ06qLR1Ld7k fH7DjIURGp6F3JNwtxTXH3fkspGbxo9Jma9u/Zh8SxRetwQVykOWUHeSWuDloyKQ860AWKu+ntrF TN7wj89nYoZF3p6H9RpH1CKYftt67vRAlhQPPuaS3X2u3+NYKHPVY5qKD62aRjyMcaICIEkZC4eC za5b/lJQrcK6r1530XrR5dr6YwtMeUuX2TArggVKKcNmUSybY5H9aLoORevcr0zhBmczV4xs6n2i dMbyEoazLV1cHV/4MqPY+mUZwpOpDq78GZ/woflf7CLizr1kExtAhsrnUpG9fdL7RoNqhPO4lWRo db6/WsOu0BA2GoY+spIA6DKGt4Kcge/8vXQh8K5X81KLa2pdEZMl0TEVYiviRv3fn9R+YDMdV+3y 3AwBKt0X3F3XNgelOacxDFX++19VPG6+HK6tYshKeuaEvTELsyguwiXAGnV+Qr87oQhui50e8szT RkMgoJdOscjTxFHeTObV6eiDigjW/rTpymfl5wEPvccSrgpFxwxquk4TJ36KBws3dfOu5xRymudL S4kK2Ac++xzg9aCQDeKc9ScLocR0QSVtntU8INTgVUvTq8FubVwphu9oVj9b7Le7KnB2w7iep1tP WknNzFtyIeKFP2CLmk2Oc0zkg1N943sh/dGZjujxE6amzXz6wps9Jvxe7i4Ld+cW28gCuTz0ix0w kAaxBDruWaBE/YH2nhwZMoudRqIHaLM4SR5fgkbDsfO3uaY80ppMuFO0JpQlp4aLDqKnw+25hwGI pM7dtyHlM96TZyIloJB+9XAEsavBRsIIDXkyyd5SkHDLse6iPv+hJ3Ji4HoB8rxG0ZPyLB3dm4Aa AgAT6PHdsjtNlZ2cHzr4insZBVUoDDQ8pRRqhmkSSKjZGQ/sFZHsVBTS3gxuSR9T9f5S8gC5sfNi btSM9SHXzi5Eg4gIyYwoy+n2TBzmt905GlAwRRWrdPC/VU3Wph3tezTQvcurhSzf2Teba77go+ko 8ZvB2qsT5YqChYzJSz4S3xQWYxCu6ZP9U0q/AzpAV9Kda4tEItURPWkw06dfexqaCkA37aF/jWIE 8cToCfQb7eJxIM+t/kzUT13gcoEltjRl5FZ4K3IBMggaRALtx1lSTzjKJdGk8bDW11H0Q2K9koc5 Po1ZkZZCEZHLrbvE4V0Z8z0TBWQGqhQU2F2no/RoGsocZ7BWOgxM47snMPZpEelnX8ZDh2fgVNOD reN27i1iWqhyd1/f8Ye1sIjuXsPh5AMgnzyfvv8uKae6G0qHM2dE+T+Rss0GfvsUD1oUPnKbaa8S nvPBOPaYTytU2XrucD496pTUUGm7qa0N4agMVVlFShlsSgvKPEt70KdoAUW9Kl0qCulg8YevYUkw WHaDCDa5/It1R8U5nOEocnwI3x1Cos5I43uYjH1oLvPWrLb6rtZtNkztT2sConWI92sgwHojzrz3 +/rL4vFjlGrWpB7HlcZl0foPV6Z6vYAtEVKtq57i0n5jJgc4S6GJ791Tzgl28ZTXU5g/AIX3TwW2 UObtu+n43Um4VpiHzxicI/X7TTKQvvVi4mTBENwSDW1Zl4uTRucD/Jhm/tL/U1T3orOpsmifmGr4 MqoLe6oWeXvbaqJbmktoH0693ZssagDrf1r+8rYovQ4IpAen65xKVNgbovSv5XEhcRy7rtMRphEp JiEe70o2DwM8ewS7N9uYOxhPXAfViJvWiU2UhH23IEKAZE9p6PuL8bUBieUJLvp0gSwIxVcV4me4 6ZxFvR/2CrGSZXJoBjrbErwdKPvuDoiylx1lkBLvrsD4ZTSdtZu8zkqxprrDsd2QwYBHYUcvR3EP bDkXZWd/UH5LAYP3f+SpPfiUWOeVq4I62TfLGTm50JatA3s29/ADGdGEJM6LMn+5ODH8HbgUn3ci YB6Wi7++i4FiRFbj8SFbTQqKwsscQp5BNFLAUuesehM25F/x2PqraE2ZHBs9I+l5QMYphMcWzWjY hl4R9cCKXcbzik7D4jbC7PCtLEFBGHUij2Chdq7FAmIcTcJWJVMLMQJLn6DbmFBf5mTUm3C0BwaN QyeiZvyL71/xdWrE3DfCE7iymi/se0gn2TFSwFLuW2oIuZZHprhfZesE16BYmFPuSKC5G78356Km Z5/nCVkTwW0OpMLUS+jwINkeqVy1rVKGWBqwwpPVSxo3F1zFWgiXzxhiVaTWEHRuuXAscbd6HYH5 9z83zoq1FQBByKoSbk15DFXoJUfmMp2y0rC6ZmE0U+1QdGwhCHbC6pgJn507gQhb/EGisIx7mYce VDrv2MGcuDWWoSFrEVNHSlE+jLF5xnBYFJ64Hf+x/Is74j0NP31dk5ZpZcVDZcxgJc2f8Qz9h6PD o28PVnjB6A+IMfdgzerMpBlS6Ic/AdnLmTTOnCTv+4JyeGGW/G8AVtPDVrmCG4NdC2790+Cf40vj xppbt3+s0l9O8LPUv6zaW6RU7yAEHuzle3BPyAUk/+dYniDoDTfx+MvIrmoc5eQy4VRLRkRKiH7V MCYoPF+EePrJCf3m+JhU05F1Uje4i69tjbUD/mb9EeTGaEnkeU7SNHdVEHpir8FVt/l9aRaX6uVb wKQkIBQ1mLyuEkIVae5rdUoo50HI1vAY5Dqiwv4eJrBUWW1eqDc9hlo6X6u4rBSELaVGD4VZYsUE yFz2BqRHehVM3LeupIKA0waKR1I7nRaokEKhn9GtovIMfmTnBwrv/oq6dfsDmRjeyplSZwuD9zHz l0pjgT70pk2MfA5xuheJT9OjyPA+SMXb4XBLW3FB5C2kz3ddIe0UIwIDMPifsLCKhKSdCTi48hgy hssvaVO/tJvbVbPKGzy5czxLgCA1vDWXSuGzVuPw+0/khqHLcLE0fEN9C0h2pfAMwcoTU9lcsb5+ ejKF5kPsfArwy06hIG6hNGVKib3DIifMn9bVjAZ6yI6AIkcEYk2VmCh+aF8OiQICAHv+yoV3EF2q mlGKEFnjNxW+lcP/dluRP3LWg2Fdxayo9K3Kd7C7DSR5OEj2gLARcVWdO9Kah0CUq5Zvdo87Hllk uJm4MdcugUlJqtRZC8MIgZ7nh7ZQeA88A1PQgUO8z6akWTpTD4tKYMdIve12/rbNzvDqRvNdQjj2 vW4P0XRt6B6O10ccchgACd9hY80B5UheMFhV8bBJVUp7HhGHHklMvPhSJ414R1XS6CK4VOyoXosJ c74wSUXmAg31KXn8GRhJtZVVnPq5q8aQZqJuH8MqD1AgReu/BRlNzaXOXe0WKOk0DcGjZV3BI/a+ 5vt0qfqKe4aZvxL04/ZXrORdORAk7MFibjp/xIKORNLSrnvLtWQ1rPdUkF/28K5Anc4K4N2Ezs+/ 9WCb2R/FtEkSaZ0Gl27z5nmx+sSiwfnHxHUXe3Z3tML1zb8dBgVBN+7i61pvN9N3hBW/ek0CeMZK Kd7LtGS42816O77M3tvzme69AwAAOnhlQcf1gMutPxVCvIvjbJeJt4u1lFKIkWW5cZHgCOna46+C ZMtTERn5YGOfoZouNwpln1nWFzGsQluQ5Gs9yVLfSL9OnqSraRVS5Bh3j6merrsUGy+Th+J+aXPc 6QFFydfTI32EQWfPHBMaqY8qlD2zeVVJ/Vf7vBtesUpw9PLTRXRPYcnEMmN9wgS3VnFXanf5VsF1 P2MwI5wjv1oQJiZU5P+H5g7WaP2VeTuSlyJD1Mpp4t7KVYKuMXwv72627Hgoupwota5PTxyO6i29 dgSTfB2AyAJHfQx4iTjEQ7JJWWbaZHLeWzcCOBIY/OYheGjU0ERfMIACh4LaYbuPcyVhA4msr5NW gJI9NZkDyppCPSn4iADsrcIxsk35OJdJyJjn/Ggfx5cPFrJ7n7oKk9FPMyXagVl0Q0ZWbslEjKpA NkSjuFTEh3rtcuYyH25Suej4+/zg7mLxtkqVaOCuCQiiKLp/dEf5B3aEjOvPqP3F0o5nE+DP47K2 Jozt9dgcH/ArWey0utxbDAlSzG0TyIqt7ASWlAz3Im3heknmgGaPhda6b9FgjMq1zxVZHilpLQzT pv37pyaLwE1ocPgHIYKxczPOb4HNNo0onOEGFNmNkx6RmE8u6qpihLPLmduSShzwLPetepyhHMTn Vd2KKifkRqpT4lY/ZVEhbNK+hcKzwBhQpTMvd1acSVFTX88XDrtnWxM9IXlb89B41jI3ZpSvYeab rMvD8WdaZYqkzTU7sfJ3IdPMVnXDeXhr+vlPcojFjOe7sTMz2UrLFvrefPJResonx+ZmdSEvYkRs Onjs/aJQIsy9bw47TfJWn3I1sa8yg1L/KkZJE2dIEsjmek0Up0ym4o6K+KcDHV8LNuQxY2X0XBX8 1WoNb3tLVyfRgY++QmSFX+IomM5sx7vJ3GK7p2Tm0NBpCXOJRzqQykScJJthb/TzkXyG3ymQXGny 2N6FXnsJSj06eIsEN0HVf/0+wv8mcZ5GMPBE3DYg9qEVD8hrg4IkRhWY7/7+46lFDLsZIDEMDSYs 0dSffHQiS2mBNw4eNu+ievzLQ882FZGGWImNKgr2BQN3al9aoUoz9FY8u8Dc9B9s/p2zp1AZKD6G Q9taTSEWv9MwpEFzzTCA6/E/o8EXzBheHDJ6KnZ+RY9mluP0+phE1T9k56q66exmUWN/xpic0R/z m/R4T8S4wdIE4AaCXElZbL3JYMWyQDca5KjZuu62D6EK14Gtt6FXg2EzeAvPvYYEjDLpqAp/njS9 OtpcyZNQfkBbfWeFmchTNAANh5lVag7oL1fqJ0/9WYREIYyBqMrUTQDc1N5mYpOtoEeEHK8JzKLu Sv4aqtekYGtLoke/hLHZn5srVUwqQMuje7GGTUjDvLWTZM1kLTlEcAfO74hUCmOZ1ch1YIfqAQZv 9FQaZosHQpJGv1x8AoOKt3kcz9hyjDDm4DEAud8Hi1OV7TVnRHZsAJGvI4RKgJtzj8q4xIjcphN6 z214eAo2egvvRtiMTcsDHwkA55u9d7lm2ImUOdaeX3mgxKxMsOp0jlYgcKx8FijParDzDZt79f12 EzoxIK2WRYiQEwrU6k7mUwn0u4gL+aiN6Nvd1NNjEiAaqsF0W+gkTvFgw1Ly871Y2RgxbMxJJPL+ e8BOT2OiPh9CmFB7HuVXk8J/OPkTaFvZDMac5CmX67AvDmjaQzigXy4CDnzklfDUgxiARTDkf5B6 Ep967BNVxv78TX2UkbZlKVnmQWM685pM6+M6enQhubiZhzVret6wTiMgjAhC3gcSBZOof8ZmuUnh quhlD3DzilcLi5yIHbZOKNpIDgroBKCEl1P5WJXwKbaBk1uv7Om/LNy5N1JTg0b0JA5vtQULU/zK DMcfD0SZMEImwX+vvZZeWxtiTRLkIbooFV32MC3n9IAU6/8rDCXBQR2oJTBYWZ5Jh2bvayQMxuEr KGMIoc3ys8ldBvTaTR6lcIgaTugICn6f6k55eAAewWN9qWThPrjc4DNRleK38ILcBvi+JOPcMkF0 Kldi4WSj4AG/B3br8DJXzS/T1AGoqHGHu72vvwUnFgh4b/EJHxz/c0KCSS8uTswu2rgOfPE6p1Fg 7CfIbCnXVY1aKe1p+pzwAjyJg173YOcK13TPtkDKJAPNMXsbgF185F5Kd0i2qxA9KNYRJTCQQioW C73IIZ7GrMbFPrE+FbBvkAF/WW8SRTJV/WSdj2jarGrCyUImygVeVCw35GvnNMte+CXBttSPAN+y E2WPq4Oni+MbVdDcoDIDLvxysIdD+p6MpRznaJMeh/33S6+yWRm44mk0m4bcba+I0S8jx127N1lN cdvWl3iaFdlBXaWa8ajl2sLiOAegJ13l4TqZPT9Ru04tCNLuNen62GSf/KGo8Ud7rhdaBbnWQyaP Gp7KJc30aYA2ALgg1WepnBVgru+C1/6oXZ7GBLUqdcQp8gVg1YF87mCQCZ1jGwLWsFUvzVuqc8Xw epGCXe6k0HyflmGkCsm3QUMxVIAAEpkzVhrViBXWKRVLdONrm7o3Vvl3ZslIqbOZv1OB0l7WyvEU 7qTuGKZiV///NVDymfiE76vq7JDpyJoE+KRA8JVJWm7TkN8QL3d8M1MSgJRW6EuYeYadSRVkLfzo qRhzI3UOVhLaPmAKwCsqhnw7rRJwdmpeCVGE5J2GUpIvgh4dYP67b7NAf6p6ZuS/JZ5erJ+4fsgf 17bnHdBXpf7vCP/MZ4cHBWA1Tpso11/dHiJGTijO/6txhFAdm4YpmgJ52SOiBl1HnpUuWnCHx+EC RuqSfn4ikoBsXmPghLRLKH6aUHnydcWzkYc8CU0vxkFEpeAbymRdZyS5YLE1dGa9zKLdB8CkJta+ YX+S2UdfIGSQsHo6KTALfDCngHajCTKwfNbRWiIXCxRmiaVlm971Fzs+q8dHM4AubzGI0wHSHDu1 Qe2dF9FCVkxxzr1i8rTWo+/uN0RkWl2T3DWW0QIE+O+wqcrBRYjXI/Dixgt6rgy73m5lkVRsJCAP Diy6IpB6I+RUX7oUUcty77SCKYQ24wsxcSkGv9ans5cIZYjcFz1VToADzs1zwqSjq/LcS7ltJm2y zTKRuwJZ5/g0mExv973GGahXzka3P54o6JVi3wPee8dE3XTf+S7h9Bhyh8Gpm3kGZv3XFcIJw7Bz Xsz9Q6s3EfDTdGNxVYdIuiGM7CDVXNN0BJb+u+bOfjnHZN+YsXaJT94WOreGVgNgWNAsY94hJMkM 5wPT0mTY+ziQhRg4uvTDGtj00wT8ktEZe6Vswmli3aD2yGesAi/mQDexoZZ2y1pHtE/3m0fGAFkq +M3DSVWcJBtCzd5C38/q32p3evG1ISOqgyyAeUpvhwetl6Srg5sLrQWfENYoAuPmMPjSCHnFjnKV EFMv1MfduEOxOQrGERCQKQ9N7Nx19zUOZ+uxAh65+8xa6Bl/CnHFbg54vbs6TvkX6ZK0caJONj2n 2XA4PbWDggtdOIAfjxwGG/yezu40Ypr4+JRxc9fqY28/AK0xGPv1iMTM1z3NBacCddjoVN86ku3C 11870p2RFJn55QSXshGOc9f+ohC83nYRgaAL/hM9YZ/mxSqL8+7OH92d9S9hxddS2aZNxJNAld6e fImovMseid+4TsJxSnCe2fsWbXa3ODzBDYAtMmWsc2VMJG529QjhcRNrWoPQrczjNkeCAadpatac aJmqtwPQjroEQvAxb1MnheIGFQ5iGAy6U/49JhP7P1Vbz6R9uAijty0j9/IrX1Ioj31Xy4eyO4Nt aLiyLtkpq9cgeHKiXyeFWVYis7uiY3cre74dvwrWrjl7ZtAAa69T1w0AVvPMNL4eGIjK0ZT+v6Hc 9/AcKyeoO5g8EUEGm9tdeImTARPSNxp7T5gUkJ3IciqOMFBCd1WBKvyfBaxl5AukgTHCC4xlwd3H z/M+tdf4fky0pFbrvdDkAmSSTU5P5PQ4Jl62ArXaViT5wD85ks/99+0V9e9Hp92+XmCcn0tbKndu GDE6ZUhCDiiZ7vZHAfHt5IFL1TnTKVFMmwCwvG4f0eE0zJsGIuuGmYFNW5HpnCIrvlkzKn5nsa9q +qXu24yzr05elNBy5poAmPAhE0vIrzb2ma5/ZNXqh/fd+qoiQ8NYcEjYjZP9cVw8/AoK4alXEfDp 6maLryhr4iutgUlLSTS3TN0YdBnaBeI1NZjdc6Fh6PDkUBDcIqV0JufbvKHkPh8LWvkhj7toPOPn bXUNzD/vKUfMaADP0wh7PDx1gVx56oLZIllCnywfdO+FjLtzN/4oCACGg3JrG/ZAiELgMuSfwlhH zLfX6d1S0C6p2JA= `protect end_protected
bsd-2-clause
2c8cbb2ac6a5411b98769fc953ca5366
0.940099
1.859006
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_gen_v8_1_pkg.vhd
27
123,409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nqqBtmqfflVo0LfdOWD2OeylbTCJPLX6XaSqFQpCXkHX4TF1QAXZspyiDVaQlwRkat06cPZ5E411 bTzbr9/qZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/X3qbnpTyRXgHhmurX8chlDRL2XjwnbHjo5m2aoqrTNSVAUPYEYGIGJVoJhRP1Bd27KZbGI0BFX fZKfju5H4nz84jXPUC/rcsp76WTu945qoXwdo30XI0Qhi1w21P6EhLXccz1l4c9zfTwlHtVuYV2c xkxHRh0F8KrrR61HDHc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jBFh6UBl2pQmyl/KNdwY4r9ld/Frb+RgwTVitzK9Y6Fp+6xDwrsib4d9Z9Trd2PuW5z5/ot40n86 vR7VZpJnONM8UmDjWgdiB8rXNXaI1rBfme4TQ3jj6RaF803c2cAi4cdZ4qM3X7V29W2B5HXbYsfA +fn+v+caVjEUXZHZm4HMyIR7TNVnvmCWeeLj52d+u3MrD7UjjkqtqnRWdy0ckM9p4TE27eiu/nsz awiAJoiVLZNTMmdaTdZ6vB/sS67SAe0JjX1nTwssfK86UYU1+n0NLZ+SLB4lkqxmhepGPNojfE8p 9hJaPKOTV3d/umJbTV97L90iPloNPMXpGK/m+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cwUUX8orCEMoCaO4wbzIkA5h1G/QOLlup3/J46IxMYEEhFnVuE82RZ46tcCa958uxg+L9/l1SnQ1 1Qa6GFDzaEz3zEcSDS+t0jFMPNI7VUppaIgcalGdkOXBIX9fihrhASeWjqmTDrUSlTt7Vzyo+3TY n3HFHRbTrCchXcVswqs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1XHzIMnint6AvJuhSJyN/+kraiZwIT5ZFNyZxcRS4ee586ZcCrsBlqjvo3awgeNWb2yZNQKbtJY UBJT2Ww9PtMdwpg4MPuZFMCTECdiBOLjqX7gX0K3iBdA+35RXRVkpnaon7ABi2dY8SU6a03iv3ph ed9P79UVGmdGucbzSQNo8vkiW9pS6ZJElXKmEibSc0C9Vw6VmCNdLosnrss+vUEVkPDu65r8MqDO 9/2zcjIio0kfnpSLOaIDXqGefGNR89nRv/NxKymzLnDjvK13FSfKq6qNfA+cXOtnv8oRuf0tdkh7 e8F12j/LQajA5bXDfmPQ3bNX4Qv06vuQ9+MAAw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616) `protect data_block QkNTRdneAbN5BVS8a/eWFD9TPSE/fl6kXawkt+ZIykcCreFP7pQVXgpXfDq48GDt7BVgI2EZdud8 3famRDRPxpZhJdv+8hH0VjWY58or3IECqNmxw/v0zoxk2ds8/gzqXfqxpQieN8bAbDQpqVev4C2w AjMT8/kNEOJLjBQzmbWpTqMCgZfOF4La2WG7wJeAWN0r4AySjkQlz7xI2jdtOc5Sqm9Qqi6fcTKA 1grQPOnYG1otjByyc+q0aDJ7/Dbp6T7QjtrQuOs+lGPgyAzKBIjrkIETcDNlDy76xlOld3GRswTf jJmKggUo0FMwnkLZ7vFwP+FyNZczuPwYzZieDtO7HFhvDdeMnNXe5bA0Hhy9tR7xEOsneBXlip9G s9qW30Z0Ii3tvW27E+W9DcyOeL2OrEBIDCzdhNZz+CHn7uhTFlgjoD6JQpNz7aos/NkMUhB+ZAuS YAbYwmkTZWUMHQxgHE1h5hTpcILymn3GsX75Ji1irId+1/sbksZnaEpfaakdcXfXErBDSrAzCSVP OwX9eoUnHw5O6WM8kqf3F8o0fIglbA7wB9akeCOW0wm5cEAVcbklSO8AeetGVqbmC4FG9UwyTXid RvYiyHwgcvFh4Yz+U6Sney117km0k0nJ3/IJ042BgcO0LUtXYWBGtUeI9DGzXgpXWd+eER01vjUe JrpM4bYBmOVmZHH6FePTpky++bGtp8xLRkIedfDaA3uDHzNoYmaOH/K8HuSfN+AWhmzZeN7TMTUE b278MWKKeINN6D5wXMYCWejf3k6ZSvtToS9s1sYlVZFOi1GRrRilo4sp924fxzI+PseUBmHOwjx/ lWh+l/STrJlWmQDSydAf5XF3eIRvJSzQyOwaI/jjRghdvHcdhGQUvVqmz9+K/7w+HYafR2XcguAM /moXIPIKPI2D6Ygb/A/mcAMQDBVgT7gfuc1bgoOqcUtD2FY9bhXpqS6EGxialO4ns5Bny+jDAw81 zSB3ZLzOPfTeAC6jRwkVfpxxEbgUa3ESi5DQKd0ISDgmW4xyv34V5/xzvO2qcF91j8nOBgfsGYVy sbnQTVMVm6nahiBdy/PPwaKYgER6MyHJiEy265Y8yDzu5IJMteZKViXk4X3NHWRFUvTrMGjk3qeE Gsgg5Ts1lFTuAr869Tfl9LKXML0hP4J6MhKJ5us2O9+b0w90SHSxGq5gFfilHH7eA24xQpwT0vaq S5EBV1Anie45ZcGnWa0Rz/o6WX6nD1p7nSIaeKGbDEo9Zk0LjNMpnLsEMIma9yrFxo0Jw7hXD77Y 3LL0VxDAmMVQhk9QJzr/KzyNihJwukTjVYwjnX+fNsTvs0xQCyEUTUFtPV6q52ik01iEkwL3PrJ+ NKZE3/Uq0zB62QdmeXCa807koBW+tD4JLcG1DHxxrsE8Ey28Tl3xykyYfA3T9oFPCo5FmiDC9JGM K+wBoN3QSGmjrbFsDGTAtP1kFrorlES5jfvNKG6cagJ3FNFAUAZxrldrlw4zLRYyWdZXM6mMqJI0 vzdjXEhewoLla5UZ6csiUkNOcMkqvU2yL0Jfq2nn51veXzSCqxGSaCpaD3+ib5cWU7URV9XDPa2D cyE4ibQCooQoLlrFoenAdrvwmyXXUX8SZOctbisO+/21GWZ7AIVzLOtziuJoeLCpYMYCtHWx19TY ZZA9WKpGqLKeUwCbIDN1ulm+9MvT5lwmcpmqdgPzvq3b3tatEHOFSP94dm6gCsJmdyvfIjHAU3sO o9fFuLBkij4jmroizCYFB0cvSd52DQwqsjvXz3sOxUG5R8HvGbzFMHKBtKbDMQ8e6W12TyuRHyMw amN8gNnDijwFMmZ24gx6VvipCHZC3oxle3k6VcqjC5YtHmd6N91AvSlhLHJqaSegLZWdq9Fo6WZO hYBiodeHnz8iTo7ZohQH4hiYqzTUL25ReRb4xiq7apbm6kwJcXncV0r0WxBZKaawUxZvYEsVfdVV DS2+PxUwqtmuFbHVMfhpL6dOnYzK5iseEQjZ/vBVxSHrbA5wZbcGlgcpv4t6dehb3eXQXZCZHM+O tnmxauBqFySA/bN/FW2Y36zt9gZf0v+78KNQdzzt9jX4CYETv68wOVqs4fATfV3YD88ZjP7yNslf Y4Jpt4TcCOg02hhE5ofcMv+B1vQoCe4YpyAfA/HNCDBc4HFkHcmMyW8Q+xME7GMuF+Ln1yYGavYT 1GnKeFOWo9rTrDjMdTSN6s58tQVxKh9zYeU0sujpiaG6LYTdKmPI2Ar1Spb+WuddQ81W7S1rVkw6 JN6wfFAY8uFm5Dii466jb//ROmTH1vqObVyrWnOujAE5qap8PJfH1XryWCoa/q09V6R/EQjaV2bn WsFT4IOuAYLtyKp3VM/DAk1lJAgoe6gY2kAr3lkHQdo1EpEnCoQKYSITXoRrrtLNBE/fyAL4yn6D Qg5JUR2o/ukcDf1vm12lCRJ3rMdBwqM4zTW1ZLqlT/gc7H7faZHPIoJOFV7bvImIVx122z1rMjx+ P3Ro1h8T/AQwPbAjgqz/o48ZzW1EIqu5HkEuCp3GrejPgTvWdY+RjA5WjhjtnXqIqAliG7uFSnC1 8Nklbr5PMmDEk/ZcAVBPcmDo2oK0Ab0vk0JFT4auKBljKP4OGFEl2OAnvCiqgMwIVH0jLx4QFuYd vRwdgt8JgsUHONPv6CTQUNUn6X0DJ6LHfFpSGJUzwnAp3PF6FZ6HuZyoRecj4AH9a2QH5Ar65yDF nVNCT1OuswsGk5Fsx/PB7P+UEh+tjP5w4BB9b/4Aw1u9JJzfsW0aBrCuuJtO+f2U2W+tcPWggNAj rrRJ5CITsMyPRN+Tity3SdnyHKZGjh/Y1oAuGR9TNq82y648Htqg+VWBkQRBQih4c+J6Z4lZviza h+/nFn7DkHQNF50wQcNcJO6oOiPMgvGvktr9gzIuJHkCNomZBJHsxsYeuGntWPKlpq5Tyon9iGXn 5CSLYnTRUawBpPK22XF6ADOumhRKG3voMav0vQIktfoXbW62wo1Lm3yQPlHx9fkYN4mZ3IS3J774 BZhGJ5X2/wURUv44krR3EORcBFh6f0NgpdrYIyNeOySrcx20HWU1lKNFYIQtR9s8Pd3LeC9aCzfx VoIkJujFb/DhJgZQkGsspSCAgzjdYSlI+LkQ/cqrpfsptlONN0X9PDm+lYb2ZN9phVThgGrZIeOW xAsqCKHmAa1UZT4MtYx9dbqTYHDTnZqeuQ3+n2YekFXAhtc/LZu3DnNoijpN4Bjy65Wl+JB318Il 3DGWkQAeU2bJT48Wh+RfnE4RnIX8qd/VnYFzlT4T+VLG65WyEgldT+E3+8ACfkdp1XU/OysALUIz 1KRcVXEyahdJEwhp2gQcxhivYnZNOyJS7qbgRqiMdLot0bwvxQuyEO3lMl1XvHFz57t34nIJKETt MmU9iVGKloVARjqU9RFS6KgntyeTsrKhbZjkpORtxeZUMjNN0zrvHlz/O8ZMAIDzyFKHPnRfE7VG eA+hm75UY36dgWuGXtNdP4r+KwCY60mOASQrhdDLx21ACQxUVnhfui5Ot3w6VzQu1ho5VnQtvRMf c1i+5AOTwoI+kPUyEBB2LOa+LZGd2fAjz7Ix/Bq/6IClBVUupFtExnhXzogtjIEtIRIg7o2d1FLo kKBlb3y6u1yvmj459tUd/KqD+wNohj7j4owGlcc2OKFaRChjZdJdDFqagCN0sOAVYjRi8D3LrzUI v7QbqZxjUIfITBTpFJDU2q4nBwA2skhbIwQrEDHOkRDRjBKj1iZbHu85CNbW8HjQ2OnG29chpZQf v9VtuffBQP07Gz2dd/1uTtgjSkzPdWzf28bkA9Y7wmgthJd5z4FsaMN/RfgOeuxXoICNB+jUUiAr fTNuRhlwvRN4aIO4ryP/EQ6vMMOF5EHZ4sgzA9nlNxb6/AMO/R4JPkqc70L8662wbB1M4LXmnlf0 uavSeIgjqN1x/P399rXSpTqE2VRP99Jvtx8HkXnARCNUQA1iOdtcnxxpmbhqo+Ogv6OBwRX05dXC JD+ij8tlYOQF7kx8xpbGf0A0epeZ08vdgzSqDEvtz3G7AavwAk/bTSyAZNMb63Lhm83BONUneh2E FoYZMDFbo3SBbVWLtflKt034TB4SqohYhKUGrHFSWLDdk4NQu25JcnqwNJLYD1/ejgdziAUL4p5k YX3Kv27NrhLfV04q/m0g5jvtn4M0SBn4c9Ph89EaEpyA34lKUY38O2klyU/5Q2KURSU9q4vQyo8b ljfTd/9lkPBRGS+cinu0YbUZJ+2766Z36jU55MELGHy+4Qb/SkyrS04kpYG+xT+4YRPtEdiCm0cT lr7jQYQDYC0BwWmRaoXcvSzYqTBQT+nRFUTbV6l30EvsAe7vYn4+i31uUcbbwqBmjQJ9OjuGSAVk ldQZyoF56C2YlA8/ZcFbz144TuMp8YQ+OolBUnGibrJpjAkB7e6XnVIcYW/goTdsUc5x9LXvkV5A JpNFYU0AqcO7OGfWfG17hTBpWb6p4FIFJMaZQDFaXrtsyF66HGA8Y80k9HAFCCWTcwczF2LXwy1f WLwMqKHxceQa4+27BYKIidkoObZVuvryemxFkqgQY+T7K9EwC4AP57dRy5LSiYWS/AFpTj+ZbrKk PzZ0BBWYtoMtoDnsa7uI9gYSeEixkbmSFkw8kiQfWEoPJUdzEQOVzNyqTjJP5HM60+CET4TpyPOs QOrbmYYojkVhedBtUutuGec2CfasoRuV93hsze3bC3gtHWVWWIwW5sTnJ0PED4LxyNuaAR+nE4GO sJHHgj/q1xdGU+DHkrXl1tXTo0zSLfn0oeGRi5FL8jzS0mpIxzUgrfMJpoLZ5h25Ax0i+0vzo2qH f+EtS5vEc1M4Sq91H0aiISX8xyuYlKMgs6KvvfMS66VZRsnanVt5oQaymE0c4gMZ2+shL7+ecP5H WdJDDW0gkOsbpZ6BQV1s6+bcPgTV5duPhtKhxFHUAn+ejE5po/312t1pX1/gF72ura87pr2WSONI FGNLmR8SbSN/fNBoc4l8TyVCYNJr9dAO0buo5LiLMMdjsqX6kzi5VHclw9NgbUNCMPVmaDFaVw0U lOy8OFq7OIAvBs7ey0OxDypRv7DJSaq2Ja8LT/fvvTcsC45N4kGHkAH4OFAP/zRDGbybIeE+rUAA gc7IAIKoywHqYHESvsBT+sdBYpqYic2ZGuxSKcfPwy7YrM2h71eFO9ywyqrjWpwCgtxtxkVpCZZY GpyHMizSpZ32NHlm7n4phL9ajN7hOlHgCf3QLO6GdroG27knmqt/IaCxNMyOMa8i1UpGUjog6bP+ ALepqeadNbKmmrFDAzk2cEd4Jk2Y3AVO2nV67t1BhlNVID5h+ZYokRCbrLjt6q2TnIK1RpdGAsrt fFUxNhMwEJ8uytnHiJVkX5NivW6hRJJ1PO7+8cjGbGkNnVgIAOSrNfT62/OdxTHpGrlC1npKzYPO ofrVc03RTRxo1+8toittQ4yrlMpFXSL89NWyn9URFTRNfsk2sYz+ddUSIsFyebqTGYIIlANL9TPQ h5ksq+JpWbx0Rm2C26320bvXT6bxIpgDch+tGAVMLW71AQhRhd4SY9ZFIHNK/FV6CynJdg8yluYr pDiy/nWsYOs3OmCbyKbleH/NZ2PiPATr/2x1nj/HAd14cWBMSBIk8jGOMJ5MMZ0/7F7W6peJkRqT 2T8f0NmCixf9KyXQLbqGAKeoSpLjMkJRxGgyu0hs+OHYMuHZRa2JTTnmSp4+Z+1xdVcQWavdzQXI Ps53je7NzLb+wiHJHLq25Wk9rfZD1d8u74R6pXH09Y2MwJhNJjBw4JJSJGJ8v3+2bS3LFeA6GbQ7 vKt9NGlDt7/eE3dmv/2X7Z66ilW7QVOHeqPI1UZFHw3WhgQZMImqDe2xvOLy0f1Zwx+SFLY16RC+ oOzePpCwO5Tf9maIBrx5ZeSWAVxpI8TpwXODgCpkneiCbB4trZunTWNFGXBXfaPo8FfAQ4r3H6q4 CVllqzPZyaJhpn7Ye+JGOiImmVf2cv8dChm9ognCZjpEJFM6bRyQvnXNcsvSGf714TMOojVpI1lO f9IDwRlyB8AIVW65LRMaamVUbJcPzVUMFLdAzJYJZNi5nayLBFqpLPelEEYA4W1gblsHEcBvhX0o 4LQmbB0ZBqwSl8ZiuZR6VcgEclgRCkTXBdcrzjlmVgAyuXSqzwPFLJ+Vc5SUSgdd7pGJ/mwG7Vqq FNWZBl6Bclqs83rdvCQ6lPCEBgYCm1AvrsQbKG27xa37ZtXIjhq5dyefcozAckp6YSi8iE8RTgHj eldInQJGqk512+wELXydwrses1xQYRW+Z+KlVTUOt1uAYQEm0pLpGoUIQwsXs1cIGFiNKTvf8XeL NaZkHuec7aXpSYJZcJ58HWy6MLT5Q55moZ0Cn04ljcUOdAUXE/VHO0lRT8ZBovd01r8rqgfy6OWI 8Tg0U8ND0kTwLPKMK43AYUPW0OTmJQY6AViFggvq06+VM2/WnPZjtiQLTYmcxPJXXQ1pqR0sfo03 NLS1YJL10+19VjRIpETIn7LJIr2L0VgVOGjXo3TNpKa430rAfsKVDi/arML5xNTyH7A0cggKxpFW HJ0toiQo0rnk1FvE1QipUBohr7utZDbrV9s0oa1NNSiFdcSdHH6rharZrhzZQeBc1ccBDGPxD7ir Oal5fwEasYfy+lVkV4Ug4UKSv4U/DjYXqQJZgNfQnEVp8sROQHIKTju0r2urlPMWEwn0D5ror6ew B/n48yaHMNMXU2MvlMjb8vNBWjly4of/MoOFCYbD1aV3M7OCvjq0Xqf/1QRsVAvGmNSevcqPU902 VogauhJujvNZ/tnkl6i9rYlt078P1IAunNTiRvBRpv0AyaLuyT93pRCnB1X0QkH68P69AuiR0p0s RTwiSiZSO/bOLvzOX3Ut8v4n9MHsKj6puQTk4LYUem576jj5h9ogUm+Mgqqd1TZkgsDjRsAosALx fcCXE/SVBu8xmAcde+5gcsefh8h0+9Rfu6e1vWOX4XNx0vRRr1q5PRy7YDN1z58G2OrSFuifk//c JNZ6M1laL46gKlv+2XHJA4PjTkbZcxYWXuxlJVEOuaodcQaquyw+FmM4y9nB5xMo1orVlPRxdB9H pEVbCEwcHKSUhaxspXDk4NxMGe0R5mI/rk1kJQjrtkeXwKQFJoXT95LpngapG598rivMAxJaCLLv RMYRfsoHkLYY3zqBqZkQe4Ysx1IGgIJqKTGa4V2Clbn2OvY5XJZxj8DqN++FqL9S+sb4CLFygOqt rJAyY6qD0yegpG30DI6xo0eJ5tNFkv16ISwi2UxmjO36yDLBXUyetw+p1mX/Ii4wROcBM7HVnnas D+U2xhG4EGxUSjk78MVkB5eO4EUlzmy5D4M0ZiGm4JzAk6aR9hAu3YmOCIJvGklqfhg6GHGgxw+/ YGQNZEDN5t9DZ6uwg0rCLBWeuqZsioF0tXX1paN6QVLIvhgIILGLaTdCVJuzw5wV99MH3tzT0m7q uN5aurez9oI60K4TAJM13wyO7HdEeCJeweJEHRAz6GmxXefdk6XbsG0iua9o/mwS73n4J6q7BZYI SREkjTptVvqt4Gkhg6rwcVJp3cHSy65qgVS/u6P8bip0MlLx0SXjXFQy4Hj4NbPxhVAB1D47uUG0 pQYhLcTuMSmip2oS1xpe/rnq885ZrTdn+WyohUbgxxtI+qhW2C/wUapYgCNN57W7hRS0Lg/Rbhtc 3BC7zsJWOwkfaZVXAgJJZiRhOsZ/WDDFoFXnKm/yexqc2Ulmcq03bwmtwworRarWdwdZBYdgEWrs 2HL5Zt6zAHJ/HBb9gy6IUc/yKwK5eJSzPYguL5WroRmD+hB20luz4LnFfmZsz2iuSxwEBgK6d0gN d28cloU+LcrXI+hutXi2g9ti5nDCAz5Ta5tOJXJQXxSRcDiLSFl1aYIIw7a0pINnYn8UyHLMcWl+ fq22Z5wOn/krV6v5y7TJUC584UKt/ZxgWyV4I5wb77g7tpsQKkau0ILIrq0cMSQsWqYTDGfymRVg JTb1v1wN9TgDALs+DP8+cWrbr9DeVMgU4lrYjHbuVszlSrG3lOU987MjBzVVZcICElirPiAYgtkY Jgvd+9Uq+VIV/dMyZE9oOGahq4hdkIOPT4+sdoOibvzd9ztB7m8cCCCZYAqSEGIkqIi2eg6Ap4ap 2YDpz4JTtB4H3BhxEMClIyVwV/WQMS7OqiMkwNcTIUWRqiVK255tQWyhpu4gHs85RSUN9XOzZG1F wlCcXtK3LM9WtnD+FJ2gxQc1jx3OBEFNmrwKoDc3398mBbSjnB1/QJvypW7pJLsYaoZX9OEIQLm0 cU+yy6QD1ceL4SPtzcIazOoluXqULnu5R7UricwHb2aEttTv41i1BqVBpWRU6sPolQ4jxb2cNDd9 /fz+GbSvRJL+guU6xAwNCavc68HWiMjf/HvUW46N8zvUrAVL6HIkV1VDleGNtRWw0abOX4ru5TJX Th0i8cEAK/mQCVBQoaOVczxLwaWjV9Y76jJ5pzLpdP41jj1Dnc8Xfe8w0PY+alyTbUQQmVjZuSa1 KkPP2BV/bVKrv8KKq8YHGUz/SG3l4CwUMrrXr7MaLKeRDVF5Ytlq/B+pqYp8ky7LRWdAZA8+i39g idnK93QXXRu/9f6XgBXvHUz6X8cGZCtPhI2EaSfnrhw+r8nj+ACLZYsxkPuG2qCU4BNCxVrjXh+n DXNHrq7wCTRJ7j3pZTZHUzeFa6r3UHkMIqeJUg9V5C48Ex8W6PllGpqkPYvhXDBH31cfsH/DOOI5 K39evd7yJx0PVGrLtHNZzPfD+BjkpmtohViZVm0Q7ZqeihdYKVtZJ22HG0zvl+/zHYDdviajZM2t wULXzlvsLXto3iL1tQeSKxwtyUeX3KWdd7e/xhehMDkCUbUIy/P7S2hUwscvMDBC7Sl8Ium9vM72 sXK8TeYrqp0FRSKL75BgasTFJSE50jJ0Al3D1S+6HOMWoC/owDuJuMZEjtBMBQI/MVoliHppASNZ EIC0v5S23fiPNVbbvcVpmJLgCxIWkdHzcNuPkrLgkBRfco1Su4/Wbd1P+cpNllIeZNtINKAZ5RVn O8OElgrXq9Bvk+vLGS4t/y8ffqKxdQmLWOTEiEIG3MoUXK8x+K7wknOlKbjSrAIcinH1iJQOQqU9 XTmYm4hOpD/E2WTfoXEv0i6DFDqlQKwDBTtlvixLWvGdoAcp6yjfVK1jY2JaGOC3KK4EAXoSmE0M AFZoPsIjPKM1PGo6fdJypLnZAIOCXdHg9z1WbS1+pqBo6eetlVvVAB7RozieZgFhVPQ97dyQoEGQ AHwLFpgEDMg+As53VUgE10F9h3HsRdnTVQPaj2cyCWHE4Z8QnxRxNyeOFpgfgcVhHkLAluMw3110 CaL/Cv/ynwgo+CT9BEbUBbuknSR2cWp+xlFWi+R6CFDvphPL+4N3rzz4GP+VoJgn61yDnSHecKhj fzBKzIrF2LXh8J/746JljXHFSCEDXxxrp/gBEbxgCupcmaaSSNfpkJPUq6ckNlYVNLcqBvOZAsbs z43Vb8GtFYOR7k4UNbIuQXX/vNuEQd1DLjMHO6KbCZbMqVnoYId97KTv/7f3wWEYfK7WBPxMokvF cgeSXbyDEoCPXRRGCr3oqYcgSjbS+ekvWFQEvdho8AsQGeMtnadwW3vFeWu/Qw/PSgl1jwU4YWHn eo/tBtIhhEWTGyJh5vx81Rqay68TNF3DOr/S0SYAH8Lwx6xYGx1ci5ixjlw+yWM9FJPk86SRlngq Mv1I+BUDE3U6k3q90DLxPbkw4ZiMCEp6bZltYNyHPOgn2hooHMZ6KavRoWEgJDba8LB42NE7u+Rk npmxoIS9t/Qz0NQf2qBrp+nUzxDTXmHI5SVra1voHLMMJ/Hw5UmouQQ/7dL9RQ/PypQ1xWpY6HkY 2LITeRE0BqshhU8lGjQSa1b1NCb2iQ8HFE/L8EDynz3hWsnldPAXxukKiiUVi1sXtas1a5mxdzvG tx06g0oBfu1oT2DSb7fhSPFFkPOjEFyzTbUr5uRBWGKQub/dDV+sUGacdlophwVtxsjHiMcxTbTS xY6VsM9/8t50VsV0Jhed/Keo2aFBLS+ynXfJfiTeDrEdSWo+Z8O2POLimaElCUO3nhVAQpqL8CUm 1KNQAflbFj3jQfA/NKdGI/98uS+XzZm6YWDOj7BL6+XzAnEPLQxQbYoJ1RA1kWUCFyWwbPms1JCo JokADuHcd2BAJh1dIRGc9T+ayEo27Ir72Yjbf4X5PcwQ/Bn/CDnRC8BByh4dM3ow3O+4oS9Yeng6 X2v9paGfae9Jm2/0P7KUej8m0NF2SnKakowU9IXMxqtdbf3hop67RORy71uR+p0J/W8QVgmsOMiN 62q2faQj5SyncLMIWbAxJS1VJL67Qvqb55HLqrqK5zBwDgDDRWHULPRoLo7I1h6vR4WCudRFVAEG gsY++Ugvmm0N711ro8OkgwxT/fzRX7SKaVQE03zym7fUTMUtMdc/aw+dCx/9yjyszpHotD4/Q7V4 s2hODZqYa/EujTG7tbd76K+nbRu9nMFmeq0jnSlFDCk9HH7GZQa24Y+49esHK2Z+ucMJO8PgGgda tNvWfNKJehFnbtnO9iWdEvord3Y/nkdpW9bxx+4an9GjQJTxnlZjCJP/ajq+ologpzK2n/mOcclv vf2pjLHyXCuITH93bngx8XJKau+falxkSqB6c1EAsiQSkQvk4s6tJilIqkijgqtbF0qd9RGubEwf I5M+mpNlKBsWCAnGLHx2SITbs4o9n4f8oslT8+oCnXI4/fETf6SDJXhN9EjG+24ETE086pW4urH6 s20bxaSnTsYiHF7Rw5gVycIeLNgGOsj7PjlBqfiKbAweXUa2G5Elws/kpxkQeRxuli6F69RFUhqt u41NytwolNfh5aDDMD3VUamWvu7T9cpe8vlxQBABPVQNkqc5P9heW7fFu0FNZeqvo8hHBYjsNOEw sbA+rkXRD6Si3Mo0VWWOPJtGOrlmeOaC6nDIEjTazFXdz1EhOxtum5XY5j5H5RR3n/STVzRboneh So2t3KYyL+ZpCppg3ktB4cZVnDtg0jBhH6sq3R0QC06u4A70E+JCM3+TxBtOv/SBdk/8Ngdu0EJJ e+TIxAY3bOlIVNWFNyRVkPXipH1ihRGKdUXyASBX2gAae7QSKbZh6JWeo6N9Z+FNF6cvmbEi4UG3 Tz9f5ZVzYYtrT2Kquq+daa11vcnjLL2A06K4ASwLRuh9ZQX2v2kFT9UjfsMaRo5MpM1PfkFIQ5uZ 2FdJLF9KpB9Er3wI08fBfb3V+8Uy6/UDhHEeLoP9PfG+Ohyws5mFLClXa+BBoYblTwzmha+J/hr4 Ffewb4hGM9BohkTcxFsbn2ag4uXBbaYEf+zv99Jl2Lp5OyGZVyeSO1LN5Jjqw423ovvK76IIDUa+ 1DxVQ30MiepapGamasxJ8sKJSoNk//ZsC+rdDJ5H93hQWNsFpUH6SCmOP460yZ6qFb3FSTdaYDIK 8XTNAXLGv9HjQEit4oMKFomWc2ETilZr0FCcIPoLcZVU/6YGPR5Pk4WOm4xkSSG/AfPwLUn5APiM H+OTKxMLJ5WyZYhArzDwlDFikH+XU2dLNX0AQ7LbKW2mUg1zNZgNzzhp/DaYI1uxGVyIRri5XpXu rw5Ynjk+L6IepaQ+jqfV2IqYbccbR4oxUHBsvsI+yhOdbKDpv3n+X7XBgVrbVw+a/WbO6H99/7/M Lw2NK7+Q490kF8JheZVuQciFS38CphTen9w/iNQ0tv/9aOpq14DBBVHy4BzGYlralRoTB4RZmC+0 2c2+7g9DkgojGIAwl33iHZOfW6pvtQQwK1xDVV2Rhhvi+UhtrIcklxQohai4Lvorv3+Xy3iQL0fF g5++L0HhXeQemAq2dTrGvgvcySnUfA6DugFZvSP8zuyG+3BOxlcEsWR1IE0XJmyCUCKHTtgM02rA d3+8kBTVFZTob9yxyJ6EdAX9lxjHxIfUUoc06z2c+jSff4R0tcwtEFcnKAj8xwBQSrvjbSyVBdPU EMm9/HV59oc4inttC88HkdEXvw3od0JjvJZOlmpR2XxyECsEqYn82bOlDKWoEJ+l+Ib9dTwHmBr+ SnspW/uakOexsLz+TVUmj9ZFwY80mW6V3yps3B9bLgwJCvGjUlmF6U5eCBshcSMiw2gOdYqNAJ3C 3nlmvxwpZGMmnmC1qX47Ve0vmsBnNA8tqyVD582i8zYV/IS32A+18+RH84/wiDw6CYjAdvYQlpTU C5+YPlQ/xYZuN2aA4C/zmX8lweAVpwJ45qIByPd/f4RA/LndfiUNk3dvePe/KNfALtXAQkaawCxK sldBfIvKB1VuVYOP27mNe33kdiyojhX2pO6UZYASfry0XOeTY7oNJD2QO6akHIT5wzbQNBEti6Yy fZ7HjQpRF11lmWbOd0YUOw30Z6sAHm9XTWVfW42bi+Ou6FpREpNUREN2zd/mLsnFB7YenEsKxoXL vvwaCLnKQhtPbUCrWkyWQkUG3tnKoX2TO6GaMDgdEoXhSavgiD3cmt1EMq5bQar3V8TeojkL/oQk 0UINDh4dBw4KqBggJ70PZyWrFAWUcbQzVkGtUWrydfp2OWzfapmEnIqQcxNcF3zio2btvw2SbxBh 5jkDZwsr7vDhEDDACNO1rKbeN13Ixf6f7acUkzSBCa+tO1cR2fD3QKHjskUtKO0rcnde2dbKwetl ADtSzAMzZ4zd2rCYqVv8O7eFy8LyKedj7PQ6pUy4IwmNMvkXuLJ8WoguF690RYHLC34bLVFYnvXZ uAgWoiDMHov1/KstobDhiSwAW7AOQOaF9EwHqxTIPRnRxfDxJPpXrf7eg4EaUez71NOEPO/CozqV +4w7aC1hwBF1eeCuKzzxBp+X6ozQQ75TyxUleOmDjJfDY32O9dNLRqXubTQH1g595DOWlYBG+MlP sjSFZMHEoCCTXK5rCGBkdem1dY/ot5740A3OEDUbOHY7O+GbQEP12sdEg0XGk42HucNUJviiqdTg BIMpqrEYKGZhKwkba78mttTNZ0tr/GEUqbLvadOTlddP7bKGPWv9eqMCEUG9GYvTyjhXtMm8kWpX QqZwmC7YJp7rWuYhHBUnicHilXZD2PhsMs0Wfl0SI2zmKPVr6HnV/Mi91B4WAuqq0rp6ve5w0gUC jX2827wkciHHqD2tigS1Dl+ke75p6oTyPd6f/O6Ifm4uLBym7AXjMoMt5MVCd+aV+ekhfa00ZdIN zEISmHyWQrd9oINc5IRcSqtlqaPvnEY5p63GtD9kDnpLGprXHxLtWdR/KXUzkL9xjOMQxJbDYd8p aQLj/OnHczJgkIVu09MAtyytcn6UehSuqg8xZzvKqmjST5NB7/kpOBuyxqCbjodY98a15Aw8uqA/ g88essydfm2nzXeTefJ5G1uzUCOXaEvG4aRiOTd7RJJiTipr5ylHIIbgzTpzbvHurd9yDWjpaiqN I/tNOwLvPoX4DgMMJ1FfFGI4N5pwxegn7/vy6yUIwBKy7VGynIJ8HiKMKSggKidvrgpJ/JyH2DXh PiHS+MX9vW4w6QFZNVC7JOlINEwK6Cb/+CyxTtpq4Lu6OILZ/G6B8fK/szofIiJTiumpiNOL0YQs edx6v0SMq3/DlUm1nNe8m6685vVmvSPMrYO8TZIkyjXDgSmMdhq0ehbczGwfct9VhpSN+RwRp8kR MjgbcFWqpegSytdcn1lw2K/6zktCObdBKBilzS5A8tmbQYQNWk7S3Zejarrr8WtVtkIA/hP781Vi IBCw0hEktCpleCOiqDcOoeseQtzZstuLxzE91vTKmOUX+HzrFzs0UMa+GgQwrlibqqb7M25aqC0F NRi1FnyrdMJAYZIOStYI+sPx67W2RZuiXFNVz5zb11kzPp7TJikay9pscb0/ee88wSNyw+bPxwje ZYq0eNZXMVGU8ZsXlWKCMUojCnfM3xbQ2ZajCNfZIyfZiwuK9j1ukJVlVZZm/ELmP+sqONH614Im 0nUEmHWCVIGQRBx96bpkqgJ3lKcfZTjXTdGXYt2g1qAy+hCYlglDNRpNMxWnGyg199BPXj2T9X1/ dEiTrPGoF2sIj28tqDj+abB/KKSMZXlijaxc4jHXcLm4thySnnWP+ECinyW88TaOsYk8NO0jVht3 oljTO0y5FHLJ0N2xfzoS68eU4ljVgw4saltgeUOuJGRATv/lkWN9ZCYOJefyBitBCn6LhNwArpyc Pc4KtzvJBJMjG8/cJ28q+2HnPDkA4VvwbK31cIQtwag9DzVuQ6RKDVGGWsj1kGJUsx4PZ2dqgD1I 99G/+OF6E43JQaguQygHCG3AasY32QtnIcB67ZL4NDN96VtsGJ+G5M61BcZqnTRttQdpXNvoSbnU eqDrmepdYwmJH0tV0n5k8sUGUTGsuni1CVItrFHpw+FLRJEam8YKy30DrzkOKb6Z4Tooy8yNBdna 6j0xHEo8TVQb2oRRlidRM/Pg73k3ndsRS2UNM/NHeJ3krsd+oUcuoc9fGd+tRYo1p8yjHIHAt8tv w2+mdnz2jcCWBwczcZTVDb6R9WDf6j5COwZnTi43ZA+RJ9dwrTXgZpwqsxGzWR09zzOTopFwXN6w kGNGdS2KBI+UiEB9AnWo9EdTrGXA2slggOaB3U2htF2Cd2/NxGoaxHKT4f8kNPTQ+st2ZxbSqLjX 63GPT8J+Iugi3+ksgi4nYI5E9XQzbvzcQwNg/RLVIFLg5Xc+jDfHJpu7mWPoqTD7e8jeo06EE+JK wLhPOc+/7tVhUkn6YRGfGRow30qz7CR2pUDmwFmtalf4t33/DiYFo0VLFxNJ26OBQKICs+HvNnvC XvGtqtq4fRlLpNzCKqcZZjjNG2NoGIQ+wUolEvKKQRYLm6M+GIe4mjO7zlEq+SSGenHMevEGtg3U g3VJoWJO+g8veEG6+fT1dz0ADAiWH9H01799YYNSh0hiw/RLH4NGxKZO5CnxPOKb2FXVQLbrHwqE 2YZZpjYzgP4bfQYgcGKJW5/xQoMe64XYsI02ZUcwKUo5jnLwWggnVBFnj2zoYnaXyfg6M7wTxcGu NJUnUQrAAXa/OrHAT9K7ixBkLcDt2YeHFsGr9duWa0gsi4+5gppcp9gjYNTuJ/fzj/VQkdMshxza QbohvhmEoP786gZ53ZuJaTai7nMEPzDcXV9p/HTLaMSioMR2E83q0pnJ1Rjq45D2i0gV2v2VgeS4 zm32r9SlNF2B8pg6Soej5bg35Ph4ZNCh544NE4Kg+bSB701aE8t7/1zhUBJMUUQ3rTV1LFejTZo4 zuVmbwkGZkdo+NFBC2/yqKd35mAxpVjmsOXFBFZq0EwPlxaRHwJM9jmxSj38p/cyA+yOVRtQFMqO 2DuJl2Xr0SlVO87D/IbsTNMLKueYCnCqnofNzgXCzhF3pjzU0OLjN4fcD4CyYWJVSLbkE7+4A2EP RcGiyFZXtQkic3vSJ2utQkfQ9UF6lhzoa9syhdPFVIdKIr+viSPiqiFdewglq3r3usZ9oc10VuW+ NZeZi7i9fMvQuNOJE0XnmAr06t/1XEB7Cq9nuOVxcJnivNgv5bDzzMwdrr8RDLE4J6vY4hSyY/mC u/SjF3zOCFE8MUgSD8ccQrcSvO7z6lLSXawfhZ9VJr+WtXf+W0KTNTL09fz2U6Qjm54hRS5KMtqJ HhKTcW317LGRgC8NukZp/e06nNd0VjtqBtOIp/RVwXGEy6DJ4hFonhMLUqnodVuBvv01IB/HzGoI pf9kOWRf1LccZ+tSVVCvmDUhmz4+bwW9Vpf8raYqVnjamROJ5+izNXf+Z7IU9eI71TITNZzmixFf qD2ybUn9K8x7NCzF7ZUsHHCUyVZzQyAhnFAuqtNaROrH61WzYJHvCPUdm4WSmLiNqSOih17/wMaN GRhhIeO7Ew2jYhKGVT1MYDPdDcmLDkfBepifWDQcP3TPycV/dMOa09FrvI090lxaktEqDht7BS49 3yZiHo72vLpXWMZ12DEJrbkk9amM35bJ3egSNldCO19yCp9zjKO5AP4d0S8mVqXRvtpmYIGH8Dbz B3wGIh4TV5W8dkd9saHW1sckFrY9Fs6i2uZ0bjuNydf9YiXqXgbnrrFr9w27iFcHHOvfYs4gNtRk awAF8PafEwU9icdhFWvtEvf+dJwCnUXK9bqKQs6MoUuDZi6elBabHoqfig0/zzK+ed9x692zOp/B Nxb0tfgnwBEjpNdWV8EacNvPhdafTJbOzloSXuWtNNka6L4yuPyB8LKjxAS0ihqgv8ZBOpTBrGjz xoM6V/tk5fv5XjRedXO+u3zMdSmgAljmJ4I+B2ytUusaaYTc6PT8Th0Ff5Za8pxJ8XF/EL66qCJC 3Ge+rT+V+l5qyb5N7XRhF20wJ1CHYT4F3qI86WaX+yMz6QlxecOul9uN0jBp5yt+k5ZtAZseFqqD ZWBDL/Gxw7rE+a7EGCGaEqE36amx7+j4cuTnecuM1PI4o9FqGacdaZfoAerWByi/40+FdgCwlOEG gCiLRmG6/4KlajD0spGfA7JJ2vzmEXRIE7SSdnGnpF3TNySGxP+ZnZRQydGkzPy7V7ks8rcQljMl QUpCh1I4FmiOtPdmqsqBLXu21rUDaNgEzuRMFb4lV3BBYb8fvo01omr7uPz+De51CfxYGF+cSP4I Onp7RFgAfbSHRyMpLQpNVi/g/H9rtk+TWVzx1axoHdKBJHiRQlhODDduWsZ6iEb3tmeS25rCZUvU y7yu4Vf93qdwo2nncuvdhMLofKk9Ij8002TNL22viY04ybp+qH2A/UUrqRfQcokeuHJGn1lBkync 3BjbEDR7af9pZaiYFuubSvDUQxmvADNNOzrmYfKbl5XetnS8wUx/Eh8JQsr9I/ddhi3TtiK2HCZM fJnr2tWoincOVoVE6ZT8FLIeBOGPiHW36zzc/t5zllCjQSh7cRdu5Zi9vd5cQkyBlNJwxVEBh9Ki bl/Kb2BlDtPBW3abTxFEQPIbQg02JkqkVvJGIJ7O3X5D7mtuICb5rCMqoafqp93hlUP2NIf5ATWy YqK7ZUMX+jlWk5cBespm0M2CiCE+lcJwoCr/MBfMv8HjcSZDLl5mQpy7vrV0B8v90crCJ1GKQqOh tZgNuSzEI1kcat3PkqY+pRbaDeMbCobTXaH7QxCEOV+BKsPmnSDkh2qlUwtrHw3THtZknw73UNvA YtGHHYrEN1R/8OtDEh+/H4kc6u9LxOXaV9fqQjcyJ+zYpUMcMBmLgJtJV0cBRzYnHoqPWHqPsfZL JMsuEJwPqJyRrlvR1J7fxXhk26UlOMu6jCkedjUnxYQgfCNsMwp6Z4eamd/qwyKvvhI2hr2BPJb/ b4Ryc4CIeLHgzmfZlf/AQamPndfhs6I9Zjsb8THh2MoUoiQNiu3EowLrBMbGaub8jeSWwA2890jp DKPmf+pSBtr8tqQFsvWpqGpbD7SE7Dv4FOS4FxFjb5WUh27DK0eMphujhPKHS1y6/SXAgGG73kqx Ewg/114d4iSKAE/fG8Mbm71qQ9z05Pqr3rN/0Gnl3VaVy1AFEtFEAL84boj/YvvyCwsWKsw+w9wR hBBnrI3jj09j+0LeltCIlarAFNKPmKoaqUSX71iMmKdMhIpfgOsPfpbwZ/fQu3Di+qBO/CBvLWpl +yOT66zwgmVhyH6TK9t2JFs37MkcoNG+7ezoZYBmrd4/8KDvEzGKJ0xbhsi88ytXCwPyj9941c2u /4Ur985ErHsrsUfwrkwwPx9tPHS+hj9DxupBbnVsut+PJl9kS3ERJT+49fKfLK44pVnvpTWZkBud kD+JsJ+kDMMvm6cnf707h69pDdGoXsuyfywrQuGZD6n0iyoTXJhD1cx77Y3/7GktLW+9HkCeJgOX Q/ZSuNUbRYRI0dj+45ebV1QmWpN56yEb3797p3S4yjzz+g8ngKd0xAVfgnyf+0KXOqzfu71rd7wT OCqr+5p6pGsyjf3VFh0/FGqGQymCTeA7yTW4A19EPH+ISVVzIVkCtTnQHlP1U9M0TYBhj0WWyfBL kQAP0pnWc+LuAhe1c8o2/hBAYpkwtWS0giYG+YpAMDZO7MrSlp0RRdToTUmA/8mSJMVv3JYvyPg/ c4cU0OgsYJgAMYurDsIU8qndq4csBVnHNThdvWpN9nAfIgNBptbysNeZda2/uqlJ81z9ZlBq3ipn 12ZyYD3HooqCYJx9bF8yd6Y3u4aooZkTpCate8I4aKu1uKJ4YTwx52xKzVGfPa1gucpyt4GMIhB7 dCJbJLbNhjJ+ApCq0BxLmfCYrQyjVzn6BGMGb/JheUW2hKkwlBiGZ0n4rAz4j8FBh+OOyoHSOSbh j0ZTdxijA7WxAPkSz/u8mnHTu44iV2flmn476IBW837xnMrD0fR1O6DtVZy0q+L/sV9vpl8No/ky KpoToNuEbchRg3F6hfqG3iJ7yAKWn4CqyI5qBqZjFbjexQnZp7yJnXnSZtnhK2BtkNGghO5AgzQx 2KztRRF9MXv6rSX3ohnTqW53zfUUWyFUraJ20Zpm4ptFAll+skeuE7GO/i25t/iPNlm1Z6K04LU0 ZkcUNAyN+YEmlnLeefpaIJ6Tza+uH6Qde9xGSELP1jgVtIM0Bb8bZ/5PvVfBWzA4P8M7+Sy8BgVI zIUU4vvl3bjzPWcUj8m+Cxxcm0vm/XI1dllYk+6tXJeZTymf9Lxy60SDQoRa1gvajhS8I3B/AJIf ToUrWjQFEZlN7OLqGECVSVk7AJmcHofp4g61VPYdFWCNH2QNuE/o3pdPQeaiMcoPhPJgHks87SBe 38S3xBNC3fVbuKhb5uzO93MvUHSioWAJQcINTAfMzkzkVqReOwkQVglbCwyiE07nYcB7U0kmBdOq 8bwYdkohZ9fGmB0EmprC+SqAnJtWGLy/815tae0AF0E19ONNiKiRioOVurHXRtz6ryqbRIDVXr+H ou1YHefNFI6bYSx8AMuyVY6y8lA/4tXyR4mgNmsJd/3oe+qwc/5wXQUOOW1I1jQdnPvcFsYaKyLN d6wQou4EeJFaVjBflbuhphbU8BnXRO4530XCfNB6XMUT7a5CbubtCl4+XdBQbAPF+4iIRF4wEjdM 5qNc1ff37qjbJCAbznESfdnlvseT7uJotcCx6VQxZBvmefmRC08oJeiTmorF21NdMZrlhC4EBLgL xL8WhJJiETrn4Mw0Woycv5Lt1+kittadaZrXUF6oPJNeteogKjSrUo0JFZ+9aXlX4n8sd48EVn5I AHL2Yux/PLtiMintiG8tEWzjSv+llCRvTciLwBObFmn+d39u6xooY8MXo/b7FnL8ImhaaTotW011 qefYaNa+3Ddtq+ODLnkE7djwPmnPCMp16ox+bk+/ZJ4rbR94cT25nwXm8sRBIOhe0groVDy6ew/j H2OcD/AaNPSmmNkCVGPFnmfG3GDApnTaCUJ78mgqolzGwB7euS7qZ0I4uxfk/lnNKjsX8gu0icDs yyXHhynzZeHFqy9V8AyPsuC3d4FjZwQrJ2mNg4QN5pu//6NdtMrT8kInP0JaGeTHq4cNOFdZHstS YrtFjmWNWR2b+o73LU1k6YBDGIZ0vOShBkWi2FBQEofgN0cYAyfLWzIdayWDLVOKIL+jHpJX98fE Z85W0kyB/+b8YGVveg83ezwnCoM72s3jdbJ9HP+p2nJx7wYKicNGm1emTXqtX3u2TFHPXqNO740V gO+JnVApgBjVJWG1K+eTrw5lhsW7PhlOmpiLRfLr2kmLfrZohor7R2dxOssyalw5bedI2Fccjh6l 7rMTUPoXnUFZajnGqV7BlndoNjrOipGvDmnkPF1oNG2vdSpCdnH+BL/4MkoKkisUMgfum7J7zPHA crXM64IbqZzvgfRPloc6KRNRzHK2A6VcetwRedecOlu50z+T6QGxHd+qLJHWcAiirrgHfr8NamXF nKomsGXW+ZT06cHid2ZQ6/co+sup37h5b2JDn7bSQSn35ClI+xFZ0IzdHG61j7xWgjzBgBuwG2R4 9XHrmII4a1HeSY9eBJjBrQXt0xOOadouN/1D6Ig4Cr8x3XeYZaClidb3Hx1yyY9qZYnmbXiuRJJi /k2B2JWX6HHkYJ3ELKmUOgMlfxYAV8awcS04KGtn0+Qnz5a6tRC3HaLlzBQG5uah+ZK2dZ1ZuLg7 XKLTaCmElMmxkxSoUysAy3/bX2Q6qACX4o5xkJatL6lZ0Ks6xGTuC47/dmOQ8oFFptk2FMHvJ5Jb 334c4CSOUjFv2LWyOBJWbdeG6sQSWN/OwqL6D7x5gbUHvJssw9KkBPCtNfdJl8EYPLMyxvcDQ7g2 gk7Ht4gq117TXBGExVd+ADqiXT/HU+RQ/YLAw6Wjjq5TOxnip6gnMn9qUsbXvm/96FFRelNNhsIE TDC7DETzfJKac5ZupdA0QU6KZl/O+vSNmtDoU6YQuHofDNQ2vJMXUi7qAAQ5FmzpJQs+ge4wR/lv +ISxGo0AJ4CyruovmqfOnVsWen5UoJ5a4KDWmhrklDHybJ3iwXQdBI37ixePTfus4NN5lJBovKCp eyY0GerW6+mB2/UC3EA1oC+K+z21yTfV7PXfLh+AAp+F69hDK4zHCJv2vl0I6b9n+67rzizzputZ 6yE/A5cpfjAOkDJnQbJFKGB9sgWATR/FphLbN9IL8PkS5pDZgcxowsH2plouTNKSbIVl/w3CAmut xon516XZ20RSYIrKO4w3M/Hs6kSXCFo9Bm+c+1HrVI5dp92adAnplJocOXU4Vg5I8nrhXzA2QOj0 aVck1BD/IsetweXgJ44+FhZK7lQP44KMBA9Tu9LN8wtTLTAiBHCBMNU5tcrSPjcYlDykd64PZifm GkcHyTZMywt4jklA12SJgUVdrM6ce6RKO2KYF6cMD8oYLilrhDumxzChNbyIdfyMQ+amDw/Q4Rx3 noOAaStJciCEyqSUSIrCklUH/e9FgKtDvZ4jyDkt/9K2KkrKffrgBuqe0uvokIqPPASfe14O1C7q +tN6VU3Ngi7WI4BkUTRYajVyepXwfAyZrZwFCiYP3JwxH0RJywkeIOlKf+mGAXVpwjpPaObax4aY Je0eOYsqwCCOKX47GhBSjtnRqXMqvETMCCwk128hf+QwyKixSDRjI1oa1i+VFSl0inraknLGgTpx yi3EzQezNn9LFSgnTSTfnXvd1tP07o4GAvoEZOcPok+vTD+A98YfxEvWVJO4qm1IXYogIQOumKFm Q83V8ICgTkAbOdyIoSIVbnkiTqMpdlnzQFpko9h7CMJFiNI5TQvSPF8qdh23Ld5Yuy1IRPRibXy3 j0o7jddoo+aF9R1ROv1CSp9PGZLWeOjif4DqND0JKAU8MCC/4hUlPcxBHKAqifcxvXZeVAZMrjik A9s/I50DeX2JZYqLUp6SgjK6nCWmGoGCfymTHdNy/bf4+KExZz4QBlCc4nWPFnLeF8v21pRQSq5m f8fPPKDBNLjihZSbXGyZTd/PT4cPXufi4EScKGqGzx2pK3vcvsao8EuCzFspZxxRB3U3usKmAX4y gjtUy9wJg6Vl08so1ULAkpxsPCaqQB9e396dhFNQ1tdL5GSNvhfG9zvuPaSC9PewguKrvXpyA52u oapjDYqVaOEjq8z22wtuumxDB9m+TMf3Mb74e+XS5DmtTZmQgOoN3wWUMpHjLsodPT1noJpVLall LuYRefL/zChD9elQQijC9O9UvRKSN9aEOCPOoThtSc3hM2mji9dkYb8SG29OaYuwWcMwxp7kCUp1 /1kr8z96HnonzdQAGq5lPDBRKf1m/myMqo0LThpkOsjmh2YwtrLHYmAQBuO0lwGcGSKo35zn6HRS IoSfGwU5v0NVHAkDac6rELChqzMspN8Rd7cWVDI9GNxnkiJTJLwBRjHiIDIu/G9SSIO9LutY3r3s CbCCdlvb683CO2hVESHSFjhmjFwpAzL27SQWPSB0XwLdD6d7IeuZVdkBdHY+V1qbWOsS/c9bzFnm xW6M8SiUtAXAicdjmAYEhXzHygVjcGGo5Tw2s0JAjVvkDRGU+BEGXky//E9VjgjQqL6VFpI+TmRP i1JHTu0K4WVN9UNigL8hKcPzLz044SD3U768JLKOvlMh/lY+I6foaaAticwNHI0H70JrPYUD9Z6M cEzDlzfwbM/BumJr0IdW0Bf+/VUuOiz3myYp1G0dNu+xTYr9ELF7XSQl/30GTZBgTeQWVUlgDT7+ 10LE6wRAyVEx6hW50rh7qfbP7Ftw5B70RAb7rGGB15JbrjNSV+MFDFWYHuXiXj1xyMdQNhoBGj55 cgM5gcmqAJv+eY9nnD5pI1etM8odxsel/UqiJSqyfSm0h0hBYZxc3vXQpDS+9leG4aL1byg8m15j G5Zx+x+mgIeHtc/5RlaYyPdNWovpy1jMLeiUkTEIh0NDT4RqH7ku0P7e7Cf+0/wtKJyVx1pN6yev mqTC7ThTqWCnZOI2SWOX4pIC7a6QyRUBgb5ilZvfzB8IJNdzYippnKakR/TBUdi/n8O1i/fl7/zE kUQl0iumh/PyzrxVnHObtjPLv9NeYnLHaaicLZrQ5V3/+eByIK/qErlPjNQrSBN1NIhPr0vmqeBr VR8qdhCHHhdhWHNXHINSRpey6lHVymz6l3TRT2/GLbQ4zXRAAQqAJ7FVqESLgxI+o31ZXK9bId07 e4X4KUsUUK9BoNZox1vLvnSu4ajFhpdELh50L50jjhO91HbJ1uoImKGIk8nwK+effqK3GziI6TUP jjfHoesdg2X1NofN1pJhbX0AxnRbSUTZqUaQz6ojO6XAZL1XkKOlbnPVeiRlUWx1D5DimJLcW94Z ecFE2OYlNmBpRBtSSLH6Avr5IDEGL0omrDbL1SNMPiMfmdgubgj7dXFEWXm5xBSh6vA/9xrkiK7J aggMjmThrhsyhXYF4yvuicoh/z4259ikIr2UjtZtg7RTvFG9TcxtptV2X6NrMXz55bHsRsHoDx7C wnC/1vv9qV+U9D8mvTQk8PUz6f5OE2Xt9s23AuxxiHVgZwtuw4B9g3cliWClwlXNvVQwhpmPQoQ0 9PXqHloWbmT8GBfLWjnELWug4sIXB2nwXx/boen/KirA8mhnxNcInNRziG3yHzExwFD2O1irBt7p 4EM8w5y3uSuB9eDGPomihAMiGXevvF1SZGyxf7fgQ70/CnwyZkXi7lDKb516uIw89NNbNeSmiBQ2 hEraI31w4aGGm/7g+H+kCtSkWdrM+v5N+B6o2iN5+ISqny6ShbOc7va8bH7Am1r6kfFyGXI2pGJV fJmWLKWjDSr3jfj9ZXXMtqPgySb0P8Q8TCnjBPMUPCqM4Zi4gJdmCA1l6jrL1BK/MGsrnll/aX86 N5/PLh5lPxui0mkpqdJ2Uef+dMjzUgPxwBNI8/lkiTNggWgevZ12cVNRvEqYXvPbaP59i/6evmQj ffAIr1qRqykSpTe1p3P/Ku/Up/0hmI4wZkvXaEcocA+BzBvXiszKjxdKyP8xwp02pyNCEAxSuDHr RWTf7i1VEUyrhiP+wvQR9qz4nJngVF/5ZQzvlaxV/lH7y2HawYJuQ+ngBoLkXnumk2yvMEvD6c3K r656aD/ZgSGSdT/gdNVwRn6ZXDDQL0wAWvccwPTQt05akSoj/4xBKx/lJOyqyYui3KquVj+45WgU bd+4hi+ymXO9wxy7AGX0rZz7eiUQt9obsqi7DeOmwhchrRjSpSrf7C8MMt3ggNA/+DC3aZISySiE g5FtI4qqldNdZ8FPIdEhhTgGqAf5HSX8cB7depjSgj0VVSvQdLY1cwg7c7ti2A5iJZNd6FO27DnS LYiNIvZ4xjUdtkqMgB/JI39FdWIwsbWPMMaLIwdSEOvrbXc+iF+GXrcD9NCOJJDP+4KGFPOeuqSD RFIuYMD3217e+QdZOhoHH3Bj6SSocL5vazxa7nKJMlhBa2/s21DlY5iqCl1e77SepZ0AmN/lZF/E b1+0j3y2Yc/PjTfUK8yj2RMY/4fh3jfOilDEIs4DohT6jmzuhWTVGc+aT4ZAy6boH5XaCWMNob7T TxRxKBKyP8iWYtmWUo9uCZDqSTAnbb6uU2AqLzJs1ZTbFXXGXejINSeJiFWMI4KDA9y+Xfn7fY5k n6LOETS3nW9B53aSCVmqE3UnsyNWEQ2tZMicL7NM5mJ8ThS3nd71oy2W23dSmo2IVzi+QxJbdpZo /thKts53GAiWEVoEnxEDOTvDRYXTBOiCrco3QMVJhZ/hE5zIibgt+8BsPWFfro1+XY3gPUlt+Mgg o9uStLkiEB2PJ0u6q5Icjwdl6GYZgqhPQCPzghLf+Oa7VDjsUSI2EgVYUsluOno90cgia4KgBrGe Fs13SRLs2+57ngWvKO+36G5uTbARdQ9ecXs1Cn5dTM5Uh+5sO+NZtovcI0INO/w05+pZMM3t9yEd MUXWoYGq/vFyrKqIVo8+CM4Bgck91GP35/NmqQbFCTWAJms/Xz1CFZ5Nyac3ZFxMNtRTw8yr6b6T 5DT/wVb8uPQAV2La8txsF/Wg4do+PQsuDqr7Ha28/Xv4JEqJl8v7gvacpWNKMPhd15QYGsYTJ7T+ 4dv+8HCgs05+UcAnSKJDtbAL8HkXDLNzSS6/rpSlvRkvgpgkhswi7h+n8D9fgCaWzm9u3Paovybk ltIV3YwkB2ft3rReMCb1fWvvCtunFq6yNuV+EInR6Z2cUE//A4DB3MMixGaSYBFBbhsrYmnCr9Kr bDkyfClmOdlVPPZ8ZykNhADHJzWVL4/qMKK/wkU8pSokQcio3op2jJaxwOmEWFkCJGWtcb5gj6wz lI/2+4VDe56M+XQmrLCygwlhzCdFVQcEm6opCZ4yZa4dhk6MkuAlFbdVy+KhznziOHmvRO27wZLy lCmGkQjgaJ0AGYAusYnxko8jwIdwrmmUDZfLnu7NTzKgFQEUGp0wRcTvNutZUgpNeu1Nn+Z4jTps YRVjzzAzA47xqo3u3UrvteecQh6KR5HzXw4f1OMAnpVxoxApRi2afceGKTGkFfcQyLuj9O3JRwM4 kZcT4cXrzeAxJHSoH5k2AHcOO3tHn+IiEQ6Wb4RGZrzhYmqoAF7ZvgcBJEx/fBFAXPg9gSErzZmH Na7RtQbJ3qyb4DS5ehyVBn12n0zlr7fRgZAf3bwfqD9GTCpboBIHO5Zv9SpS29PzVfalSM/NWIuP 0vWQT2MgNFvqX180EDqU7wl0rBB9buLetMnhCkPz6kUOkYjVoJr0InUF02xLZrx4EPv/D5dAAmgt MUtISA9p7BRDLeQ+6A2RdP+fIbalmyjoffRPxFVOOy2AxUN2cOm6Xh1Stpmi3OLKqhPc6DfHFLIj D9M5uL1M27s3ejXnk220P9VxKId3DAhckz/h5IKIqY+j0jElw+hPM2FxhJeOJkAX76BcHdviuT/a Ii8GNIIdRr2hsiYkmNiggP8cJDErNrzVUdZ/Q4R58VkImnzXyaixlK+TjpVJYFqguYgG24bzzmcA Jwd0FKM8jVjfEHjUq+BrPzOGSaZ4/dSXq2msaCaLgdsfVls0Gf+qzoWMQa3eIMwXQ3p+bchtahtD EcREJeWdSS1jCiXbenNx1Ln9zPB9DS0ygvNz3TUPkwOpfo7OrXsxtH6vs6F9pQmunmrJuogNQuXI Coe20E+BYZ1DmbX4AwD0LJnr9pXa4FQDRa/gEOXU/jfDWNCyC46UzbI2AUwCnULt8u74dzUaRCQX ut0TsRn5fXUL8sMOoXyJH5em1ZGXkUmO8fc0LVLiszLLQFSnh2ObBpH+R/wyVwW8EJkjhk+JuR1b dHnGJpnwDng3MfG+kTQcBZfiinRRYEZxXhrY0JB94BGCcMBq07MZ3KHrgwxiEXcz4tp9fPBL1QKQ wail4gWVGXQ3a5eRNxjB/yLA2X9YKC6Zy/EqMIsSu2NipWyymAQ1J1Hmowu9LYeIhGufOuKziKxS P8GWz6nIp9nY9ogqy/FbbugSCW0hkt58rNgkMN37qUpR7e1WPiuMJrN8Jwk2JgTfebRRC5HL3pgi JTHRbXVHZ4ADA3lU3SRjg7QVl2jt4WJfUU+Uy9JdwOcc01LKa5HRr3CqLIWULTx9WaYFXktOrqC/ gioDu9esOYDoB9yxjaZr7noLPhl2JG8qJauotUjJZXC9muourdIhoG2qFqlpkKVjhghXd5gXQ210 YGZeRUB/6QUxp+EyuXhMLmxWIl7hUKW7/akmGa5qE6QXkGalo8YkAtfYXBWXYi0gbQ2ENwH+l7z3 y16qBEvCL6IJlmtXRVMSB+kcee44+xhu5j19MR9mxvO2T8xbdJdjyQWdR4dXjmazDvEga2/28xb9 tTnRuvhJSTWHXFKk4xzZX+kmOmAlmuY91jUxo92B9UB+dYN9dGR550LKR3c4Dd/LeK/1vF7Jzikc aPdOOLZZPooqfLy6w7ugepRZn/joOGA981u3t+Q5PCf0sgf3uvFWK0dk72H5nvJfGRSQSGrwbgRq Ntg4qomn4TC3Hx17InL+qjMh3mrz0OPL5EiNpwmRhrj+nIk0dXkL64MOk/hR/2BEhBrpEpYxRAMt 3gtvYhEb/eXjZBGEixHlE6vT8z0pDKasboFCusDg3eSowJvG4MhmDFRV/nyvpQvX7Nlb6H2vy6VH 4L8xRDlOCNrgWW+XFCzmMYCgSFoMcvias6MEZCZYfc9nmmQiPjsgQzWL8W15scxKDPsjQCFuPAaz M3X6FAGBTG1qfCznSYabDMumDfGZouBckJu3JZiRo/CuAIN97YcUxPdh5bIDhKkJRvCaq7efMgxH rvm7oigdXJBJbfeZeXkvOAmOnRO02oKvWeIy4luS8fVj0qWBvJXjiV5IephVrSbMqjc4lsVQkJ3l htVLo/n/ZcRdL+KpTFTRyOvResaztA+/vBMzI0UjHZZkyYZ+0jdzYK07uEFXTxC0JdqAjlv9trVK 3K88jjMCxgzvIpillo6HXhmYKLk9Xbz7sUemGMxknM2ouImt4va0MDIcVYlsfSq086afL34zc+dS +AF9NHJxlqk1stfGbMg7oarT/qLh7fb9508fLc31Wpt4Rq80nuwF7iIiUDhS1wYBvsRwUtmr9Vew nUBMS//fSkfM31KB6EXx8eLyH/9e7lK2ezyTMo1eXwfEfszffKg5HGZprtt6KEa7RYH3ovfvxIBH Hba4DvBDCtJlVh4zVJeemcOrCSfeg9WjlC0vazl5jxXrGj+RjdhP1UxxHaLgbAGyGlf+jpzTMKiw pny5DXM0PY7uBtG/EjLuaBwzWewKFNSprt24oF12IxW2V/f8AaFFJL4s7zobd7F4Z5XXPJRLe27r dSq8mMizyYPNkqvjqHrZCl0mImJ+ns2gnSD77XZYT5xyCxhttu46r41JWmEM7bUwny3tSWjMjQH7 ojQmNEle70Yfm/lpbVOkeBZBXCMMK4lEy0k/dMUv9DojrwTZAYQkr35UqrzdkVtrfgMS/yoRettH Z3NewOSJDuaeF7UOGORY1xgt0zndBj7ToTX0aCRJqPaZK+dc+boj9OSZRN+oCVx0RiCgcGpv1B3d VE9iEHbxgg0GNdGS5y/yajKRLHiUnfFwxf0SdNW+kj4QkmrebNm5vdGIptmne4MD6oTGkmbIthaP S8hM7Olc8ZgZxoti/CKuW7P/Lv+zx+ccQX5gs3YxpZNwGJHCqwhyDREPugWlcxxF/3jIB+pdsyGI FOvnYTKrM59wEX5QVQH5BPdbnQk92ZSNR1vmC3HB7dfK7PfpCZeQTKJTQXcsCoiuhOhgUzE2hKFa oGy7O1+bweYQNyVT34v+HzmfgJHKsCjAjZWLUxYjocz+zfiI5+HlSyTSHJjK3a/FS/QY8NdWgZYo tA9PssKZ8a0UrQ/V1BTsHY3OfOZZhI9S+dEzV7xOjz2scgfFbsZ55Am/iNR8ZAOcvsB49/UO/Ply zVNWqi9fCdmpdbwvM7So0fuQdmG1eMF3aWAH851L/0n7DS3zCjvvtmO93ezuN8i5WEF5bmgldHMR LtdPlaFDZ/K5pZJfGJFrnraUP4ub1hu7nMk4M3+S3jmBSqLoJQBbhWWYZG2BUp0C5CfQ7tLuSQ+J AFu1hTmLslJT2p8MKdDzpc6crQ20Fw9A/kP42dp8FeZKd9seQlaZr9yY3LpQpJUk4YQEKz5ewFyo m+JUQdJSECIRtXO6BsdHCFasNvRcPSM6oK1YygBPkpWKgWOoaAcA1nlnCBjUdLeikXX9A2+dRznh 1Q3qkimvnNMbEvKlTTZEl7kIh+AH8Z3fg5qzFYnZTKxb0mRcfc8y79WnG4jHdKcaXskUsBtNG0lG W8259tByg4q2bizASNNJs5q4jSqRgp+fkMJJS/gXSsOQUWeWBZy2v7i1cFGDjgdwFo+yaiK7y7Tf TRS2Sl5UZG3iIsLPoWJvxQ+qZCKJYAot1vzJEYzBdYRi7AiD5bXOGt5pK3Tqe0WvR1Fh29vF2qLa L7lRz5hOo7hT+n6QlOoCflryk3VLjdxj23hHFFbxOpuB2meiWRXbYHAZwm87qd7OJBC3Apn3qEIt DV4nO46COp4VHYA7EvI29abcx6Jb0+SRee0OM1xp55UIEnPQLrHsO3rICUXEM6BTDWZZ0Hy63hbE /MvNvNep9Tki2Givc/SnhVRomNxyurTPjS9sFMpuHyGr12CY1HImWCh8KxG9PRT5Za2RjdeEPrCW z29FaOZMtnjPPNB8s8FSZlQeV1DRkXCzsv0yLfe1LSCbyhJH1Fn0DbVDYdo42RM8StLfsUOGujS/ FstEBEmY5HhDRdpe7UaUaQugbb1FjLfxd2+y+N2ASseQuIszEnpXzdYZ3dopY559vwu739YqSAtk 0yrLAF6ds4vxfM5blPotO3OWez8Zko3foklBVkssawP0ABK2xjFTAvknUGHYuXzX8qamwEYVRFk1 Xg0lSO5wDM0zi12m1KGBoGiZvZ70s4u92Du6iNEgs33+fQe6C4OR+4nplb/4yS1pqJcbqXS8tKuK wgPrsFF02S6yMK0tEpItz1MbImEzyHAeiitua1Cyqha33sVn+JueSVXg/hGv9vn/B/tjZzDnAzOP FAafizlB11zSenh4TdEh0q+jBPEltaiodDU/wIgHC1c/zylL9Lty8LBznz2Ps9oSd1e2ntV1f3Rt hG5qyUOeu2HI+RkCcg7d6xuY9lf37mOniJh+CSzD2izqJ8EJhvGGQ1ZTEosO47aqxCiEJX2Uw0Z5 BLKelPLm+TKaifT7YxOyvpaxwrDoe827sfTnM+7zz3ZwUL+K8KdwGMNWbPTSyLP84o7XcAdlN8fR WMENOKtDuVf7XDFVZ9Rdlary3ucItPoR2/7udwFJ/BmJ28JpudzpO7+z4stTghm7+JT63tE8kabS tbAwz+mXgJwYk8AI9TFBjt0OIUydNJol9wF2ORhRAWrL8vFqTcA2RX07fiHcwoqzfxP8cxDk+KDq +is5V9WDXfVhlVvwDyn554wWAxj7aU90lkCk1JqCPDyaZLEXLeiBB2l+tbf/bcJHi4TtyFy4N7fG y/CLw9qaUxgdvyRZPBNhUL8d7It8TQO5j6zcAGETamwGSd/Roo3Uq5Ywx6wFlDXtVGliPHsPChRs i0cwcbCoLsBqesIv4PZFyIjX3/Yc3YIuQRld6ig1RzXqu+ocbqNEHFTUBmCSf9/76iBWy9Pj4Xfg DBnkImxmKg7b/RlQ964oQkhDpOF/+pgrRj9bx8WGD7iQsjlJzfbRImIdTBjKywu4tS12VMVWHoi6 8Dq5tmiB09lArQBEUyTwCEHclRQl02HAK0UAVs+uYfLkq9YEtCSCYApnmJmbkmZXaliId5/c8FIW Ze/2/26dsdOoz9q5c0CvFDw9xJHO3UDIGVhgW0gQe7Lp+KAgh6zt9Hdfpga3DJ2bYvWCpLOfTaE1 3UOueEQ3AMn/5+fC3p12ZcEe2ZxMYB2JrAzTWR2wugMOCB9jXFLDS1HlxJRuHJ6CF+PGdDpXQaIx lYVRZECsPYIhz7UcNb8bWrzA8v9vPGFdRzU0YwxqEJ7LfqRUpYYoQqEzlEqfjnYOwImheUybeGel 4tRErSnORtqRvzAIrjTfOzBBeCElBC6ps+EH5HRUYKGHifeXTpYCpyW6u+6irwBzC6hMCNKLgTMy EyW/37K5TgKPe2Vbcf43+pvQ9ehRyiVSuLjOMneY+jyUk9sJGli//GHXJ09XfMTucDuTaQ3X51iW 2++tmDo8L7ugC9QuupWV5ekjuHeW0L5H7ZuDN9qks7M8zb4aDXKlQlBizVZW9ztRSfp9WrBLVgUZ H+cD7mwzENXTgDZEVHvCx2LaGP8aQotRO6y8wuLgZcQyR3QzHNqzI2DqWjcVp0fAohONmnQcR644 w/tVN1RVZIwCCtqQpdsTNVcjb4npesGxnWs+5RB0pYwqsglT7BTlbaJLGArri6WhaBdGfIo2VSJD 7M9S7FJPdaS6gco6jo6XH7NV9oHvXkhNH8/nOUXfvunhgJN2io+WRuuD89hm+M2PEX4me1JsFliO kW4gSM+WKBl+q9JWJE/kgL0oufoCqESDJ1KIs1uxPy5kNQ7XN2UUKfvGhZhO+We7V58JNiYSirXf rpPdBw5cnuMS1zR7qwXH5fANj7VY9PHtI157dbJncpprgMUXzerPGPpBfZpXnbZp6AQwz5Fhakcs i7qBSzEohXZ7f92YrQsEoedS2s60wBUiRz80i2vb64DUTzAja26YHlamCCq5lvIo1vOlMGlTBHb5 zunN/n7XE7nqnO4bFRV3gi+Uuh5AmJGMSxsp+MZAMNcsvTZ5HIUar0+0B8FTXfyeApv4CgoK0fRS 22SlkyDjbU4UGI9yc5IV3km+nxhYG8goZGRaUXckqQlTOgobsFMnCzCZXlIsWubU8xPgu1NpSVe9 4rKlAxCZVBdAUA7SU+LOfdpvodm5q8HwDJPtc91DaTIWNgTypERNVrS3HXJKYy45kCdKsu0HwFj+ FnVqjizOq88rYApxMpexdolK6oosCkNexcNa8REVglTD5PCnrElXvKwqNwLgeh6veZQ89c8bcf8W ctTD9nutYjYAG2rf6kTfj1d73IAas7IF9h1v8JoXurIE78IKqUKgRNBsTa352m1zHCKDBzPFKlnE BinwoRHElwULP3mbVr+UXtPD8s1c5oNZvP+C+MelXtTX94vgWAOOL2Bty6swyeAbGjVzSFs4+apI 6lhunu++IfQYjH2ufLV9MMz0S0U8WDGFgWp17TYYgR9fnbV4PFIzIHSflROo4xfrSOGCWv6gVkg1 mqWzS2+38/jTRvKMsQEyKGrUpwEkqGNceWtnoP6FjaABrv7gVfE07MQdCHLyXDp9Oy9I5HsIFGgf hpHHwdzzG2waa/8mPMag7M/fCdjQLJxYdr0ywCTqNUuRuIbofNU8ITJneAvX0aa72bO3HmY+66dX 5InyWfPzO5slhfZ4zpx060FDTwm+ZpTkhO/hjMl6cJYUu8REG1Ogr8kcFWsV/4g3MqVi/lhOTB0o vZhbSxyEQXg49CkUk4kHLhkCX8U1Wk0S2Hg8Ei6L0uAm4lTK4xB4qq+VEFQhsCLPku2OF5xsxY9w VxBsOnGuUhyPWVBquSGjqw63DQp3YU5KIJ+Ixug0GFcch/DUkD8hzgctG+6giGGbKbVJJf2IkSHH FcTLATp+fOPdJJR7ePTrOxudP9SCT6oITJlRkSP1a4dArgRx0hwOzEOXSJamIv1Betf/ygagtqhq +M6KvQvQt/iCtiv/zC/GShF0pVUvW3ki9eVb0d39+FFzWBT6sfKrtcRl7JURuHTR8NmeTrieBngy EBxH5zKTgz+MKdBI2MLGBtJYjhg3OFsZ6lAl9VdYj6xkE/TLNb2Wj5Kj/9T3mrO+EibcsZ7qwWs5 7jFm97ALfxktw5Sd9jctCeUZ6C3eoER5YLCK+zauitnKJ0KjQeI88cU6uSxSO/IVFQSdZNN98mcv P3++vJCveyLBcDf5BVl8I+G9AoZflCfZ79Ji2juhS6XVRertUpaF4O+5Flekd5J0MDny2m/QTLD5 OjxmkcHL1ZbB7skWRTl2rVv+rMRqiaR4WZwTT9b8FIffZ9GhMiV53rQZydum1P13JnEItfTHYmoW pshfh5ojDYoIyg94is5s6ZI/5xHPoiX08o/wD25gL23dO8JETGrlrAS1DO+LxRiHPtGUJkDBIpln X9fI9qpuLL74MjLKADjB7FvKUj5F5nbqTI3lVoTAesLOoS9RfLeBHk9qJO94y8j1nuLYJqjxTPER hs41Rl7/cZC3AKyvKS4psEFoGBggfszNAPYjd4Gu9AYsAaM+IQcNnL7lJUXjexW9e9hH2iJgMCBH lNYLoP6k0F9eTEEXRo2TWWofHvpTe+rP9sQnQFI/1CtrZKsqDPWDfackKuDE9Xj+AvJquxcAA7Iy YipDGxGtM/wPmtpsU5ND12NmfUc6VANkaGmLTI5n86zj1GeK8NhvtXKFrhFxQGrCzDWbhfBbsj7P cIojt9y3upPQIFBwHQFn7Dox2Dyf15bzNocxYmCQ00AWNu4CrkDuHGkiDGnR3S8XgN2cvbaccr82 4IMxnR+mi/7vrWZYc14DJ7CeWT0BRinHFFH3p14ezSZg2D0zSqG4/FapdFdt3e6OsOc9d7q4uis/ ZsZiBpyTG+bF7zkE0Al/g8bOwzhmiwCukwDfdyjne1SVEj17PB55yXdLGYi3ZztkyKudqiTyNtT3 mmCC1hrYP7AlSqnJTY3tqEWvhwpjiHMYlCqZ944i12y6uv0Xupm/TE45HZzwPk0TqysjBEikpLaI OCUVznUp8if3CLgaiOqlwteB8v0gd1vpRn49s6HuszUVHy1reI17pmIW2OIeI3WplS8ReuMB9Jje M4K33BW/oQdmZJjy/xJAfi5qgMd5jP7/0kXE/1b6mTyIq7EJTBpEtiMph4vgp/eLBah7WmB8s79L 3/oAoSRIDGdgceDXj+ddnIQGrN5PukQvf+V8E0RuvNYWzGt25wtyPRDYXRRWgLFrGPYSaLgdRuyT 6vTuPiT3BpJMjEBOLoFQ3ckGuT6R7ucwOTef4prHCV4gu3RghFH/tbhOY5ll8rrwUmn61RrTc0kT emEMBNKgLObkRCZb6sI+683XHeh3WqiUUIso2mNbql7LF6aNg1SWc2YlHtuvsDudATSCwAALwLEf e/M0XN5oBXz77q5cy/8F52GxaqQECrg4I7l8O0wgONfEc1owNIDSpeum6ImZ8Mxx0OsR/T505DdK oOGySeKMgC5uzn7uxFsFLP6HanUBcIASubioF0LnL+uXGwysqEQ6U/4Ht13G/h7jA6zj0kdOFuBX JGGmO0UKfka27LDlH2lGZ2wHZD29EhQbEyI0b/vv2vcgTA5g8q8e59cZA1cULDeJJGjluFcpCdAg T9n2tlRznQQ0n+20POsfe1f5ishvp17WdPef3C8fSaiXPkN2e4FUqcTKhIazjdi6LWMgSx83lFaJ yA9n5de581xKX4FVOOwQB3MWh0B05fTQF/BIhW7dxaKmnEPtWo97l3KyHDZpPbRDCI4on5F+Ydju CdSAtU9jZKertvfBjDEsm0YflX724mqW+Op4jPIo5otlPTh86uiOEyyqOWRwXN8liYAbgZYwiv1m f6JknYTk1F1wRE5pMwm+aO4dj0Jn3TBb3swr8ghAIE4Qytz/r246a6L7Uvt9x19uLOLGJtwK0+GA 4+KWHHAbOCOhWjsu6AM15/pDUkJp9Dg03iIIlvF6TohnJM6jZouPSSaaVk7zHxbnC2UAANP1y6Su eJhJBfwmnqW7p0ElzWcXyLj8licwCMajLg6ysY84sDRcsE2blOrNzC9seAiql+/H7YpRzcsL+ibo 3117hqWsvUBNk4dILs/dgyU1mHyoKNGvZWDQKfOgqR9a5iWbvqkwhZ6AMxDli9qttfDlkN5ADaDm MulLNoA1kLwBNAVJJeB/Wk8WrzP+Lr6qnXFyF0fL7bfJtthEv2ZHKRidOh5wrKz6VfkijkOvr922 jP5q5skWMeRQpt1ycxEv+PEPrmKzhOevEVrjEkgu8LQY4ipZq80B9cRzE6u+6nfojKY4LreA56jf MgxBVgtPNc7dzieKQ5CjXCa+s7dmHmZ6OI8lNl6JP/g6pxbOWL6lt3NaJRzqXJdgmUDLOXIUIcgr UN9fUqxxbZ4b1TJn2pVII+qo33sz01f5UGARCWXZ9uAakrw09vxg9D7Qab/As7GWqh0bkJ/TZE+Q RW0F56vAarEYkF37nIyjG+eKrBr7IQZ94kssPxEbPnOWtYV26aLdaDuDBqS50/Nhk4E3yo/usAOK 1LYDWDC888WKLzN18jhEBu7qCR01QRUzn8ioYAyqxIJz6pskpIYCR09aAxDYjfO5zo+JOQ9C0a5q JaFYCyaaPsZzynBp2ZaeQeQ5ohwzKiethx0UVgPfAxvUgj6ep8k9LNvcVZQ6JqO1tiegnZHcvCzN dX4EDrmKNJgjUhHVfBXk9wHCmsciFgWQOOTnomw25nC5uNmue0XqgLjhp8+moUrFVxWdZxnjvi4i gZVrxYz+JMa+HM/BP5QzHqnsubnCBeFYuvgGCnep7JLoCMLye3p9yIjRPTnxxCXfoyMhVCW0tJZa vZnysWObyj4Vfqz1wIwrHOfnzpgl2Y46Cf6Df8xKLywR0Me/GFAcqe/ftoDJMMaoHvyM6xUkAMTo h1a8SeZWdyoFMZag86yGWUixESSGP+e/GA/RDAtRxZQ0uU72pKFw2exz6Ka6JAmmzH55THzHrbLE G4+3bc96T8WgD1Br33gEQU+HbKvwltUmw22n4qbSHIVCBufj8kQYI4RHFzdrJOh8fQGF+dsUqKun mbyAkPifkhbVLEF2DCsTE0kKoLe8NVnZaWGnnZ5qNumWoHyLjMeXQRp+qQjZoYJ6GAfHBTX+N2wa /Eb2rXDYNUfaqDrjD52z8GTaZpy6qGzxNe2+9iAxRMQ2hstpKbT4hoKcMdxunkLArLHU2YfE2t4e oF0SCk/u646rRGjjZWv3pYtAzfvou1g+KTfw/GzF8yrFchfjrFYD7APS/I+Rq0iXaAEfFgD5V4K/ amDB0WGal/l5Ksl8jZNC1MuABG6LAd6PHVdwNsvmN5dg7yyNrms51ozrgEdhhGEVJhDUaNlD08tg fPLTfYoZNwpUjStcuQ/HNIZe6b2FmDC4o6gKaEbrWeLd9zFXFsK2AbEbWGNAtYTy4p5lgclrX/LX DFm9bU9UTZQHol+53bV6XPqSLFF2xS/Tc4PM+4QKu61uN496y9tfN8WdMGaioGHB6I8wXzeLUzIC AUqbf2+gca+aPp8NENuAKYqepMwrzEvFsCH/ccVx/rSXE1tbei7fYPPNWP8396XbCoFyzHLf6sNo ddpUvrvKMQQxfb3qTYSrmNhjcW0/+Ixu/3r6mEUoy+cN+HkJ8OvGGBGY6lVPID/ijxGhZCFg3F8m 85ENVXAM1Fq8DFWkItHWlWRjIIqvR8HKGdVVXvnDvroFJ0oekHDJj+YkYjfscpMY9KYAaaH4RqzI eeI6syjPwqeZa+9QVZkKN/NFmC1mamTlyVzIAg1p0ubf7SJ8r98v2KgXE34NgS9Ztp+2R/cqKi0B +f1I1HaAJEfAfJNDq5+I+ezVbZLBFSnbiWCSLFm1ougQaVrryVG3bF65JivmOJ9c85qN45zj8TaO QQaHj1hS843Sk7C/z97qufLuPvRh0o8powI6H83mYSngmQnq5Tf81ipLACGYura5DKs7mO6LkGdu PpA+NepYW1IOGxiXDIRdBnJqsPsY51mYyIi41hutZb6jRp8vQAWGu9U3bCa98ol3ATktKFHdk5xv Qju2U2y1bxvcV7yb0vMdaEeuHuuA+8hZKcRLVvDVM0FGZEavLbjhxKlt7LmEcqNjijGmtwnWMshh PT8l6lpv89EOhEwEh4Az6BuiK0hu0TRZB6xHbmuT497vWKFDKGOolvoKWkZK/tj1l1TISkdRzOOb YGgmgXxbdreny3Bn3LOab6AIM21OBYMH7+pIk/gQnilWFVysgZKGRPOK1llolDS7p3B7dU+lFBFU 0qb5QzE2th+cLbHoZ0H3DH0ULT47QoJEgy/dj7Ere6X0RZVuR8zjr7w7wp7/GaOA8phohs2OqoLO R+FBmizW/sAhoV9wcFcKJWe7b4hcNa/a5FWCaGcQQNgsrA1/YOYdbqemZYq2nEAHP8YvEGTLfz84 LsEyku+r9IWUZlKK2T9Ps9B89Fkxj2Sow2AX2l0lMuXx9ViBXLurPOuOo+9kosSoLZ+DF6ixJZ/J 3oEXcWBr0gFzP3izJn3/K5T9Gt2FgqZPkzEfDH86qta70zbutcJc8JgeiVjI2FmFrHFtkWTVHhJ2 TtdryCqwFMm4XjahB7pJJtqsaoOqfMqy4huPsIYKF9XC+LtRQFCSwcxIewk3CorOEQcWUV/9r8A2 n7HSh/kXHV4QKWqhwj3CXMowqBstsH8PZoyLA44hUBP0lywGbZ09vypAVBPegsHhYUkg+HqtxWjr NoEO2CkqSaLefu6qFHpPqqB0jxdhxnTNazaocSMP23AOKM2UDXXXEyS4uWs4AvsgyAf3XdIy/RCB 3RrwgyT9jbsHVjn2hQY/5oqhuEOWLbA5tZIlN6VLfqAKJNXYHgerjd6zg5GTtNoGq32oV3qFJzMb UHLIFBvNAjH/KXmVql8nIkzowL7OC26JR82F5GcxEak6htU4Fvj/eFo1ehi/+3xSj3V/LaIa4VAE z+bofECZktJckg3ZV77zfzRqDqt4U6JbQn3WsN1IWfBQpuL/trZg/z9vTJbZw/OcuczS+I9WGvL1 XptwCFwLmSkV6UVtTiiKYMme5Q79GUG40etxHwE6oegs1kZxqWrvWNgFV0DUncB26cKd4SvvAJTZ 2kjzWtCAdaIhzP7pcCXqeWxI6S6i7/Guq3tt561r/TbY5U9roPaqqyOlMyroZHoS2fgIdCmdmEFy 23dWe7AF2hLwkbALuJUiHL7OMApxKn0fZVttkkWFDoMZtZXwQzIZQRgso+GIhxuE6hzSxRIspokI P4oiNbFwddsrlaG3S3Z5TTEXZsou+Bj2Xc4whBeP3I7O5TdYi6AdInwx02frE5abkIpeIGpw2laG AZSEVDB52FlPGCRTsRIrBlkXIW+snxeja3Ul3O6aEu9ZDSYjRu/CjraRIriYTuoDzL4M89PybuA0 BXfQhOGX4kjUN98wo9T7BEoxLIW11dgEHzVHjH1P2670ly6gU9suWJi2N/Awunr1itq2EgQCZKZz fL1cgc5lP+PfbehQ3iHvOjES4Gy416z3VEOZtRmC/DE1WQo3uAluUi38vxIqXi2jBeVwx++WdJyI T8Fa6VLRjGZ+qWxlKoTAXLI7t2iU3lBCnDtilhtoqXS/iyyJabO/cvN6THLvDdlwHUi283VuTtIf YUgEIN3xuN0S74lG8XJPJjh7q5IVR16NVLDBEA+HDUWcvNHVGcKpQ3pqPZJ8zyZfKyM1zW1ZgitI o5FXmSRYHxqxVS6IlxkeGaO49im+AVPpgpEoqq2wV2KMbfyNjbjBPZcTKT7q1PpoQ+MetG9RncHi +2VfED4rknyzHFbrvFtliJjHqvtPLTDlOaay5E5IrBODYKYRlKl9Q+AZF8NcoLXyE66ZFtAgJ6+m HaDFsG8rby++YK9V4E51fqEraye8OD2FV3pyYOCGKNQmwBLgpz2LhkQLjo2weeazQVdGnNLSUE/T Xl4iPp/xwgcBqoD3OCUCYgQRPbpxulrxbAjNSdiauSuImS+0M2NsUmXBk6WxFfV4R66ZerxSBy8d uosfPtWQmJ7YtPkeJEzoqmR0T3fYPdbQfy2PTAUEv8Qx3akA+UgYwks4aPv3HlHjQICDke/sT92J PoZs9w2tTKQqujOfWVgJ9ZY6zTAhZ9BKYYryCqWoxwCa9i6bEgEzaZlt7KLElukGAwZpWI/byXXH cB4xIbN6Lb9GEapfn9l3Azf4UJK2A/aJCVSXStg/5W2Cc6VNkwToM6yuNDEch6vzuUPTYboK0wTY z/KQ3lz133zL/VhTwwr9k/j9z1KimYfl6Sihr3i7N6w+ylM9LaSoesXRbAUmQH28Y7BH2NfdPEh5 xNmHQi3a6RGu5TrIY/LQydb9zT+UXuJjWS6l7c6i34JZW9QvzcZaPEk3TSfs+C3xO8WzsfzNa9O5 E9MCHn08MHrOflBYdsoY/KF2mBhRexfcMM9JRqRoBaUgr8IMutkjlvTdkGe9YZaGGFR36Bxr5Bgp nOuob0ebCawK+lTTPFuQNloEIMz+zkAxyVll2t5UDVd6qrj+gMoegG/pv8kvcdyVNU6nPhf1k5BR jHXCEqNb51P7wiy9GFO32MNUEJwuqCegaKxYJElgXHGRzEJTm6wiZERKQQZAkSiZuFzWjMk6OFEE p4lOmc959W1JlZKE2xqVxvVKZ0+pVjuDXkcvNVmmE7FbTerdp6HwPzaDDs15IjWxrJL/dPPQ7Fbq Ze17VQOIlDAJg3ilWFY7Cw/K6F9cEfs2Nw89HrnjlYds29OGMYWKjcakczY4BHlCRigFs4QyhRuf WiycFlpSXIvklPHq9csozqw9jxoKQpKnQLc9JtJZp0guca2DVb72oGfg85lXfhM6AdEGPILF5xzO g27xiD5NAmyuFa31ZeR6nQgSE804u87drurgIri0Ul6+9q9GLGTKz4makL/tmdOLwzTTRhtunlKc bJaEtZXm1lTN9P7abMioq6qsJrv43bzfeMEQt419M07jPQXTkNmvepOJlnKXRj3ssukjP6vx1zcX vjGoEadspmVe0d5f14sP8yd5fqjMbXdaECTqzaUfcJzAdJ5CeFgaNtRQ2xhABkhAr1MVwMYyTwaV XribvAqRXx7TJ66NXhLVvfqAJnwaiFHrCpeIVEfkpg85B5qik7jm7p6fckBG7NK4cx9nkgJzI37Y Me9LTOVdLbruWyXAbnGI/SCOPGtRwb08Fa7seNYChvx5Z+FBKmZwxskYvYSWMF0w3VuT1qrK7aWN 7bVPXCfk7Yp8QB792UA3U99Fxk9O3I/uVsmdURCRHFQs2n+8EfhtCsCzOzSygRYDR8cSZTu0qyUO WtkcG94NwBjgHerMljcPAOYUgBC2SONpKvkeevb4eyydurHU0RWnP1vsLMG/PMrDN8Hzf8Msm7lG 7u6enmhBSVylPj3u0tqikk85QS0RH1wNwglpoIYmxOriLcL2EzRxoq/UeFORdjqjcbXCe7SeZcby kpRdPEc24D01/DYi9b5IDTXnmLCFPZEq2MMx+2x4kAteH7JMPgPl+PDJt9NNErWj90XfWpdZ1L5C ZspY0rH9M0YaXENDfikp9VJLM04ivNYFDaBTo9M+VqrkUCPNXjI35HFWd5LEr6KOjNGXXvm9Wt7k ZOFzz6P/hqCwUnkMVZumCMuKIU5scspGAajrzDew2NTSSRr3loMQXQD6CCtDFOMWq+9YjmjV7q05 uPffmWCX5PNzfuBXyyzXDZrE1fdgl72Yr+TVY9gbkA2+afcUWxvVwK2LPHucm17B5si3hcGO+V4A JEycXDAZe0lFHVXJI1ska4JVVf9uEccdhBcA1b8lVFQS+u0eR9Ijk9Jx/GoxcksffXTUBs5/7Ix+ GjXpMocUK9X63iIFo02oNcqBedvcDGZcq9UT4JBmKSB/eYjANYGhbaJlVdCljWtJtF/fNYBJ98mb P04HYQEW1YoN6cNG0LexhLAagLlmsg1dTF6brAO23PJT0F0moRIHzqaP/3/gWg9As30q+P+UN9QM 4DSOTWutQOFu0GqjlNahaYfUFMl/17Nves5Ja9KDlM/T/oUKWTZJuy2Xs+vziuR1uWQQUfUXIJa7 3gZOI7fu+eUIZ+BZ0b+2mFThCzN1CVTPeHQvPP+kwF+8nwmdaT2P7AlO8CTorjm58GF0sxjOv6UQ fpQyv0vVuZbO1oT+2RcvpCwBikTEAtDd/R8oxh5nQF4wrZCBQp2s3CIP4irdhxp6a8WARjwjGfQY IwmtZNBHD9ZZugb0kNSWpsn73VDrE5ieVf2YGHkv3lfIrhjuURLFPyRF+dbTjIXDQ5ZVP9K8bXrv n29KpQSqdHQ+95V/OFcZehvEMe6tG20rZAh16OTgTsTfuKT4E5phqt0MFote+sV9As/ZV1HznclF E59+Lc6krkvA7fjQZgyhL04S6aL/3fjrunGL9NZRqDYDG2BC+w8lAFLkb68aVv1HfOXie096gNCF u03RzALz24cZ8tSk+LwcAYXivQ5hR69pkCxadQ9d28BEcaWq9YxJS7mYEUlMf46OhAsYwkCMxA9u ltG8logh8HjPnOr4khPAfTDH/IRapqeC+7SVDh4ZrKxnJxc9XlhfgSt0JQfKbrB2cJRukFQSEzmA j/VI5zw4KOp5LbE9C6P5U0kxwBAdS4KEd8zYmiaalOecFEc66RGzyDGHR30/EsS0wURwynA5O5Gy xg2cXW51ImzYIlz/j9g7pbplETeOuece9akkHpi3Rw5ztqmCzR/Jgvr+b+uV3DKH9jPI2BJvvOui lzstkj6V6NlEFKB57Q1Fj0s3PitkbC8FsaOPaySeK8L94cBe49fJ6OsJHI1sDrZzqpJwC2m+5Air ZO2JYzbfmZiybDmgYgYaOMa3v9plZJQKnW2ndGfQukMnLJ8ATQMPG05YyS/TybuNKvCmoyEi6f/r 36S+mkspaHl/IqW0x9C98oFdeTkhLVu6+05QchyCiDbeen5J8F8njP3IAKH6SGNp7/EPGsgwTrWz BEQ7QWAqw0bovH/zymPazkLJeGYav+/DrYNmzJ/HZqTQYL3LFFzfegywvDqdvA/OxYFt0MzrLJQX sK3Jw6Ee86SB5gjfm/TL8Og8plTwIC2EGj1caoQ2FlPwgXYwTKIukV0CvVlnxG1js/t/mhsqEgve weySpaJk2W0dU+Dd7XYK2nBJDbHyaM0480v52zYO6noZ6Ca8rZbaA5nsfJkVD7uXVsIGZhxtV6by GCLQ4fK6j3t7DyiNEQVKItloAHHEwAXO6b9njuoUhCRMH5Z8cpd3G4xylJn/vb5c1m4As/f4k9pp yy04ZjhEzvLRDLVu82unR7SY+JfQj9MToGDEof7zuTrC+WbAB/hyOjU9uZmHcZu7jw8OWXeCICTJ BHmltC51nbbU3/Bth0o3DF9l7Gui7CNc5ldvzT5hQGNMewjHZTMBCykx+J+SS+EkMBGaSRctEycM MeNTUC2VlhNMhwOz5xQMRU+ZHbIkeRlVYdaim1lnXvSEse/3+DKlQJfoautKmZRa8NlygBLmgmak KQonqxx8jA4RIHYge9riN644InPJuaPXRve9ExiyGHb3x+hw/1WnZANiFolkZGdKw/fwg5NQWY3P OJUAadMLFSDyd83mO3ItHNI2TUKGBR5mU3ExeXojQvvowNvceUUmbI/i75Xp1bGfPNLPmrdfNtMc 9piTuTyhJ//3b/gfJzyJmIdsA0LUj7jXH0djL1LvNccsgB5t/qCJj+f0xOmoUS+MU1nWKWhoD7Ml Az/lbQvFAb7Yo/i1zAG+qkSuh8cGNN7XuClm69Ghg0a+rzWroNtRwIs8+wRcVuSEinPxwfaAaPll edk8rpRh47pJabDpOSsn/mKB5UVnjC3sgtFBztlWCm2M392lA8LDoja+Fpp5u4FQ/RFul6jGLSX1 s8KHGSq9+ye44KxY95rW+qSRGOZkgj7x9p4AhuzRr/ex/5bfzZmy6Gb6EnZjD12qWw9yDkN/MV5H WlwmlbmtlDMq9053mMdtSroT8G26GR6qeFLaWe62jCBAoY0oUvPio8B/b1db/Dcda1u96BOT5q36 u4pyZ7w8G5rod6GEAtp78vAr9IEJjZ019A9LmY9igcKtdGBP7OpDTj2VZMBYhzPRQ+RTvvmZ8dfO JcY5IlTbLtaI8WDIK02ls/T0s8QdczhPvTrwSEcblcFl6cZvyJypMqy/pQeRP1xCUT8R6mbUZcfM MwDca+vbhHUbjcF3r5Kb+sjS01fa+5KG/J0b4s9uZMeoxJxR1dy2jLI9bZJC7ZVwjgM86MiTr5Nq pOSzMyh+cEJy1chjIYJiaIwK0JYctA+f8cG5pQZbyHo9hZ6bwba3VKmSgfrzRKUOZp/JlUTqUd8A 6PULQfl9/EvGOt+w1hg1P4jsWpVGgpYi0GcT81UcQLgngPGZvTvDTbG+VjB2XyQrnjm0HPvZ89Ka +Qrk4LNuiHXKSrVOS/HpQDxjQUNz77XMVdp/nz4Dhcy1x70lI7Zy7ejlsivZ2NiEME3FUD3OMfv+ h5a30A8ka1mTLyfjxJi8vO5vjXfKbe8PeosDYeTF+WamQrk4/A8G/cVhXa4lO3DwAGwn4QxYY09D fkvYaqEP3RLgxvQAd6U7bUOksZqSaGhl+yOzzUdMyPDODMm4Tz8b4yt1USbZ+KuaWxj9kvIjj2yf +o7QW5VBiFA1DG0ZVqkW4B5y0z4ptkLhZbLMyvWpCHfqXWZxusJ4UNG+oKLpF/H35kcDpGxm04xN OiPM7U5G3FB/aMDsRtC+m/d78AmKJ5Z+tsrz9w+ltFlMeBnnjl20Bq20HH8sIhDDqu4869RjgVxR im8O9Bb0FfKO7XWMh2d/EYoQDgo0Osbiy14GfxUUiQoHlmC/Qx+niV0R3IbjYQuqjreazzSdS5EI zBKkINb6Bl9GnxB+hzlPE8fN+rA/VL6rMkZNm0Hv/IHkt3nFOxO9TTX24hoCDFM5AGtbd8Qal/nU FqdLhepF9m3rcK0tA0EnmqKnlh2SwbQyNYJsk4jWBMztUXOfKsYYDAJ+8VfY5TI3NPEWU9kxgptj PkQDocDIvt+2ejAnL07jC6Yy7LIq0XV1fI2XbvaU+oxF0h06aYq/x/DFQOcAx44YCBIntFxG5HeP ZcEVBpfD6Q4t2mJmbgzlIYytpvkLZiTvk0gAeQEVOm5UB/YJXDAUirz4ht2c3TccU+fK4BYR3G0t LH0VhmEKdCkkEj27r8tl6ZBACOGk1q6c1xL5hBM8dQcfZ2m2HsVPlfFlPLGwmKBNrGZl87rPXflx hA+au3RTHO3TlGk+kiMplFMKvewuR9kdoM30EcpPI8iHGWmOu8vjSDiZRY81nesa9ns87Fa1pae/ NNR6z93r4DNigywEaGWa65zcJydNOcos8UDaauBoGh6eY3tToQWBBpRTDphlytf1v9iojEvRu/oE tAZw7M2fWhSK+axEAFCXDztncEuUGS8137L7UQ0dmPdEZcfAqmmUbPj9zXZVuQoJ5mnvNP02+1LT lMMgVv6V++W28sf4WQcnGbunG0oZP+iLXNdeO5ZR4dPC3T2wP3K1BONu5OvFKqixOHboQObMA7pm dR43wCOU+5rk3VMROY+zdR0Xmye7S11VMUppOCSxW1MBoR4mmJNTN+s0Yl3wikGbNnq6jlvETxxY 63zShw7WC7WlYhpT+9rdnL/RHJVCeWtiFnf/eITXaDAG7S+sg3Q3eLKuOdNt3vYd/pvXNKLkiDmx Ks8rSi6OXcc/ZKEd0ATX/Dq07+ekliYeeik5Rt62NWohus80jVI+gdjNgys9SuIfBS6y/LX5ytjE ewMq0HF5nMjXFpu8ZzzLK2w8Ec44oewV+DQB99B7hygPcs6nzgBBDk7aYt3RrYD5sfuIQK3tFKgE zLOZRemy7PbQgqCW9DkXrWgodFf36v2PQKty0L/NFqXTVjUPH9spc9q42jjjqXNL34AYgQpr6QQ7 g/oFmrJBsWqzf8USnbOBqvorspR5oSSps2GTVJe57OarrFU3nDf0lmYz8o2CEq9oQj0dhs156w6o bqdwEgp1Fy00sMtovM8SSY5ioefNXefpCz2fxmsf3IVRPvzg24j2GBrNnud0Cbp715IS748LXTGq ce4bqU8a3uDLw8doE+MHULVzIqSHrwT74M64HwsHC7qcipkHusUq2jfYOmO7bHYQ10gR/4DOqu8M Ojw16qDs4RdHjqSKXGd0/Uhj8/IWEfeF9r8MZNuvRQWJ4kxvmuFIWZNm358gwk2ldgGYJu3iqwfn gVxuT03p1galX7JC1WtQxQJzHqnJRA2NgtMax1fKq3wRdHEsabYctd9Qq8PLVvwFqHM2K1eNZsC+ OFmzlOAA8rkQ72WeUEs4nyUEjZiOTqN+d9YYswGlVPCQhgTif5bwClDRBY/zDFmEBwPI4cB44fpH KbZXV0b1tyMA3K2GzUdzDsp/O3Sg6mpXhTBTQcrOYcW4m82yNXvCbFqj0v+MFtAWsZvKq2ryi2/k wAIf8DN49/BLyP9NphSD9FSwhhR/ptvP7gacaYIwGSyVQI99FU2GptaBtg+VL1+zzM1cIwCD1Tgh lw/NTi2tviEu/vDxSoLC9qcd0eQTS0WW7paBRKk6O8GAzPGPa2Vs/rOTJiY0NDo3a7gi2wbnKSR9 /5Lcd53/diJUuwnthc+hZHmzEcCj/+XiCsaLvfHV9kLt4dwWLPHyjgSSxx5IcgPFalAvlKvQa8u0 38PbNd97I4D4pXjXma8eMkTKPbUdHSnbjQDjJocBw4mxLBC7PPGqkeXrUX+F5Uhh2msADjH8+/tr v3sw5qmIjfJdQBK7CTew5IDy6LICvFg+yjVcCpHvIc8WRnasVJDFKYa1Y3ibqDNQg4X5UeXUVacB QGWST15ODfDi0x7SahtM0DflfmjrBAwZo1B6fcYSR5A922K5NZ76FBUpr0eYpOEi1MhYFMAZAJV5 jyLWtia8B+mwHV5G4CVgrnITD6oQgezaROzJa5rDvG3CR3DOhkk2S6XWQyQ6Mp6GoNMh/vllyGKF ILvaTHSlRVQLQFBZdnMAtLY2/aJRaM9YzThPujLQ/QCBrkxUfrxTvMqrw/YO41uyHe0sz4oroIkk iF80gY1cSqpEOPawoaPjf4ejbqQ5qpv7rQ0qMfCK75nvJSGdlZWCd8sItAa0fQKMR2XewLKcG3hm KoY/Q5OiRzOJ9b2deVl5GoMb5AHUyfhAHwHk8JFRw64dcBNt46gbVdkY3DFDJop6BeJeQZ/vuZbk 7VAd22eStiyCf9L30IHBcUsrYlg2c5pbNO91lCFNiILL10kSSQuaImjPmTe43d/nipSnRTRIYw8Y 6dvfngMRNPbfyeyn+m6IgDHBJlX6jR6uapcMrNhmqAwDI1JhMMScSl2vtnKM+OpWlQUZ2VTTrqbL YHcczP2Irg44L1bCX8Nd1rJmVRJHiRiDt+4EoensZlM3eNS3ELpL2kAAZ5Hf+gCcm1SlVNsSEhph qZSM5XezB7D9MWKFBD6Wi7Gd6MDFqLStwUvtelJstQoRbxg6ev3Ag4iWt4qvWQT+N9UNIIUxZTDa FbvKhGFytomKAWHhYum09f7mTg044vHrPIBk0HkAej7G6XlhR1FVGrw0TVsdnImY2/94y2KbqLKX aIeXxUMZYz8vyblmIaljEofemabeod5YL8ynDBumY5kxYOrGQFnIfB0x92RjXXzZb3qB3gLvWY+Q na5SWqHtbGgthwoY/6FZYueQjtaO+prN98wmZhunPtZ5TkP1XvGk4qTBnObT3Gy6Y5K6YrvDfrZ8 UMInvKyZuglPxrHUa1XHjgSGjvwgKNyH9BHtS+NI2zFyou4M1KxsJz+Bfe0WWhVvkAb0GknKB3i2 8Vy1gbEsKnYYZEKeTQfb6onxBXhBTSqS1shZ6lanJ66edap98wLfy72FEFTznsNyawbAaeZhd3kQ 9kxwkAPfI9888OMOpCTt4O47sAvWGpqKPx2wnpZB/bz1qElysouMBExDi1rarDDlAlqE0+lmm9n8 XdBaIdwm+YI/1JPzV4Xv7Ny0QO/QuyPqtr4cxWeN/mlUgmSTn/ikZLJK1rkDWcSRfcFgJtUKv7IH KmKBos4zCIUUib77koh8jwdLq378QxCbq7oOrS/ypj/DR7a3sSrbRs3whjgLYC3bIiZ36P/VTXEc CSF83G31YIbTRNGmAT2G9lULLO81x7PCbxgfh57pU61zIzQ4gtj9y5yb6iq9KSRr0HKV1eDpRVTB q0rEHHTw9GmtLuDeRNZMJBF9/nX7ofho+Idxj6cLvzI89RFoSBzTX39D2f9nBHQjriBdPJ6kwvJn o4PFoMC8BAnRy1zPMBbyyJ4ffAfdrlXjnNRNmUgd9p5SDuZh+tpiMmeSCRO3oZy+4MKDpAu1flCP XWCS9iA90whKOeEMYCtbczm9oWfz2/bzwupPo/4SYKomQck0bKthdhLVcmUCzQcp/wySpvrdLrvE myjaJuEvOb5d7IugspHVBUHt4YI7bICwB9+yDI59oUlC8PttwOMiM0t4EhY+YBChq8+w/iuo8yj4 qSDpC8VQg7BDGFi7oLCumc2eBghKY+AXj6NE3v4BuQca9S9xpu432BoDk6ZSIJABsVaSYeH9/T1H bnICEG1Z5C+YtnCg+wqpaiXl4H6jignwGYnNNSHZXGyHDLuTMkHXKF8t41wHCEstfrQ3Jnc2K0gh QUwk/35zTyG10XZZpUdjHaimhWfFlX48O81IpE6SS4rCRmQuHQSVAGB+/c7oXIbIkMH6UMBvCsSH raOREdeEVbbbaswSaugXPgj8yukzyWBkjfWFIHCT+q1ATTGj2qb5klwBzdC6Yvk5FRcJs0MoFEym Lz2ZIlsMHpZ8DQeSadXpPQEkdEjdPZdq8ixMzF/N5XQNZ/M+I6+MPfVuzsSD7DisWo5nnM1ddb79 W1r4hoHI9DO4R46kSI5FA24wLQBzxncIpGVkjoqqJ1cBPu/KNcSvF9pJ6ZFd+Nig5x2o6VhJX2+u f9MCP2sUk+VVN0JciIuL5/eeNK8oeoAgK0WDy5a5BgKJqECkPqC4DhE7tno3DiRMguuJBf0yOEr3 I20jKZHmafc8uyIu7ikLmh/wt1FLTGU3V4iEhPpBMiz0q+MY9//1+3OV75FybIQHH9jJI/UOvJ5f NB9h3Uv2aePH3UeMN98z83kHsRkC6OM+VhWRukTwgfwPf5xoOXtRRcvrOULcds7lnHV7AZLSDY1M lJPln4yCWM6fAgdi6XInlw0IGLCxo/v31r8e7DltT91mYwgCqQQRZd1szdGtGYebQDifS+zQKO1b mYS2WD3xTaazvq3iNycQirbr2r4VpvZuiSPA8UctzIKqXoCUHH4I/tbc/61bTKEBVUJbja80OTLZ cZuD2ow9ftuqI0+aO/C7Ng2t7tka2rY+zy/0JVD//0CG3DpNEiRUjTkbWU6k4xY5XCj5Ejprdg4M sDvKahraJXRgFS0uQNqPWNO8rj+gg2ZflOZOQOjkyGkg2JLd10VCVctABUC8ZlA/yMx3fhsrFt88 LlpTKv/53HxzRWMddPs8/yhIKgV8kfQ4mX7YKKWGEx5t/52r+MKquYbP6b9dGZcdmn5l+BYQFAau fBGgB8ayJ60t3ONy63BugXC2YqEHCzV1vE08MGZ0LgPBODgmayV4kSDNuB95BBhb88Fu+GUoaXZA UCfWlJSDbpfXyIlqv+xrSJaOLlEBCADnHTNnUji9OGpBonrvG2cxc9whIdS+3rFsT94bKfwVOTLB qXLat5HM2R14aT+4m4OAxtbGDYNmVUWA7jR6W+f/o/5sMneQuIRbTwXOmorjuE7Vh2s0dor3N8b8 dpO7oM58P2c3qnW3zQUagpepsiKOIAkH+/HYZyU1eFqVfZkk34bT+eHanQtY/YmFRqWVwCron1ku 0y4maHs0F0wSDMRK/PIuMN1PJv5id1hYbIgRsZIBr4oAEfJDX1u9n8SEqB5SBQOsd7Zq++yqhO8z EBu15QW9lz8Se39fTEsdfregU3ml33TZPNmqgUO9W6zuRz3E2/Z44kjbJYdVV+dlQA1C8thOqZag Nf5EUdCi66jQIEkfcmEbk3k+vometQUbxVxj3hQGRLUs02eMw2WhaTu5+dz2jh0PPzwsmHXGHUK3 i/oMMiT2S+CCHwxVwQbBQJjuuyMuKWvkonpN7245apsJn9p5NOMC+v0MrT/ypjMETbYTab9ay4V/ kf8GC6Soyzyf/ryVuH4Sr8pZ2slafPhbTD8asmbJrOWsDuE7+uqDTgH1tlFUg7KjekfdsWSUmThm FEjm6lRLc1r9lhBTh/rWDaFuMY+P83ND2sqmLMt+JsI7hvOYsdBTPPVQoPaXaDmV39Ybf9S98NV9 lgryRMXkn7uXaGmSWmQ/JW3SQs+joSM98iwfGR10B11Gtp+Ju6AoSxoT/jcQg95HDQzMxEZ9ljcI 7ZUoFjhW0YtUmQV25InXJerZ4dqqf3bVj+zLh6OHLacq70k3EYZs9wUR2f0cWhIM+HJ4BcxxibGM UgKHUn+Q3/ZC3g6V7ADue7fYgHOJAqf/QuvfOgUl5WnSwacWz4tnlIgrkJkMu3Gu8klEa+j1sKVu HaA2KOdsft+oNxWb7BvEayQPe5Oi+5E7Jz21XVYa8UAmofalofngIqHZbCqxA9pKdqhwTt+shKdS 4M3owZ3fwV79lwixaV5K2CrJAEYmgeZuETUTLVHrXeM+zm4vdLG9Djf4aDkWK2R9iMlpptzXGwqp yKQBpjK+kGSB9TItoqiCqSGDccP26Wd8klUvcCHJc347imq4+519OOPlphtTxIrnCy7yIgF2ZZ1T vmVq5p1qa7SCUpD7qY4eay/iTJjjiI/pTnhQlHNBCK13hpjuMjsFCdh12Sw+yarJqtJK6gVqzU1g pdKtxizNx2RkNVR+HSZ/7CMKWycll0M0bdvuTHucRR4atTwgME2EWMG4bQGBmzJQ35kZ3gQM3VBL LrupE6wqq+dOAR/yct25UrGLFEYqMvj95Eq24p0ZZ6MYM9bCP5dq0n8CPKQqC1JlHT5BV/6WaSyF TyCWxf7CU1yH0c7fTlHe3+gDpeTAlWMnlK9grhwgkmYbl3HPfncTC+BeKJbWZw3fLyZHRAjMhTAl Z8w1irY8e3SYLaiSJYcQhefa1laNqjhmgSFc4el4FNx1/7tN5dbo43acG1YW0Sn5au2xdnRh9vOW VQ9gw+KVH1FS38OP0yiKOQ++8eWW7RyUzRblafyp2+mk2h/6Qhxoo0Qux2Cpwu1ammXsGXocS9tt HUQd3Wj8rvbC7t9QCj+oHmOwzpFC0RdWRYO+sMlupcJB21T6Ccn9zFsBVUYtOjAW97LpmdmkfuVM fGhQgbEgiOql3N49JasiMvzA4mUq67qbsyk9pME8W+DCpDDTwAOEr9PxQ89T6Y2/R1Oiqfnhk0nt mPz9Z9T517xxMSga3yWO5Yvdh03NawVqZMcEunw+bZYWM3hXPjuoOkh3ZVPd1nYD0xEI2p2vlhul YP2xjVmLap+IxMXk0JoEwQQS+3/hiNflmvEliKc5w3YhmXibOYWH27Gc+G7gXIJKJ6UuTkmED05L 7P+ykiOhl+EZCBiUloLX1b6Iws2i2El7QlaSC0nIQTbMn2s9aP9r5tLa5LRzleeyyXmdleYrAgwS tNy71Zg4pM7zJ9kjrynjFSu3s4cJKZ0+AUqc7Y8nfKTgBblxsFaK1x83yucPou+EPBUoxbG1nKF0 A871xGLgKHjCI/o5KbNx7J1l7y/GRZmK/jrU9krJW6cjDLTYUWnWfIq/3HBwHE1Zs77e/rYrayM4 QUtibR7ibdFy7Wpa8b8SqY2q1Dp6KiWQVeGjG0QXK5tB+ZMhQXiNRLvgA3lFos3ZOOYxGG+p6hRW lUED4rcNZX6ImUo7Jib2HglE92vbA5z6nSg5CR5tCOfCWf0Z/glKeRx2LmjFzhNxIH/8plTODdsR cPxLwtqxKF7vQ++J41kBcG+BFiXI5csmgalRKfzU2zn0TbLmdWPK5WV6G1tpguxt/8jaNCrA8bro 1WJz9e4yEkNmPcAFijpTUnHAiLwFO9V+lQIw0KxDxKl22mlFT1zmX2bN+/zybn1yO6dAqBzs7Hq7 kJUH+vxfi1dq7BrSF1G2bn14HFzmaD/mqAwpqVt1WkFRgmAXDK3ji55c+9Xpw+qZLL5DYKasF8sM 56BzVZw23WHxHRaNrytM/lSnFZT3eWHQ3GMDVfYkPi0bxW5Ktacb3bzcDpUXgRqGUMUgvH0VxTdD 4GdV/fM3QDiiO2vuKHowhvoZR7grqWa1elHLVdW+3gZaA6VaW8nakk9lzslgsl/D8eCUmNtwpSXe z9wEe4RJ1PtSP/2rAdRuweTCUnNCZrH1ZwcriGM1DmJ+4LUcj0Yuyy4Y/ZgSEwsE3bD0qQkDDnVS MsqAXfdB3NQUHGd7QZFWfeE+lo+twatasZzRDDQzaVCpCC9/VH5eGYUxXeALyZcgTUGIvU9Yc8FS mD9ypLVYZO1rN42Cd2DdGd/A6wvCqY498OwVyaEu8IPXSw7kxnbyAPO9tttv2e+/1PwLSCtNVqPC GZSyHfmeF/HrtCmgWV5hgQ4ETqP6OKcC9/P7llNAofpU3TZah17zBaY5rouL9khOlyvOUP+zwfzp ryzMblp70At0RNvQH+9WsF0IURPdqt+zjeB9SIrYxkfvAkHli6/GeTsbKO/eu0pfb9WBRFC8eKGM iJ2bjjdg+ZuX/sO/XvQV0VFL5d/lKXv5219dRHJG5saKw3ExBoecJgu03kA9OxD37VaVcN+oZ5pP WJ4k3OJ0ELI8/j4WZIQcxvxp4/Vo2ThZd4SI23AM/5lRPwLMguWvpZzD1oFB2H8GEnLI+rvUNvXI 5mjACJJS/dR4RH+bqUkeQdSBEcGugkS/9SF4z9BhnubtnvxbUmzo2Epr73VlwIy+ySBTTWe6kcfM U9StZlLy1rN/CWZkjFjN4I4yM7zc5U60o6d2zQ57hsjOEuv8w6aCQFHY0kpQ60NWtnmCZeLfJNML mdlkbFJAKwukx97OLEQQNiUlscwqQeoSeyPO3Ox+fhuwLsvBTfsDpuN5glZ+o0uaIrO44n4oxoJO lCBqSDHVMCRF8ua9DZe+l3y+GcnADGjKvGbnqF/P7T4FaUpiErk/ANu2XTUxs9Kkm0yib0zpMFk3 FOXOcFAPDUCI3GEHLi0ChEUjpc9KZ4TOY7k4jtbnHXJX5ep5Rusjv5nVCBQvsGPkHzxGvGhPmMlJ UFB8cn2oiFbwMzo8FSwtJfwMMIe/f+BIVzsnq3x8SKafZebGjY4DWtTHAjE58qfPlC5YdBfZgVdF uM2vX9d2Ys3jd1fOy8BbElawLOYNxGt7sZ4kGiGiXSHZ+4qFkAx8LSAd+SecaAgooLm6pUiGZV/Z uDjKQV11/U6SnEm6zU8sSQQ3w40uaqJsCZ0og5waFyPGPAbm2ey8zx9fNFxD/J1CHqQsFwQ1mW10 szDWG9TG0sXwzbwcE22922iwHW1ysFQxjdyf8GSKxCfpDhra9dtKoXD+GyhSqrhtoSUx813PrzrG arJEDYViuR86m41LcP3Q9rMk1K8BHBzKVZDTEtlJz0VxnGEbumZW+jsF6fU1+G5cs7ubn4Np8F+x Yc+wa9qEzhKjL5hB+GFTV1o9YSwfRlN0+5rzfKR+uPgvRXQSWC36yqsGsvr9B1ZvXloiuylapkdD 1hwiU1LKeWEE6Y4BqyBnXwqC0X5Gnauc42Allh1DSUbgFcrx7RvVnrDgHvqbUnzm/NxCVT5YWQ3K z6+znRwnvUDtbT0r5k1E/uIYamMXpBHw+KaugDd5zuG2WttYJtN38haUBdGSrLt6mGvtOJbIWhjT hfCb3Vr+GD4XF+jbGOpbSw89pL5aevBniVl+xT6UZfO3h3eR//6ZmTywGjb5HIazbSlo8EkCAFdI sEMmwES3WCzy1DrO8C+AlwsEPpYwuEE7q1qB4Ze/EKto2QXbwGCZhBuCZEzHk08iUy2g8q+x06MI rHtMex2zxcVn6HeYRlycvk8rIL7ZnlwI6ypLnWu/6BDLuGtHcyOVArXoEWi8eloNF8zrxAmjuOgf HtTAnix5W56OMAPVQ4thlDO3lMWkk5EwGgAwAllupD/cOmrVUulQ62en5tRAjibxvIYJ6AJmHMXu 8fRxJH9XtyGoldPOqoh1L2G/KUkTlf7maI4bMyMmKydeNr9L6W168PSHsJ1nupKa8S2UjrM+v7Ie fpXe7wa+4Avo4/op/XRqNHNezTq4eib6Ikt85uiOrayPuUD++FszkZM8fKvFJzu7skWA/NC1AKPe U4vZCw3BXR8Q+Ls1ywGewa9QZk8v+E54jo5xO4bux0niVbq5p5SX3rNDCghToNbVwCLOWwLObz1L 3R0cS8GPSeWCDLI+hhnrp9de/ACb6ni+JmRdcdk/3vd3jLGunOQVRtlCy+praJKW+hQQcR+Sl663 VhTM/zNMhYQmo0NadcSM6x8HjLZhfI/ZMgBIwZqHbSpRzvrmtQmPmMlofqkWLJqbzQWHks6Pkf6D gSKcvUmifVNsoxJfyxthn5RNESy+A43dSeCrgcK+0jRhhLZH4v50nhhNXYtsg3I3pWujpBMb8WPc MAeWvXwmETxAiOKIh+bWYpqFAhzf9AeG32bcTcqhs8nA2LVajxEhySOuCAylBx3yTOcHRxcehRle FRFa3FRXeal6JGIZeFRiakgLwhn5/7Q6WSfsM7Df/XHB/7BpfcxdlRO8EZcIlHc7mcFoEc/nArDt 0mOXouYqtwFlyiWQChME2b7aKrB4OoD3Jxij76e/xd3Ujs2TFlem0oV9xn83+x4Y3dKc3X9zrJVy QHYnLu6yGiPuHvKLF0PseZZv9c80LuSyF8N7+Am0TDNYMnHY0/X6UdK3+BkOcVk2unzw6lYLpRAD X2DIWkXANurYZkoeyqwOm91N/fuf1X177LUsCv3Tm0HNhzYf8Nm4wAP086kf6DuGQZsqQ5e12Hxt F1UqbwKFU5R/mO9wh0PY5CK5enn1DwHd4GiDZ7jnlLoCkokL3s+bjJPeJjcFQzl0LzHt74/fsotp MhLChtoMs95XOYN2Ew5RNfiA9aW6QlrjKMJP5IREmKsCa3sGKbojcVPxZZf2lBKwQzcsR1jmUkYw mdO+qLbIrR6IMY+BuW8Jl9OVQX5K6zDjlqBwRZJW04H51LfDYiPreH8yXCoVssCCE83+gV3R33/L R2ed6jAAniK+oWAeTlHfhLCydmtk9K5jedLJL2wTCozUF9QZ1pd8Z401wd0q2TFgNbQcwm9hxCQL rs98+UQL4NCzL1sNJA9c8VmEeFvuL6ctY2+oH9hdpeWnsmOI47S97Q9XWlvLZdvE1h4wHio+DJYc Y9LLBpq8Pqf3n55xWM4Vf3micFwZdkAMBvJ1EHzk37v7FPaBJ6BFJ31apvt7VZt1np6PBKgC1gmo QbsEl8DdHv9OvMurvl5IetvBa/80c/+UYeObZZeBih3REvfBzq4+q55pN1Km5inHkOY26KIWRoEW e4TX8i0zMClV6XKXChhF6ncqfFT1fZ0+cqOT8G9LKm4eIMw+WsQECw8gQU1n5ucxdB/5pZQ+6dSU YI/Gq2bSRWROJWxB+nigatSJ9TnJ9gxPEXjoKf10/5E9ziRO9rkbRBp+iwoAkqAeUWd3JwHWPaJw 4NangCzSxk4LswXBpJn6AAJsjZFciU1BKrdOehDXUmrCjsEk4NgA0cbjJSC2NnkIh1Hdzf5kSRTX j3pFI4jA7Iyu1RkZmhitO3VxQFKhpxsGanRuf4hy5E3X+3ND3iJX0EQlUBo3YMZ9OcUJTYlNClni 9vxfxNhKWtLaqEawmSudtQufrvRDbkoasnKGUYKyUh3FlJD6GnovOqoq7yqDSyMQ6lIYBfSmWdyi 8zVomz7kpP4zyTpCWsTZMticaZFH7SDYM7SOIoiWXVnq+y7Qw7Q1BUmBBRMQr6EFbtdvYZaCvAxA 0odmEZmRXKwUbnROmODeseE1l69ROzXBgc4yM+I9JvGDmIZcAcKP8/E9sH1O5tfFdfSS9Eq3iQ7c ZTQSFpYrzzgszAoluQKYFf8ONJKKQw/eqmxscTUf6N1hs9IdY0OjSVaxzcfEOcVuvLinkm6QdBOU JOJE/J3at5KdT0V9K0jyizhl3lftb8HOEOVhhnc/ewDgDGsA2jbcOAJld5bpBMyJvgr05uJP7IqR nyqDJ7mviB0w+GLrvoul9iMCj+vvlnor8zdlANuhPQY6ivYCwr7vHaRA7RHHnySWXy6ZpcnhzwNk yubjHcZEkIPkG3nF2NTHGh4eWI4O9Q8f+SnNFRKrpJahcyzYM99HDTnecfnnjbnV7Cy+1NS+6Egu pVhuaTVEtyDJjDL31PRxV8EmGEalLRPyveejKZ6Kgqzb46S93rOD9tZYqzGbLNH1zt9pvwL6CzYw kM2fxIIQF305tut92qm3Lbcfh9IMvuRN5VYPWG8I+FAIo+x3A59I+XDTlBAnzL8XJkp8cn7IkEda hhCMzx4PvoCpSRc5qcmbArMpKeHinyhGjJCJ6XG3j4bDtSnd1AGtfZkMQOONi41ZhtVDJlfEXZ6k EtvSKdpqmzrXdyAs5y4a2T6yq7Xh9CaSYHwjWu1qOM6LjVh3NwwjBSoY4IHWliQdJy59iNWktCd0 UXAt94qBexucw90iGExu1yKnPiRkT0qGi/QbtzdAlBrwVSI09p95lIKP/IMXD/89TZMs/bZ/IkZy 0NHCB19cOJKYOP8jmtQGIvoH6ypD/4CLb1aWiMezniW3XskyqQT5auT/r2AbcUn3d6m4ottOEgDW pGxMwqA7BUKr8hZyeBRuUsaYbOWi1zOgxuOljup6NAo41a87XBWKHqHow3OgG813f8Xi1kQ7LiFI wTwT7NgkKO1uQg0Awt3qfKcEOx+Yo6eIVdHg0te8ywpjmz6hKNUlfn3D7dO8NpIFp4vFHnJtY8uF 1gk5FNHaIVPOEIts6PpKl4vcoh3hmf+hTT3qbRNWhmhQnXpmBaS5K8oTqFVHBZS+doVtYMgWu0iK hy5RTYX9HKKjCRgTYIK1vJVHTflzyjCR6h9t7ob1iOIZU0Q4XQypqasytXG+ebchQyehXZKr75Pt ABBcubozA9ZVC9OjzmAjgr/+1TQF79egWJPDcDHev3LG3TOrqFxSvi37BhpFGVAN2BY0DaJ0CLvY 27Qto0RMA0q36EQGcmDs1xksZWCLmXdb904OrYQ+PFj5GX/tELBU6yuBWNt60HqhJjHqxJCt0xI5 lZoi1ZN2ud7RaNKVKurMrIrz7nZoYK+bGo+8NrT5adur+y6Lqt80h3t6nvrIe54tw/g/xsmo+QMk tKN3rNEyAFlYAsHBQmqyNGFId5c9ZCUdi8yFDHHrBycWwlKRaVvXDDbz6WPFY3rTPrLHcj7yw0m2 17hZygJBNis5XaOwiaJDYnSdr4Q7VcXUw9odfbUfp63m6Cqy3+1i/+9xNck2p9fDA+gYRwwRvfPk Zh8WWK+RXEbgDvfwd3r93qvSFxFS5iVCfS+cceJO7XerUoOEH1bcKDwhyeEs6u2D/Mpad5Wrl1p7 Eo/ZPz2G3jTj+Is4fNJC2IPtAZPDfpshcCc1RhL28egi9nH+FrRO2VcYpZnysD/YQRxhinjqGikE W04Jh87kWpf56ZRechx3JuFx1jt+ycMqF8XWb+tXWvpRMh1FJQJffhEZoAd3CsX2Tgc2kROmcQjF jd2zPiMA7uU6NGr3O859Ol8u3QwVZ+1orroAsp30Z7Ayp4oE0JC5KO94NPXFkTv2XZW9jRsku0Qr Mwqe271n6SrfrmLlE6AFUbjkNQisQOama0h2i3aCuZzVr0t0eYyipgCrbobPZnDHYeSG9lW6zTA7 YYg9dBBZ9GayZyKeYfToFiwUJ4z2gREAs9ymwHAplkuxxq+6/QMBMug1LPYFVtXGcFrktLO/5ORT hwaS1cnwmGNDBfa9tW+Gat7sWsCCFF51pHtsIiLycfwKltk5j5MnKLAMxExz6uLWFCrmv0Qq/6hM gqdILg1OjYcqRQ5unImrf/lHVP8UISYPCNr+0dHllfT59Vqi0ixmysWfnOpDwsqV9TPqCusXaLwW CFSo5P+JP2BnGbKCWH23Dt1E79pBU2aL2nht8Dri7+GzwPz93kTRcAEYcmDPjeKL7N/YonQNxg7R Qg5A5ejGydhzz+AAov3McYpuwh49n4IFsMgPIOXJjPAvFM0iN2twZKSRwHWs9HkkgEpN6nn8GMI/ ejszzYMkW+LsAHEHd6Gs+UjR+K6RwPQ2Lb/J4RH0JgVlA8idk/z5I7oFAJZTtzXGTToNbVJ5KYSy EzDZenaPrAAsawA3DYQn6Osuursqs1kVPwa9J9tG/NRlKeuNlYNDXex5/NxKRwNemYWN9CNPVEAf yfryGU47FQSrmEXtsU/vkJSJCNX4fU6YsEciPoZF4SIc9eHFOr8VLsIA1sizLBbjo7+5j6f8xCBM Zn9A6VauDzWWsM8oPA3mOFQ1dxrAMjdLVNxEefR+pCx8Ofs82tjnna+ZzGHfixa1sVHHOu7YpXPq uSH4DZDFFEx4+VIC8st+WzrakaWa8f+jccq9WX5I+luMYwSfRtDlVNYJlicL/UX86nddFQJG5h/l aemLJgZL5UFFEU+hGYbdZuxlZZ18dMGxsa9Fv2QcXlwWrUJyG8Kw9+GFm7PoHscJjU8G1eQVpYon lfTLfDEyhRxmn29iteoT4Kr1Tj50Wo/WS/nqbNQulz3zdQB9A1+QX8PTiiUk5wl/0CnoSD9kQth+ o40KGfXgKNhvkzDi0CqGb6KKGyJ+RlC0xlRqPR2ya1NaLTLZm66slvOkb6vgM6yftCtqL466D1Zu TJ19dBXR+pgSEw/19zDCgHpVvOiBYAL6ZRBZQ0yuVKKnqgYkH/eNZ8D9YIh7eXH7am4W4y6Cy6Fe 4VA34jkFJjQ9yVJgBo3KZdMIvVzHhRzvuRzX0DsWyCLZzL/FSpS6OM7y8AluvvJQVQYIvwTPo4Gm L2EMQNE0I6jHnYb5aDk/rfVfLF2s8V4GArX6baApH1XxOTRMrOT/047SaFXlI43zRYJHvnJwKSYR kyABKQ07+o+0C8ALQ10P7+1PKUat7Aakn0dpgcSCIu5aSO6plnLE83g2Fi/YOWodt/wl5F1Na5YB NSk5YjyGLpLfCRA4xKkNA2CQZgCBqr+2JR03mi8eVjqYvpOM06Q38B3ot3Iwmllwd0qIyS4J+Shq PkUAfFJxsNVK4tbC5JZyDwD2yhAhXF7T+6fhuUx0SuM0C80ltRe8jSOCoCBJXby15kzZaqrwlT0v 08g+RhSmNL1XIadHGsjFt1//YyZPx2P1t37tBteDvyRt70+tzrrpIlvlAC//MtsG1kfBhIa1V6Jt 4hjTCPgIcBRa8KPKcwsjAYb1uan0Pz+S+L+aNh0x8VaI31TPCJwc1JOf+HvH9y0vuFDQQe0rhf66 xFaixDvuF3wvarukm6D7dpLsTQzAENM4f9rOjASFVVubIDwtz71RRFDFXgZVUa1yh8MAROotPKoy UdAQMQ7wo/BipSK8/vbpVVrY2K6xfolPnVN22OsGwHwuUWILZOhBduU+6C3+PuYGhFAAOTZSAEcV +YviMhxkuCh65GN/5y0bB/HVOlJHn06ww8YYZl4rwcYTEzs8EHcLXtNr3vwgm3v1sSRQDMRhw2oW IVcLH8/F69iCQf7KIx7aQUQQZlOGRbnFhiIsZB+pj3QJfO4IloiOP3Hd7F5lwECCUVW7Y+ZgBnTi 3CajPmT5zxkA1B7X8/Nlw+UWStfoyaCPycovoaQAql1Zru1GAIRhJEkiMECTE9A06SHFKVBjJ6/S qCCH3yByrQ9RREXv/Sq08K8evVRvsjXUxLsEO+xd5KNsOBwQ0c1WOygBc6VBzgxLLPKLtOEM1svz 22h+FSDqvrtZgG5aPjeFET870YQVijEUvG+nUroQVFQebjR9uWiVkRH94mpQXchCHx0RU6nsJuse 0p8F6SqaoHEKWcRPm7jzeEycPU9YTXIP5F1FMdsQjHxsSolb42ZVV1AsT8Pj2m0in/VBprIQUb3U c05uYzwjZ+ypOaY3cNjrknZvzd/NRoJuwDIxNP95TKguP4wtVUZaJx8WFh+ECJaVhZFLp04dZCRE ADGge1vmQKzATLHp2Me4ghogXPlXudwHDmf49sl5jXW2UfvaEyqgWKxuh94ITf2gzMuk7/HhpJFX n9nhFRifsnW/kl87KP4ofy0aHRsPL/QzqVAf5CgnYLCg1tXShvT7Ca/H6ozpjumsO0DbNeTqeYPQ 6vcE3wxm+PZJTpDR+WShvEANgcVEbFpsHTs5779SikWZBn1vXS+Yy97Spmi9FLY/sjasVG6/meD7 3Fhqlc0KQWNByyIeAxGOWqD9n9d+ErnUJb2/DegXL2yOMCsvkAU/2M2ONRJmJ42FA/6ODtaaHjZ0 w9Zwc0/H/m0pxsCoME7u42waJIMYs+hqZK5slOE1gEQi8+7BUHKuW3VNZBFllpfgrZmMXDL1DZqv NV85wM0ghLI1nkiI7fdLIWGrSlq9m8K8SROMn2Tc7MSZL/AYn01rxAKT7QEyuKfQiVA3ceXs0eKB IyBZXlka7Vy6w2aGBFffKSsLDfCwI8BmlAoQrwgszss2s1FRd7MRb0ujVcUAFHITadUGvKkajXRZ N2BCQVlErau9j6Xnfib/1jpRVS+wyWlTgVbIK0pVdxzmjegR+8qai5oAMbEnFhI7+/am3famSoD4 78ZNfho9Wo/LZGJGrQ/cGXdZN5lNKbJWuZmiuBrxmsWnsTLnQHlHJccreLO4Dz2amP2rjga29E1h /2yP77ddNkgwRGPMaHLpGNlw6qAk6k4p85wVFROXgK8S9HGdVU1nYq1L/G/zBjhpKJXQxrQc4N6s 1Yd87iA8b/MmiqiVmo5PfHN5oy1+Yk7KP5tNOkEP0a+ylBXctsa0krVJbZXqeFfLVLSa9PWBRFK7 Fn4OCCbBRGOED99CdVpY2EdzP414ITeQ8qs7tOw0uSCZ1P5eI5DRINAXbuRwNLUDLz0q27L/I8YV RvcsqcucG/2P3sNTYKJyOWMfd8J/r9/AkE750pTC4HPAbCNpL36auYesgcY3Cb73ZGHRZxNWNeo4 D3vNohHTKkS+XitH50SmxB0GGT3Iwg+Ip/6roWz/CpllhSAHtgjMaCwD+PtCXMRg5YXruivhbuwn icxYGOxjGIz+X+GhrFIFaY7yhR2hPcTKf7JJGh7fUKypiczFhGrQhETRbUCr/pdcjp0eyhvML1wV 8uq8QC5ZojuP4iRty80KrhB5DMCCpaqN5M01zHcY5Ydr5Ph3usI2jMhiYqyEnChOYHGxnmrLgasY sNsT0bMWXuYZvCJYpztywawjXaJ0jJgYxtrli/liUqj9cfyV9FvWl+RyfOSwTIHk7IKawwzxpgom YRxFIbzrwD/40klpLwU7E+FyKc02Y3Y7ylM+0VvIvvgtASb1DujSDLPfPlSWJULk/BChPU7XqM9a mKA8+V2oKMIivkRiwTTaYWp4vJmEthIfgLozJJGEdMRW3Wflc/JIVi6uOrAa3KQOBscNZhZfYMOX xXBqqnrOJxcc50kzNdMgTf/fyqCM5i32yxzpL5C+l+Cx5O8RmkXuKP6zdyRbwmsF2GcvLK1f0htj XUKLBv9nL3EeygnODezpQ6bUFel4GHuXO1RvoYwZzN4Lmfun8Vbg3kJ3MauE8mux1WZrnb5wUfcg 6fz7vnl9CcLXe+YDMwP4WWJY2kJkGJlU0PNRzQxDA/+eE3J8H2dh8tiynaVP6glTU/ZLGEoRXGGH 0IDVoeiZk5peuFGm0RJKeUTepvYuRn04nPVbLq0anbr1vYF6IZkZ97fvHy9bwg3ArnrQVo2K/v2/ 33aLhMPYxvzpExMvZKFv+BeqfSWC5YwbUKz4Sz/A2bbt05Pr+nszlLMYYdL2BwR92afZNA9aGwK+ Wvtr+Fnfjr4APV7Q9jtlcB1rZNt2GtxFTmdqcybWwWrvExF3QaYFOjlw6AjXvrdqJIjNgls5/yR0 5tZcMFgceygGgjAmRV/CDjeMjJJwBQapEPhVusBj2TBJGEZLxxbnCK1WH/ex/pNqaNWXgXYqJPCx fjhg9VjrmQwvT7k6IDyXQ07KLKRGTS7T2GLSqsUasYpS/IkBkxenBpaYBpXoD2AFekkzUKrUtMGG NtAST3Wml3u53PQhjU7YGmh8yD3tMF8r0K+/tLpx0y0Wk0rjWPvK0if1/5b1/6MeUf8uS84kU6y+ WqnH0ZbMB5DYP8Bt6noON5bWZrGoQLNRK+Yovv1Uy6eqQY7RSJn2GZU5l+SU9kKB5xKweSsEtuQT extlECqQY1QCYyf4df5zV2Ph73cjV9QWM8UGT1ea1wMnx2giBJ6Z2tj5rL0G4FiUSa/LNw2xLsSJ g+e6Cy+BR3U2Hls5Qhm43Bdf4Ek80frQCzFe/klLAngSRr4tOBV9VKAYAd45yaHw45EdSCOG9U66 BJMCRtWktsUfwH71DRC8Jm371UM+b8bZD/n9l0nqfvDUx7dlPo94iZJfIfXWkn12pUZ6rkE9PrFh R5pbTMrcER8tQrQyFi9oGWqm/uPcjtJNVPRf92L0/PDo3Dy5fGEW9XVOFLCM13ci53bWb1KbGhh9 FQONF/qA+KJA0r6Gj6xfEMnc8wrKpJ/U/KFacxgVUYSxKiaWO/8ZvovK8UUVFMcyJ5uwJcagT8zi G/pGQslh7Vr32pzDW/C9q3P/lFZ+n+AOMfoIhYIYL1BQMVsVvIiSMgmoKLvdd0ANdYNXgXwFaepj H6KxfYPapoPdLU6jOd9Gpw9hc6BhrOT4H7EOYNpWy0PLgdJsd/oxdKVkH/Saq9CHyrv4QDRICe6P oWgXTNRC53eE2xDJM589KRYxT5pQFF0zYSHAPlUDyagguJuhpCxMQ5X6F/tyB0k26S7m5Xzw4Lke dHQ6q+rXzAaCZNqMcvyqWplyuoMLJLGHthaKX+33X2DYwXDKR17zVPOPEtDuCtPhHE4uW7eFYXqK gX4AH268gzYde4PWOCLBjkF2FSZPIV5fVvyTH8x6CbMsIMR+mghrT3KQfxfjjfY7XlSOkpdBNIw1 +xrG/TYHEGYdOw4VwRdAZjY+oBeRdwTBQsi3AgcJdr8bFGh12q0OVrQAAn0/giToC+n8ED9QWIiF Wu+lueVyeSyF5h4LmWT4C8gU2QdoEJjERgCbS4KnasknWUIkxnWtfwx6vOdu+4frqSmjgP05rAl/ 3Uj2hfwLSmO2UJr8X8GW9T7EFHusoR4hzQp07DO3/s563XhlgwbgJFE4/yg6Ug9cw5B1A4OcHj9y +ahmwA/v8lTdT78hhqjwVVq5NzrERXNAgTcyT9YX3B5lUuB6DqmeGbyMn8pJnO/Uu4+KloUZGE09 IP7pF6RiLoDh14WWD3SpU+UnKkrRx9M8xWEFvbI04I8r/o+vgNI9IJ2sRqRYRm4lqYPF7D1VmR1Q Y2gpzhkxqCq2Ap8BqVEeiJQmZlFhzxNFPkT2ZE1iF5KIcfadJsj2ROJJNp7aDsK0IXN7VgtXxT/B F1oGv2/bA+77p+7nSpl8072Lk2vyUCJOxsFd63O0eDIELo4c/j9AjudkkEyVYHliM4v4j8mMuWla HYTCgHXgALmITt558XegvaS7oDPGl3YTl1d9Dtdx5SoZoKFXkGtDnogioxkJsBQAVdIa7S9q52Bg zPI63tgwDa3cKQAoBgXQfDtEshKbLiOOywm87FzXBvkPtBwVnXBOK1zZ2H2Iqt6jV2cqfdSKkXtD P6ZzvxGuzgyWld6LQ+ruhH8z7POBX5D9T+1k9JpXgjBsfzMUm1K7GZPXNIuZ25N3MaVsSaluSgQk e5wumKZ7kgFBsLRSQCt6TeW3gFT/4xKYdPa8dT2IjbkTR+MP/4wEfLJ4yGLd2d1A0CV8R+vIaKKv J8Cm1tUFc/m3bCBNgIdG07J6KZTbhn5SlLVCg1618eNUo8yOkwJjbKQTei6jUMcY5PufQP5VJfu4 TZPRYpbGiA9DU3YY5igvZ6Zm8IwzxVFmhBeUdb3X1LWjsFYoZV2NnnoOsdmg3vqiAv/JZxB8tVil uWok7iwzOB4e7Unn2KLlyd+uN9J0rrOC30Wyulte4Mvog5EMvs+cd+pfB3CAnfYTJVx18WetWXpF INfx5gBjCNtjghdCFWfA3pcUFULVMpZC0wepudL+YG1fIuEtZmejQBValB2tH9PrXpLSd0gkki3c 4I7OPaRyc51vUrJMpUTdJlpukXKf7tw3gjPKHcw2zfsO6I0s1Oaim0enAIY528YV2KfrABdcojJs JGYa91pcGGWpV/LK3rF5dtVj9ODckVqnTt3sObMI4ajZjKibcupRwfv6IG5iu/OIby24byDzomdO IEnEqbMh/d7cNyithkQlO2EdFCQtkAUa9UC7o3lzSENn5c9ExtzpX5Wzx2A4mGJI1IWrp7Fx/ulj AryUUNql0jvBEmz4kUt/gYLHos0yJhf/cqyuCzygECIq5E+WiulNcs3NNJwb6CmSmHNZ8z/t7K0+ ijI6QaSgZ1CIDggs3APPKTV8bM8KeevNvRagXdQXCWpScyOij5Oh8ygXFRWv1cah0VHrmtwAKg/M UXZwu427FijPrGuDWxFHBnYIYoMIrPKIjulq9DDNhnFdqn+IbLmr7UjPCB42BpAolqkwSl9FsN1r l9lHPGLUYDw6a6j76znOG5sSGAEw1tgtbe7deoxOvCsX9Pk/5zauQNhovvDMU8khPT1P/ZdP39fp nYB1MxYJCHzK3Vtfq+GmKEd3ZPQaIJW/ANksHxJ/Va/EDpDSGAvbuv4RFUtwlagdKZe9bteQacV/ IjD3FEcT7flZvS+vaBRyfOAbqwxMkCSrI9v5vTO+zUkK0REUZHIQRz/RP7OZHYyK92y3HjGvJ04v a6RQonjk7l9alat4ifeXd4qAxamPoX7TRtgF7qrrJz3GoqVHyHy0HPcUyHSCq3EkBiuKLt1RK/Zt G0IleNE6fy7bkB5p1WrQQpRHr9Cai8tvRPvNOarjY4/GicZPFIoV4V4qGOi8RvROd2Hw0v1HWBGi sVHAsk8PQnBl2TioebCVAoFeOZUYH/j42ifHml/qUSBE57FB4SqlVQC0EBOFQXFEgiXihWOAE8gi URlNl46PVKhRtamk0WGlV5RJswtVM7b4BVomsXJsYJn5Vl8tQiAltGsFITcqDX9Qi9cLohzopulr A4nIS5GS/h2Zg/99VEIJ+qJkAs6SvMlJhAqJE12U66v607D3uJt2UiP4xLo7W9ZiDzH+pwek+Yuf 3JPxZMk+FN45o69m0by0xPLhwMBerkxO4r+EmOb7bfI5AYtUhI3aDV2eSPstEYEnRr18m0njV960 odujFNQ+Jn+T829QAUhXFN0ja5YGB85f7vD1Z+FxMxhYpy70OTRxCByrBmrw+9/BJoA8ftS4hosl 0mILDR8JpSe/2cdzQxP17lWzjLh1bIf/jxSkmOT7facnePb0RyMkiO1U/RkRusL0t1/IS2oV/Ak/ Zq0ppTNk4wNreMIrKnOzJNUQOY+bp7JxttwgFbJef9wnSrMYYe3rCxnFSKxggjpsWjF0wJrycRKU SjwphHpzDZ2X4P2/fOnojpoXz0JbKllL35q7TaMQppyuvk6vrDM7Xp4ibWd4p1zZeguBRAZpW1+0 H2tpTwOop06UJj6mIh8cUAcnoLhubbsPoeUFJR5+9Vo0h37XFm37B5We3Dv7GU+2n+4uD5ESUWOr GvB5z0mzXMEWm3utwFCqBsrbm68hDftdFCZi1J2A1kChIGJkYKWTpLxYGjqc/1lp7heYKIKQd1RI 2ecd9NfltaNOTAnpyxMwGNNVA/j5v5D67v5piBMbWAdFklVUGk4ZotChGF1OlubTN9NYDM2wCxW8 FiTIiOPsrYRoo35gurJTLsyN/RiGgWKPRDnlsGCasOfG1Z9pc4O34/7eDWDwGHcT9HVTpKYwWu5w 8JjQPF369hBp2MFle12VkcKlLk7N17q8nNEP53yeXyoMxmMdQGMYLxDjRTETNk9vkqB9ZF4zBHhy ln8lq1pimZu3AiriWUiXCtYf0OLivG2cCaocOMyntySNb5xqw5r6/urc4jAr8n1X7NHsVDkjsmAd N5no0TzCE6xfs2F6LBNiLQTwEgYOgOy7YDB7CNUnhzg3SUkl4w/WvWI/C6jqib4fUwCTobyl5n4e l7cEnbr/S4WEg+Z+3uT23wvNMT4Rh8unUpGMu3021LqhvalDmjWeRust0zv8lnUdaSfu4PWH+BkK TVkaX/2q9Wah5cXjKEVmsGk0ypeXHnsY7h3lv+GkzwpgDGS8gK94CZSkU9cnF3D79cipl3HF/oqH ULHEmBd0qqF0Fj9vgF0pgRojupq1qKRwWGjgX+OJTzaggk9GHs4IkiviRo+o4HXm45CLsw/t6iqn QB2p8In5v+6PXpavGU8RWit18y4fC9+UKRcCqRMjam9Fnhds93Id98pL6zmxvIYAiIBfMyuJXJU7 naM8IuiWey5WfOXt6h/O7VWn91JgEOKhxlAQcEKBpri+vTCGEgQYcM7jZTOtId8/uPq/RDVeRoRn L/G4MhY/pf+/pLn23F/sBXNkz62zZkaYbn8c+/KQ3aFruX3YULzLXUY9SI2O1KnMHWkWvPtA9qSn MrRf0IX3HStVt4eyMg8u2bu/Ess0YU2QObzpNzmVa1D8z8kxR2R+iqqHHNoyliuBoQtHYDWhf8w5 7qFZTiMF5sNpQ4o4+9b3HlgJsbAn9r4F5j95UFbkW12VOatLZ6OtvPbBX8BFq2pixwtPLJbk0xSb ADab5kNDiBGZf/ML3TM1m+RpiTCHFMf3vOQ15gbclQAf8HFo7Kdkbd1OI6Hn9mjotMB4qA7lO2Jj okVLw5I0vuv80bIZy4dfLZUAT8PDDmFF5h8XfmPuOAiwPd1lGT4qRmESaB4CIERdnib2KFmF7TjW SwC3F3zviFoFr8bB354SGIMY/QdRgh6utqD4nDL4SVgULiXWuykWtjPJy7X23SAHQLdcHxIbxlWn c8tKenU06j7owjTtVhKA+18LaSVIUGbTjksW6JuVj5BY/HLnSL+eaqKUS2MxJckeP1wNeEoKXYap ApXuL3kvldHXhXyBRJN0joKraUHp/pq8u2Fbl00Z/kWok0uERZe7kQKLdfuhRPLrPe7mJyD98+gS QFNNfZCAfBUxZkXGoEW9QviOdJ68j3wT1Mj2OaQ9yNaInolVAk8NF3fkfXoR6usCvzOBR10nYQ3C x7AK8owdGq6+fmAYZTsteyH7K5xBHC7kMxEgnPbo61eI0vhZLV5ZNaZ7h84Xm8z0x4IDmSxdo6gV 39NU0O53XW3+kRu2l/yI+oX8aYw4n7wJgc+HgwNz4D6iG55OxmdsONAYP08IKTH+rRUEPOJHAy3x EraNxf/7XRtAbuHTWjY7HP5JbrLPyCZI0fvjCnn4QP3pM71O4mejfIxtKlN/9IpPiZzY/glDLRm/ 81vvZCin6hsNSYhsVTXB003pycANrKCWcb8CMHCb68HkpCsngxMQCTTEHLrBkjBz3hoaRyrtjscA VGWESjaJffC0sCrybczyc2zTbjCL2UaKhTv0KYFz/QLqy6iuubvvH3udNrMl0Mke8359/byVIczI aPaF6GC17qKcUzyTWlUxN9pSoIW1LBCUmGMABIazynJealFI7zPYwXuhofyQ/dE4A2Lt+iwuKwBH swIoViCgkpnxVo0jHgzCppnAgi9db9uWzkvruld8RVxaZiYsi56NFF9Hfj6t8XPiIeKjiQYPepIy qi7/KV5JKAsonFCV8G6c1bfzndhGm8i9OZ8zkRCmhMx42yc8OwDpFjMSR4nS6LrDuq53YrJR0pCk Xvh3g16nrXAuNHyKwtE+yEQleXJTutPp2Ca8sFsJ5+DgYtgPFOPw+zpiaELHYoH09dvYLlbvnCdt UinLZb1bzTrrxu3/reeLWe2YpvE2jFzm+fVEdJFOnUBNZYZUdENUdsNmftJIPeQd1YCYNy1WiUSl 4P57vN1m+XdA1Qylmg0UtlkAi6t1bu+IaS/V6vNsfxxiUxi9zr4v9tpUWpT/JqwnK0DzkS1ObHrN wR5INWd3zR6TTYC4jbDbobYIp1KWT6hUv5bfP6HN84DWKZR47M4+dsbX2tNCHkonHGjsKyssjmE+ i9jNMdTNsnG8s+LOD8bxhQymY2+KxPQ1wraOOI8O/MtaFds9VIyTSEnvnivp4R7dLP/4U8seEALR dc7fZbDdoj2L5MGH5Kni0YpNHNAuRYO7rMzx9ewUr1iCBKPQ5p749EYsACBqzlB7/tRavh+qComn b/M1Hi1Ehl00yuWu7wLpjWZIZDnDESEgiTsYCildc/dMj9oEVwtPHmyInHYckWpAtmMBq7UTFujX XQRQyKp3O+RHTGqGAk4bNWuPqcg5Q4QubrCXdp1bayTEa48kNNwGCik8subEPDGEp0mT+mIItGo3 laMG8UtULFbghU5Xk9SIe2kDkuZqfCH24r5xvq9EIHlRwMiEAh+bbkhlr4MMIgemHQx73/PGeM+5 n/DinpMGOou3lDZpR3U46/WL8iLKWemU0HS5zBEp6ts4oFpzXBpmFyxi6fuXHy0ZmJm6TdPjw7X4 RQtrH+QwcX25FK9fU0jLlemwSxddwksebr2ds6z1PKC2DwrGXiyTi84h6Vpvuw2XZChdgYh4xVAl uIDwZf0da3Xv8x8Pq+lFnQBfc69Nk2LOKHafKMLQcFSrLPfC/t2FNSzL1MnTtLKHQZ5NSeR0/2sR r8M1533AxSX3JGxmCeQgE68claR2bdfCLy0qrQyb7WiRgqibr9M3TjcI9w6qiQ5/hi9Jb3S4SK5S GGPeMYNYrtJuQxEPlBpFUlwlDk0tAdpyM5DljKeSLYQSYvJUQ5dHafbN5U9qzTmyvcCG1bzyjO/H 9vphk/Xab/m3rDDgumgE6im2qpM+N/vCSS67+KsyVNPLCjUALbi9k6xkYyTR90zdEfgRSBqEukak PChQT6CAMz0qDktFSjOA/MikrXQzquTpU8sa7N1f4QZzyraKW6meCd0m/mLlBh38kTiozFiikcfY aGnwEsDp9tOAPmv68EdoNbu8TFVGdS0LIfZrJWhJwcSxZU5g/8WbOSkVZfKruY5mJQCyww06Nc7w Xnu2Jb37OHRJqRIpFbE20aqpBq4J8Nw3J5V3c+W1S2UoNDX+UG7v0HUbFLTuxlq/iay9blpMFi+3 eUFUQ89x7SH5zymYCHDh3UoTu+QHUqJX6Mv6ClmfT9I9MFMETXQdgHOnCKQmtIHBZLT4NGcwLQtR Nvel400XxulHinsD++ZZvUuvYSTaFmWzdoivFgH3I0i4fmmEa1of6VNJySrxsidzCU874CTYg92R ESL+PVUbx2Q7zPQK/gZIkC2gL6ywvDYWQXG3S/Kl25hpqT/Y5YPY4BXSs1Uk6APcH+94/MlK59jb hfxL0ukO8S+GLHusAwlrlTG43zlDFZoQLULUgHn2pG8FLkekHKwXxX7GfCBu+v093Aq7DfRgm0+L n/PDPxL+iSh3u81V9I7C8rcotYl7udlLzRbF2OWcZZM7tCG6cWgn1kLxpxO34kzcBj237OryNe4K Zhe8m60JS3GVxtyOoEtXaZ/6+XPfHuPESbePf2TeTiBGyc3uzCdj5rg8LvOPWtxn0IsAH2IkUYMS wMc9CESb/3aByfr9MFFmFegpmnvHFWufsHw5NxrHU/wl8ri42lZ9oc4lGBdld66f6y4BWQ1z9x55 C0ri5Gl4PzrxZNluZTYZlQHDCe6m0tgWVwVnJXGs8cM96zpxDA+O1jT2aLFLRLf4pInClnfBmd0A hf+83/XXoz+XNrolanMv9ukY0K0auw6Gh8dLf7Nhf9PxNiK8jUjb6LT2g4Rp73pWz5PLIN3FHCw1 xE/HUzvI34E00AOvtEQufvDYGQjY5clE9hl+s2QhY074qUSc81PBwpD96fl+shrJMcydC+vaYcFW 5tlD65ainKHzyJ3SlcxTQSn+K8hceZFz6I7K0LIEHSiUlDjMwS9rKBcldCNO7phjnd7Y5WHshb+F QYKDaHD50Xs+04+nO6BO3JH53yztGbvlkB/S/BVuR4CksBG2L7XNc7LzjwkqMmmBnaIHJuc0RMmT 8IL55XYIPsMT5SIdnTlsiIu+0Z+0zmewax6YbxgcI7N1V422MV+4FzU38O98pwk2tUDb10bIbUtY 9QvODS/hOEF4EK5WVc1GuJhZoPgLxdY93QboV+9x4OnAXipyTrhGVC8BlFjXpJlpuHXXLpvWNDoS u+sAtyBwY7myto0R0qgKKm6yag6xB0CBF+pS+wkt/5FXy6v6gXnCviiC6LJKdEnlyvGQB3LW6tqu 9rFn/v+bHi9GhsfVGOOFvhukcmlOpko2MdGqX1iBY8jr5k3D1dQ4vB/4MZyJWUEn3iJ5TfQV4unq PW+Uy3IBnvUHVfqKMXRDMN6y65NvMdrLemMqlMw7bkx1RQZt+/JyGhrshVjtzpmUrz6f2RAM1dPA IyOyWNLliwmvmrPZHqii/oMdbqh8JbS6B2Q7i9Ox8nY/trvJI61PlP/IyA+kg0DJgZviYRujBbcR Hxlwby9v8O0R9v9vT+fZZmfqlBqowV1yRSCNKxodu7wbJSLBhEfrZqMledPBnZlyT+rwI3YkIHUX NpKo4ifD7NfTFCHUKqalPeWVRLWeICmVQUBKWD86TFTerSn3hoOSF0VcBiVPzMnzDa7IriwUlDkK kz4o97GPc8dAWQ1uiwdoH/etWuNCxPlSXYzCwhiX664nNegfPPQSc7J178h9LtHHIUFVCC5E2SHs R2l6N6VxN4iGmOYkKLiunJxRsPk5vdPz0/qY1LPQ3ZGOUANLTphbTrbNDspgoxWG2GmWdvYGBSzR FxVs2bvpxyrLlgd0EwSwsO/GjcmFdqX0IDzOfaMOOTmIViTffUz595JcMRNyKnOyEKSDC1Ssox0n TIC6X8namebLWzbwDupQRnmjaLF+GqLSrtdqDq++soxdydXPQEio23HXQehtp/X/mmQa9GyFyEcz Rhe8EXuIQt81nnzCQ9fMyitnxlDq25+kygIRzlcSzUfjXZqvsp2Tr0bH2BaELRsXoXktxojcVE2m 4huZESuc6V33a7ezKt3g6qtJ7kB4hTpeSYo/e0V+egfkl0cj3cx5JBPDUk9dp2zvDg3nsLRKtX+s RdKKDoiMajvaWuLJUIaONRzsCt0M2XmR2Z7bOMGiz5eC5gvi4Iy7iQjkOff1DiJfgfuCfusQ+kLf mUC+YfnpbDmYvxjXHeufxf1woGeGc7a1q6MBZPcu2zY/9RNe3R3NBigT3AYYI9+fSUnJfFLqjL0x x+orDbzSiAKUUWhuBulXiOgCZqwVAEEU+R3P4RUJTM23zdWod1Ojt+lS2kxBE7ZqCeftWsP21G3q HcjEZb7akjO+SzFg3ye6xqsW40OgPdvW9jtJ0ySziNZa8M6IIXQkM6WHbjpJ9liVTu+kHdsRgkDv wHoPbs0Y9UpFKDCFD/Mu+oqCsZNdlpvkq8N+bVM5Zkse0SUCpGaAQWYqK8D6iv6qhou89XPEhsNw T1968PoByvly6Hj89SeKnPOKBdkJXmWDihmeWAAVsStKkSxSwZoXY7zGM24KwKBbU2WMj7wfhVpH lgrIjhuE4jI/yJLtCEk7Ouh8YlVOnFMwcB1HOXXx0fDHB5aQi1o9TlYQ1rh9lsYfgcqJUjAZBHss NKugN2j5azxfgYgsegzn3Ty8rU1maUaA/fWQh/EM/kvlNXiB8qEEO1D0bGLcUM7Ei8T+vY4KtTc0 evtyliNfvof9yJKiu0mWvtrxxxpBYxXtG26j9JVNulr60WjpaJ3sWCwK/DuVdtDLAq/ISf8xkXcS REv6BqKKwVHswAC0sDzA6eA1FLwbxrvd5jxSfEf3zDwj7TFSN1l+fssS0Yhh8mQ9ef/ePk/5zNIL ic5W7I3gZB5aS4Onlr7n85QRJKFO+7RRfMTDJ095rFx6KvwlCA9jMVEpDQ88auR32wfjuZevN+a4 EHK5HltLNcZNb2vIQkaH0Pevneik14eMUm+C1CCuZsvt59mSdvqGsuR8LiRy7F8gCsTvZPS3W+d5 4DSCNwbNmDmLaU+gBvyBizfRQtC+win6OnNkCJuct65XvEJEaRQPdTwi7KG/sBVFTuzNqSZ7QFdw jXCCzTM0nGNN9WhwHK5b0NjSsGCoUTG1gSzuZxUJx2q9vMI5FaubgDPUctFGPyk+PFFz94oaw5he X9AhmDXQVtHCFE1kHSkqr3+kdcF9wq8soD8EL0TcWfei9vfpVPMZWB1u81OjciX7Xvlrw9gFMwTa cOFGF80+xu5A4csWPa34crHWvXS8ruPV2IPkf+j6CqwvDQggjV3F4EwjbRwoqGnPl6RVa7jAgnWp w+nuzO0K6K1LMzBf17ADZzzAyz2RuZ6vvMdtAk3K3G4zhSUGtIrkqRiZEUlKv5rsGSVF+ds7vF0O VF11JAmVrPTRCP+4ENg0U7GBgrUEPEqAjLOnGfpXiNbo18hRZnQRyPf1dKPq05461ryVxIwP/Wzc AF9NvMkMfjONbl2yBLoQlCwc+chHiGI/6mZAcmM+ifCZeQw6Ij+Z+HQpbgNRaIobUVoSl94X60eR GtaQy1HKlICuhVkvPrQHREInpY70a7gdWKwlpfulzKD4QBCwIqZnb0BEU8f4EgHPTi2VO3+mW9x3 apKKsOW5vCyDBdS3oBEdQ5imOOlcWBDymoNn+peNZv0oyQ7FxPKqNuF2fXtOxLlNyAxmS5yl3IoE BJIiWNIKtIlthOaepDIw/oAARtSenAs2XULjChTRgq/ojnkbUhLuDNOJCX8M078OTFtZGSYHWF2r qYt58PchB5MfLJU/MdeAqXSfS4tq207evbbLW3bIlW3LlueyeNEEfSMiSPghigIB07GxjrNXBomK WvXKzcueIomt5RRUB6vSZVncwznauFfTVxJiLHM0JBzKcS+VRjblXbscXXGp203yn8TRVh4nxP5o mhRg0I7KPMXdyJTZaylf5j6lrI+Qq75/YKGCDeTpz0Z3nQLHOMP3Z0CtOnMjyoAC1AsGQMaag6hc SHSL31jM6hQ6GcfbqUFf/EG4irc5BjGE4l0HXC3fX/z+BY8fbjiF5MfrFd5RExpIuEYjbrYmWen5 t9DkJvRZENCnIIQfTjQtHRokBHvnSMKJq9tcAF42LxwuqKeSTIO4LAUYnN6FqdZSBrpCiCkY3BZk K8DBLSO1ft96x99eZhMPJ5x3EUo6jHsKzE10Xny6FtJ0XsysnOlXUcLOo/l54k3KIb6sXIcDAAbk 9v/TuMYEJ/XOjmk5h4Fe6HEDiGd1SZ3xVIt/BA0CLhmOYP+tWyzM+J2UTTiW9+7/Qy/d4/KLlqTX nj9/55neUoQLdXhG0Pntrx2Tt1GVdCeEJBgKyzh9Qj641+xVcSDdE8isPu44iZDhRy/3hWQSFCNK A33B16k4fxhfxi4KipKpubTyVhK09vBt9/3Vg6hQqIHVamhcm9e2+2G7EmlNGqomy4+t04kKYokx 3Ru1IYlcg0gOcv5wsmLi9WnHeAXjFxbGW14ARNq+U17WFsbHUzdc8puVxHKVxMnirRUpOEJ0HuF9 GczD4eoGT1VXfezQJiEl8AWSU4R/kgPZZPm2j17hrvYNYsOVTsSQuBc4hCDZQVPLRqKLqJI9ctGc bo426X1kgwIyNNbpCp/dvwadsoRJgSkwOdFh/O3bBIUAxyslNHM9JZhdZigGdINa3YwbTy45vL7E IXyjksnnJNLpitbVDyjAlgtCtW91pfA2rrtUGzOPwEUSISDkkjQZLx+2VpSrdXuu2mGLXUYr/FsB XRpTe+I0tO7MxiN7VCVYlAFgsJ8w/zN+RClghut4KXkHyGJNt+1nlkKtbVRb7XiG0zYugro6jPip c0cmSaM5xSGBYZffKGwobvafoiNCRycdVKC3N7YFnVth5y9AEX88eqO69wBl+opXAOW4/Or8pLUY NVEF5dRmwyZtqRxoUiNYIdWV1OVLBVsh0Lzf3EFRZh0Ovi5XtzWWyDaDJurepkXBRVOXrqhZj4/C Jfq0XaLxQztAH/XwVIc/UIgnBPi0KZjVIUsSIptYv5p1N82nsUDvkYQTw6wYVfPBd7UjH0+KQKDx jIrmmQ6SX8H8NeYrtSoA8MEcnLLj0Axl7nMBb0Wj5exZ4qbJNZui8R16Q8RJBTjhPpkGQ7Lquwpu 4XU2BA2JZevLgjp+VlkE1RdeuaprD/eyqNE9ciJDr9a8a6acGDUGNa7IolRkHO4HKl0dbdxh61cT cxH4ZtUAKiI7p/QkrQm4a6qUm5rslZ6DE58pVkKH6gjCymIA/dNPdTrzdRA830Gb40+z0J+Ivphf 4pOnPBYTHpPcxzJfFvbBJ27l9EXDLKooJ4SrxEFSFkXqYbKsMzTVuUemgLFT6nKzcEHi6SPW97kq mexCnttZVKHshq736klhZcvnNfanVJS3p0F/kpVNC+VMObzAMcZPcADsSGChNAC2Baz/7FOcWRKE YNE69iq1eQhgV12SAKvHhG2eoSI8DLudVSQzFqzeDt2CrIpv6/lMWotftI5z3Ni+HG0+Fta/5cqc 7FfbwCJBfD4ds33H79RN2dhdY0syvaHmNyXG3SK+4fdRkWT1e7CeoZJm1NZ7rY6cnUf/4srlq2h6 dnu8oxDiRFxd9g53RsLiq1bmOiFHNOGQJ1JRqzISSwWx4PjFP0L7DYKQMEiaSDj4hCiNivvoLA5B 9EFeKxw0hugyKi3irdrkw6p6h3oq+iRZuTznpYXZaEY+2oyiKj1+t+8ztWTxLKqXTG2RHjBjyzCB EeLHuwdtKGnBQoyL5ppP4j8lgXcwtqgBCwrCXllAFKq3C5KFUB6bFJNgvHfI+OTJ9OoDPfuuOI96 5a6KNvAQD0B4u7bT8aqQDixCVtu4hqF26wisPCby1mdrA+tVQMTW481+BX/Z7zBnnTOFFH7JxPvN wfecUxQG3V844wPsHx+NYzQR7b+2xEDLc19j87DrkMiQJnukIu53Ira4SAUorYECC80hzjnCBKMT RkqHzOISmbSzD7QJnB1ifR3V8lEZuxF/t+koRU5IXNkbyXrGO+LFja9OGYBde2V2UPOT3483BFBB VJmgL9UcjEpPdl7BlByCINTFIWngg39nzjuCmSZ6v7bNzREOXAdijPuaMWscfzuKfMf9UTQQDVH/ Zuy6qu9UHIDdpmZ5nx7qVSMDc8RAnbgAMrkEYFcpHm1G4iQZSEb+zZTSd6inAhWwDqGFOvsSv2h2 /bv0jZbQYaBtj+xgj1z4sfK8xKvb0bubN5904nfbnuoUaPh9v/UuwCtmnZtmQ5NA6pe73BsvQT9G 0y9kDAA+nhGeehiQbd9XG7DOZNOyU+2PKsLauRHIVe6dG4domiPnxq8f0tnqStqbfJoBk+MI8LAF 9V4UDB6kLkeQlUcIqEtTwMiW6pH4nSJgW7w7GD08lZlXWQ+lzRhNWIjsp5RRVxTrL7ZXtylVAVvw RVMeRVSkxW0LJGYKQ+WWPOecsP/pveZe8pNnNjOWbubohVL0NU7TuZ/54gHSgBcIvsut3fqOtoVr aap/jHuXCRDuOMHlPYUOGo2B/IrTE7zk30FobVoXMO+zuCznZH9aflPZ0nOX3he4OZeS8olYymT8 +WCX9lpTn8XCp/vybcj7R+YV6Ej85gHU6ruUg5cTHW+EIZLiDhX/t9+t897gW57yYDSIdQu+ZTh2 a7AL3aWM7zXPsLbPjRxl5OE8L3FG3dFRUBZIB29MRmQ6AerIU052+/M+/UKWTnYdotDz1vdzWJQf 2DtnHqdQ+pxUb4SHbkUvQ9gaQ9oZtkBLWs4dxGOfIZYRHXZ41nZ6rF2QdJel1QjmqZJz+JhW1/mM ina+URcwaLqZJErnwQ103UHCW61iiyxr+PWZNn8+qF8elGWTxPPGqrLjV/6MVP2Xug0suW62rUpu aJZDGMzvAmPhorC2cjgHLxVCppGLSDsIk0Yro3QrJVernqx/c5+LwWbeX1s7Ivy7dId6nCUItJP3 hbYzgge4Lnu3Id+m+jLfoepJTMD0Dmp+HJBIaVhN6maJjcEOTMZmn1C8T9zvdmF+g7us+mdRNjDj rKTctz6RFynWr+e0Qmaju+WP4mw6koyfua0h0xNmG2R3aZpvp+WKxBHORl+HToOrhttnBx2sHVEM QJ8pEt3maf95XyzuqsFRj0cuJwF3GJs66jVZxKRENdmq/nEVQO//TDYAaqDBlzwdCpdrnq6kaS3H mzTnyQuDdG6YNeebWgPtEkI61hrezYmYf4xqhX8lqJ7+za+aJVbjEqsLRZCGYJJk01d+sZSazPsj ZRPx2qIm/LSrbLVur3txFnqPRboC0Q7taTuMiQ6oNsJpWFX6CMUrW0UuZPSXjQAooYUMQgd8bdE/ NaVsB5RAxj4VSQemV4OEXjhHObrHeOnuvIU5H9kNAl7vq0jcLsIjhMJZDebh7DLIKna5OpnkPAjx 8bjIbKVPdmTAjcJGGAXo3kluFc0wZuODQfFkOFx8IdxFCZrFUehjoamCMtfE+Uu5buvuO0mKHfF7 q4sAOOXACYtTpEW41S5KDb+uy2Ki99P+TtZBuITrKTFW2Swrj4xwyS36CmGR25HCXt78v+cZ+s2z pY65lCCYbsV14SeZ2iLozTxoJlCoPB54YxKWxyz+olEj78eqh4+qg10O6Yucdkp56iPujyp+mcdU K0P3mszayzelIQXSw1t6dl0vAxo6Cu/xdfXGbv83jfOifxYoCeL1MeAvTLq7x92urgC+aDqoWEy1 81Rac99y/wrj0Jm3qsOgOg+ksaLh6NleelVETjLIlVtUovWLvz8KlNOaWmFVbKYzAOiBaAY21tAZ Q5uIBX49CJufJ02nPUThK1ba0nqNW8Enppj4egkbpPqjdnun+Z3O16AfoAuZVq0tIUqhjORADE4T 7GD1fuWtNTXiFGumLH+tsO8Im4qBqyDYeEcRm58BPkSMB3G1J+pS9a3+MJqYKtFU/dwPjWqNAABC QCZG3aAlWAzuQLeUoBfnLJ3JOVwRq/ddIukAjqHDpE+Nfhv24YZSbn/ANyNpvytC62Hg2WquxuS5 I0T6U14aWoQ0nA2rogm3vLmc2C2o+erktgIUU4M1ajN4eQUcXovbVvkIXB4izkjmqWp3qX2d5Sn1 He1TqBtYL/7y5H12z1RKyzJiYPycrJLis+ycUzEdXRU58NQFjRqltGcWmGeZb37yLb4IOmOC9qk7 lZw5I+K/iMiP/mPaeQE3wbQ1kH5exzGfNRUO1XbGKvgrC79F5EBe0HHEMqcPDv1pfx0BYV4U1loW bOkmGe+TCHUffSStg8UrC2sfPh8QQ007Yck4H51uyibZUFIh5tEHXr2+T1P4PsEK1COzF7sH7yln +tcknk7vWO3HEfuGfPj157jfwM4KwMymVchRN6IhQETjH1j0aeBPlTUaGQUWUu545mqWMV/ukBn/ Cz2yRXl8JGviLk3z5zUTatVe8BcRiM/uQoDHBcj52NtrPyqAa7HrSYc4p39SMmpbzz/hfxJCgwJW kgZV34f0LkOvIOyAKaDmNW9cMLFlVDZHON+Xhui94p55Um1sbRGwdlRKPslABpMowprd6NeK3P8r Fc6IozCm6/LrKqGMY3Oj8OM6uvXpfH/JcUrEGQPxFqNWt7k5B/QZieyedvRrBrUY39VgLwyU3oug Islsae5h5qKtX7imtPiH2/AeHNjr3rC5v8mec89jA7g9FsuMDnif/7rLcktxxY3rjlCzsnkjcUgv h4jmM7TiKauZCUe/CuP7qYK3G18rFK/+lSCVd8/AS9ECfAqcMIkctTmvkGWMAuhuh14/I9wgH9Ei 97mGthbOjSaTVFSlNoatnCVutfekhY1ERu1F0FC8j+VoMUzXfk9NcymJdAswnUotKclgtLW1wbnV zS1tB7KqrHceMNuIm4IM0VDAkQVZ/DyfzwJk7tRg+ModUA469mvjoJL2LbjEgatdbsDh5YXmlSv8 33Kgxk2932TF5X9w1dhUTphOtlvBxKt9KwDHrLkwCGiFckKByywNAMQ2DiyPb3m4qwVCiKxaQfuu wtmpYIXOKdeNeC/wEzuFXnU3LhFYLObRUHvZffWW4CMs6M7zHq+kc4FAPsGkQihan8SeUGJtg7vP +OevdrrD3+9VnLqTP4q26Mf9xwLCZyya/qpdviYMwb2WeqNv2oMe/0HX1l3038o8s5xrUudQgf1A ck2kOLR/O0Kofg++5zHDjVO0qZp3I+LwnS8+duIn3UAGlGINxgggrXH/1VoQTgClSNZfmGJ7uewA AIyYcg8peXWRSMBBLi7KG5uF6QZv2n7JoBqRd+DpcM8eTJvt9J4qkEZElNEqlCv8CHt09tpUOgdy N8iTE9R445PHQIQmsAFJCPnGdBal00KAGM5C5kZ5gyFhGJP1TFqG3DWI+j1CBWHu7vdTiqLhRYny IZai33hxGg/kg1Y54AN8syZOTbfciC4B8UNsTli7qWLF4RzJ0XH8cuWmjeHk138gkXE2e2CfxXAW cdA3r0AY9jyCQSUA9YV8D5NOIVN2KKd+tC/CZqP/Z2HaAynwoyDwsHjXLmvv5uz9smdbqIPbhPED YO/nb480WFx5LWK4mSCyrki+aQrEma62PpAw4dGsgQaazEQ2og3Fhf2g++ZVSffKhE025mQqtOqS stIyxObvoL2VlXrr6gtJd5gYDnKt3dOIpwDKQ1oT0TyR1Nh1I1UOMyw8ehb3FAsqsSuGfLkvXQ0a bt8S229dv4w415kjc72UvUTpmrat+wR41EOy+m9NrN8rXSK9zqPnXVK1xsvxxGjNiK+FqFqWAThm NqjCMOnz7xOE4Efotidk/nE0qTFNF5vFCSaQ/W7eM7dj0lr8vT5FhK/8K7+T/hrWpyhOQvNzw6cn 8hjAXbgTJL4kciiiZBtXUUN3gqd6bw9JHPJ7SebiErpS8MTbwzKgVXeUGDlMwcr/Ai5z3/JRyGal CXJQKPoYDGf0iUhipQWlepyFHXBudjm4VuegXzd7Rha7V6PD5nbY41VMSG/cQ0OhXqVMKGAA269U TLo1+VTCtPlGie6K2p7UK77md7Omo5PvFY1TAq2+xXtbWKXw0+tDNbgzJ982mIfu74BA50OrIQz6 xJhdg0fY+4is7uN7So7xapmBJhR8BTFImDd4pwLw3k8HkkFmxNfZmqAbJSgU873JU69ukQO4Mg/c 9vxT2gjNwXhLsOYeXQucMm5DjH3H/2SujQtwWDIBeQpyE363dRrEsamQze//dog8nGJNN84xz/vl tswdUdIrI9uHLD2T4yF2FXhnOR+rI7ePExwDAjvXVm+agqg5jtr17UJ01nNoO8lqYaW5Q8iFFklA 3g/mIn3joU7NnYr5Qx4WiLBR5TZGTYGhiwEh59kFtiihdPsUzRCJj5RHYH8q5M4BtH+IlCFZ5oGp XjocbVaXFvn9EarFS79TgiaUTUHhtg8/tZwvF5WmNe3sAmX7a7d0D2e0ZCx45g3dD1FhwpzB0VvN 2S92zyJHFSmz/egPtcVu9OPrNLwbQrJEfGgf7KWt/AnK3ejgaPER/GXnlZW91hCfar0E+Y0Sa9EC 9WTo8VzCYBSc50RxH+k3m2zT3Mh1MaUCdbmPj+F090VKbcs5zyff6KwcoBVPp99vhiImLEC/0Dm+ PHXbivSmnyuE+LSx6xCLwNflBMahIuWMJBbfiGGCy29xwhdf6Xw3D6XMXqzawi5vq43oSJy+QyfT 9Q5N5TtPoK5NKUtozzmqHwFHLcq0FsO7+uwN4JA7YqfgeTswMEM5ltYU3K8GTnjsVTSF1DazcmU0 sq3lB3ZYgli/hqG7RGD17qaPaZ9tbeHlionM0BrYZ1gM0yBfwCZ9wTnLCFIO+OXLM2kOlxpzWf3T 8R8oxINP+x/xr1BumFs/o04QxQmhkdtjvr0mBA3l7bSvd2Af+fbzEi3DZY8W0SDIS+NYWioqa1gX 1taFBJ86lvC+AuSP8umgNyw/8nDXkeqN66arQ1L3q8lb2mwcs7VnrYPL0+CcOFjeQGoRaR6IUWL4 a2HRHJsl0JAkvRq9zwTMRMxp7Gizgd+eSNOZ3uKmIpcFbVCdabS8nPkKp9nWm+VVra+eS0CI/fXS 8evjEIGk5Yc6Rnnk7j13096dyqL7fSnIypqIjexGSksmnS4S2VU96oJntRT5uOR8X3Z62fKQcddQ siy8LVlGySmDJcREtX91IDhabsEjHD+EUvNWDwqnv7+1K/HJOIiFOosov2SGmfZXeywU34Wx5/ON erNkZJ2+W8d24Atf0COVj0LpL2tkuIt/TTrdYoi4+IzMmZ2NvB9+jLLIZt2mQw38ihFFJ2e9bxz8 cBKf6L43YGUcFvigTAnV4kImqRwZonxD4kfSewySyw1gjfecSplSYWqgN3SiKxHuzIrBofmmeRw7 OeHNJphFIFJwzwi4jkb2rHAYb774DLQx4Nc+cjLHM5iZDqqcYXB77LVKWYz4gbpXhEkCn8We15WK DEcs0NB/Qgl2qguohpY5/0r//kw9AgmbJfHOY90u1cHj/D0iJzzpdlrs1VwqU+GfH4ovczZsU9fN u0YMp4mhyUMfpyPwU9EJJDMKhBMbB6TgMpbqXxz/7tF16p50MR6JFSh2+1aT+EJJ6KBqKBe7YuYk 3bR5Av8aEstp2O19GubYiDd0r0sl/8ig9DYcp0wXpwd27NefKQ+zcRPVwoP8XDEPNQQcpBw7Bss6 XdwfRgN0ar68FHhJOCPSz5ZZIvkTbk4xvscRQ8H7EBhHNd2Drv6gv4DgPrXlKsl3TYbu43zVPwV4 3QvejOsoPNj5WUSVEWazJTtTdanX81s/v0nI4X3P63TRVS3euNjanIgWI15uxni8UAhkebVgdWEr 1gEAWPbpZRy4bE6YVzesCC9YnyUcYKWAP1MqTCvWVqUC/rgKigU/EvxHcn01CLDRJoGe6r1G/ZiB E7M2cgjRB1RFbDRe1vS4vrz4++G+bS8vGJ7rXJ6m9gmwrYLSwD2/BfVE3s2opEigD4P18B1aCkjq 9afe/1ENgL/7+/arWbQhuXhJmb1N+prra1KlKzsvQI3H8C+3PzvUVHWftSuE8kmWV4R+e+28mVnV JZIXvydZS3XPCeOOlekqza8Vh8NGETK9/rtsSuYJWwcbQqdUcqFgje/DjMmUTXPDSegnQBVUwpHs v6BkhHOkaJhWK92lO4z0K+Sp9wsACFxOzu6HjssNI2kPqsDvW1HUZOFld9Ou/jvrJT6r51yiJ7rq A9FJuYTiHe/eIDDRDA9GH22v9HhZ0AlADeMzYtqWdxqw34mguVg0tKqNQF+CPUEtO4d0wsV7LrS+ uEc/tWogy9LlJRLSApP4z/cccBLH4OO/sDPUSKGsIg/RG7kZb2rQkjXpV2NSSqleMnkpxYF2V5fb lhauBRlPwRqGyBw7VWS+ahpYGHcwKhFJFFcbbucX/8Zj8bL1bX81nfygQ4v0DdYNbOUSgyg/NFHV NiPyekP97LgWn0CBVigpgnirszHsBKOkVguvrWUjOgUeBanw/1zniD7JQZwEzFb6Qgu5terAOVz5 YrAnvQ4NUneizc50W25wAydWzWYM4U76tUITOTPDSg2u6qMmxxvGlOgpwPpig8wTukTbqHe9gWaL Z58KtQImUjNglR/iZuZHfQgVyy6jKt1JVv7QAgP3trHLjjwm0Pa66FY0w9bSKXp3ityHntlosKXy D3Mxmzc+UhVEaEGRunUUvByFlU17M0qaonBYjBWLjtuErpdYnfMJJSOLLAtVJVZsNl+Jz1LW4tzM t7bxfOPc6Q/EuJssZPSK3VAHdWkGyjcpPxeyqLWwCbJch/nUtOuQFI/dzGJlwPvXhSdYHa9zJnfL zwqadB9GCU/2359yO7CnvVtRKDN9cW2TjI9WfDe4nrfL1FMhLib1W/5Xs1dnZIpV1BD/J9kKvSj0 qBxsjf9Co+Mm4nzey723g7QVQIuyhonTLF+v82Jd22qtqQWpufJl2Q/eXi8pJOfcokeTxDZxKmNW 64ihuaHg9CMWCkqOYL/s/Oj7jTMCSPOCjGPT3Qua9XsR3gqgrf2OHfEjifPIucp4X8wUIFtUA+SF uURPhpRVhc31GnhxxLIRw+LJFJ0QaGUmJiUx7YIwvIR7AOzFMKHjI76R5PvtWVEeMOkW5DD3pnul TOnvolFpQ7Gi5kKf60wDypy/J/GJz4Ltl39+6acnp+w50Whz6W3jw40R2sE7vERm7FspL1NSOodt CATnT+xTV3/pY34pxCviw/QV+LVZYVCD/H/KYGZ7f+Jt/tuHL6cQy2viF8GRNHAN7iKVOHPzXX/I 8X+YJr1W4EFoXHQdBRWwIF5aG2wLBVO9sWzOj6udSTOggOxQ9ATD8MqSXggEuvN0rZGBW6oXFpum zKIn5HbOxxa7WUza5Wfm0IiDy0YRPN4ckpB0TGFPUwf4ujn2XxjDeqy/pSDfHvicIKY0IRz8BPrK sXyWUIHz/TV8irtTobv3YwM6PtV0CzChV31cLMoDMKkQpFjLwa/KbHptt9BrSBZvZo0nKs2AW3yj 0MDSnZRrqYpQuj6wLMrUJE6Oy1vUSBgwzF4ct2gNV7EgpQEPF6n3m5k3In8b0ghhMwRBZaCjbmup MvA1MPvOBR1icVtnL62qsRZEj3iOH2YkUwRsSae8WTH2PpctXHGLmo+6+xoIy+UfjfEQWeklsYia rUG31RhMc8dev48ZBojDVGD2WFOkslwf+3jGk2Yk3F7RUj8qyvxA5GVeocT0aQl52FV2En68CLNh jLxm0E1g2i/PRI4MGl6hwgEL54dbBF9LDzIoB+hNvzmkm3/aBjDJkaH3LO2xLm9vZ5tUkgEP/BFr 7PuikPtaEmX0AL7mD0snZjC+17PjYj7Z9BQLY3zuZxC1NmzK7vNFsaT1gioOpLT7kBWrq1M5wz4e V8LMZFDRhDe4Tjls1OlxR0odV/FCeSSyb66DfeR2jfOuhZwPf1hwzBzlteKj7J1pTc69uEHZTQ+a Cj/+4PiDcHU2h8QXe/Vh1ij0DNojGBvNQk/qZkHqrUpe6sZ2PhIdjFIHr8YJnGTfnEWDocQjE3Ds tsd88CKv5x8RzBodF3yay2RvkhJ+oo93frUB9p1wvpReARlAY/XyOzhnN39FiilX1W1b7IszlH2e P8DikWRT/U+yFGYXhydgEZ1hmYqYY5PMQQGzlxm7MkrXo3Qu+nfCB5Dl8Rz6JqbR8gNbs8lhDf6N 23sSD2IKxhHdgkq82k3ffrOIQ4+JGCeVX1aQ3c9ny0Zh7mquZOR0ve1pPcEjB5CNptWrRovhtMTh HcWgKX/KJpyJ+Ec2JYGoxLAFsSGJjNawUVUCPY7QKZ07sRQOcvLkEL/855OcZ8+ugj2KBRceoewX bp0Pop9xFIq60d/sMV+YrPmy6OY5MkpI03fzL1TVuePO11mpJ5E37nHNcrag6W8OrfoYFu8mFO63 sD6YDy6Z/hGwP3962xd2TNBOCpVSa4BRPSqx+IN2+lUSRW22E3Z6blYUsqC0YccIGV8+yFJ455LB 7WBpnclDs6kQeUFzZMwa+Ox5FNbF/6YIBH1S4CPJyvbqG44K2fpYocjf1HK6JKbq8bpYgGv55V2j LQZUQlSoUgRk+3x+lP/RwaF+KJFThnvnmsJegxvdJTMWTK8Djl6xDgxQMFWnFIcRpiG4XvFh0YIk ppR0tMGWfOdSwCEUjBItB0Xfbo056vXCBxPqCqYo8D5w3vee3G0vlK/3A+cMnxu1M9yxuNW4Wlvh c8r7nvZNPZUlqF8SSXBBLQkUVfjMyPgB5fnNffbPYDx4krlTyj6tez4xlj6DvpO25PYE/UHdy184 oxJ4mxbvQAfrpQTCOSo1T1uA7s1wYwFQoaqT0An2FdZoVTTgb2mcFMMKlgs+2F+7mdfGvu4LOueD 1HkaTtka7f81tk6/rmM+C/kCagvY8ABrdT5QBFtuojYeeuNR5rF5D3Zt3FB1TKVbpaWKwMsNipeC ggdcbPxeMV8A24ZRYPZ+1sy47/BDeKDYeLv2IiD6ub1n75km5N927OhEFAHIrxJ7oVTZiSgZF+Gr DOSqsKYGUpiUenKN56tyDMje3f63RVWZfjBya7gEqlDo3O4XdRSBSXo9SjrkMQvBrKf8SVODr93h kS+Rd7eWeWr/iEotXQ5AJeONWT7T/IRPZVX88G8sOkbZhDEwAEDhe689bY3PaoyGOmzsHXzTD+MK +YYQ0aZy3NsYWTg256Nu2i/0+6Cy3fQbOxpQfr9ytiKI4/x6AUQnYSgZUxm+Uhf4vNqw4EzMBehE O8eYcAzQXfgqmy4qamKa/MaJ8kBsOAXqcdOiqSZHHOL/Z/iKPQC9NCuQTCjWODUGvyTRw/pwFCOG UyO76J22w1r0KahGkm3qF6CMPZ3jHxBYiI2N1u2s4zjcRhezVEX7R97us1V++yB13KVDh8en0dEm VENF9qDwLjbr7gGWwIvCYZRoWJTvQqcsjhEdp9gezU5vyPSPVB7iUICnuJdNgGRa4Cm74n57dDc2 eLy2g+GgTeMrgsPDX8le0rT0BSlYA2+AQHkcQ7Fxl4Q4cf/V3sOA7D7E3woS3NJXwHI+/qBg6ggi kOphhJmEjtQYA4Oup75ex+AShii8b8sU19p3zUVqb/NYDhctBmBjTOQqzk0sIskXfTqz8ms9GsH5 +I5QweB6u5T0kYAjxqBDtoZyhVcEXIz2DCqBMoMp4i7g0r7FZJPFZc7rKOMJCyGybho2CBSOv0yD bChXoya1qRfc+w91lEEguPj9dH4I0dfDCPpmXpHpUg8llPzzxj4tFBhrm/hxmMLjzfxEXCxFeHs1 ADrkWx4IY2wyGxyzeTZAdFzOtsEpBrUUlcxuvWBIXhRPgIK4qeFPanu7d5nwixkVs4vGla+F70Sk Z+GVMh52Asc4qqMkkZFhEQS3q0LQbzG/574u1jISftbw9WvjvpL/lQg1eJ8vM5IaMOnEj31oaOsv hBDPkDOAReOoTMo6ezF/DM0Co6gVWWbYX3PgsYqD9Btm07cC2HgxYZVD1sezIngvyeKbyYHd2TFC BpITMMaO0pqeC70fGSysf1haIs2VOGQfN78OLB6YgqpscfJ15LoCOyti7jN3ZsoqcN3Ne8bOVnlJ 4Ix6maSffJEdNrDYtThGwZYPGIM3w2TJY+xLgbgdrB91cnYRyq9I2oN4U3dZHENeHpYI8gi74xVE nTui1HLNJa1s0bA3/x8YVeTKngrxBSFwWDC0R4xsmP6DnETSzKZ2GaY5TvmpvsZFQUiBrpkpkbwx 5lu/o5VT6PFIIlGxsABGZpvVz3qw57QE1Ny9CJ7iqYOhWvGZqtHIr6A4LmH0C1JerdhKpisxZgHH 1f4IbX1CLBiK9oRjmCuPDIl/CWgIJ0eCFho6MYf5/C4uDZdztb8ZBwGbgMMNnoeTgI4wx7zGKaG2 uWROvup2Y7U7jIrbK9bhzMgid9MGII57jBP2YhcYRG1PbET4AjZx1KlnI6eKu8x5uHtGgPj2D9x/ prkEdTlPNLd82ZicciDkCXL0e4qXVYvLbeeAU/Ia3+eZehGHad86nZK4JvbWo+LQbf+i3Me5/Ltt 0yD0kQ1T7jUsziSZlc6l7m2vBLLm2w4BY+x1qJ+95r+fxbqZ4iqEj2n1wog+pLQooj0cFBbAenXv +pd3e9Su31Q6bvjBVhuhYdgDLJ9Z8HKa+O7DTvMJorjnhqBB7r6o+lUOz9GebDKtuSsu5CLukbt/ /OPhk81Ua38LWM8g5S0o7QOC6DPzv2ZzfEVqwl8yuds14Hz8SqFi6w44Ixgg+ZIC0FH8nmT343CH U/VIS0ihJZ4O3c+7YTLIV+53bVV27Z3bbdcsJUIcpLMqj+qLnzfQAVtzcvvZXcXwXXRQaPnYxsqL KslhETer0yXeTrUw/LAilkfMZB36Ifv1KCEaWzIDpzYUVUnlCL1fPzV8LhRnax2vg6f1bZlVjdGm 76nGIl1EWkN+PLMrO9vJTYi6ImBh0JVTQijXy6ntdFGEHUissB0s8NQ2yzPtheQxTbe2IR1Ri45R 2nybsZiTnyi1spoL9+St8mU1fxpOuijb3+bFxUi+33+vk2zRG/rTSChKi4Q6DYUWkeR8XmH7Ewis hNs9pGJKIrh9zD9yx3kARpYbo+7KDQQ32+rcWoInox3Q09MviApRR0CGCetV7EyUFpxamV20fLsX PosO4j81WjUEmWmG8sdcXv6d6DRq/Zq1nCui/6CioxfBcy1c+w37sZsq9yEojAvx2fRuDHITVUlt HB1CbL3Cf4iSC3zdYNo0OW2p74hTtH2l2A28nPkV+WemeYTDUodiYdU8IYuuq9+sbTG+eU7UcDmb iYzQaPf59kuvR9ypgDguLwBMCCkoLycTO0Usgms+ZCk35bJH1J+uIlBsGxCr2YdxVFaw3yFvK512 7qGgpw10dwLTtoHPFqut+3RfDntRUBCTIe6jqAV+KVOK7b3lmFcgbLQgi/ZCcZL3ZuQP/6PJ42OT XxW81njUgUCXWi/xwRLN5x2Wdo9qGw35uYlk/0sQEwPPaeZTrWEloEAVOP947WNMJrYSBg8M6WL4 F/8DIJOp+ZCLQWnpWhON9Xv0cgHdsIPjodS1EYhN+aFXcdNJmtA7EDWRVmakZEtQ0UQewJiK8AXx xLG7h6rcG/bzqelzd01ZO/XToMmkaTRra7ZMiwJgXlkXWfNgRQx+w4DU1dm9BY4DHCkus78Kzhj7 ChhSXWlU8iTLANWDiH2wSGlps1++6XZ8tEPE9qC3tpTxBVPVLdz/aDd7I3udgYHDyxOwuL1/9n5V ThoE/VBzPd3+G+4tBkTADOnn2X1phTw4kJL2yCkEP0/HspZ3Bofete8yuKdFNVNSCPC3iblDmMJn CYpyIEtt0Ke0nVQBixzSaQJArDgoaqmbhNRAkyCwvY5bFwPxFijwQV/mpCkr/+mYOucJDYmeTcxu 8qojawD/tFAjl/We0zQJQ9m7wAjyaisLTquITnwfY78U1FtZoUxC0rvh0cWVQNt7NO2W9xRlNLxa cISUtQ9yxEIIOLkoCxTsdOHKKvfX/DVSOtOHqceQStFrzfidfNVrmVHWkyN4Y6dzFf9NPMLCvAU0 yZ0o6VH1XS3HanaDmNLCviHkvOMgocro2cmOoFZBZLMHoI8lhPOjRsNHfVmtJ764YOk5V6G1TBym dvjyKtr6BLSySyX0QwzxFZz53wY/1VTvuQIiByUadUdvl9JUPcVAWXiIjEepHx5+z127NB3Ax3tk 98NlXNKS961JETRs5ZuUzOm2GgoBHpwPdlK/xeQELlqOotIdxwll1izCtb813CyouWRCaCxpda/D aM9g0oTcn4ldzFkCl1DP5klvxViFpQ3G+tak6WXVXUZUDi5COOtaFU8W0jiMt6KYezHf08EalGhI a1cE868hbMuEUoI7lzMpvmVBM1mc6WIcLaxBj3U1HiGHKHig2vQK2HmgPcioi8ojihIW3eiRVh+M 1f5C56Hp25BSC+EqE77nP3Xd9rswVlBqDTWew4snOSMS1h4pFamgRq4vg08l7+UDLIH0rVulBGUR wucqEiuyX0kgoirbPjp9K1M+61DeQm336tP8oJ2v8iUwcD3Vr/patQvWPHWl/Husvou7tbEQWBk1 J2Zh2XvpZLCX9CcJ43zH88R74gKfaS5I/2/d1BkRSSYNr8oOhVOb9hPJK8PBupe0RYkXML8B8/ib lXPfnGyozXNn4NbAXAOZP14Rd5QsIjxugI5Enc+FzjOT/ziy0BEoZSpd7RTM3d+1WltceNgCUd+0 pIJot7ph+koyZBRSuY2VRDXpvz4RMAyvOnN0W4K7JNbyQgq5mlw2cEpZoyjApn6qgHCpWVf7AI09 cnEM57Cd8FqgeA+rLGjS1hkFqB8yOseXkaQxsWVTRFHEqwDRB2n836k9Ji2+Krlhglr0pzOWDPSq 5kebhDz/pbYyfJj/5CnfBQvTDQgCvM6JsCaOpYgHJwt1ZKGLDi3pSdv74DmkG6uL8Cd58GtNo7Aa esi70eL+QOg3s1L6E+GDRhXreynKPFfwC76ZaaTQXlfKq53PsuvoS7sz9TKMfxDNteC758OWDNhf /Usp9PiLndoOSG0ZpQHNSoFpJvByPmmdG5zdPNnScS0USL/FX5uDy6XIG69BPTnY0zFY0CWPAtkK he09aEgh23dWD9KLZb70PkB0p71qt3VGDud7z5cMze1PL+J/lGmalqE7rUmhduXPsSp4v11G8NKC jswUg9VvemfXwvGoGX4EKx1sCd/IWDh8BBV+aUfChEdazKSnmqJMr6azEgWl9Ba0PpGmDUO4kDwY iKouBHfoxhclYvjtJ+FKSBtPZrCutmVAYMtPEJ+azt16OVNrbx3zKCKh/gn00yZST/YgMZpK1u5E 4m9jy/qCYgBqmLh31R6r3lKr5U25++MyfkrpHpjzqT+39FiJP+oosPg+UK1tzWWgIMDK4Of7Hyrk YAhOl4mSzC1VXCqjQguaiCPU3naZHwFyMEq0/YxvUQgcRdDvIVfi2svfysAfNXgPM6BsY2uWCzXq uryB4clCbri8F6gWB3m7vxLurvv7NPu/8yF8ysc/mAkw0Zw7KCzJZjLOWsLqyO1jLSx++1KDJGP1 GBJdf9o3WhjDMhLQwCS6fYrClemcaGXwU6WCAFlRUmco41kax0unmEet5tIvtMriqoj36+/+c8l9 OfMm+ejWxOcO51Pzdvn7SMmBrbkkXHHQKuW9G8GDX6Uwy/pP8re89s3xh9zc9kIar8VAwPx9xbGB ziJ8ZnKIK2e9+5vu+dhxA0OcDbWynE7Jlrs0n+C5pmGWszvYhXUaPxY1EJ5FduBZjUT/2/7DeRzN Ks/LbYzQz1ScOVPBHdYv3rJtBv3WJM9/bQ8E9yrhgOKxQ/ZUNY8/btJcQKP9iCyOajOGlJL/e6qV EH7l/MKM839rQRFMYOB3Y45WI81xKtoE7ehe+4Wqqk4AalyIeMT7TdzCRUJ24KPqpMZnkH2d3su7 E9V5t8iu5LlbTjeWW7pdgEcIVlUnC7BzFJ77leuHN1j0oeQqW7uaBVxgmzvGI3o+EDc3EK68Wtpd j0CZWcGVz9Z4V6Zi08RuXHrBp6R1SJKHDFFY83DA161gHQzQhdW1bV+RsJvdTaXtWwHVDKQpPMuG Qe7TbmHx30enl15G06o9U9YHdd3hsxxgy+sTMr6oG3Y4JDDtTdQDG1/XiTia1JF/a+bF6xWD/5ZQ U4O5WNchlZ1uYQZ8P+X87rWWP9Kd2wtVyyL0wvG/LniIfWcvDetoLDEYhBQy6jrMbLmmPG2sJNOC F/upHeR1uTVhq0TVE1ZwnnFkfOFUvz99xdRpk4Y5mciyJwnesIWna46w7vVc30jZtWH2WypEBmHl PkajBVTMono72et9Xqjg5MZl2q9jLuPTZEdOcmEgkKUhKCG6sNL+qjzArb+bi9Urw79867Z3p4gz McxRX2GYVYngb/yCiAVmxzYhPI+qLqG+x4dLyqOkvzgQ+k90m5k/yHkVk7qtrasfauMix8QjmvYH Tgq4JGSCdlvGIWspcTN5vje+1UEjipfaIF6UKeZDh7FqEAfEHWHPrjtKjTjzpRpo+WJrzIkdvftq KOoObAiwsnLHoae3OSCN+X3osT+A+aM8UEOy5eiwutuIMgeNtg3abJHREoxgCvZh4lSmdoilha0O 55XFn2Q9+1FJCPiL8g1FEUqha5Ok4AoF1DdyHKDs0uMdbSN7+o48tiXDjbtgGo653O6EnWY623Sh 50Kk63kVpo/MQRI/mn5QBb8lYAuH+pcmfNboJ6OY0ht/29sHOSMjqOD0vJDM82XRsKg/+fGgWhix 70bAPVnLpeS4rf4XcJqY/jRdV9NC55ECkZ5b/JM1DJotSpVcBlj4EPsqi3GVs8mrZ1beLqF4hIJo ANNShzbRg1A2SPDz58Z2IycAkC30Z73dljhMFS6Aa/DJtDvGw+5QKyK0D4bBIWoz6i4T10l3rJqe WXRnju87UrhuTPrNDXb6ticMcxP6UGXSx/2CI2/MRv93dqtuBgc6XQsvW9THjOGqPOxwJo8x8Hym 2+aj+CorBPZ5T5+ASlKaqJdKd3XgpkpDPOje8HJz/1edC2wnIMn2ZoMHsvyVtbDQoE5OI5RxQjNC o9wJDT/VpkEL8Sa9iT5veJS9WOQXOMxyo7KwOHg7p27nl8pZulS/zhKXTEnuYSmoRBvQRsGzQZRv 28Ujjmxm49SsxvuiDPBY0O63SbdL615uStJkcrMW9/dMyD21vmbT/PTJLO7Q8xX1/yD71TXHub+2 F9ym73TpCDJ9mhUYkbGFABaoVk2axqTCyBi8Brehzi/kZlCrTyUs2eh557gWW1ldslC+kgPSJA2p fkI4tjN7zmjMt7IlAlaogeSD64uEW1suvOrHCXQrZqP/fiwUxYKZ4zL/yqwpRoyFVFvvBCUv8rx0 VJjWn/uIXF+nOjig7T3LI2S3cIsQr1A3r7KWAObXjE2x0tmjXoFUW+DFTO2ytV7iNlQeIGQUj2tJ 6az/60CIMsE5X1ufVES5bCpvr8aFJO9kiYKm3rJUT4iaiIpflazQTdCigJvB67rCTKuV2KVuapD6 DmyEBBtwigsAgK+qz5Egb8VKa+bviAKmnh9ZBfQMaervuGTNgHlVcbTwLL+357On/Z+eniOlS7Yd sSPYPbH/LQWs9+IVSWV/Dv/J41SIkddKregRiNLFs//XbIWuWuIBsU7jfmFxqK+ss0A6al+Bmfjb Z48uWUALmo22yJdxWoMOJXAKSYecnBOD6FZFIa1AVIz3kCptAvwiBnVe1XT3uY7BhQeJx7Wlw+jL QM/l938QD2yvIpobbh6fGD6iO0XiX76zhWaeAMDvtJjr1+xDD78+AsBBdcl/hf5vccna5ja2mOCG 02jXuUpb1e58stArYHo99KuIbl8+ojwsWPepwxw4/JLConDzUtpFvh600SOIe7x7NLVO4tple1pV 72WzRdGHe3hKA8DeRjFkn1L46/9M56LXnd3qZBXhSukZhU1KsiX2/vTHz0dePpLKi5tDkY+kP/9L w6NhzxNVYMD2+DX42Ize2TTw0flInZTG6M/UZbIsofStDFwyUasfdGxwrP8afSvgdjaFqRfd3/Oa oeMi57z53YkSZpE2vosrm+DfeZnHaMPogMceXHiqxOB56GsOgUKWnIOVja6hrRMKbjQgAFG+jmGg xE3dAUv7Y0Fc6bUpOTOChp1CTZjPbMf9FOtuG0RlyQrGaNfLferJd2ynHwjKHq0X3VF3GgqnoGhC b01/EN1+KA7wVjSvQyWKcslvkOU8ix5S+H4adKhKEuYPLNSw+Sh6lzRznXKMh8lzQBNNGSL7Lzq3 BeKYnU99ZbjopBCclp53pUhXMegR35qdkAXTjqRY+0y/GTRHqDBvhX3HbGY+529QWL+v13SByu3s h+aEEBISE34va3zZq1bWihTztDYTk0gOdAOyphl0AH7rAbko5r9iYwNXNz4f/bbhcCqKd1biwbRu kLqT1aI+B5H9V46MZBtTeaY2XYaFuohS82Jku7cOfps26z82dDC2jv97XRhaGn3GoFX5yK19wV5Z uL9QMGPwOc1BXbqoNi1ZV5QNEX6/c2rr1j2PLsN3ULEot2i/joXUTElRx3YG+kNfqRZiBO5our8H GxsKNA270+aJKIKpn5NIOqFKCGz1vxqepeo7wmUuxk91CQt1bqD0cEQUsIaRO1HsstvQtnkQ4ETN A19gI+8lc8Qvkzp1QWINZXibRFxuHkTCOz5IWAuFA2D/7CFpLc50rODf8oqxv087kWc2t7NAuY9W mNTuCA2gM+L+DMxw2xYJQEc9Uumml2YoOQXEnN06xHVGIJKVdc+0Xsr27fU9lM5myChdcu1g0Wbv HpLp75F1IfpVEtlwUlhXslwxVXVtuNZCRBvvv6hagu7mZbkMLYcz3BmRJjIf+XWdtLCXZZU2WB3e W1+eObBS7HCshaPA/oQ+cwdfFJ7RfeRm7VXq/0WRiS7cHeTs/Nn2UMqdYWwL9PJyLOWh7k3nwGRj jTOy571ZvRxRs+UkaXg6gFN4LMe6mMc3DUmEj+zOAeYFgIeDsCFfPvnRkmZEyYtIkd87KdOaukLL LfU9T3iEuOBNyfCetqeG38FcUs2C4Zt94x5O6ktFBKAsZM28WmDyIlJQ4OIyPDU07sOBJvmCLDg3 24WkCcIqNudwvz2/Quk6gerf6jAmrEvAp/nK2Ug8E7f84em7LlGVKQbclX39VTPJ/IdT+Rq8zs2P pY6C3rTKEztDqdSKueKDmddPp+EkuSJ2KjWVdexwjGnde+WTY0NlVCghrZeysBNMTGHhGiK2alhc kjtEyqIpLc48x2Y8akjNIpF7DCpbHUbUTnlSEyS1AlRLSi7vfXRmuJ6lQ8N5inncNnNwVUM7VvoB AHUokhgB5IfbIHfZDQW3+ByRvaemD/hNbJ7JUTyXmT8tJsctSr5deX3c9qplOInnkQQGMcD53jta GgnZPRwvwQYsYntLRl1AokngQtfH3pm5O/FOTVKbGIHUQtkeKyrVTtRFS9X/7jhKS8Pxlu17rsOn uxiqCaGrleeKymn/QU+TVMOGHeGUH4sbC+/MF94L843xCBenqTST49IkFqTje6JtTsGeyg6R0gPZ sq/uuFdNa+mqwrDjmsoe+n9xB+3g6iV87lpEd0eddn91emMNL92IP1hRv5+4xZPS8CVhTKmcMFU/ 5+7gnkIghT7Lizm7EhUTtLxZwm5TE+F7GJi2iamIYbooCNDCm57gnfZVDHcPzZGJa9GoZLMovAzT c74wStJQa8vFPfFSNcAb0QndSXQLI2O1R8+xHSE62o8wexLZI4ljXiTCn2tzhTjg+XORqHbGjNF7 YhVkvfpDDFGH41m7bgzOT3mTr+dV+z6vN1D/A8iV/hFH+IFPfxlgyPa89rL06PWmqHeZBofILKga aReJ7FJOZ9fSgw8FFBTTYuOw/CcZCHC3pAUYIkum/x0D63c3KgXtQ3oI3fzhGEN6dUl62lCX6iXr jbquk14S6JTop1VdzaWJ+Htu2mePKXGVQovYTy9oLosi2cc53pGbOdQx/55alMytKMq73EvENFdQ JYvJg1EOAARaGihezBfhxxeUc7nT2zySuV5saF0jhf8wuIkOAIPlrFdd3uT4iZsOTgFehUVhO8z4 dFayvjrabIB6kOdHFbuvd5Pz+gLRB2uMRhQWY4BDCHwFWa7MKlbYxNVQ6KgPHACBIaDJVzLxTdMe /VdOyJI5+/+oi8h3NXVA4Bmd8HIWcIN5fmtcBLvuojwSeYrHFKvND6QVGe2BEC1Um/eGHQuOAZoG gNZXciJsj/yPvPgj4meASRX9W51Jykail+NmDN7LGFgStm7Z5Qgo0KtXWBrxxueEnamAj6C8jWIB iYkzB69Z7CqXMB9Y8w8GZm/VkqDvWjK9gqHnKo/vbDPGEbVeuTSrTLcny77HDS/bJhgJFKpVyMLd uUpo6QgO7ynEkCgoudaV0UCJqCXAhF2EiDp4zfx34NlAoTMsXwB/rhQ1TGobzhyarTw6pMghGJKO jqzWt80/6OpETehk6TUntNySeuAMITDHrsAvk2cPknrFBsf2ygKG6MwHCdRkUo8eVjHIkbkeDrSE GM53lgFfteQy6QyAjKNwSOGdHzzXqABbTpLfW5EjkZ7NtxIGr9tzNtvUJcauWoPmcihkNKZAY02a JoaMGP21GiHV5xIPSUL18okwO1vnYRXS8SUwTd19MJXX9rgJV/AutfrhSUfKnsK9JbES6gdoj3uC HyAHkfaSJziqpPNiQQbON3NLT4qA20wX5ZjUHJdu07yf63lHjkAv4ybuQ1hveqvFXyx0RP+yLohT /r/MS6htUzeeExttR1SvxUuwj3k6O1/3wxjb91VXD6TPKjwJejHKb+mrfg6qD3X+2MVKy+bkjzuw lZu1niHBSuroNvQ8YD0OnJBXzueoodzQgKh6/OvbFojKI1xT5+Fr8QGBxmex93OnZ7NzaPjb/6wE 4VtiWdxEoPDdcoqhWHDNlIQJQrZza1+kZQVq6cQb0QCjplIwWkeQuO+aos1CdXYaGChCJY3bpIF4 ImsVf1VNGeKB/jvHzZQM/fsO+p5IGm2CUYuaXy6MqK1GQMkqzPBh5Vzb6tUiil9m1itZEol+PIUT ft1mOPNa4zZ4TP8w6AiQvIbCkB/sV8Sw2OFWejzJ7WYitTfL8uQ1+Jc6Z97f6PPq/NbhHllgxx/h /OXDNNinfuB4TyAgXqwSji3cnQ7fzG+Tea/WTIrFJb4szMKRQqtQL236TBdPWyrVHiTW9RNu+FWe pfN0tC2Iy2hM32WIUzdMqqNlfFDazIUJOpW5Q2gtw1mqGrokxjkr1FmZ0ppm+ny6dinJYD5P/MIh CwXrhXSGGySxpoOy4xmlAl9oXz/cFROAFRpmMH09u0RWeOHUWsHhhVGARNYkMxADZrMs0PKiSH4v qlT/W+H2TCk/mhFfu7zDewhK69yqXlfnk/1Elece797WLLLowE2tmD82Stpkvg+l1cCWtHSB/XQw BGrsGZb/++Tq1lLEd9UU41Gf+bUa4o1ZnJTGSQ+my2LXENK28IKZ1lHhPWRI1vysb/zyc3PbPd0e LrUR05Eci97FIHMTHaKI+vv3Ss4l3wwcQ6PNLew+jq9QyTamsZ2q5G4g1IEzl1jntyCm3lgQD4HL ZiGdvPnJrS6m9+jJ4wbrSp4qfCLO/NZFpbGuHbIsfmu1YI93jGV3fLZWEh4o4RXh0nLPro4Y9ddw uXNuZbp5GZggmBUHsZX8vvMbZLlj11w+PZRhVzK2TOXcNqnHMPG6vWE12l9CmUEwDyrfy7Ve5Uso rv244MdA72BWrugWDbfXFrpvuYseOz5KjXcBuHDPtPNro1DsmmXb4QgWT7BFfwPKwOeHssSVM18o 7hGHGjIhyB8M8PmMhqqbuK0Ww9ufk7uUg3OeqevBBhN0ZVYEmV6c/OYfXKKCIR9RxrPgbetSHlIT qU+VZPTtukLnCksxtEnOgouAXw+fqcbTjx3yDXPu+B/HrJKLc84b+yt0rEr+/70WO9L/wIbDwx+m ERCP/gvISaggUIV6wL3j/C/38FdUCcXQ5X4BFMGJfe70NqXSj+o6Wi9+lU7+LTMM1007CwwMEyuq ONSG29PThGTxN7NSmCYp9oTk7emGt6OvmuiIK3orIHkauoi0U5Fz5i3jqpNvEtzXl4Ax4RY4xYLv 90Unhx2Yhuev9iGl1Ov1crkVClD18UX3M2J9JwuQj6ouyXIbtnme1LS/d7jD3VKQyt4hYUkBV5kJ Aca/Mj5DIvdQe6JHWCYqodVdFNGkWQK7zN0kLj9DR9Abd8PhA7zBFkwBfnmNoDSZ4Ppymq92sfb/ rc0JJhdlD8I8U3Ll698JWL8gotfJCkXlIES1cZcrlpUSqDKdpDyGTErRvp/sSeUBI+fhlhXIkUw2 HcFUufMR2/PrcIEOe6hTgibXxSD1V2Ow/YNtSfC2W9xuwKFQ3ibo7zTqFxRQiS2ze3SzkH7GitgS oAsWGhQnJEYSknDlrKBKvY0cU8LIVdDaMS8teA5TKVvGgp+Qu9yro7s5vunqvanvwcZrcOXdODIh 4rTGr9+whKcIv5a8v1xLOfxTXTzXiXRc4MPKWiAzrJjRfS6OFW4Fn/j7+wPpL14ed5J9WbQSHypS Tl8DXYPbdN7pdPb7gxrXbOK3iN1b5rTzc8aGRmEzTp0ZBeGMeDnuUz4hW5HbqSWb4qJR54YPqpTe Bagngu4U5Cm9C/e6KKUPGzQ1Cu0lGEBBRUqMMg7TUqnmU39N4IF7Yj7NmmThePqvpNWymdFL+ney 2tciD/v1HqQTe1tO7pvCQBMLxGvj7NyG5kShTAgfx5zKOuRFBKBfG6RXcKLbUsyDpas/Tht2syAr CrFBK6MHSV6+ygS0UvFkGcZOjd1D+Blja5SHfHXekbRKkaTud0PdPqsI35nlg/jdpQHieEZtDRZO UVDxVC5dbbOQUsbQ+hioESh7y82Bcxl5goytd+dqgdBhqKB40zeTIEX+gCmhp1hJ/Wsd1OSn9+Mz MdmmHOCjcsC0GezHJdMvinVoU1BQK5wmMfBk8plJnUNAfdFFOOCvaKwiWH2MFeqOxtTgR6SfxbKw AGslvvlpPkS54JmWa6ShKdATd/0FJ11WBJgTtblabkJ0CFat+aFmIRnPa4PVZjj7aYjWRnBS1Era /kNZdiKFpi5/pIBsSI4aCmqdka99A2Fvr9bbq8rpnfe2bKs6pkTzvdD2NZsAvE0zoDRD3ueyOTZg atSBk3YEmnOQbhMnChBAl95aAOJApyNtXWJTTLh+9aOqKpgg8/uiczK8wS7pHdtISc7UkwfD5GcA pTq7mQIUNBuy3XBEUPfpC3mAvNVNogLSKHEcje9gbCSnxN+09pzppYd87DA4pG1nSexyoeTmAUsJ EjNFNQ4k6B3Ni8m7CLoWXw5xECK+aYx4HHinEXMpd0ik+3oh8jmWuWI/7qyPekKBpX5zVakHFj8m tjjjIMOEGe3b0lVn4tMqiKHEJOrPXucV+zb4xYaIVWIur7IpXOHX0hOFCKGZC5/soIGUyFXcvZ1C Z7fjOg8dAzfEv4SfZy7e7VUQTmHXwFzEk8TohFLeZNBkA3YwfmZXv9/Ygib/mdY3VEtBB1pvP672 3zWL0Kq3WuxdUWphc3GztRG1w8ttKO/1+3xUaQQPirEqNnWV9279X3M7Ahg1JLqUPVADU8fNQ06l jKZDMiq36Vemj3gHHLrMvLk7bZM6wr3CL+I2V/Nw+TCeFWePJ+pEfBDhuRgIN54s6ZIKXXR0sRIL +vTFmB2cFY6y7aWZxDxSoBTNmunPSRPu4VK4wj19LslXBaz8NU3ag5yTKYCNO5jbPYxr78P1xA/O FHl2FhU++WX30sJOt9oBkopBNHO+ZkLHiwKVDUUimRNKavev7sY3Sx6rgoCCfW+QEHVXlmvFQbkt Zizq7uuRfvjFddwvAW2CyeEZqyhTHXC3Zy4Fq3VdppDaEhnTfsFuJfwLMSyFNDEn/VkFyI1hRngK QdzzFCDnaawxpE6W57IqqALy2K9qNb7GNWeXNIMXt8yb1iZvbvRJEaAdLuacPeRolIzysVzzAkAs GzxrpBJw1WP65h1xUPqVRnugM4JOeweAKhIAsgxNIiTuR1nz0lFHouVDeiS94JOrianTD8TBCbyf GsxwWBqF6V9O2CuJE5vf9vU7CuQPTvDXNDVUddmo+0jpjXHsQxWfuNMTv8+Yp5/XakEUW4EBkvP0 TRzTs2PjduJN6JSAKrH3HqrhZ0c5/d8KrUCN+QjSAbI89HgzRygizQcT7j3Kn5GRfVCOphqcFtbb 5jxOsYtHTiCyD1c37pLZyj43rzg6yB7IDUUKq+GOvfxaUKtAc8bsd+tKfkd1VEcqKaS2sS80Undm 8NW7fBVzADDm+St2VbeCJW1/3Ob0Qn3Bh8XCJgw1B8y4u4+9eOC3oCdukBQvNUrSb87mraGd1tth RrfM7cY10RNtbI1wdBmXaXvD9bzah3faJ74kueXRWdzz32AXJgoWExcGJ3ij0OxCE+9qtSovg0Jj 3JuxeqOzSSjYjZkCHnhGhkJgsf/8Lm3wq+TAXNFkicni9463vPij2kpouPcwy5vVm667fjnL37MN PkY+NWvk54L8ZqyvtNIz0tikbFVWc6y60/mKTlcQKnRcFTlOG/MibeSqSL/YhviKbe0TX9lJkLnS kGTlfcRSXa4vfDTp7oaewzrOsBb6ExMTbuMOQf6jg+HtIRSiGB/CRxgNElz0akVBAQJRjlBwaaOx BulhFZIafoJqJ/ILWDl+eXQqudnAgXFsRba6is8Tuhz+dau0ZSIkQj4nx1/0mP7CDuwKSoA5l6t/ 6UMBjsXwOFqxnJEHQc0bfddZf0cIWSMDVIi7lhqeY7dZloW/CVf+WE58m14Swmu1wt6Xw6odfK/k KAw97FPmNawDjFKNr7hMobxT16q8ddx8h9nt/HAh4V+KTtijwOpA8NQcuIVnyRAjSgGtYrMIGSUD rP5haZnz5VbDE3dBZU1IOB3hDgJ7W67wjZQHL7DefRFwmsrUCYRsu5E1ZiiwyrnWLxEAaXiF+RPY KbNttSZw224rQfkG5vdPjaPsATACWEAq75QTxhSaZEgVhPhELF/0CYBcqA2sMGP3N5TQDLkkvP3u 0YwGvcSqe4uR8VzFeSZoyvYTgkXDYZa/IACkQJuxjcD85x5s9AWGO5WHWuBdEHKy5/JhkHlEL+vZ mAgBHf6mvGK5+qKktvmmwtAcx4S2omtzRBv7aphe/PPXCHs0tXuzElLaZp4Uj3g8Miex3IrWTC35 Tk2HwA8X2jg4v5FOrdF8R5TFeONtITA/b8nPP/b5wXzx/TkJqMHXmeYQMY28e/w2Wl+XLrIJi90f eqBVtW9OsQ7pxKttEQFp8OBED2b1IcTXYYtD9SBGEhOUUoMCM2Qji5CSmwNTw7Ms9tr1k92tbkEk LPZ28NAVdV/W1KBh4JBfpvFWSMlRc3YFdWs8CTP15ZCIDu7xFWYnk51N18nfpvM5WQsCQTCurFi+ Ga9RoLzwOMm8oEuRxkPeru6WJ4mMOgToMn7MQaS5DaMdYsMfMtSPhvNQ8dqeL/8mPTGVsKIqq4Ko uNR7D+3Qe6zqRD1LCeguWAeE1WO75FJhy5pS14ofdt+SrdvShR1AOXKXZT897TjRrPlJhhsOZxSN WYtUMxlFYk4ct/NKnX6n4vOQJfQeiVK9yBNocjlpWqoqEeZylFzhXY/XUdvmgZ8dX/DAmAhQGRWs mWYf+DXgO6eMsazWzXy9GbYy+sV/Ci244zKMP1EeLNAxM5ATMMAWucv2nzioMkcPSSSSFgOjTZe7 PwDt53jmItR9v3dj/Jdh4Tb31bjtx4JyAc3CZli+1blDP5HrGR04in3uJD7DqH2Y26ymTeLwdjE5 /psRO6oiCUfWCqiSPNinc2OqLAQ8AdIWqAauTpos97q7JSaev1vLkG53rsoadSRH+k9dBnLIpdXq Z4aBGiZ4H2z4eAMFwjki71Bsv6ezfPxNdug7jv+EIt4EVRlIm8rp3flcMM5vITseJvy/AlNokLCJ em46O9cZg7IY9tFdNeAmZbAggd4L4wkW9X+4JMzS6bYF83lZ1pZdZKc8BeRAcnwxpvKcRfg+NSD9 o+8lXceb4a1VsWcUudrajF3lIAHBDe/Z9Yy2D3kX3vCQbuopKlQWNKpltLhzFg6yLxluLwScy7cA +Uxi3dQ9YZtAH+eqnJ+g1Muq1xX717oyf511VpkQ8KuLbAfUz2AKEhCYs5K+DAlrzFa4zH1LTkDw Fe1rVPukbUDBmMwk9i/Etr4jYScU7s8Kdh3jMdYHWVzxKMIeuY8BqNwFrVIb5SVMhBhsa5ChxzlH ghq3Wl5RfMte41IoEGMlJUbHbXv8NEF5MJRS29oEtxWdVXdJjZNlhUBUYFH3jWeQxR9GCZCu3R/o OeJ50jBIVT56gYUUsK2IE36X0M9dfiYydraaVpkBtsnBBFb194yIGkVxpQDpblqf+LfkCgDrN0+m 0+H8IjGJV1LgPVtyLqDmcv57Ljv7+YNiunew++s2lHm/s4bBbfU5iZzhA30w7SxmIOqze1otPR8i 4rlx5QoGYYVPUOvTwpCt6oFZVFDN4l736P4YGjzR2juYMwGXVq+N3Kx70mm8qR6FhJ0HVN+ASo31 vGoPVUorEVAxsalpXR4bAoZP7Z0MYZgUzG6JcNzMYBL3O1Dn3QZt3J/cQIKogscgK0X2BlOjBWfD Jo5xnhj4+RYT0dL7tER40K+QVfYek8MnKwvAdiX2yTgmy6oyvmnFUCzBFfKclJw+539nmGKQ2L6X E49FMuaY6IgXrW1tQoU32LIM0AxDQhVPhjmxXhsb2SQhcpsMgK0OI4cZy2ut4yDYsZVeaNAxv1DX qf5EsmBUezhLFFEFe98YJTzBwcCFdBTnixaca0WPwUb+nyZHh7xKb8Vbf9rmpXQn8YqSOox7nbo2 nZsmHjWqGjgo6PVpzgFKzRe+YaV4EDNf4JqHju+yIv//lJBncdzVeK3irs+sblnu1wuw5GxA9vbm cT3WbiP2eB8D6KtzFEwiLAQ5LixTKZPePNl9drqy2+XyWKlw2gpLwCJKlmGGf/ZU4VRFmBxYTIQv bCQ7/vcRl108wQ/1r8iSgPmZJNAw35qCykf5n5SY/IucLB6OGZCxiNb0pc648tkU1e39STLt/x2M KXSPW2Cnl3QzYfwDcsu6J6kluvQLLvRn8LxK8KhqnzIcCwCtAwWN6udZzwVzBqhj+j6fKbYEaLne jymyyLeY3Lf5KXTVTPH/h8q+sqvHBMR5SRHNUy6pDNCwIdZ1tn739OktA2RQpziz1saPmagZOnUU FpmpwSfSPmrZheS/NhCDSo/8mp0RhD7KrRU5Gf0Um9xXg+XZoSTOFrF1UK5OzYCTmaHdEzFONxLp pYf4WQNn8KgIyy+wjeUvcq6sNdyNQpQdDxVVYdsJ1riz8ri2XS2aN6rVFEhJL1DoaZIh5s5c3pw2 kVUHZI1CfeI2NXbdAdhQdR53o2wc5+EVb0wLsiQ7MeQRLGyVIE1WHZpcAQ6bbSQBvcaoxEJb1ChA J5t1wItuyXy+4eDqVgFjL+nKcfZPXkdOTCu8Mjj7e4pl3gqjD41uRBGzC/37S243TGEjzgzEDpE0 LxPgedyQ2zrVD8gTPNTBuMgEr4G8E+XlneRtDCfDequNe8PAf4WvNP8p5thKGtfICVYYhQykLGDI Z0vnNemKWK3u0cO91CQscsKDjvjJif+MgbC6JDnNQ4uFFAax5Jdc0uJFFc2Jv0LW59JjEPiMtm/0 Vn6louY+ppdbsYYhlTCHgkGdb/WZIq82s9FUl0BQXNQQmJFb6MTvBaGVIYngeM0gcL57qt4Nxws+ 93VKkSQbar7jZaPTHCic1yNM3Eg8T2lDWFSkoXzkt7W/1JwO+L9K6/Ue+haoCrAJ2gUnP4MDc38R m8gI6XxHPEPYV6m0TLkNSMqvAs9o+zREoAew30lsqmNkIUdfQyPM3zbif5F4E3LVto+nNBbtYVqs rv49Qx+w3N5p9dwT/fpg4oai3nt6loiZJNkhEtUxpmXsVupc8F32oZNUIiWYS52ymLSlHEBOMDLU JZwKiEVr3kItcgKIe+VQ/9Yvx/xAVOq4mQ+qJGrqD0cQ72bQGc6v40qsnp7WXMQ2VIk51rumIijZ R1dqdNOBqoC2RKDRZ4/lCTFryKdVydzqUwbRjlaIE53bFA/n1ZxMaYgyIfFiHjXmPYrnh8aBgLt7 xl9n98VfcKFa6TG9Ti8qlpTSAPwvhyiGq36Z3N010o5Tps+ti1ZgOQN3n0M3HKsVyHXgJxH+d21L 4u6I4+37tzR0epfTXQ3IDUG7tvNSBRMr0EZ4jqm/LXlA1i8EsGHV/uwntoNzRE4vy7FgjeXJ3QLk Qpr9mpuKAL+tXqUH/m8f2qxHG8T6K5Bp2OZsySt2WxgozBmGZhM7fo3fBtvwYI+kwf8OB9BaRKIg gxW46T5rVIxEicwiKQL53szKh2hhe3/GC4eWQ4UFFojEG1kS2A+OtFL1sZKT72q5Hva/Neo7Smwh m2LXsngOKVYDSkSJMMyndlu+eehh9udZ+/XWpOyitTTozndqtUUawL6ozCznbPUFDE8SMNKawAem iiWU/GdO682zOPVzU8zORpyBsUCTkCOlHoOxcHA6Arznp6zYOnI1wyJpabTvY6oAdiFi1aIL3Aa3 6HWUJgu9p7drPoS13HwQJDh+A9HAXj3RCds6t9kMxl4nJ3rBpPcRUk/q4VlZsWziXVxuU1NmA9to ib8rfXVz636jJ+njWAmX/8Tsp2a1msEsp7qObVMQkr/c6ns9l1vXJpfrNWQoiWz3wx6128nh9u5D g14zTec+9q4rwFktlv9PZjekrtR/ax0ncJQpMVR3k51v2qhXuvc9y77OWZLu3sbrB2D6+p1xu/qF rCbID4FMYHHRKxZPnAZ2TxD2xNb3vE9wO8JWnQxrrLf7n4WN9VUv2liInvWtSeydF5evD70+2YJP 3CCknNUPUCaQ4bQQM8V6dkCgcQ7xYrRVSYAm5R84JWPcktmHWu9aEHCLcSnssTqkGiYZInkhqKVf AZP/+JTlBFoAwPKI/zFtnac/8JYd34UsXhpXbqLww2VTLIIVybejkH9QG3klekI23G3nhtVW1GMo W00zapoDIcZjITtbNeAbJLuGQKEOQAY9JEqxLOBJJbV7lGSjNM5AvFLGfshtciw0NllPciN1HCkM HUfTRIZhwm1dKxT7iDHl6vidz6Bfw+Kv7Nn9SMjFs3qhyDto1yZTuM3fYgJi6tBeFmI6WcpHEzAx 7s0Yw1bnjaS3PY4xaE4IJ0ij1eqsahHrOlIY+gocIOZH7AgsTkP0SORspLe4huaWnygLXgwCn1p6 80x0oGIjS7PygJlrezzVc+jF/WQv91d++mAlsZWnEHeHzRyBRYBxkS0UlEBTrwLV+CMNSMmw+TVU UTF7amn6tsDsl666ckCdBZm+Nd22mhbtjZqyIKy4rn6Z78XOjPKnogEKOp0mJmyEm5EUgesdBwev vyCUjHUNH4jA9m22dN/EWrd7SCFoWtoraYI1lLqBByupmR21mI6Xw1Y52gZx6LCkvUR25a0INVBt ba0vMGPNul1gxf50V7DuWH21FDbRQhFxic/VMEg47TkoiXv+sJg4M0aKwG0R1zGwur1HrnuCsnmi KlNtCZozd0rbkZCkbbFNi8w7xZw6F8yfyJmx7hnj5J0E8ad3wLQKVcrTK9josGhKgEYIcwJ/TPPa P3gq8cRmcNprSIq2dRDZyMSB1WU45kK4s7OdTzF3xhY+WhmzI+rStK1ONF3Q0xtkbPeHehP5Tjz2 lkfbGslnp/M9kHwI/TBjWERdodbbacXKRX9LdFQRajfgDhFF69dM8Sjqpb2qnQQLjSOq8rwStqTi fHUZofpYjeau7mo6gceo1DyxwyM34wzpsYaWknQb1nOKyeYzycws8zTZXrH50vjwgr61iqYxFvMx 5XYnwAjXNXvIuB7aAl2m5lO7GkZDVIs/2o5Ot2MKGiwyeOqtscY80jZISqAhIN/KZPgYwf8a8uKi LbudY+jpXPMRHL3MprbicsKv1Ua6fqXakOCWvqUB4RQwoMGDo2AFYCP2xcERkJM9r6zmev4iww2y DMuCFrvyBEKbyfxuRSy4ZTDYFwNRCAzhYYyG7LmdE7qxi/PWlmO0oLPIXRdIuweOVq7dK4t16wn8 qpgRvis9jGoDcjaeyoyOo9RkVqv1xilLk1ZsUN4CNthwOrfhL3gf1tpHQt8fYaNGVYYmaRc55/SM sjqifeHi/50WpV126cXD3FeSNbsmIuAVChXeh+5cPVOZhAPnhSGNQi1XWPRcTK59cnUTBZP/6fGN zFcHZNddLXWBGE8nox6UZ/pubnIk1OXmDX174LmH/ceejb48Dgh7hk45NCfBSkM/OyhlJVKiF/5B yM6vpwtMn76f/vK64GGOXRJ0vyFV/6WUKwoktl4oLk1HRyfl5IUj+7GkCywiF4u+N0c9VV7DX4Dd aVHvLOBV5wb55uoVD3nNTRyh3DsZef9pd/mYGyHsTtb1XCle4p3KBTQHdpvEjTNTn3P7fmqbkXJC TLe6nRiYB+yTwb0TKYDvUrSs1suoq+h17OA3f3ICx8lsUL2rb8KpsqxxuRRYbAylMJhC8fcqbR/2 A/u7qxBPP0XQaKTSjLmVdpV76PO4pewVw69/aldk8HbgiCdUnUHqCfFTgAp4qI598foUAMkqj2fw +d2cU2aIyhiU+uFAUUg2rZ7TIT1j7gxNnLbosbHsWr5w8MB4R5A2xvs2Ok9pTKKpf6qieRVil6nP lVNvlH7M+SaGgjrOWtncCb0q6JSEkq1mQVeRfBlLEO5JFvZarvQmBPygEdcLgwzGsvm6HtmA0Xaj RCiJ0+rkaMiirmDdfOQ1CyGRoOdl0KCAprzFcZnWbtPJqMukUiyhyhts8393MSYPF0eaBEKXVrwU MgX/LptevNLT1uog1VIerFxGer6z+TmqnNbVyPEiZxTwDbSzE/lCd1dWm5Ot3YKjZJdW/uSZjigk rSSfMpljBkmKWnZPg9xW8vxOrNHC9ihdL40ZmpV3rmV+2wIBcfrZFL17cTNYiG1nzD6popNeQrWe uDiU9Suu3bzHBHWNuW7GlwiW3OcUGh4me1/rOr7j6qoM6g2EaC1tg2N0CX1/6NK9KSPPgJ0yQcuK Z2IYpFkMiumgDsy8gQUXS6hgehL9UpSXnnBuH2ww8180gyr3dFd7usTlOdrBW0ZUrznxx3hfvb3Z f4hxD68u/py/IcNtKdhUVNiB0nqZvdzUjTbddyoR24a/GdBE56FKG9paAbMSip2bhRxUYIm4qdyr +TWv1sL3W9yM/IFVGF8Ds7QgU3eMmz1tHxRinN3bb1fpDJtyXjxHsX/zvzoXpsjhKfJSCK3yGsno QFwQvmSMpdkemPxh93q61s8ZtpScl4j9Zoqj0xCz+Q7yUaxDXSv8uFB1Zy60h1hG2rm+ZzBoDtSf oxb2UbFKgYmSsdNbyk48L943DH5Zs2YO4RKfzCzixQarBdtCCQB4BfdWgl8DV2WjbaWQcoNFM8Ss 8JboSXNfUXa6CuXUoMjFupF325kHrfuT3vnZ5RUhaYAh4C8q/7PVmz/04JHGr+SndYSUhvEYRo7c DG1fagp+G8n5EohxS85fOP2JmbKCuMB7EH7t1WQTYzlAyEZLuv+C9ErtHJy5aPPOa8UnmBhQ6ysc u1eFScuFdiSsxPAIgXE69BEwL+g7rkpnGdVX1VF+WxJJ+1e6dboP46yaXqdWbWTkqKnh9GZ3VhYx QOUARew0jWqojf4Lo1JtKKDSCaLeyXnuv4c0kUk3AzztN0UqMfWeEZu1kqEgjWLJwVtCIBj7ToQX bNW0NF0v7vtIuK/65OohYtUMkpczD/EnkoJsu53i5oW8n9nCm+efHnJxWLtapbnzS78/HNuRmaWP ZZWetUbmKhNM6eFVl99jvTtKz0PPZW3dgv+D/fbWLf3D2qUPGQQdaLWUxrx7Vjj8bBgZNz6YHIIU ZmMJ78xVNqvmUf9DNFDQvYv3uc1D4CxnPXshwFec90IBJhVtkAmvfQR7G2XFwm0nX1Yii2d9XUrW eOic0Vl9V1BeVaLVKp3TdAQv2JD/C/omOwvmcOA6StXXhp5Cc+2LFnt8rPbGKVLKLqfU0B8mLqhX ecDIkkZPUmmV3RJHMXsqTqOOagGQuWyTnuHj5A4AvN/bb5ZH9vhnhDwW8RwBX9cO9tY7ZjXAU6/q kLESRQj61/hpvPXk2RAyLjETJV2K0OBcF5t/d4grxIXGKYQgoV4ZwVXtoa2p/eAlmiZtnwQLAfIS JFVg4Xmwg3iYu8NUvt1i3D3ismTtAe4KrPjWOA/xzQg2VUek8sLb+sKZogccqOxH3ObxTWy2jwEO rTDw2/3QPyBWDiejGzIT0Mdgs4eOkvAwEz18UXch+24yQZAcN10FWyMeTKtte5L+trt99hDqq/mS KPTcaGtxDM91ynxmX7AVmpkWbdciAtphQLULRTfH+c4I/EguNL3A7ozywcwC73iP5gjIYjI4EcCm H/PtsMfDjhweJsrgfmjGVd8yMMGFHgsnDh6IooUpJFQBWjsjc+B2iL8Y3AsyMDA5wnHkbBF+jzre mTSKDvrsO6RM7Jg+iMk8J9zExIXd+KfJWJjUmlNhybFu/eFgJHQI9n+I4tjef5xe17MmhFeaPpH4 LuoRomr4j7HrSTJ+xrbDaG4kJH905wzxJ6bv6XheWxPBGAzVNxIuAFY2yT1Dfg3oRGA2F45a/flS DQK6lXz3GhTq+vkYfmaU7gpb6IL1mI1gGPvhLdvEn+UAPX7qhWe0HYJaKDCP9nqIejXDCyZwHf98 rK58Ut70Ffp1lkRJSsj7YshYeuvNpf1g0moY5PNg7fTTRrZxjtZByMrTnxxDLXDEBdXKH6gGSJLJ qP/7B2kj5DZFxBGcMQl01gZyVS7P2PJboC8sa1T6mIR4ApnAOiIwxvOVkM6N2TgPxSC/3lxhp+wy Sg6ss7o32B982634Yd1tYH749fXkepk7Fz5p0pZX/XkTZsnEGnCA9Y0mvLJPAU1ghzavSAshGvh7 HA0/yYaeHRP0XNBMp2IkabOgeFruKWd9PbqG1aYqPaL0TT57cPIY/t3L2Jh5eRTc5JxHpZTV/MY1 s6tv5molAJkBTHq6z29grQXKvVr/XFkLdofpJIPjZrFhubRgUPp59hGR/wtDds6WqsuSHdqS37EY BM9e4RQl44vz/nkKa1Lm4wvyyYi3+rKo+c6rp4EQ45KS2UMhxdRkGpbS9bU0aemEFqzVQnBCiSFm 2LUlI1ve+q6ieiH62xPoKZ3el6uYYjgrFD+ibO1IuQMpsI6wNEWHhoen6G4VaCIF3t59b/vkv+fR feN0jyxF5Rt6rnSUS9Oz5HYiWNXyHmW/33aUlD6xJUB/Xcg5KfBeKO6QpGi7fPdsruv7MzOBw8rg BCzdbEzq3JOlG8EOAN9ZdHT6i1lDAsGUrdbkkyLBx8PJV61hk3xCp4osFpm9voxohXf7qBFCN+j5 INplBbghoSq9t2NfSyXn15Dxhoh/Qv0S7U+6S9g/eL9ORup2deRxD7iJ2wF/KrbUOpAPRZBw6quk 95dtYQ25tqz+Vi3n2SWMvIpO48mQDy4tQbDOhixhp0BZKHjfK7+X+m6QgfY/Ndxg90OWDw9vYy0u oaWgm+prceTyn0vWNc19cVb3QRWO2grBKtP6uwT5N8j+CTeeHlKZ+NTV2DlOvpCSY3tKxSXIK6C0 k1Bxp7jQYGoNCXCSkBEfgrV5m+TAQz86D0QsWb9vfyDl/OO576sR40x/tKrfmn/UvAdulcOfAhQx gXM7rkF3E5eQLErM/y4IwuF/tDHlAku2kMV2NnXdbelGD0ud7VXveLqXeSl9lpUHsifbyrAAvpZD krIFPz56gs79Nw+2bykxnbgBt3StyAwyQfy8LxrQmHj/F1FCWzANxCZ4kSwgEPE474lyRGwjleAu UkMkpI34HZvbIPmtuIgqKDgGsT8bALaE137kqX6nr9NCwgWxM72G+hsF8LglQIYijUI/fIpyH3fW ytKJgpANZubjZ0eYH3KgMyiv2Z1LBrcRrRKtUMLoUfzo9/8HWUfx/mSNNb69yzwgWCbYBT7o5fQD l7yBgGNUzcWI+MxhBiZsIR6OMTJpa3GplS+rxohs/2J5YU1+Z9hkDb0e7kdWlOznlVms+zVy1lSX Le+RtZCMWnmMfaEHOnMeOGO/Dpl3+Lc539Qa2nYFGht/oBn3VmOqQrWa5u+9O0h5B8oLmw7IMMIQ P+T8HzmKgF3gN4AZEjhlhOuU6tHpALZbauKueqfkSRJtm56Z6tvlBGMMNSIaU+xH1II6Xh9ZvtNQ kHsdyPU1avvTxrnr+gRx47i87MAlURCM59hxluAYXmFNxGf1pgExyjMjWBmyYxbXj3IXuuaqtkii uHXXjZVmleOp4JlfyOOF1ru0iLu8WbGg7VHafLZit0Soi3Grq9fZ5FrMU2VMhSMn8pZuIzPs/oTU oZxcp7x07y21w3kYjighKjCWrkdbHWgA5nYij70jRK0o2IHiFG8DinIJ8pUG8zmDTxvNivTlxIPH shQISWzmVdR95+hfbwbaUA7eRfyMXyriwEEwE5OLwEahoT5b6wU6WmU/7oMiGybvy/Hr4q0llDcF 3zPBFlLTwLNKfrSbQhRC/7zag3wiCseowNZnodVemD3QWmjWaK+nZK+T4UI3r50f/2wK48NonY0l RtAvqFye7O93gRW7FBMC4kpZ9gTS+jhx9uJ8MfiOB0kxU75GrrS3qgnY4HnnboEp4Ls3W5FCSDSI llBT4agdt/9gDjhbQDhGvJYs28bkQFiNW8tmoFayiQ+dsex64sbssV+8l5GzaOmw+9vnAyNnqpgb fWEx94+1efRQGm2FREH8EqItLWiAAPjE4/rMsfJDoDJkaLsax8D2gYtBykkEkO8LBEOZXCgAC3Fe aACe0JCs6Tyl4LDmMbehnjYudO5jjace2wNhuNVMM1ktLd7nTW33VQkBdp+VRTe3PJ870knYuVEL hFbAQSsWd7L6p+EAREyf+t+K0Z6vWmSnNWL/jTwqG9SlpV5L6/eAwUJ/8ldO9KwgVlZdAYZLtuS9 UUhlD4rcbO6hvuY+Cg9eC00zO8+sq1f2W7hurFFvB6OXXmwr0x92WeapWBBS6MwGHI+j893DKj6L dW8Uag1ReSkNG/aWf2oOj+edIhpb+IkSjKhWYzGUi6RClR1HT0YphLAtDE9RbTrpRxxDFIxTRtO/ RNReAjzax/fQE9+fMddaGAaxj4CQ/35u0edt14VT+Nh8KXTzYbKIHqTpVDBr9/M/gxbOF9Ucvpe3 irfAOrY8xZFmndj1o+SdR7oKx8PfPyroaPRRFSNhoa6thrLajgbU9pvhbGKwkEF44/L5viuEq84n hK9Fb5BukBKlKUPMucNZ0p3e3Z5EfQVUC4vGp8x5/TnWmWpY4UGCSEfyxr7jG1daZS6yIXoR0UTR zxu1z61kdQpk4iYl1BIpJOFKbt9crWDdn18VAotohUKy2/KxYJ9WjRvv5AbUqZXNyrMAPhTBkC36 rVTKxYVI7Guyh+Twme4L24eSKdnejWMBVhzoymbcTqEjnWsGauBTlpYMUpPJOHnnftEMXRA/jfMk YtWOZVSgeGzsMaDqfGGJx2Q7FiiZorFWsyDzO1QwE6/Tctnm557ewonJ0sKUdhM+p79pzApOo9d+ LHh6D/GOgNNBIbSN5tXgtIcq7m6CYERREa/8THnWulKWyrapaIXsuDDXJQs6bzBewYtwGNjNpa3Q M89iShcfjR/hjnoiPoB1wE2ZvHtC/veJF+A7y3XSNS5HNQBMxpjFa2Jq+PYM7vIEevp4QQ87Go/5 xaW1I8Kc9RSWoPMw7L+u28xsRkJe21KPyHaWxNMyS3OAMCC7CkxIA/etpZZD8nNjGPvbme1KAA0p US1lzW2fm0AwfFh5aM9PvNSIzrjBEZfPTYi0F+6gAmxQXh+o3vI5+Cm8TGMg+uk1u+sImxel7Suq +vEaFyiW2BMwcRrokuoH3b4Qy/OVWeEL3B1qa9pmkB36l5WeV5DMdJsxlYAvoEZrZMrL/Dktfe/w opDyylpefIvZ7u2gfCkxxX+ulPhl/iarEfpu+MAlp7AfeIoX/qpXqSXNczB/e4CFUFXAE6ulf2Fw BcXOau/XkkA0hpG6f+gO4jTo1E+4mr4DCgmqniK/8gwHQvvNVcyRZk1CYcxCQaib5GA8nFW9PZw0 EfRsnagnMeXN7rQanC/EtzOj9FTtUgN4AmAGZvF6ppecslq02uM+8xKvl7LXrLe9xo4UgRo02pjm dTnbkZagJRVSLcRQxesMuIkdfhZr96+HG2qIfg/wtKth5XlhOAnzCnmTZ55EBZ9AG62QI3caDThk eror3V77F+C37l75c5Ira+/lrg2gSXSrOc/mxYpt9Rq/6tGKk40mI+vbtjBnDS9Yso55OppTOJtR n5lMNEwwtVPF3qUhjWfJJvZLaz7i3St7PGIMHC4chMKVuKJ2MbN/ur/gOrkhRVHW7Td0cR8pYQ9q s0Rpk6e/kLiS8I+w5JXRWyNJtzxiG9pGWABoOE63ALy8Vi3BfMGFN7QJN02ySPakiDX2eoPZ1fw3 Q/oE+8vZ4LCw69LxPAuiji7BjMhP99zC2UWld/F30JtclfjLngonwrGxtIXuLP8qInJGSsEUzJZu 3zWiVXXSvLWDgj6w79D+koco8cbxnGbkArpwzTSuDJ3DBvyfRoZ1hG6szsfB6EOxSiyqgpG6MnBP mbR20V5YCEA0wTNkUWz0FOuDbha2KA0s/PzWcLoGr6CUUEUzZmF3JOXWGBSFibjD5P8BzAtQufbU bLlJTypJ9grqqE99CKVSXcfkIvwzOzA5WktL9QS8jMgG+OLM340I/w6kn4Ayh0yz2aMRwYNlDM9E IUQc5PqxpLwhePIpNaBfq2xT4HjOlRCNdRaCpln2AALRBADecuhW3Jvmu9CgD1ED4xIrnBR7phcE Kuwxhhyjo1UEBkDLS9RRQOYRAwq1lH8AXtjs00PUGGjPpJ86jWeBoHjzmlWaQmRTtcAYLKgAcBhO pydzBzFubkr2LYV023JUSxNOfDoVlYXwDcnygcoZXt3bpkD2CS6th/A8MALwp/DA7uayzDG1gm/U TNGaLZBd9ICC8gwBr9SGCdOHSk7mc1wWIOb1AOsIm+D6hTRTPpp6g8oymT1uQ2biEyuIxmJ7fWQ/ 8Lh6gpLn/qcZDNzjdXn026meySmv8pd5/WHlsWq2CHn3JS8h+hbhQ5WLO2dIsEOxm27USyi+Fi56 yj9cuuAhGC4dq0YFxHmEIIP7u38pN4SeCWeznntY1SFxIL1LsgkfSVO/oun5ENoAhkbvIk448irT XsQ11cwE+qI3FhwTwfuemVQOKX3S7n6ZpcWzmRfNAs6WheympQ4Ivb9f88++bXqZ2jXmzwZ5bYWk 3o71gQG5jat4KpEvzODHwc+LZ209J9I/yMyWJF6nqyjWcdePD1PhB1gLDo5r+yC9O1yHNapAjPsW H+U7bxy5i6dYSa82dtDNq9+jIRsU8cDIyykvq98lpsxGLsZ1Fx0dFji79WaOJ4ys/ONJ8rLjnYq8 MI1Ddum3lKF/dlOttHlYyTPCBkvTvPyHg4tWf41OFEUr7GDxIRXNXMIoThX18BHzc+klmZHhBxOk Krju5KaoxU77uwX7O1+u5s7IPKfRRocD5RjBuWrKj2W3+yLKVp6ThYT6qYsTlytYoTc6uaJNiq/H rQyLLbrKdtbNkK0yIzGSo680SmGe3cgzdJTD0GAQSDUpns3zIf1ezVNmYebUMubtIzzzrscUbeA4 Rfp1i1iiJSrrWuKn2lLwlxJJd1FoO1WKwSX4XuTv3ZX9NsZXH7I3seLbInzookk40jeC7EAISU6b 9lAokMEzba/pGfEeiQRwojqYkTlwCWgQ6s+Hva5Tm7NSKcemLNZJwfUXDU8PkSkelKVhFySzSSdM 3f62kU5s5JNP64DP1UOJgXUPaU9hIkrNkxpE2E1xMqfiU81IPd1GVhku2Vw5Uzti+m3jjuR2c4EN fPDSVYoIbAJfXJJhyRjfnTbW/1Po7RAoPBqdMnU5303BoPvf8IcT3cocme9Ub+RCIywSikjnKxOT +5nPSjxNpuxuCj/rm7UKCL06xElvSZWwHThMShuJheOls9u9ePN9Nd17CrZNm196nUmiP9qzkrAx TCMlTwtQoxRWWdN5RWSjhmtpRcGLuZomddntWSAPr6VV/YgKmGwNBKUk9U+Arc7SxGBs+2b0VRSw tAtk1dNCIOVmr/TxC84vj4z9Fd2iYeiyRhBcTwqLl0m+Pdb9Ct/7SlExq8Rq4F6yK/jPWLhOLVMq OstDR96BK7EXls0GXLBrLwBBAf1PkFt4jKwLUErQpwMoJbQo6c7yK+oRZY7g5o3sdpGBnQ2l4ek5 srJ5pDfaPwefaGAKhBfqw6kV6bXmh06PlP1GdvUZcIPi16SzPFn8p4EEwfzl9gDJ+1Yx0BanaZ8T dAN1CfLgGvX9/sG0Seg5FgfqyyMLubhHpGTSWU9ldstdztgTNTVTeZvoSeFZtxEju3drIKEXqqY0 WS3mXxOKB8SXliiqkZyKfcgmdHuyhLFQz+qzHB78ZGk8OIIAvRfhdc/riS8IUi7SFaEAvo9lA596 ttOTNaIBV/a4F3GeXrF4v+2ayiuTl68zFgDTyOVFrffDLcWrHJlpTA1+nc6n+7AYVkJm1tVE3ta3 lzNkU1wc0yOg0Hko0KL/XC79gsMbbP9H6GPwdq6gY8sKdUFcr8Kgvt6esvW3cz8QJTCARWhtXi1x yO9+7FDhhcjbB5RBZJzNTY6SknSnxSZ/Yw16cxokO3MA1iSwwuF4UhyRwfVuly2A0yDBjxb4tDYx 45Q8fZZ3rphAd/fOUqhk9YCXd4cNCm+leVuvmc/TNYsVptKgklFYNJXhwf+Gs0ViIxk5qRgS1cWm xBqgj3XkHM+c/Ncxk2+prvFMQlJ5bXJiW72NvNHFsXygHJwAB+RAgISWbM+Z++EP6f1MmV8guI0c +9e48dwBmPr6q0aRsV0vNfckMTriFE7IpZMh9IpuqNhDRg/CPeTxq+01ms4qV3g9s+XYQNqrLi+C jSKUWs4wOM06zmFoRvuSPxyhhwO7KIvqk9GbFtN/fLB1i9RHVl82muJ2v9vvaSSH40reGqCvWExJ ozq5ooI/vqgwaa40r9byjBd/0TIvUlT2SbVOgMio5i9jooGr2MTvKnoUkXKla4uNaOLE1MgYgKbX 8Wxm2TT87Y/KZfrzlCn42QVhzcBsj1wuTX3i0eb1XuaJGEFiHzJvr3uO9HC3ToL/H71s8h2qgGHW 5pQskWUUtB/1pMZHPMTu/9sB6KZXUcfq0RrZ8lJYi3T1pOHAgvDT2grxwVOwMxPUZPCdshKL5S5c VvmMwy8pw5bElJf+MaVQbEh+4hw4eJ2SPbE+iH6koC3/DVFOMuvIcrQ2p4qSzs2sI5x2A59TTLnB MMvijGCm87CMFIHntMRnaf4GQS5V9V5BROnfckQqEM7j0AIYy67XcK74rTRyuxQr/0PwmDme/1p+ 3+RJkqfi/qfxDnMPDzlYaMyZdjm3GyVLMwkXr5fNcI7pEZCuy7N5lkX7svmm3lgI69l3+5fyqHe2 dn8RQFKjX4vbfddgWzH4N0Cm/NAqTKZDtfoPMMNcrawcwnZffdR0aRDFO8JxV92VjFVEttNL80D8 sAZOopQLop6Kpq+5LW3voAyaBb3lEBUWQKQXZh8eDdp3L7Maw4HS96rV3sHwM7j9Vq5VS6yPYBWd t76AV8+BGCqiiXN+5iMW8l5+x1FPWoldzwrK4S9hufW5xiiyg9guhO7PPXoeH5Dt8uyL5JV4Igsa fD25gQhM0ptRrRs+gOg5qH3eZhYUr2g9uLxjZoCQX6UprRBPJQemUT/rZS+NDIgBgBs9BUlyHsip 6wNb0E+172EYeGLwdujTwtmrSPobcu9yCuAM8tKX5VszDoMV6XzK9mbaCpYYzbcjGyHaaIxBlsva w8S+AL8hUUosZjvhmlJFERLxd0//Aq9VE8qDq1sEKFXWtdrDUfnhJH1Es3A7FUm9yfFVMHsLEwGP RvHfaSbkD2+dGRtSfghmdxjqCi9mQeZPQZD07wEBmQGi94stkmGL1SBHq3aJpgdp6Xs6v0mTicrd zWsZ4FWg6zDqQNPZ9gco5sVGmlSQCfMJGEmiaJuhO6SX2ijTw4UfJ5SW4kEjMpYZ1S8XjXXOt6ll 2EdOKin5hxOODtGvpLv8RZYh/8TGHKgSWvgHSI91yvbyV3vXas1LHI0/3kCLdvL3iY7Z11bLz2gl smSi4FzfisKWHjnKRjLT3OwRcuAWSZnG/jKz02tF9FDPvo+sIAT+1iB1YJSbD/Ma6D3ewigcngB/ KL6o+VLqZ+ICpP1SQL9ZOeHB+gpAsXcXmnHjaS9/ZaVpqsvgIVX3b2dgWhYlKwolDb2wEfm3yIil GiLSYBfpdQc4iUnQ31b9GDihZotdM2xSqEV6tpK0mIDKyL01mi77G7M6hEzA04kDtaXR6k5gk2A/ E3rxKyhl6XEccGfPE+fSdyhKl7Nbt7Pd+4eldtG/fWSuNo2IZDPNAYmM66TN96aEHltVdB0r8bLc +lfq2RfN0DQoGt0jPn9xDERWW4DLDiTuHR7tC6/mS5WjzPZEgw527VI3EaY+HsE2vIXSz+B8Kzb8 I2xva2XrZwTH+tO4qKn9vYS027j96M/EsFaju58K+XVTTvziUOlSiTc/I6s8M0lRfCwjHSgIMERC DIi3DAv9hhYu0Uwo/PXaAFuCcAumyWJOklNbiHVZKC0IZIbQDKpc5+M0ZRBS74c96/P6v6DzA7+7 6VC7fQwH+hmUdiQgSgUY3nz0lgAB96lXMzT1+DgLMWDmTeLkjiC1n5G8sEtBGBjgum5tFZoOr8zw FuWqmVgb8NB5wGCRN9FVeLdsvJZSII7c3kBaAgngTxa7k1I1/+GPZoFfa2+uWfmolcny4yUmosBf ORZ191R02OipkI0knjHhI35MbGAeGyrQcZcwJUkOEZafwQsb7XD8sZ/iCtOabcuKFyXPCRzNi4E5 3cBo4cCUQBci8Gxyua7B9Om7c+DghspFaPLbK5xCLYNoMoDazMA8bdfA+MV783YUrkjBMy73/ogF kio9WfT7W2+7JxZaA3YDGGC4paEyUPvPmIbdeh6UZE+a06A3LzbcsXaobpmjdXjYjm6GIbWxlJ5h k3QRzVP8rP8Kab96d4+qc6rxWnnifqcIp8kezS1asogF8WO7evSO8gpeq2f64fi8GYGFX7Cq4KmA 3fyEzNI7URXmMNExB1sQruEwsO2iQT8Xfwi63zQ6c4Zzk+jzhZXxLolV9HI/AXBEoL5WrqKyxn4A WsFmQXSMbjIxfr5qlNNM8gVNrpdS2AineL5ZzA5gGCjiR2/gr9vQGrGdQ2Dj60q31DiN34n4hcTy sAR8IgEPFd9VFyNu8ivcDDqxHWRSPyTVcw6qhiRs7nn8P5JTGXRHF9+88jS77YH0xXeVOz5aGmVW BELWSy/gq4hGeRNz7NFKdY9qUb+L8hPWIK+HtQRmTL3tpwfJe0V+TNG5vtUIR/dDFAPbIPwInoyP fyF//VszUsx5iM8wCUYmg+QyVS3kLCMDf16Cm0KzLMMdDmqoLm/2l3Wz34yeHuLaljyP7R+JarBD 6LddW1Jrn3i5m1diC5cbbA45nyJ5cdtZ5O7+vf4KFnCLDfJQ+o1T4gp04Em6koN882vvau03ROKA Whul1c8eKxnTdjSXVBphoVOYjSLPYV+ytV98YQS3AhSvKFs9EGW7T3YpDBVI2wIoFWKJ1Eeqw00u 7x2F5ZT8OBNXy9OfaDLNBZ3spHQei6UVqxx7oo3+B/ECMhhfIEBMln1ULRzhZ2pGxJhLhSI+5K8T W/MF9mKmjICCIixshVKE6y4yMOEqIKpRgIUhaLgDW79fYSeOyUUdKH1ifqGL9SX3sSWkeeVda1of 8gMJFiJTC0PagIzzxnRpWdZHhEr8wkLZkh/8FRAPKxihufuCBAdAry3Hhs0qzmEqv/v/gGM7581q ipioRDySVrDWp4zOUSTtnIBs2dNCgwOdbLLFkbZwC3dt484R6rdIXV8R50RGqt53rI2r80jTXRvB 9mxggrB6N5OkXYr8uuizRIedzS7nj9/FGpIxo1HMNIukdtVEzFq9ruKH/hXEWehZnWbM8deB+Mw1 YpavmyWwdPXhbSQ4A/VY4gZwIKpjXfkGMNsqk+Aq7hGAOPglJ2+ksc++MNh8Ishhl6+ewNWJJX6Q zHtriPKiNkjonCN0XxefPqh7Y4qYE1rkq7/HSSUHtLo3u1Cz28hZy8oShmQCGL7HPCN6e70aJdjv C9ukjf6HMO7Lqc1Hh/ftjTQbKS3XFwEqkAtQmBw/m/J5HE9h0q7CNZITcuncSO6cgnngbMfwiO+Y ylBfjM5b56VqtYJDRi846xCVtcawsEjjBlMhEqfMfWa02JrNJZ7MU9EpuO+0n0tjrVVXukkW/44P fil8QMy2Ybs6LFRxq1ofemsvoKS1ZQ16TPe98h88W8PSHdx/rJA8uJa0EwyN1BEbZQAzOAIC+WNY 5utYn5SnMtPtCw039EjJIFrSD/wwsJrAcKdQVN6vc/nmW8cVT3UxL1/OFIXzssFfNcH4McWHpPgZ tMZBkLu4aP+5YWHF80gQKeQpJVho6ZxP7jDIWxbFdXBEVDo1VclfYFOujT71feKFNiYYrxClzyRA Z8tszKkJMraDw5yHKCo2rppHKzd4jjl+uYRrXdpo/vumA9BYg2XoRieaLJY869Wwx+ct6E+RtYRx s7T38iCt4+SsXwh+sQYc4l7raJBItwbIoLEnTFfziInTWPJdaog2HyZhg6Loei6T2DTJjSnDnMzj 2nN6Dw2brojfYXw+qZ3m6WSrbI9yk5t4sljspUaa/ZodffDw362H22ncNVuQN9il1KRMSDPj6fBR lzGflamED0a06T9W/iDa+sj2nHLx2bdmku7qMoFalVqDEMjXYlaf9h+MPAuRwpWGP18WO+/HvCfg tWcFs9RRD9NIUvegPlb5mvfWXvq6L7D/xW65xh7OpEx+hOgCbHRtIZhF2p9dNotDhzl9798NXjKU 6bgoYskF7/IKrk++kVUd3j6S5h9tSyNBwm1nxS7IV+rye1R+BMV0cjzl5lPmSt3vsEngYotbquec ygfmxvhbCjjHpOJJCul6hBFp1R9y0VavZBK7Z5NTAe1KuPJNT7I4v510qUOEynJyuzy7HFApdDyx mwkb/4lCk2/dGyiCX8BSg+uqCGprmtzIvt1u2quel+kctWS0UikCmn4evgq/E3pV4vcioPFnEYd5 LxLDbWukjq5qmIUfd3nBqhPJ6o1t9vOAXaNWBQUFgRRm3meLFqBLfWX1NSxmyvGnsEqJ5FMg+x0s K8EQYXDB5PdEkb5OB5iAxXti7T7Y+gwsH33auyS81Sod+4drk+3sEEcvWLtx48JYd704CZnX2OZd dsa0x/Nk+sJ21BxqA4RPpXjkJqnI/nuHpz3Ge/mT8oDQWniaIoLMzflpJm8z9Q0G4iMEduUQtHSl wbmukieKWBCOFuqhmni5aajVgyqs6w25V0V4uff4g0zNAi8UKE7tI6nd0yDtVTdXYsoUjo4F8JsS dyBh+qx4jMyq2yWKknHt9lTwDu2W3v4fJ8qZZplXrPoIo97Ho/lfdqDlVEbiNJV3PK29MAcet+8e dQAXnq0vmoxn0DTHF3GzC/+JB5TecKsNzlZ+I14EtFP3x7xK5n5931n76/mzYHphGRcMOjE8qb+r jcMhG6IvZ63r3/2UiK4TGTfeibxKXsjZMk3/7NFURnzvz+3I2rIqLSMwgnrkDP80iMOnNezMwLu1 W4akvyOX5cCwv45XwntDqUL6KXDxd7plQL6+i1IhMLDYhOQMfwNqNek71pmvEgWMtyvv3cM3l3nt 0MqWwKu59GCWoI6ijtCXbKeHRvVuHSQYwFdidSuSxKjWNzYw1iit2KZ5JcqUBlgiLL/lT5997ElJ 6IuPQPBP3BiHJpFlBXkHZegbrf7B07JDY2ZbyEoH58SFNVkg6GYsZGSMb/Lu017qVxhaO5fhdhIj 9DV/4icHlbI/RrnoVsOqI/zSPXp+3kCUjhdwaJRJCGmvh9C4HNFfxXG5CQZf6BzH7svV3Nm7gRLa wSbh+7O+FzU+AbLe/sIvb/CNcKn+oNEWza07lCAfc3ryXufSyroPQuI9JOm/aiStxda9ABgVA26v y51hJmeMDos6PdszlLE66WykDsqRiUyj9NjUAMBv6BUk9Y/bQuO6pmiCOq3wSgiNMnVACrdvmrR2 3Yh3M9K29XTdsVp3a+WjBDL1I6tFlaMchjaZOllZZt6QrlLtTEcyqTWJlqHARxMK8qgr8iidBiuM X+V4w1wB4ou8eWurn/cFrfv42BShhfKKLI7Z0aaQcKd6ZPa3A2pKmEA7fM6NcZx7M/6gaTE3jC7d 7GRBhf9fUngKx6Y9sB2bUwTyZ4W0/WjU8/uLiIOUI5nMttgcHOW7FpXbL5No9EA3CbVqHjZfvSeT jhKtnSUjek8TRbtR0cFcoVuoM04ztf7NT5iJXqPnoVlUEFGq2iD4Jw98I3zmIj4wrJI8VqJGsswr Wx5P9iwb0Y0Siyl6OEhqo5XX4A/UHOTE+QQ/rdnBzw+pJvztFCBVz8zxV/5Xikpe0GYM23q+CVE2 TN7zTd2CJwATDk7s5pHqdOUBb1v+vjer1HIN3hdKesKwYi5iGPJRzmpdXSpnz7YKMqtgYptU3Ygb 80lU0+svsqAhxFIhfoB9XwLmKz1cRTGpU8pBJm3J0pAQMsEi2p5Zvis/CAr6ZLXTMS8D3oJMkbCq 0oLRn7NwabRitMkgNKJ4+qAGAPdl8zVOiPq/uWlcbRqCjbZXpCQbAL9hScrDtIOuUzmNaOHleBRG R++U/uKWrZqUraDyEBAZ25IAmnsl2QaAwU3CU2+MuTIVZTmljEG8oZ1KLPCUXsxgajgV+60B8+4v A3cJvBAeAgQ+4pR9uwKAYjJwlBLh2xNLPap1krbXE5grakEkcHZ09hxXa01sRFnyA8LeSa9NVpzI Virum1rczvRu6hN81qOzjIL3QW/qgDJ0P6zZ+oqvrDZxtws92S6IjgA5ZGa4h3xPddx57dyCpfLK 046VgsRjlxtk7Hlj5c32qtitr0GQCPUEsu8cpSLdRimVlmZ/osXqX+9BB4/xwz54V++GtXgiS6Zv IBv03VzlO2hO0mqsn73UtiUFBG7JP3Zmjs0pGuJxg+yAb9JPBX5zwHDBf0o0lHdx563jimNxZ3Sp oZPYQsToF6OEBM2nbAWySUrPSHWhKGvbR3NYdFjplam5ECrXxcuoovhaY9r+DtGYZQ9wMvhx1Ch+ jGGSvr4VUGpHABx84/loZ2n/RWP1HidDfCXOfpLAtNwatyqsxfs60WmJbEmKgFELC955oAeteYJT a09hfKZIUEw4jIY36oMA04y2sdVrjimfqJ4QyRUkA4v25kjUp/2n8UnW1BVFbiMKOPpprX/zRk41 3Yp4sUrLX31ghCSQd3VV4GqJEPy4FdDTpMWeOXvZ6+itfmN3KZ1TxE3b84jJahL0xgzob2iS3etm r6JuJcN5A1BfJn8PFN/IApvjYMvJCgkYdhx/zoa+Bc/cJoL+mPqWgmtjBCravXgru9zU9HoJPVqB Dskociv8ikqExVNP7gQCXl/eFhBqbW3pOIYlce67GpYD7P/3P1738n77EUlPHyGAVC01shpubsqo rGzF0sFC/EfOU+Sd3iwTjHFUHRs54D5/YLoWx5td9+ecjULciIoke94yoAz8ayCSeEv+xTqFMbm2 YzG8FvhsBkDMabXpVXPnhKOPzDMpnSkFExmFO4WLKlQ6hEfgqs9iankZlEJWj64Uh/pdopRpwxD4 G6ApcH7k4FlnaFDkuubt7566LeW+vhrMVF1gy2O/zo2ZozOzYlZbJt7jhGIQ6xocfLNvTjJD41MK JrvYtE5ViOUM+BeAx7XyBsIfhzv6TS0C5VQwIH7G7ZKpqIdy+csPNwVLWgzTTGDYCtsZn01gZzDy Ebp+E8doDB8CJwWD2fVT1HZerwdndOFDof5LuNgv3aIPsaP0ThQtgGZJ8cGtqOyMjSzitOMPPZfD cveIvnHRt/8B25okYFDg+yygix12QMR/tvZRo2avSiLNFGwNPHLdEgP3wvhfBsvpRBrkSKIDS5Id aWecKQ4SM0KfmGIgmBLtXpKNL6WKX1vB4YS15almZeXcs/HptEQKSacef4Wtaa6MXGARl4iZ2viw EwOHj7Qp8UIL6xQujq38zxDMJuzrpf+kTUvofcijYcB0ZJ3niGZWX5t+0FE8MCb6uEwUbGfsW25y gqrMNg1b8hPv7spB5gM1CpphjqtA3nTiqu4UcvtSSNOEO6FUFg2vbvwX1W7uDyB1tkEuR65SIf28 wVhFPeRbPqRetGUvMpV/bm/LcaHmDiM1h2fMEwPkUUEIzkJ/G5qgskjvEypPjovNaHklx2eWLDMn YgQ/a99g5gwZijyRmkcMoLIA3afkL40Ih6cpptRJAA8WQaxh4EaPO6dcZNuc15OD8Ht/YzmosOYh UfdEYDW+1hGC+kJeUFMOUefQB3hJJ+J8P1kxiW5GeiIRA2zJ72WFIhV5Y9j+HWnRCiKlzDHnaVWg vPRvU47w58U1z96hZFu7o4Wtg0mZzFW1GwDdLVHX2xBRAkt/RHDj3YlKgUx5pwOrvtSVPsRtY59R FYLVAO7rZ9ek01vLrK15Ha65vkHd5C80BQWfgLnrWjtuPfa8IHA1j37m8EKX4/i2wgmWjqXFYPqz 0Zwj26/pCw86T5FPLbrng/4o8QLC7So8+KZVwIwggxBPMohh1GMXzPNwy1btuTj0SP1QgBml82nz AuJh6KpEFKh56ZylpR3PsDBegITQPV2GwFBWJYslipLCk8r6BWp5vFtrumZ8j0B5JIfKjpRHZPpn vFX1EFB1a/xp+Bx+2KvGufECwQsECn46NBrtVnHcYymxIfmLQbdyW+wUTrpX72sjqNygTrTfs+Zp 5FF3ixYaDiy9BrOB0f2O8NHrghIG5uwg24zur/ycQyM233BHSR2GZyKAXXuApePi6HhwQ5ntx5L9 YHttGZTVFqUPej4MGc29m1xxq5Dol+gMihjBYfuNv9jwUdBUhRtujNca6cjlVXSAgw0pGm1dns0Y tvShRQjtjYEZhYJ+BJF8Pvp1Uocsk/u93tlf6lYfacDRoxcU+OJ3wtnnFmktIhKbR9BEY1AktyaY HRyn+LnufLdLjvLQQKynd6QZ5uaj3pWRIZaglIQMFj+7kaiXQf4kptZLGy+xTgfrnvZ3P015b1if QCP6fzwyBwNxm0Vgtf4u7thbgAMTrKMQpK+cCJsGBJ/MmvMtRWTh2eQd2MbuVtiv731OKlcQzP92 9L1cuQ2MuqNtmnkyTP6/XcK+aGOnRXHM1MjkImFvKmp5StkxmxUsZKGbGUBXGAb5xMPGNknWs7PT EihmuLZBAPyT3N/AUM3dMtpoNH+IVllpbU+jdXh/DSVdClrsAZgWC8K8f+0WC52g4+miDmrwi7XU 6+QsJL+vTXlhv+pSxLABBCf5jHrGyaUOx5tyedmaj/tVPLuQbIL49Ao5G+dbyMEle6fPU6q+WUWd GzvJ41h/pNNFn4EaO7Yac3D1iOEVq944Y3AxQYaymX9KOBesMOzWOJqWoAd+hgcdKGfQfo7dwS+v V8FbU0C9eEiu5TUe6PBWrOC97Hh1uRI8JfqyZ3+7Fi5ObRvbbeUbYvCn0anW5rxkLO/Qqf9h5x6F t8sFoVwqOs08XJ1ZEmowvAfLYzQknS/LE+HQj1t9zou3c7Xj8jzkQJksyitwdXJgkDuGRjTO+3rt JXVXO1ji9CTDw3+lZt1/JOrD55jYI8kAKF032SVXwyBNfTF0bossFCIJWiobyjq98hZEy2Y0wIsV EHcaIfoZRCt28Ku//Oz0QXXjr3SQfbTtCSZzbNiCah/CYWc8Nc8gh64fOv29BsEIgq9NRY/quD+A /WYfMAqcmWzAt5JcWVobniyd4126OXy0DUK0M6v02SaT/u1zERF1KmRfjUEmYoRc/dZGezgKYmDB 7AiPRXQzBdfsUmgxnCrnst6j75f6Ai9vFmCeK97doehHlzuttuPYA5A8zbE0V4TOloWDvrtn36sT gDW/mC2ibT2fX+Ufm+o03kdOq5N/hE8+pmjDxydRftqv30l1yM3OqCPqxtSvHbEBdcN37hCLNpQV RVzi9ANJ+UbjqJ9mP+Csqf8gBZwjhGnkcxOCcmVj/UroMHXlQbE6F3bZxUS4AqYT6MOJPDavJKJ6 Yf+aQuS1IIEVSmJg `protect end_protected
bsd-2-clause
5ab00585faf85be46cc73956fb7a229e
0.952953
1.814758
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/rd_handshaking_flags.vhd
19
13,954
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q QS1bcwQeLw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am 9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7 sCpglfzmrbsWEab4EEg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5 gUYJd4OzxaJCHTNx0vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1 mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592) `protect data_block puDyzv0/PE73A/GBtm46F0vR/NPeoCtT0duHksnbd0Cx4Ob+Ymi7I9DZ9CaQktdUFa08Gt9ZZUvp juG7WNawmamAlHIn1x1dRRSbR4DqTWd1tEB8YLydsK4ei0h45nmJQf7jIO9TF/BPCGZJwVvImz4F 6VpQTlx2navOwMTH0So1nDmcuIu2E1NZxrIbTT4/Ly1C+r6Q5a1UfVXGtGD7OcSQf1QmwppVkdtw 1wc+vIRtGUjhN2a38+Na876F4yiJfcXY+5wKJmrtaHmEcmwsGLA86wQVwUmeKscL3tzK16I1oSWn PhDzfy5ENy96AmL+u+uf8KwfmBotYMkvEaW9Z5k2Fy+5PrsVQgbhf5hUebTCD25x8y41/9rbDkzu 2DPl8V7XlAdcffVYPx70UovkI8JFPeib44aL1PM2S/QTuhIU7/4q0yO3aDVsZEoH8Cuqu2aCn3Nb vPC1JpoxJxeQeAFRmxPkGqIXSQ1q1lVMfjNKP+3ao4CgNElinfc9XrLxUt4+IrCUgoqArPXQSlyO TNZkGZFLnA5+Tx7YGZLx8/q+sUMi6uqyUE++rEF4k6mgnJy/F0J1Fj711NaFUoHt9t9VGCQYx9IB QZQ+nJ3W21v62IDHt9LLoUQSOThPMKWnfYZsmVs1T1KfegicMuXikWsaT2LmbWF/t6wObkUcZOsT rIaLM4eCaeGn2z0XWmPUH74hEANF4vWz0R8TNpEq7nwBf49wUYTQD8jczjO8aCxyY2zR1vPebWZ6 9+v25mOJSF5fmcc8pPIk8ohOJ9jGQadZZLO0AkD8wRJ9tGyY4o/TyIVDVQlumy8gxKCTc3wJLA0j y+CUzBaT74+ppaFvfmAsmXmGiqvhnZfP/13GXBRcifPmUdQIejKiLZcl8uxBFwG9gXOtn2ryRK7Q 9gU1fo8GbxrjImFOR8/SRobwI4hNPFNMJi5hVDDeTntQ6afGau6c3c4B9gE01QEtZvVehqbzLdIf ih91l0PAoJ66pOGSiVZh9lNi9mOjGSV2ubDlMjhOBAjU2bE7ADqWVqj/fC12g2iRa1SoFQugR0MO sWHyIbw5NQj/pCcs2hhqDOrajeYnG9OPJs3rSao4XoDfBkcqCvwlC05Wot5Yu47Sth1bH9UjUjCZ baXAsXUKd7Na5sgsVhfYn1WmZj/QShH69RrFCWsLttjJnN56/JTyqfRCCfe6dv3rafGngQpqYN8+ CKnrB2UfnjVZIkgCDv1ZShdx257sUgF6xFEbI39fVJAmLZRBhdXH8+370rie7EZ5SJeUKemdPBHy EcM8TUWhIZ0SIrYMYICIAm0GKcA6UDh/gX6q2ALYqQ3o2gAH+3JN7FF6HIKCmP5MYm81TZRx5Lg3 fwHrktOaKizfC0+ABD2PcWWZ6UJ+gR1c4xPUXenYHJhYtyNoo5Cl0G/QmOVSxUzODW7iRxgqABtf 3X9uvPmOsW1DCUd1YpSX9R9A+wG+4Fbu3I7TuolJkhqYJjYju7a8Xm3a+62DFp6KzLHuQLDApij9 4HWqHHzKEOOQLxW87GxsKv3LJTahEosxyzGutmDqkN8P6stgKDC9iUL0zLgnqmyp/zY0lUfvD0t1 uOEM5Fm/dL4EgxWo5a4v33dpdE72CoSdJKc09MnHP2cegFRWsgaozYYBM29hBX+rughxsb3IKORY erQTQWPbi0irPyt6xtB96K7DejweNAvrRePwAfcBm9Y3zD8CkOEW6s5yvlNWs04+rwTSIbQGbZ5F fmnUwXnIBqSX0s+udWaco6qIvj2zUv24VEjBAHjPBHD8HgAq4v0imMgwQK5Xt97XGcHRG62NtbBn Cork+RpneHn2dsdeTRRyURG48QjSC0zfzVBOFmFgozRfzZBltnr0hcPIOvttCUE85F1yt8/V/jVP w9SExohSXUiKDvYOpN+9ujcqYNaXfWEtGtzQB00zhuYT+MRWf0Byo9Vmk+QJmblzrNVjNunxxS7v E6y9EvQU5OmrepJmwcX/oCTPiXiMmSI6OoRFKuUTfVXV83huoWtunKErsRejFUV8wGhVnTM1wx1U 9XS46qBPwg9B/noiYGl7hGCY1mcimgU9k7Jzcc5Z4ydYaaGbxlbBuv+I10q2y6Z2InMtb73v0Jmk 3Pb27jZujNp16iKx8YNfzckjHAJ084weYseeXXnp/Gbbzt0L2UMDBHII7PXyLFtkPMGcZGOwPHRP QGBc+vQAr1dGhH+vO9fgdqsAYzYmxtxw12Javo8JdTQVDIi7mbeX5i3sDPyGZsxnlr6g+He4+VYU svOKoy5DhnsYWqHNtypTh/JgujACJogHdHpIkpimyWbGkr+3sf126CWlMRTk/A09GLp7yUA4uBLD g/KYW1PD5gxCxoHXFkJ5+mYXbdsUnLj6KaG5vE52CK57obwy3VazpOzxNXN1ZOlIw+Rjv8ymDqFL aZ1A5/9nBoD1c1OqxAyEHnPin3ISgRluafS2L8bA1yuDwS5rMESYb7NlKZNDiHcLhP7qsVUB2EjR yFll7Ia+A4cbGFS9th4hJosJaUDtnZjlGQk80IiZPlNT7N+y2JU+SlCpA372CwkejRLGyuLUJzGA ATP5gRs3Q7mVCX+aVHe1etX/QrEGCquC3NQVPq4TM3ht1rtAsPXLMk/ChBpsT0v6mPya8m2bVR2q omDi7MNVYWVb+Fhz+S0eFs1bqFD4/khf+4wEcZVDQA8gHxstToMs9zyBxyS4qZkH9M6ZhJdgf9TQ s/vik/3B4oL0CKGGZ0q99oRde4YjYUju3vc7QxcTUTOAGc0V4B7ev/Hx75+NLCzKatGqwXShWm4M 19ZOA9tJ7kXxD7R4bO6F6bnKCGyeE1DYpJZjwS3v9O/Bg0VfqIkyzv7pv4S++M2I6PUIFZ7axDhb 11rEbftfsyEHhKA9RCKuCm3AWooFm0cUgN0UyAkSGMMgz5PdGLBDbJvn3UkN+J6RTfTmlccK6fUB HLZ+mdTxJIYhQv5XmdbetC4MiWhqLbEwYlY/3WlaKf5yHtlACN68VYtJz+SqVJeWD9dZnz8LKEyH IeTVwqlcBcgN67JTssTjhgTx6FL8e5MTgXCG0Zhbg0/nf69tPosrgdTEs3zW9YHga5QwJkcLPhQx Rr16Q39UHANq6UVNuBd4AYxP30jwyXgSOIv7QVs9xNm7mi2tR75bfhUZWSZYiiyY0TczMOiFXvTx KUJXG0mTv3vOeA0VIWh6JMK3uAX9FQ6+hg9FIZY9+qQAzVbPHvGtCGS2JYWa4r6UY1hpaOChgp+h GhR0iDXZjqRX4J9qF0G9aIZEv1Nf9EoEZ3EyfLC0STakxg3ccMYXmji3dxAlIFLMw9TQgruKQzFy QP+Que7AYzw4n041RCToHRTgMUeyEVN6mI1ngpjJB+v6VjjxwssXmx2Zk5asXx3H9RdihE31x94X qlIXSJ9aOo8+2087AegPrMlADictGXYKO+erL/zniwJtoYU+yrDmHa/wvyHYdNaxPf9iXyJ8XXmm uMn2QYslN8TTk+gCLvz0zLLox71Sn1fTkwQZ/PWMvRK9hp/1jwYSW2wip+s7ulxbdYFCH/ibAfSX t6NdXduVcFCQFh9GCxkgZpRTUyj9cOyQFKYUgLflraxygUsuHRcNA3iy4wMIGEYcBxaWHMf7Jh/i c7R9JuYyjigK/yvZn1O81avvx35VAHF2X234bE14FwZ7dEbUBUELw+VBcVXLH9IKlAndSDUafW5A GV198p8h7l7RaP6Ro01cdNYcC5deHnXAtklWlRRllhkOwwvPWn7KD3KI8OzEJp/ICMijRdpQr+iD wgjflZPZmCcXGSxnZKjvI8ohj2zVXfBhrNMoDe80t6l0mc/6qafCrrA62N/aNHkxbZBw/BJoi8GN 4zlQX0BLH5Q3d2/xPpwVE+IO6V1hwNXD5TrbmoOpKupI2z2DzoGA0J2DV9WYDh5hj+7tj2cBv5hm cC81+j6jNymeD6uBqEhMhWtaUklE1LKFLikbMcO2Mlt+peg4pxeO6vOptwCzG5VP3ihtdiZ8BIfT KaHwqKvKAXa6j8UVreQDZixc+9/pFUDJSwKxFYElKuNHq39pOxO4KJAJY7i/Y/o612FzzMKWgcRb hg3l3wqHIDeiSbMNpohkmRJlpWKH6JFOao5nP8/D8qy6APgvcQIMUmgDN2mZGTV4Sjjk0EogSnx5 VSSbT0Lebj7Ef4yJBJEVifSCWkCGLk0AwIxoA/1X8xQwxvnaVA5R1kUedQv8PbdewKoa/gs8Tlg2 bN51HI2FNr0iAPkMB2hWC8QmOsYJ7p4Hs1itzRR8IxMc9KYLPMsKqwwZwSBxJXkr3+7bLfZn7cn5 E/dCf5MvE/42cb07oLTEwa1Z/Yz887ml1byIixwDGltMIywGpo1Q2Fx2x1uvhzpayHCkj78YcwbF J50PvZ2FlO/tkNAoHuM06nPwAl+mA+SS2g/BKzibFi3U1UaLZwv19GY5RPcKGYG1BwNyM/zUh/2P y3RuIsJsUWwPs0oxH96UPokZpDpkNc0ELvxQh0JXvGhN61zBOMgELDfsW0LfgJyyhQMJ6Weqxbzq 6DOEMFMvVpqEzRdmM8oAHWwQx/9DLSloCJIQE33XPkCxSA6B1UsqK66LWebtDXt4sErcSUTo7VE2 JNOTDZcsI+uXjIRaH+0sITZ4vkUDHofksvtbCX/15OyoACuJerB7hHcZDPbiSbARcD40wbLFSw6x 4bYUv0c/bYekuSd7BHrsiAzy9yjlVxLcl22RzrRBWkoU4YUCcb7Q4UFemv3N02Pd2JqCySRoXzkn 7wA0g7ZIjJ1ryYz49STTBhgKJBPTzbd5/qLHL4WXGxfKlz/98kgufEKyiRXvqqt0R2EZUnOunmCK 4pMjBFAXfXkPO2tDuCd/bqJYTJwYjWhB2Wv76r7GsaC2Wr7KDvRtX02ycSv5xdh+FqZqJwtSg2zh trlE80dElDksMtNew3QQgOQSKf6DlkVEw/oPhVhWOBQVX0vpEoUSTrO8zm9rflINMNO2HAYZSrsY Q+EFyzZq0smko7rU6rzeOAC4nelqd5D1ClPl1ByfWAb7ZItJpf/UF519y4F1Hfd8XLbh/YFcfqSz M01VrE6d6NDhm9JKrro6qLCVq6Yd3GzmkPm/TxXEyPiSU3jSlsIJTcCw9GYOcLPDC1+RW3LpxlHf S+VTYdpRoMfjr2wjjQxPxPmEITiv5mo0y/qxwir/Ezbr+kbl1fdn+K96c2mMKFW+0tnmW7sVWCh2 fXbEsKDfQe8hJU7daYBeBVPLCQb3Wl3ZgnzKqXXeIpJzau/XjSK0xofPjbGkgxnjPkZ2vCTNS4l9 0gBKxfrCaR9nFoOQYuN3rIDm+1DoniMaGd6CpEG+Bw/sNF5J89x/eI9rTxVXztAZ/eJXZh9T2t1S mrz4kj2FMbQYMrfvm5+WKrgZ+YLT6tUJMCZvEiWuux5VIuuq0vmQI4aFA8uJ4WTtXS5Oa6q4zp1q bS9DVoH8LuLg6IivaMPrYqUMKbYJ4LiYHdmH2hLOC/1LtDrQGNXMNoJd6rmz81QsLNaSiyVVbwfH Fd9C0AANBlHSseRQsfkIDBXknUNdOfpPlerYbuPXd7f8emWNFwBLYrFQpexYKhuVi8fYACkdApIe PuFHa2ZFs2io09toKlUxTI3PFYGxgN9KlVtM6c+yFB7/+gNws01F36cGlVS3+5D0GhBAfv2x4211 Xm2RwWM5lFDnHLh+ffazUUHuJ5RUdvfgOGUv/6ZiguWAAbrPC++SfU28Yb8Eh9ePK3PRVvN8hXy3 iUI3iLc+e3b0DIbERpg8cyzwCP5KqM0/zralxEOI4SBO5deYEAoUmxKYNZCWaUHEPWpgCECoxjfH OCwr0o1HtYB/B2rxeiQ8+brKCMclkhX3Y3XFcn82W2Ky/6S9iXslL1d/pzlfU4qVhTEIWLUeXh+J maIfjbnPYaojT0onLCDqZJu0XvWS9M3AH2RVXAMJLTTH5a4O9xetw/HxIHRRC8H2Z/We519bXc0+ sSvSfFiQRYV2+CbV/MxAJ/7nMweydIYkiz42C4inOPzy4UM2YMLrv0nYmged2KDZwEcSj2aNr/3A XWfG+ee82VGXtvaZQx4HmHdPhnd4cgWBomPxc4tl6YqbQRU+vIRKZr4MFFZFw2A3Z7hhjEpxG2xK Aebf5lnB0IFZArxv7+uK+mFt+XOPSPLlxsnlZsM1sIYpDjfcYeW04c4nKIFty+L3migSJ5J0gUMZ VvO9eWJCSCL+ILfxN60ZR6P0tG//vyX0hAjkMpyu5ehsqVhSieDgLNCGWlr/kOu+ZLXN/6mnGsh0 5RBrmsw4l9hCHYr4245qsO4iUrsVtsTFWF01Ftz/UcRBrKiASooIgoh4NkUpu6rUjwSiqJwUmJ1y vr1HMFlLB6crC6yw1j9TS0x3idqyWPSzSCOoJP8TD0xXVp07hH71jD23jg2bKlqbmyJjJdBouqSx m+QVQjiEwhTpNfPeww7GPIaeykyPrg1+pDYuEuDv/bZUoXC8k09HKAm8WEpiDdK02EkSPdYiRUd9 BwwO6CTp/4vbC9NO4/CLP4Mh0CAxY5FplwjtbHHf2PALv6OhhRxngK2v1BfEZPuRxBDq7epOcb/G CF4ZAvcffQ/Ke0FfRuuRJFuw0QrlmxgVLHPpjgCwt33SqaSq/DJTb/JBupcw2+z9Q4KrLK7N6Efu x7c1kAs026uKiGUmG4FWS7gbCju5kSPcJ4mSB16yz/5EJVEN0Jrdcw60GOxNlaWjRW+fx2Lb1GHE tlpacqdKmhfjTmGpvVyqOf1YU7ZKOdXXSKO7AFQeP3RWqfw0bCxarZAaiL9nrfBVIQ11mG7x9cPw NOBAVnInOoLRdWZNaQ8+YW+tdp8Of5CQ0FPm5alyBCgDXPras0N3je6R1VIsDR/+UrHhL2Wl3XVJ KP2BQLEImaAcUuzHAFtLbaosgB9rr7WX5oWPvf3TXkFFBj5L29t+r5q0qwibqgWqXFAwxy9MQIyh rmXXWcqO2crzicwH8EIy8RLzze8l/Sp+wFpU6hLSI5lcKXXm1mwsult1FrojV1q3d7w+znLKdSfW Cg/VaeT/5agAw4hy/aQclH0GCL+FDS/pCitXKvuJ8B05Le7njygIbyTkaTWt5e7iHUKw5b8grLMo V3ajZ0XXPuiO5/qhFQfreucYnpztQ9pehM63ekBm0C7kMM58bQrJZiWz8ebTgH11iZhlM90zEfHO fmE6qFH1JVWZSBM1B+9OtS405vF9Ivg1SWmBjIOHFWfb9b4VxlPhSVq8NltrbmJ9+LCUqSrByi63 o0AleLNTKnze+kWob12bCId1rNqqHc99Dqu+qNCvO7NaIOZ3E1Jm/wM/uuGqex0BwvNQu+p2yXHd TkO+QePEV8EXYSNxMUEeOdDryDBmJj0G2Dt4HHCkO0vxC8ByyeU0w4/8/E7VCvmWa0gaC56pq6Nj Yz8WY/22pUgHQebRotpWw+UPbk+gbX86N+2In0GdkPjhLi0gR5tHRL/tkD/eWvdmGjynI+zoT5L/ s0i8ujIrEaG+PludSikplRIRFi8IHPM6ACDvcDdptBDOdbf3XPFyZDpfSW0Lcq2hmd3DfBGK7M7E dbcHCBrJw02GI7YAk8aZHlXKTypMBMLSFwOu9gUzsHkr8uaj4PLNcgfY5DkCy2OIaVjKStAC0R3s kwZY1CboSomL2bqMebAqzFhipMwyEENWLNL/Dh5mjBZDzpxsr4FFDA16Yne/4XrUINhmAcGaium6 sLOWpC0KzsAgHZ3OoiZlnkxlYF6TUesZ6ZXbf04Ax8cxJXGDElWw5NBHSxGKeKgYO3mknT1+tR6F 3brjGkaPagDn+xRnLgKmTGsyMlG1RTcoqhxxw03ueL9oCMUFMi1+ESKXk0g8P+Z2D2o0HwQLsayd zrHFnRrumH0LjYRnVaR2wW5vLMcTYhU6ppiV77TyZxpLZXg7rbM1tV47iiOqqIiohdU2M/kPnB/m kB7jbLjEIVB9R2hoqmTf9W3CAh9JHhhNfK1YmINj6yJvGf1gIkpOCkO7lOzMFdgB7WU45aftiTVx qNVDHkUDAQsR/XAaO9zMhYtlpHCfIt+cMVYFlWO/53HnIGhElLWdUy+/ZnjQXCujkEmrW6qOcUQ6 zkiqXCYtv1w4kV41KTWKbHq5xE4TOiH6RWDHQ16z7hCwHxuRcX//PE/5IGzb8dcveX/4k58DUSOF 1/hzgYus0jbeUfHiOBoNBunGk+vsD9GQUSDYLMt4JB/uk46QXWp15X1PTXGXgipF4JkCoi6/ZUfG h5q48/7GKpesUuwobluJBCI9/sCbWswmY69sbvCvdmOZvTq1EkDFgCSO2iKiUY+dHVYJapCbTL+v MgieVpIN43+HFJqGHxP5OjzHM1UNtQ04sYSGE1iy0k4fYm2rjK5OQfgy6YE6ZXy9fSCzTbWNbeCM CQ0Eb6d01VD7GUQbS0yvzwlgCoAQM6KxF2If1FmEGa1xg2J2E/QITm3sGfp3em/kxemY6M2YgZgu EYzTfY8BqIcZ+xBhOWoEqSkvaQ4htQZZgxXGO4Fa91ClJX7QNd3dYLXa86zIOsBMI3oGok7VRH2w tcxNqjf75CVI2IaQp24ZWMwOPsG8f7kE1ikCBMgN1qvKaP/VFxvCTrXIpjDlyX+U/f5V0yl01WDT 1s5JFeRcxdJpzQpmqv2XQWuCceL4p0qMqtlxIAoZX7D6HUnxJWIvcERC73C9l/qTlWs5LBf3ljAG jp8ukI1ed4dIJ3wCHTLtnU0r9+thgGY6Kk6dQX8kVbsd90KOStpHBINjvV+RCywViGbbb/ddNej/ zBvGt1ihpXnHI864LeobIERT+0Trele0iH7deJ4NIF8FRZXEVI4tqsiPKvuRrIQK14lHwUlCdcDL SK3O2MexlXcrQMpsYQJ33uc66AT9p8BBLJy8yHnsHrmuJxnkIEIJMyRJoykpJXnpTgebNCY6qff/ CejoFrq9QVFdEzYnCnJuUvBEus4Ixn0wxM7cvLKTlvjb7cKz3qsA1u2loY8h0DJeff+U/mqkHfyw oQbW89AuOHVay+K67m7q2CrAgEw8lrIaAtTatHtpmpyNmYZN0pXdFHcGUdlXtdGGx0KppEU9LL43 wy9VqDFL1udO6a5X7nywunS+OkJGuYlg5RoeH5J6z4h58C0VkwG9gb9EDARVue997V0pswEwh3nH 3jXq43NAiZ+ePU1ho5bc3NWEjwcdbqZPaM6a1YxImkrsxeKjO+jBoW4PG9VZNPHBK1hHBXeDNekd SKDpDCcDH+dCc0o3DWE3j88wTYM1EJ/0PoTJ7tFE1ssl0ZCnSX5XUDFTcrCFn5DcBGKL7qsVDncj FwHlfd7MLiIpH0i2oVGnRPYgeY8UxMCFZqWnJ25vqoq+a5Wpf4tB6/ROI5SdJSXEBZJTNgU5aBui pXYFVg/+w8PA8Y/jSF2pdCw0ZKD6f4/iR7i6tCwTLbdi4PpXn/YAc4u6PCBDjiPcKhtkXGqL6iqN QnrltEhRDA8bwJmsft7+j9LzGtAncATEEcrKsBiW7qZvbJNBccjw3vz4iXz+X9d69aehOpuNlM0f sFt784Izb/wK1J+LfIqTUrMQbPSMT2lA4SvOx+wQR0wIzxTFsf9p7l4ETDuN6tbdXNrhAVu4M5m/ wJGOzCjxhcN0AH7NoKkrmSqqjHtI3W5RJi0UDyqHzc6ldG8QqCzeutulP9tYV5OYcUHrrYvfu0Cb 2bR/7PbffIqbDpcCGbGuxdSMAmESzsUbGk8MPmhfjPP8prZryz41PuIePjcc9GZ/xKvvwSuAhU+B 77AbgSTeFjrMHA4vzdFR7lsYr61O55xLAIkXfs+cTvQLNjRM40Ng4M/frjEFTcwGqtqDBCe40uMH TOtyWX4q2liYuKbiDwACNnT5am2/HEpXXmxCD5SsWPBvGFpYc+ynJJgBlTnQxySiQOZ4nVLdkNIH cMrGKHhjfk3f/Ex5P5PSPA+jGwTmhI2q31QIH4AeIpPwE3I7598fQbq3lsRkZeGvnNEd0gg7CPXo fjEyxTmlatJeDpg1rOTY62TekPBm1NiIO84ejYuUtt+04Dlbzb+vCvkBxxoZFS9WsGPKbrdWpTsC oicjGJmLzO6WB0AGuG6CqFS/neEnFw50Hb7GkMWf/SfMRU+kyxpTXm2edJ/1Xob5ATK/ZAd5PocB 5XPt8EVLNWHI4i4nI0bIpruAkXv+SiPPFqeOqOCKO/dPcDYnAP5uOGARkaC2cgPW2gYKRQO35Ybg V/eGp9qCZsk+4sj3POm75rXLYmub5bzD9z342JnzjAhuJ1sYERjy9S4USm6cBIL1okVCn+2Urk0Y 0d3QrFMhToO+b6YCRNza8U/Cf+a+nisc10Oii3GJQvyHxfGyaj0P/wwI+DHB54Jw7xHtTe8Ael15 q8vyylD+4TmLm7lu2mbqNhzn+P6KzVPckirbTnRCY30+hnDa7KuXQ8GHIlCu/zmGAadNAvZl5KDK 23XJWOGwRXMig2pqS0UmqWWmBrCaqK/eyCMnPzgjesQkrm/5m92lWYx6PryIx4vTMAeio+VFZwA+ z9jUpPSSN8okUo2DI27lVxu5xbjXv9FjWtSTizmQl6IHTCD/vcnL+snmnP3Gfu/wkcv9icHuI4it R1bCnUzNuI1xa35vEqhs/22uWaVqd95xgL+kWbmZ1/SttIMx9GbWmGDm3ogFeCKeY4MncBOYc5AC ZIolC9wrixHQ2HXE86N4gIcahDdsW/+xyalT2gfCEaWDADbfvPnhP6IOLTnmmkvkjTVWJnluU9zf 1QMZ9N6oiUlixR13VCMhycHlFpQ6fXn3Z3Zq2yy0TvWw1ZgUBXlvgBBMdfDYmU48t6kKmUvISaNC xLV6K0PnmJWJH9IYn9z2ML7uDK/pY8tz8Vn0qyACNu/nVyiKAOYhboLYwD8DG03drry58YF6rgK3 /1S9MyUdSShMEycGrwn989h4dHY9Gp8W0vttcVd7HGCwXk23dOnN/R0i3Q4bjOn03nlRHVpBpFVW aoZIPz6McKp7eC99B4y+VutoaTLu2AUpMGCDnVYUNNfKm1JfmP70gslJx83VioQfulfWQRGCUUyg 8HDf8Z13t0KaOgTdyJlJgr/0caQZWXHFpM1NYX5joPxzvHlpLOmh7E1KAvLdePkS4vNNPOwdCFDf 5J8oJPr8rrizHQo6Qi5k0AYo0+qH3LiOk6YJtgrPFOJwliSzAS0LcWpT8u4OBVK5g1/mNv9jjLhi NmugI2NgKVdoK2kKgljtiW/QlOd/5XS82ArnW8tsjh2H+qP/4uumryqXJ4SsJm9JKTtr7TejKcft HbPFrouD7OtKIGm5jcw9EvzpQcPzChvYR/Xtd/Fj9T9c9GhR/NNc9F0vAdg8xiCRl9Yxv8bhzeXS 1dz0YkI8nLiWEV896erUvAgCmSgD/KlgSypbqJULmNZPkMVnsc7bPncI `protect end_protected
bsd-2-clause
d6556c9119edee234098bc8cc789adae
0.933926
1.87453
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/misclib/axi4_flashspi.vhd
1
18,637
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; library misclib; use misclib.types_misc.all; entity axi4_flashspi is generic ( async_reset : boolean := false; xaddr : integer := 0; xmask : integer := 16#fffff#; wait_while_write : boolean := true -- hold AXI bus response until end of write cycle ); port ( clk : in std_logic; nrst : in std_logic; cfg : out axi4_slave_config_type; i_spi : in spi_in_type; o_spi : out spi_out_type; i_axi : in axi4_slave_in_type; o_axi : out axi4_slave_out_type ); end; architecture arch_axi4_flashspi of axi4_flashspi is constant xconfig : axi4_slave_config_type := ( descrtype => PNP_CFG_TYPE_SLAVE, descrsize => PNP_CFG_SLAVE_DESCR_BYTES, irq_idx => conv_std_logic_vector(0, 8), xaddr => conv_std_logic_vector(xaddr, CFG_SYSBUS_CFG_ADDR_BITS), xmask => conv_std_logic_vector(xmask, CFG_SYSBUS_CFG_ADDR_BITS), vid => VENDOR_GNSSSENSOR, did => GNSSSENSOR_SPI_FLASH ); constant zero32 : std_logic_vector(31 downto 0) := (others => '0'); type state_type is (idle, wsetup, rsetup, txcmd, rbyte, wbyte, rd_complete, wr_complete, wr_accept); type page_buf_type is array (0 to 31) of std_logic_vector(31 downto 0); --128 bytes type registers is record scaler : std_logic_vector(31 downto 0); scaler_cnt : std_logic_vector(31 downto 0); state : state_type; rready : std_logic; wready : std_logic; -- Access to control registers always 4 bytes only raddr : std_logic_vector(17 downto 2); rdata : std_logic_vector(63 downto 0); waddr : std_logic_vector(7 downto 2); wdata : std_logic_vector(31 downto 0); csn : std_logic; sck : std_logic; op64 : std_logic; so_shifter : std_logic_vector(31 downto 0); si_shifter : std_logic_vector(63 downto 0); cmdbit_cnt : integer range 0 to 31; databyte_cnt : integer range 0 to 255; databyte_mask : std_logic_vector(6 downto 0); wraccess : std_logic; bytes_received : integer range 0 to 8; buf_addr : std_logic_vector(6 downto 0); end record; constant R_RESET : registers := ( (others => '0'), (others => '0'), idle, -- scaler, scaler_cnt, state '0', '0', -- rready, wready (others => '0'), (others => '0'), -- raddr, rdata (others => '0'), (others => '0'), -- waddr, wdata '1', '0', '0', -- csn, sck, op64 (others => '0'), (others => '0'), -- so_shifter, si_shifter 0, 0, -- cmdbit_cnt, databyte_cnt (others => '0'), '0', -- databyte_mask, wraccess 0, (others => '0') -- bytes_received, buf_addr ); signal wb_page_addr : std_logic_vector(4 downto 0); signal wb_page_rdata0 : std_logic_vector(31 downto 0); signal wb_page_wdata0 : std_logic_vector(31 downto 0); signal w_page_we0 : std_logic; signal pagebuf0 : page_buf_type; signal wb_page_rdata1 : std_logic_vector(31 downto 0); signal wb_page_wdata1 : std_logic_vector(31 downto 0); signal w_page_we1 : std_logic; signal pagebuf1 : page_buf_type; signal wb_bus_raddr : global_addr_array_type; signal w_bus_re : std_logic; signal wb_bus_waddr : global_addr_array_type; signal w_bus_we : std_logic; signal wb_bus_wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); signal wb_bus_wdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); signal w_data_ready : std_logic; signal r, rin : registers; begin axi0 : axi4_slave generic map ( async_reset => async_reset ) port map ( i_clk => clk, i_nrst => nrst, i_xcfg => xconfig, i_xslvi => i_axi, o_xslvo => o_axi, i_ready => w_data_ready, i_rdata => r.rdata, o_re => w_bus_re, o_r32 => open, o_radr => wb_bus_raddr, o_wadr => wb_bus_waddr, o_we => w_bus_we, o_wstrb => wb_bus_wstrb, o_wdata => wb_bus_wdata ); comblogic : process(nrst, i_spi, r, wb_page_rdata0, wb_page_rdata1, w_bus_re, wb_bus_raddr, wb_bus_waddr, w_bus_we, wb_bus_wstrb, wb_bus_wdata) variable v : registers; variable rdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); variable wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); variable tmp : std_logic_vector(31 downto 0); variable posedge_flag : std_logic; variable negedge_flag : std_logic; variable vb_page_addr_mux : std_logic_vector(4 downto 0); variable vb_page_addr : std_logic_vector(4 downto 0); variable vb_page_wdata0 : std_logic_vector(31 downto 0); variable v_page_we0 : std_logic; variable vb_page_wdata1 : std_logic_vector(31 downto 0); variable v_page_we1 : std_logic; begin v := r; vb_page_addr := (others => '0'); vb_page_wdata0 := (others => '0'); v_page_we0 := '0'; vb_page_wdata1 := (others => '0'); v_page_we1 := '0'; v.rready := '0'; v.wready := '0'; w_data_ready <= r.rready or r.wready; -- system bus clock scaler to baudrate: posedge_flag := '0'; negedge_flag := '0'; if r.scaler /= zero32 then if r.csn = '1' then v.scaler_cnt := zero32; v.sck := '0'; elsif r.scaler_cnt = (r.scaler-1) then v.scaler_cnt := zero32; v.sck := not r.sck; posedge_flag := not r.sck; negedge_flag := r.sck; else v.scaler_cnt := r.scaler_cnt + 1; end if; end if; case r.state is when idle => v.so_shifter := (others => '0'); v.csn := '1'; v.sck := '0'; when rsetup => v.wraccess := '0'; v.bytes_received := 0; if r.raddr(17) = '1' then -- Control registers: case conv_integer(r.raddr(16 downto 2)) is when 0 => v.state := rd_complete; v.si_shifter(31 downto 0) := r.scaler; v.si_shifter(63 downto 32) := (others => '0'); v.bytes_received := 1; -- to avoid bytes swapping when 4 => -- Read Flash STATUS v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 7; v.databyte_cnt := 0; v.databyte_mask := (others => '0'); -- Clear mask to enable 'rbyte' state v.so_shifter := X"05000000"; v.si_shifter := (others => '0'); when 6 => -- Read Flash ID and Release from Deep Power-down v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 31; v.databyte_cnt := 0; -- Read one Byte Manufacturer ID = 0x29 v.databyte_mask := (others => '0'); -- Clear mask to enable 'rbyte' state v.so_shifter := X"AB000000"; v.si_shifter := (others => '0'); when others => v.state := rd_complete; v.si_shifter := (others => '0'); end case; else -- Access to SPI v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 31; if r.op64 = '1' then v.databyte_cnt := 7; else v.databyte_cnt := 3; end if; v.databyte_mask := (others => '0'); -- [31:24] - command 0x3 = READ -- [23:17] - ignored by flash -- [16:0] - address v.so_shifter := X"03" & "0000000" & r.raddr(16 downto 2) & "00"; end if; when wsetup => -- Only control request. Write to page buffer doesn't get here: v.wraccess := '1'; case conv_integer(r.waddr) is when 0 => v.state := wr_complete; v.scaler := r.wdata; when 4 => -- Write Flash STATUS v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 15; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"01" & r.wdata(7 downto 0) & X"0000"; when 8 => -- Write Enable v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 7; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"06000000"; when 10 => -- Page Write v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 31; v.databyte_cnt := 0; v.databyte_mask := (others => '0'); -- Clear mask to enter 'wbyte' state v.so_shifter := X"02" & r.wdata(23 downto 8) & X"00"; v.buf_addr := (others => '0'); when 12 => -- Write Disable v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 7; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"04000000"; when 14 => -- Page Erase v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 31; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"42" & r.wdata(23 downto 0); when 16 => -- Sector Erase v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 31; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"D8" & r.wdata(23 downto 0); when 18 => -- Chip Erase v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 7; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"C7000000"; when 20 => -- Deep Power-Down mode enable v.state := txcmd; v.csn := '0'; v.cmdbit_cnt := 7; v.databyte_cnt := 0; v.databyte_mask := (others => '1'); -- Set mask to skip 'wbyte' state v.so_shifter := X"B9000000"; when others => v.state := wr_complete; end case; when txcmd => if negedge_flag = '1' then v.so_shifter := r.so_shifter(30 downto 0) & "0"; if r.cmdbit_cnt = 0 then if r.databyte_mask = "0000000" then if r.wraccess = '1' then v.state := wbyte; -- Transmit 256 bytes of page buffer starting from 0 offset v.so_shifter := wb_page_rdata0(7 downto 0) & wb_page_rdata0(15 downto 8) & wb_page_rdata0(23 downto 16) & wb_page_rdata0(31 downto 24); v.buf_addr := r.buf_addr + 1; v.databyte_cnt := 3; else v.state := rbyte; end if; else if r.wraccess = '1' then v.state := wr_complete; else v.state := rd_complete; end if; end if; else v.cmdbit_cnt := r.cmdbit_cnt - 1; end if; end if; when rbyte => if posedge_flag = '1' then v.si_shifter := r.si_shifter(62 downto 0) & i_spi.SDI; v.databyte_mask := r.databyte_mask(5 downto 0) & '1'; if r.databyte_mask = "1111111" then v.bytes_received := r.bytes_received + 1; if r.databyte_cnt = 0 then v.state := rd_complete; else v.databyte_cnt := r.databyte_cnt - 1; v.databyte_mask := (others => '0'); end if; end if; end if; when rd_complete => v.rready := '1'; -- End of access wait-states if r.bytes_received = 8 then v.rdata := r.si_shifter(7 downto 0) & r.si_shifter(15 downto 8) & r.si_shifter(23 downto 16) & r.si_shifter(31 downto 24) & r.si_shifter(39 downto 32) & r.si_shifter(47 downto 40) & r.si_shifter(55 downto 48) & r.si_shifter(63 downto 56); elsif r.bytes_received = 4 then v.rdata := r.si_shifter(7 downto 0) & r.si_shifter(15 downto 8) & r.si_shifter(23 downto 16) & r.si_shifter(31 downto 24) & r.si_shifter(7 downto 0) & r.si_shifter(15 downto 8) & r.si_shifter(23 downto 16) & r.si_shifter(31 downto 24); else v.rdata := r.si_shifter; end if; v.state := idle; when wbyte => if negedge_flag = '1' then v.so_shifter := r.so_shifter(30 downto 0) & "0"; v.databyte_mask := r.databyte_mask(5 downto 0) & '1'; if r.databyte_mask = "1111111" then v.databyte_mask := (others => '0'); if r.databyte_cnt = 0 then v.buf_addr := r.buf_addr + 1; if conv_integer(r.buf_addr) = 64 then v.state := wr_complete; elsif r.buf_addr(0) = '1' then v.databyte_cnt := 3; v.so_shifter := wb_page_rdata1(7 downto 0) & wb_page_rdata1(15 downto 8) & wb_page_rdata1(23 downto 16) & wb_page_rdata1(31 downto 24); else v.databyte_cnt := 3; v.so_shifter := wb_page_rdata0(7 downto 0) & wb_page_rdata0(15 downto 8) & wb_page_rdata0(23 downto 16) & wb_page_rdata0(31 downto 24); end if; else v.databyte_cnt := r.databyte_cnt - 1; end if; end if; end if; when wr_complete => if wait_while_write then v.wready := '1'; -- End of access wait-states end if; v.state := wr_accept; v.csn := '1'; when wr_accept => -- To avoid re-accept the same write request v.state := idle; when others => end case; if w_bus_re = '1' then v.state := rsetup; v.raddr := wb_bus_raddr(0)(17 downto 2); if wb_bus_raddr(0)(2) = '1' then v.op64 := '0'; else v.op64 := '1'; end if; end if; -- Wait states: Read and Write transaction takes at least 1 wait state, except -- 0 clocks (no wait states). Writing into page buffer -- 1 clock. Read/Write control register without access to SPI Flash (scaler, example) -- N clocks. When access to Flash, depending length of SPI sequence and scaler. if w_bus_we = '1' then wstrb := wb_bus_wstrb; if wb_bus_waddr(0)(17) = '0' then -- Write to page buffer v.wready := '1'; -- No wait states needed vb_page_addr := wb_bus_waddr(0)(7 downto 3); if wb_bus_waddr(0)(2) = '0' then -- 4 or 8 bytes v_page_we0 := wstrb(3) or wstrb(2) or wstrb(1) or wstrb(0); vb_page_wdata0 := wb_bus_wdata(31 downto 0); v_page_we1 := wstrb(7) or wstrb(6) or wstrb(5) or wstrb(4); vb_page_wdata1 := wb_bus_wdata(63 downto 32); else -- 4-bytes only v_page_we0 := '0'; vb_page_wdata0 := (others => '0'); v_page_we1 := wstrb(3) or wstrb(2) or wstrb(1) or wstrb(0); vb_page_wdata1 := wb_bus_wdata(31 downto 0); end if; elsif r.state = idle then if not wait_while_write then v.wready := '1'; end if; v.state := wsetup; -- Only 4-bytes access to control registers: if wb_bus_waddr(0)(2) = '0' and wstrb = X"F0" then v.waddr := wb_bus_waddr(1)(7 downto 2); v.wdata := wb_bus_wdata(63 downto 32); else v.waddr := wb_bus_waddr(0)(7 downto 2); v.wdata := wb_bus_wdata(31 downto 0); end if; end if; end if; if r.state = txcmd or r.state = wbyte then vb_page_addr_mux := r.buf_addr(5 downto 1); else vb_page_addr_mux := vb_page_addr; end if; if not async_reset and nrst = '0' then v := R_RESET; end if; rin <= v; wb_page_addr <= vb_page_addr_mux; wb_page_wdata0 <= vb_page_wdata0; w_page_we0 <= v_page_we0; wb_page_wdata1 <= vb_page_wdata1; w_page_we1 <= v_page_we1; end process; cfg <= xconfig; o_spi.SDO <= r.so_shifter(31); o_spi.SCK <= r.sck; o_spi.nCS <= r.csn; o_spi.nWP <= '1'; o_spi.nHOLD <= '1'; o_spi.RESET <= '0'; reg : process (nrst, clk, wb_page_addr, w_page_we0, wb_page_wdata0, w_page_we1, wb_page_wdata1) begin if nrst = '0' then pagebuf0 <= (others => (others => '1')); pagebuf1 <= (others => (others => '1')); elsif rising_edge(clk) then if w_page_we0 = '1' then pagebuf0(conv_integer(wb_page_addr)) <= wb_page_wdata0; end if; if w_page_we1 = '1' then pagebuf1(conv_integer(wb_page_addr)) <= wb_page_wdata1; end if; end if; end process; wb_page_rdata0 <= pagebuf0(conv_integer(wb_page_addr)); wb_page_rdata1 <= pagebuf1(conv_integer(wb_page_addr)); -- registers: regs : process(nrst, clk) begin if async_reset and nrst = '0' then r <= R_RESET; elsif rising_edge(clk) then r <= rin; end if; end process; end;
apache-2.0
499e474635ab4750c2f9dbc554b4a16d
0.506949
3.431596
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/bufg/ibuf_tech.vhd
1
1,073
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Virtual simple input buffer. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity ibuf_tech is generic ( generic_tech : integer := 0 ); port ( o : out std_logic; i : in std_logic ); end; architecture rtl of ibuf_tech is component ibuf_inferred is port ( o : out std_logic; i : in std_logic ); end component; component ibuf_micron180 is port ( o : out std_logic; i : in std_logic ); end component; begin m180 : if generic_tech = mikron180 generate bufm : ibuf_micron180 port map ( o => o, i => i ); end generate; inf0 : if generic_tech /= mikron180 generate bufinf : ibuf_inferred port map ( o => o, i => i ); end generate; end;
apache-2.0
3a6ceb6fb6b4c98a5a99d60ca7742849
0.512582
3.859712
false
false
false
false
szanni/aeshw
aes-core/aes_module.vhd
1
4,006
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:26:10 07/21/2014 -- Design Name: -- Module Name: aes_module - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.types.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity aes_module is port ( clk : in std_logic; reset : in std_logic; din : in state; -- 128 bit key or plaintext/cyphertext block dout : out state; -- 128 bit plaintext/cyphertext block mode : in aes_mode; aes_start : in std_logic; aes_done : out std_logic ); end aes_module; architecture Behavioral of aes_module is signal rkey : state; signal rkey_addr, rkey_addr_enc, rkey_addr_dec : std_logic_vector(3 downto 0); signal dout_enc, dout_dec : state; signal start_enc, start_dec, start_exp : std_logic; signal end_enc, end_dec, end_exp : std_logic; signal mux_ctrl : aes_mode; signal result_valid : std_logic; signal aes_result, dout_in : state; begin dout <= dout_in; dout_reg : process (reset, clk, aes_result, result_valid) begin if reset = '1' then dout_in <= (others => '0'); elsif rising_edge(clk) then if result_valid = '1' then dout_in <= aes_result; end if; end if; end process dout_reg; dout_mux : process(mux_ctrl, dout_enc, dout_dec) begin case mux_ctrl is when ENCRYPT => aes_result <= dout_enc; when others => aes_result <= dout_dec; end case; end process dout_mux; rkey_addr_mux : process(mux_ctrl, rkey_addr_enc, rkey_addr_dec) begin case mux_ctrl is when ENCRYPT => rkey_addr <= rkey_addr_enc; when others => rkey_addr <= rkey_addr_dec; end case; end process rkey_addr_mux; encryption_module: entity work.encryption_module port map (clk => clk, reset => reset, enc_start => start_enc, enc_end => end_enc, din => din, dout => dout_enc, addr_rkey => rkey_addr_enc, rkey_in => rkey ); decryption_module: entity work.decryption_module port map (clk => clk, reset => reset, dec_start => start_dec, dec_end => end_dec, din => din, dout => dout_dec, addr_rkey => rkey_addr_dec, rkey_in => rkey ); key_expansion: entity work.key_expansion port map (clk => clk, reset => reset, exp_start => start_exp, exp_end => end_exp, address_in => rkey_addr, key_in => din, key_out => rkey ); control_unit: entity work.aes_module_cu port map(clk => clk, reset => reset, x_start => aes_start, x_mode => mode, x_end_enc => end_enc, x_end_dec => end_dec, x_end_exp => end_exp, y_done => aes_done, y_start_enc => start_enc, y_start_dec => start_dec, y_start_exp => start_exp, y_mux_ctrl => mux_ctrl, y_end => result_valid ); end Behavioral;
bsd-2-clause
ab8ae460a822a8f13f0023c4dbd12d2d
0.500749
3.695572
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ip/dma_loopback_rst_processing_system7_0_50M_0/synth/dma_loopback_rst_processing_system7_0_50M_0.vhd
1
6,793
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY dma_loopback_rst_processing_system7_0_50M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END dma_loopback_rst_processing_system7_0_50M_0; ARCHITECTURE dma_loopback_rst_processing_system7_0_50M_0_arch OF dma_loopback_rst_processing_system7_0_50M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dma_loopback_rst_processing_system7_0_50M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF dma_loopback_rst_processing_system7_0_50M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2016.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF dma_loopback_rst_processing_system7_0_50M_0_arch : ARCHITECTURE IS "dma_loopback_rst_processing_system7_0_50M_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF dma_loopback_rst_processing_system7_0_50M_0_arch: ARCHITECTURE IS "dma_loopback_rst_processing_system7_0_50M_0,proc_sys_reset,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=9,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END dma_loopback_rst_processing_system7_0_50M_0_arch;
mit
8e7ae6e244a8e43029b2300e9dcfb630
0.718681
3.476459
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_axi_read_wrapper.vhd
27
57,813
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gqDFw5NFAM6CTSTQpb6ewV0dkTDze+wC3QoGAxwxbjcNW9/DsOht+2F009+7g6jE2OnhGLtqTq+c HspFg2GBAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OROCzcjj1wgCYlIqlabkGZopoXwccuhDPoDiFwbBlsbzl7flKX8tC5m+07o0XejIs9tQT70vCTz8 eor9UB573WqZyEwu6nS7RfReZTn9rXIEfFTmb5LNQYR53WQufFJWXVGGzbi12Azu0TUMNBykYjra GCJvYkOLjulS+N02/QU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block y306+4wGPVAAsHa7Tcr0Z+Y/dNy6G34dYeGbx7ATqkdiT3xoZwFMriTbyxCB/BNDpEEpWtR2x6B5 1geIXl7xRsYW2a/OzYZ1VgC14cIMMrlyvjd+Q0oeBhNwIf7zzOU0YeLe10Ln0VhNNlM9hG1yxJpm PklN0o7dbe4z3qSMhzdrqG9CNO1AfE0zEYRDe4xK7ci9EcGBPeIBnjhSSGUwaUeKV6BzeVeTBH5k pFfAdDfvgi3P1VwvurSSAL/VyrhWR7M2OhP7fekXRqEU99K00pFciI0NAEcJPUl8pbYtjc86ccu3 OmuQ0fZKcUeaRlPX6glqeiiehMLm/EPWzCdMgg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gSn/ibMO73s4UyV+DQBAOvPjnov0A3ONpbzDn5S1gDHbJc8laliw/uAOvABs0KKAN8Q7GKr5UYxh qWYO6FhJPBG8V6RCU+sAaoeSnleJb/buC83HgJws4chUKE1EbA08UnkA2E57wCSfAlSkdEQl5xrl E4NsCY7zrBmnjMH1Xu4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lI1FhNfWvnI088CMtuEIyHMeXPGNhtlppeaUXaQvRzrpC6F1bRvO696fznybaYq7K8VPJB0YyXVb 8oCJzTtV2jMI6KoF+McAzbvubpz0ru0XOCjjvcTsZJ3kGxHGUlKh6xdlB0Gez6kASJJe4GeTuEaI VZNg+Q6ea8OLPKgQf7VICmBv1vM4svyVLDI/pSGiGOmfSMrfWDP60zo6tHpkaDS7uHEj2WN7lXT+ Q8c1SGnQvLeKyHV/kGG66fpNSvILAslBR0l5Xt1/csaBtahK2IV70dxaZkLZ2c3pylf+SxXTt7v2 CzVvxEgWwmwKjiuhBgmVM6qeL7+tokO6P+FlQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056) `protect data_block djXDgf+lCCfz7iwc9kNM4sVqlLgJ8wIbRgNk5aTgSScJZk+4GYuO7BK+78DdZQyhs85zyR/JpHs+ G2FZvOeDZF7TvN/g8ofvF1u4A/62eWPtOYKTgytuMOSG1L1G95jD944YQ6Hm5ydFt+bmTTLF41C8 3DAh3dRejX5JLpv2/vz7eTgnI/DHEtXdDxLBYoGSLw1DqwsQoigz8Sbuegehzn6ICTwxTdvklnQd JYTBGIseRREThjhOlNZKMq06Y7M1kNvd6dGLpHOyrSYdB29DOJaYTmua6954+foZfSpc8XLCa5XD O4bKOJCN4WY205FyiLhX5UgL09abGdQtjlV8abPW40D4lBgo1TQ9i5TQmy4zdaFBp8pXWwMvfHnk cbbyNGWITGgtiixfNjjzUTwmMymt6DiJWzBnt1wbJghaFLGwRYRySWNnS5vb9Z6YTebL83KJ/GlY gGO0UuAjFzKOB4/G0k0CGcE7TBx6bAWjG/9PjmUMLkLb9lQtGTMRgdO2Dwdzy4dU1nQ09XEJA2bJ Pc/sUcl+mov1ePLQdEgy/Bu1Ve3lnFIqZwCp89FUBZ2HqAsMWie2SjsGX+WrqNGo/QvIuUEsfutP bsyfTkKKJb2lsjgoeUiNh1sumeQ4SdURYhIx/h3dpW44eBho6RQel7kMPfeZY/PNceOmghoa2DwK fQaN7HwDA0j46tvV/aZ9pE4uW6kbXRrlvAH52eOesLd4DAY9IVRWwqkk3BzKNInPcrcnvsgz7Rpv bk7BLZjm1Q+ZDv95hmMOgKgQK+C6B0s9FvCPhLKqkrohIDvSZuMr0bJOqFklAXNBBdV3xSAu1bsv WwJByqNlfbikER4c19fQhDx4Lzl5a5oT8c5pmpjULPK3B6MHldBf1PDiLD6lzpsOYJNfFo4qTUo+ Q6mrwvv6iAYnAZWe9spaWJ8InSpk1fDAwvHSKffMN1KJwQmHTfnsyDqG0AYW0Zg/Hx2WIYL0fYj/ RYxn72sL3bp8cKqTiRBU1Z2iCBuj0iFHbSn++82sgr1M5O9JonmRcn3IHOowDM+6OpmteeRfdaV3 LszK/FdZiokV6d2/Sga9cV0+h6l2oDXq0SitAR6wpiNeBPbL0ZNztvMNCKcii70vW3KCqKcI7W/N UpK/oMmGsXGCewfRxjaYxpFfCdQIiXI6sDQAZK3CotuTR4Yiz4foBfizxAwdOh4xvduGFB7U1FEN k5DAF2Sk1CkqjfCInFnPw/JkAhrxsr/TLe6MrxttpcDV5MMT/kp4X24NWErW1JXH6wRiXXoIsYXC mxHHlWUWTSUR9TY/lLtCDkOCE5dKruma8s/TWKe+bNv5tYp03AamuKdl9rSAq3qbHlzHZpDowzY0 ZhKDZ/IQ4bZdCkac6BKEHiw9YiPLMb5n5lyfWt+9lTGeqpJivMf4AsOkzy1hpDO6bQ637icEeY7K VU+qBTWHoMRMu40KpGje7SUWXDrS6uYWAYRCHXDPE5FGymUU3V7REOKnOG4BFy5sW9NlDe7YbDZg O8YfT7hjBiIof6vL89WVNuJnS5zP5kRm9jBGFeBgKOi4TfL9HqwXYJwfi/ifKPqRTkdDoFWNK4XV rDfBmZ+cQh3dkjBXrOlzDQTd+m873uPWnPSSOKTXqvhtw6sFvtd9x7myKyFPLLIon7/6Mo+4MPPm TH+OiQ5iPvMIgql3YJuWSregnypiku74vIk8Hgvb0XKVLxkw0Wk8EZmi8uPgNW10ocXEb13CYPux LPNA7aWbfgHKTbrnxO4WBBQSeL2QGnWyWqEqa+dGZZCsb9bay9ZfRz4VBloVowUhZPdmAxJ74Yp3 95h6rrFCXyVKxZvSDFyxUmBv0BoKJw0fKxYniAjhtKtiinQhk8NpwWWSbc6nz58n+PYkF1iOhIGc 3lFK6NiuQF5WiYYkNh4POlvEpsmsBBA34FH1fXKzU0RwwmlWNkA//CQM8xQr6/L+KQzrGqnLL2/c TpBJNV8Ttac0VgMU+SQzom9UMUOx7PjYWGbszfcqjTSoJUAFBu8AAKbF47vZ2lZzEi7lj/7KOKGE i68c5hiNhPyMSPOq9eRQ8l5KRUrD6e/XesN5zOJ+B+ZhZoO9IuZfIuRlFbGSm7DnCNEB8h5BptHs qTOhcy8kfVK39bXvkCNgqlZ/bN73mR7WGJF2JWQq+SzvR2maFS44U15HRth0ps1ZIhql7AOo/wPb dQ7pjL0H5h5+Z+ovOcWrffg5mTnFkmFn35M91FLOLaXgNaps+J+Q0t/G7pS4tJ/AVOpJun95AJXb cHaHY5fjPcoxB9MsbBpoEjh8p4UeCGXZX4ALnci9oQ3ZpgIgLGe4OXmwtk4HAld9NV4aJUwTeVI0 ui6ONL99dU3ypzSwgJwudVLBaz7ntidlGOV2iShy3F9YG+bdeOftxeO+j4pR32NsTy5VrLcOvSCC DfdiXPDhYQAgGV04LMW0QHNt0MRhPy7fzN2BDksEcuJgwMxxqXEVWLD3OHhvRvBlvQJQQt8HQua0 CkP0ADUerBYkRBAMwTYzp6x4Zj1CSNYVmcCx1UM+63p7AJqtzm4HP/9b0JGFMKJfUG1aZ9A/1Bpi ztcycyWkCyG2ZywdS2wA98xnNk4H3hc81FA9wKqeCycgIXWQ5/Y+/EO5s9KyQTT/OOeHiy1Au7Im 6Ij9XyAYKeEpRkkAjybKsbhyQE5PfMJ4zamP+IZXS90v9Tae3xuxpZ533pXtKgdejyeqsh0zyCN9 1xyqmRS7oo4+Y309MHzK4efJtSETjPvIn/ogPOqRSFt8eRUaPiiHX92KUdOJmx5xu9kwUEHdId7S SGWPV/LBDUrec0i1ztr6z/3d94kXJDOwJkppwa364lveTj1RsbWPgDYphqKWr0kP3MokRkx9ZuP1 YUciHStU8nxF/5Y4PVOq5DPQANTRYt83lch6lAESGaaYL5zsjs3kkN3YWHCj1JlAJxkCpk4zedxU yplZBMUUy7ShNTiaYpSYCWn0VXxAIhV02vd0LjiJytjiFtyi9TJePntPa/MSR3UVQTt4Kh5jsZrc OmPxtW2BdeN47veEojaFaBdP59msU2ILk5/9HUZm1fNCNMBJzlYV4JeBcXcbnFdxDmRDZ7F8uFey 418fIL5wdk7HS1gp7LmykSupIxRVEgpzw8gjZaVid4qEV/3Ztv2SLZyBLea8kD/C+e1w3roC8WkA VfnCWQe1wsSsTyEvj6mNsFP1kOVrAhd+7LlpE7oVDMEeGCLjRMOBg4vW0TJ3IOHKjmzkpP+sqjOR DqGSniRZRTGJW7S/WFKFFSFWCtvaR5+wzlrkGEhruwYac3Z7fv7hylPe9wv7juukd+WlGWhpA9pz X0fAIWNUegc0L7UZB953Jv4wFE2HqoGiIvmLUvkFplLH+2E89BG4G5mfsckmX1FBgGE8ZQbShB9N 9nWlfP+C9jllSmoRcN+I0Q9Zgw7NIryOX8UruW0HaSwQtzWA9+ULLRgVSrrODoM7vD+SOMkfAQMz KngxIuq5sVVH14BGMhDvcjxFB1k/0QBWTN8I92IEPRfCeIUsp4oukydqnBTMTezcUZxD+xcIzBxY 4nOT2XkUlq3BAyUukGhrLRIN/K8d+wnd9qELkfUcdI/VIY7P6vKF2bCcWfbswsWPfLDKXH7yiAxe 8gG39ZJ0hNXhuq6gzGThjmLLpXTEzLCbtwzc3KanBBUgtWE1i+UT46nHquHTDOmqSdfZpkN9r6En 10XZHwaDobywNfp4HkEsThEZDCNRDn+++y/Fojh/rlvYjyP48ubTv3JUwD1yNSwlt/6Ckrz6bI// SyEv+FmlMBlpJUwjOnQrVR7xMZnlMpkKvyLoWlbUOsp1bD9C+qW1Nca71j8p3dVPJ1MO99HL5Exu nOXqH/O4H0dNc9W+v3n8BN5KyovRwkds+jFnE60uxuKKYBH38swqOTSxFD0ri3+y1VKloD8WBsW2 aOH5naOG1jUs9AI0brdRSi4q0txOh34Cd//xMrx15L2Dcm7IAlggaReNLP0Y3OjK6gJfW28M5+TT wC1Pcuu+Y3DR9FrkIf6aB2YWn8A0m75k8L5til76nCajLd59DuZdIcgzltcX0XRhgMiRKeng2l3O ksZxZe/B2MQx4Z2yGoWXQf1rIsfZWUR9W9j2DilzW51FczUrmh1nd2elI1YFurH00Ke0KbpPX65w rEvKHfQmarV/Zr9OPksuQAewfaPG0z+HbZ4STrlMejb0c7DnU1xHoXpKkTrRf4POTwf49ZKvvp8e kPFBQuRenr+uX4kodAE3rnxSPkDwlBJGzrycqMffifYHTM7QIj1NHQqj+VhDkCuc4DzuYo728yiR HKWZ00oVDVHP6vxnFO5rfWlNCCUYcVflOvXNpSvWDmLLQVzCh/U2K1d9RsBUGAqdHydE6QaGhSEz OShY5asCX+Nnph7ga0J+vMFPQeStp/ognGm8BOObuuNzCr/9PbUSv9gzmMTgU10sPlZBHMxhUp82 nkhEgXKO7UwEqFJBWJcC4lx4uq2ybnRhv+YfHLoIVcuivcCQyCeQb2z7UsNSZv/W8Jha0qKJGUyI oVvnL+YoPvLtj0r/SUNJb2vH2K1R7NDVHHCVS1C1uZy9nGSk8S8kpxqOAn5dMTFNXBOLF4i5QazS NFS4bqmRvLsd1+QpyxagJeKL+9VN0cdctotHZCbz+FfUJhHb3n0YBqgJYZ8WL3xef1CRo9Pd8/XZ 2/Fjiuxulyn36ULEEAHxP86omkXeIxYbqnIKzqFMWJ55z9kIMWRfsMa9QosI3H5KMoPk3zWLnFJa TG/fSnMxp8vm4bcNA4lcNOlOvIclbQk6PTzbIpcw0LE45jY4iTnFxh24v8FZ+yQIvRpd8jfnL3Oe Hh5VbKR38+FJTnVzLlQ4RsKMR/87pHkdZnR39N3SE/KJE1mav1S+cIaxalcW3G9X0X0GwImLy9bw CXMST834b240euozR02C1P6hRjgd2EYat0jxT3CZXJCyV8dDyskehz81ttJPZ4eXHuIauUEUbQqh Rq6mavvKJ3gjHgwNqLRxaqqewdTKadQDDSN0DFoj4l+8DMGBsb+YNXNr8QcFMh0C58+ewv0m7fa4 LnzOtcKZEwATsV1KjKbthan0kcLjZb67JRbmgrDzb7tI1Aw8G1gv0A9hrW7U4aPjMo1J2zhrse8H 8VbAt96V/MmGxS9RFwzm0ZWsxvAiJ8oXCX3u0dhn48QJzb9juwKTHVDGEUdpus0FCXE3P8WER6Jr VDH51w/HwHqnUIyrwpE78NKPoDtvPV6CX9rI4T30t86qVwvf5HCzv7PN3xcl/I00Sw9GtN3/TYI/ k8oOa+ZHV0htEMGEJsjTLvXaBNtfsbBohlBLDvwRK2pbNEaWoXaM+D9J9UVV12vr3TW6ev4kx0Ht a2wmPBBcKEiSKjVCtVT7ihGWJ8f5n8zSHZIsBW2a3w2n+eKmcf8SiuctH28WzTnU0HRrBXh9/nH8 yjN9Wb8SSvqCjIBSIKgA9eQmxymBRmYDVVyad7Yp9sEKwPDCV3OuZ2xLGv0P+o2F2twWKOR5ItCh kZZtlmxXHRMhijpbZ7IuYRi7aLvYqS18L8LKaKysx9INzX9+DKuNOS5FqcVa8sthwPV2hWELNwjX VpJpSLXotw9jGZdsO8sCdnASpNlmuO5sa+7nw9iLOHNDvipfr4DmHJlTPioYFvoqKPgNxaqkztRK 9Wu0Ea40nJOhXNfECjsvQ5AAuQnbxzmiQfIMCzreUZLqOD9arriHvT4ZloXy/U6qjErTg0PaqvaT fpEnOY3SJOrQTeNRcnKPT4Y/ZByJJL1IFec0hUuW27MH321gIUg7EqgT1h1QqGTwjSfnTg7siiHF RYRd4lJtWwMPQ1BbmRdxGwUCrra4xVAuCyWCCRUkyxmRKle4gSlHYCBjoaRWRJ0nxztsjrmy7XiY Z+okcAzltZ9p4T0KPwM9thfwjJM6u+9vFVZttEsC7limlplF5tVEyxGuf39D1QwRhlMfihjA5J9k gumGum/YivFG/SKhwf8amaLTBXp28HQg4btgoZ96KAiXrQQSDC90a6w9MdMpcnYrs9fpA9o9PWkb wxfDnGxSQN3GfgJ1kZ0cg9G8/lk8GXvbCyO51hQsXmOrcITebZ63dBUrcvc9ujmNtYBaQIWoSD2H Z1BqNX705IkHRYVio7e6Im0hmog9iUwjomqe8//w+CKqbvsBE3SJCQzVh1bAHQuWiC3fVTG8H+qp PMtNwinJZvarlrcoTArGxMVCQ9QHK1RelEyJk5EYCGYn6HaWWUVX4UUVotnq2BIn3whOONQ4rkk6 foZItcieLDY0lhcxbE521IkW1OSeQiHGiOleEyTRdJqoE2Mm7NCdY+8BMH5jHmIj6T75s3V9EnkT XbNq2auyQnJ13vGVVEfTBd+MQHHSXas0KclWYE8DiIdmipRziHNA51uiDQDMYahcFhXlEzS5dmLF pKib+BETArucWvYv6tzuFx7z2Rd2hopdPbTmzk36lJsrcZVKVXyjpPQIf0AXQuWcIM0d/SuWwzw2 Sau2BQN0ZsOGjMFMZhF22eChlUS8N7nHhf5VTU7iUKdQtV2QhtyFsSxvYHZ42iS5+ouSRWG+Ea33 rBzEWwKb5EK4ShH121OoysX6zo+uvU6JixigZsw27vEgPCCMq7nYDpYUJnfnWN28Gs9xDIKDyZR+ Rb9pFlCmytsT/HYozcB+aRcZuZQ+KwBAwAx9Fup/HyfslegVhct0+BxCJ/mX3+3n2b7ZAUz4vgzF f+yjMCWNFOPt8CEG/mYBWzuH58BoaxkSz/rBP0R6ZhIMxb3C85GPm6bGZtLFt1nS219BNIGirnb+ BI/XebHz5hQbg9unzk+1NCAqMf3XG7C9/2XfKcKQjDVmAOMbpC2WXNQxGKDpX5ldeSmrlV9rE+GO QqJG2FydWzktA/GSy0B0Oyalmr4JIy0KeaiuyOoYufVk/c7u9t8LOoL0Smr4uzVsdEq9MDEq8dL1 /gZ6IA//ar33uRgkJ5KKR5SnFBBz/1LBfaokOgXLBu6xejel7DmwF7mvPrOfYxVUwEs1M8yWzAKI Pm61pults9sRiNeY3/i1tB4IdPpdCoLpflu8S7+YdM2meX5ZNwSl0w1CPt7AiHfS8HHLBR5aNpTv EqN2Jte5HVs9F7ofpqx0mjqK6GSXxapZU9+YYk39JJZ0Xn390OdoZA1bo0AgqfK7p/LRDOpHjyIE 8fMxmMxxFx2X+NPIRExiEndhqD2a58nVYYYkO7h40VkXMWn0absdTP2u9CGYYUOMZKGb6HzZaJM9 PHncg7RzLDK5z3LlFwLrThrWL+mKUWaq52dsz3Hj8EonsYvTVV1fuAeMGGMTFh/jHgTiGGCst+lF iEy/wjarqh+ye5GbzxFhZ0TWDo/POvDQxQf+OxWJUyeHaNLlXgyMtPtmzR4mF8PFKGg9EM3WiPEZ Ugz3xkwlripatEo55b73qUKjnzKKEzPdd8QAeTmauh4iKxy/cDtcgaAZ9ZGaYlbPlsTjPZ8QnyS5 c67DZvP2xV0o6UiePFBJ46VVMCdmS/c0HYp7YyuHauF0T6NliZBqf2jsDJjhxhxggyW7pwlJQsI+ StAn1Tz2BH6PrlOLNXuorRveg1HK6ilDtD56GQC8uolk9sQXeO1jC8PpAIWcd4Dz+V5Xmxi1TG8S H23j2d1+AJUmr0uOywtSE5lPQForzMdgo2Ktx8xsQZ8T1BpZ7aTH8nicwL1S3x3KIF2SzCE2F7yB kN+mT3z8JGE8TODZtYFXv22hpE9nkRl/Y6gV7kOLBFDh/V3DUxZQ019XhX+olcCvrhimVMaiGAbL IoFAyF9mOuABWpXSKQx6lBB4lCliCeGN2qoXUKvdh+TZRGXWKP+0ztYPbOmEbzBpsGVG8TgR6ElW Mc8ETulBAiuNtDEtZ0SAsDrzsZdMHzfLCgI47xUOBwflavwIR3+/rDSx7u86IeIaY49hwppjqwEs UJNRJUOvbxiC3Zh/ouYVQ9yKH+WhakpnPR2nVP5pWcoLQsfLgBos6dDwOMEEFuyomE19v0FzUNns RmFLVqF7iBzxNdShPGOJRhrhyfyzxiZmyZC0Z42xtouuGoHMrxEGKkwveLLlaT4gPWuo+10cpON8 CKfwZKpK3d/nur4SwNgoqb2+abkrvhnNxD8tehhpbH2nkz0JvVsMt6oqT6xmzAA2i2dxQ3sBHsP9 +AtTWBlRIkCmObheQS3N52ihfkqW2HmJby0DzTw9sx3l3YVINxwEfHZupeZs1aTFZGc41eqNyLov RGEpwBY7c/J+mow0u8pXRQ1HEDB9zoFw2XFTUvivp9kRqlGrLYn3mgbit6URjeQL/3qkFZTjJDLs +CAWcH3AD6mlgP1L1taEW6m8uCC6a4UXdLPt1UVH0u5EoZhu+VKob55QTm6O7d9dZQyysot/O7ZK U/U++etBIIwjT7g9TGR+cnqoKoamhmyB/+kN4FW7rNaDbjg3AhwSeXMGyucUUaTWhKYMKKfqQZlp Un8kpKeXvjSuceIeNi0xXSdR706jKl2riNDhWFCgmYtpHKco5Y6LBjA7CvgdR+rR6NVkx72Utvge 9nDsYgx6jqLBjkSYgnrnlVMhWXgl8MWY5zSCvQ8srV642NGmEW7UVJpNcJmGLk7u0q39MYxLZrwK MfW523Pw35mc22SgPADHUMB9/MZAd9wjVc2bFAkfvIdgf+hhvfoRlNu0YbcT3xUVPZeQHsb38gGL Aozt79T77xqnwTIwoaK+F8jTE5R5OIbzlUYHce/9EAUMkumMVpqeBTb8Wt/poq2hmxXffs49u8AV BLSYrqounz7R+nGLydXR45Yp/EEWGcTnlsDf1Zb74YL5o1mSiaiYsQmBYGrRYOu3Kou4M4mUsAay Hw2T8EBM5U9WReaVKO1G2YjUsppq685XZiJYcceh93YK7ybnkEc+prA4Fm7TDz61XKo8bAXBu+V1 dhWVTTqKnsrxEs2WTX+OR2uOiYw6Rsa1mEq1Za5vRYp7e6IKwHWJjQExnj8agw427Bm+VHaan4uB z/oEQq8WAKgSqZPvEnOH/IB5eqIYkm6O5/R9aKtkmGMV9aq7Izod2zFlxRMED/ww+1/2l2E2Yfxs ldstZzBMXkLTiUGp3CUhL1McSbLyxIjfyE733BcmnDYwpfbk5Tgk6r+80+UqHxvlYGfjTyAof3Qn YseMyPXwgEeQUJDMeZxLQAF8IlKINOzuy3N63BQ+ad7vX2NwQm//FUC1bxyUrI1RtyrTckRPTkff Zf7hksGfq+r59oZdNPzbigO3z5ynV+YTk7HD+54FRHEcysTEkxBsrQYfmHdSoS3Z2sNuFi95dn5b CRLLXYhEhVMRdfcumEksjnc2zkrg9A2aFiKAlt2iELA/k/9ICmLre4EgHJYK3PNJpZ4UloPCq0OR QOba9g/xr7Wi+9jR73n9Qj1qnfz0nv089YUeOqe7zZVOUVAnmjAp1lXlSz1fjwRJgufMELWvty2h d3S+TapGpjWYaT3N4otEzbO1q8UbRZyEua6aF0A/bfhNNWSotb1FQks5fKBEN2Q8uin7ymFX7QEn 5B6/ON6JwgHELbhhvpMsW5y1NaCKBLVo/9Y2iB6Af2nHKFekBwTN9YeF5UH+uXS/7JsUId8/W7eX d8NqbKZhIYKSBvCfMRdKBKkJeUL3Dc5fDgsdMiIIWxfOFp354nbkdS7FBuaxBpEGs6darcyozmNz tERRrRdcKXMOJSF9OJk5O9rBoT2crahlk900RZyJ7S+qzPOgWawRDf1pqSyEzd3O5YaWse529+Ia PtgGkxnXzxHsACehwyjVGqw44xEChceIOs32lYBXiyhRhZWue7/RIRrKlRWSXikJwIm5a8ImVX8n dixnyX5EqWoYK779K2U+1QxiUTSXmNJfHMiJEcXu9dQxutz3IKTQAtcRXN6vWU0wM2X1tcEBEDR1 b6eZQiW2Op2gUceuwsrHUM0qDIZQd8oM/l5XPeQ+stydV2truOYxOdb54adGV/YAnFy+q+e808T/ x7gdwRcIRrpMnbV6QD+n24DAKxiLQSOz4r+ChsdETaqEA9iuCd/9KUQ8KWj59q1CkhQsJfpeFIDF cpeyB+nw11TAH+IW2kP0lBVHlPMnw5jcWhhYBStX9TN1koOWFOMN6KA9XidDb6I4W9+oxox1lGcp DYr437K7MExNYZlsX8H98ojv3C9lh38ct3hQ6RtgE/0qRMsPDvcjv/Cq7Y7MmgRWP0zcPnB9bU4+ 8pJcPVkzcW2d+SqTX8mzqcwM/ie1elbtuBJ3kgQdti2OA5W9L94RyES936Ct+rkDL56+DXPpDz6O i8/W/4BcohBdHgaBGgBm+GWaj+B2iXeuteB0RCdIN2HWaS8XOom3v2ovSCZSDs8j+zcaAKVWRUek vxaXtH6MpWSVmJqYfrPn4pJeTBh61mTTqc5a3YjpU6C1sOxuib4PHxjbypl9VdcjnVZledCZJOE6 8m4ARMuWMvQxFYz6yv7I9dFRaXpgghr4STfQKmrejh5Mn1woaDvKjepUNpkUchh9I0GW5cYRhjZc mn5XOBhPJsSu85FxgGCOP4dsdegfr2qxIQKntoBLxgG2E7m9xZnC8kJWas7LshE6EfsvfcDaiP1l x2FpydnRM9X/u5O/Q9M6jUesEY4pFRNDKGCMDk3M5hOrpe3aVuGeoSMmdGX2DnOO/UAl9qJBN6zV +hy0Iq/riWCkCV8wJYcnHgNnWUHhvDtgQilIEtScVz6PJfGV3iXRX06N48boWbm1snDEkcUwNkAZ oiiKnpUT8CGUvVRPU6vL+3I7zHxPBm1jdDL92VBrvW3EtDM2D9rJ9n0cf2t4VKFmY50sbaIXX4sY 39BuPTvgB/2MTwBvmIvlkNkL2DzxyZqfUDxl2l2ATf2qL8mMuVC/nsLpZpgeEEglYIYm+el4IFfU LRPKBZNKUDwyzhIhXM8/u3WP4t2esWc83lfQhrcburysRK/nlaYu0JdxnTw2zQAXb8llVNap4jxW qgls4ipvOlyCMAgaigJE5eKpRPPcn5TWHpU17GvqQyLuytQwcn+w7cM60n6oRDrvlWDZetOVn/s7 DgDVjQxMUYFhB1BNmGUzYoQZgxJe9mvk+TrfWExsMf8MKFmXF6P49aoaEotQf95z6E97aMNqVHPi HMPhctyq8pfk81OJUeBWxzMcilNZRcr/6aTwciQ1juShzzUQiXhKsWRn4DOzvveVzpVEImE/d5KC +ik/LJO09UKj8lI+IExvf1oPgpl2muC+MtbqCLrnKbahz2puw6W0pbisWeh3nWJpW5KANlbwzGE5 TqL+Pe4qAr2rZk7IlJ3YJbGIsZ/UF/FOOtKXV6pt4GmVDCaU7aV2M7t9jVSNajd5A/hU6rink8pJ BT47bA2hz0NF/weiY33dHfokKJtRrMdCxXSqeTn70qzJY0Do0CBZA1yw0GCYhUiJI4awixkvjaxu LgQ+XUUyo+q5+C2edk0+QCrxBp8MC8+ZGCICcWhDvz+C8uzKdLNW2ldpdCKJE5rfnos9Odk9Clc1 A+FA6BJruoRXQJVMmp8MghY1pcchwAf56byLeHRGM45rCrqjnbrQC8YRDMkN6R3E9OCRpEjFAd9R NqdS7A8gsmqxNN/YAQKovF+OhwAjQ2g80RvC8+3PDop2fDT9F3hlhfSSGdz9BAWwMhkoy1IWwpAt T5Kl/sLq6YENIxAUD0UNF097zjJRLwTUGS/d+/i1TLHUW3GNciNfNUBpwww2YVdr11obgdbwmc5U dg28lwJJJfw1DdjHclkMgAUfNiui/D6f5G3c7S1pd9lXgNglPIKEQpd7lgENgP446xUMu4pwAJys OOJEPqPJ6fPLVLAg8UbLMLRIs+53xP2KRWfK0oq0Ef1QoyXqGQIZ86lDGnBV05qvcdeGIjCQFevK 296+3hkjNbo76Tup7ww/icy2AVMtU3dqRU/M1f6oTnppvzcgs9C6iJLTKkIf17sofyIjexZVXEr/ kcXi9W+3yb234w0JjEXqYLAXig1yiVGatxes2/aGRmClnQKl9vn7WqOdEdLShLzQZqdovL2+y3h0 SELsFbVBiBY6RC/gTCx97Lr3myta5G+1cFBr/azaxv9ZwVvro2htZs5yXbAca9LNpzPr45KE4TU3 TZV7/QxIuf8NgrRO3Y5mgmmWDoig90gtwpzvQ1J0p2CQclf5ywBfeFKO3sY0hf7S/2n61DXTRVPR xh/x+NxAw5M4UaWljze5h2mLhWSeS1ih+8q0tauSiTfYMfCKWsbeyFB1CHWRi7JosxiW+RSRgLE0 pDIavf5Ake+iE9HdukdpfySXp3SreAvNmE1iQcUksHCHa5229JB0Lzwl7SBJN72593hdBSuYNasy 0ws81bzf+/JD/WZKDwp6ghadQigatyuyh9Z8svVfq6A92d6CIjURtxjUHg2u9Fff2mV3SV6wjzqL Eb2PWSgs6lHRYq3OyCm0T44S2DG3JxdHVfMYSqh/2Hgsg29qP4508O2WODIaa/nFp1W9Osv84a4F sOPda9pRVBNp5fS4UwLi/VNHC92pjqmo20b6LpFog/Hxg3VcMlgKEt5zM/DtaK983YN7N7RMwz1i VACpfa9n5Xobn00jH84P0QBkhgG9/2fhtX49WivBpzoURnGJwT4fk1YaEcSRH77Yp0yZ0s1atTIb j5qupt0t4eZ7LMm0f9xu9hraLKqO1ThqzzD/wzA94a7zfop/rOUQ+KE3nRUriG0S6lKFZ84ZA2Bp 7UPk9+Hxmm7Z1QwI7ZoSujiNNvPH/ap9qCebi+9TiMFxUFZloItc8xS8XZVWPcvxUj6JZRsrTcvM LFc3sEV2WXteyU9Fl6g+LZZ+OOeR58SYqE2wugfDT2j/aCmmf6K9LUd/OPx8HgBbNG+sQ7/3LNhM r985f/zZ5pu1LYDEmJr0mdIThtK0mssQB+XO77HYVLF6wKKcqmxIdcvuIBKqyJKiOvVKWxN0Ma0Q VsmmHMc7+jfcULTgCTpHCrqYUerWd4Nh67lz4UrlRJ6RMCJ9/nqG1OYJKPO88cEoqSKX6EnkRuJv 5/8ldUBSwpbSEI9X7nqYWoFBlOCtTi97kuk6ZOQEOTJ2kqqRRKJKKwhuWmOfIKzYK53E44Ycn73P kW1CeNpjNRB+4Ku3kfwtyMG1F1eBDKdICD3okj/DCXMuvC/gciCJbSDPWWHjPIPqDVuUhThySRaf E1jlvc6oxTN46X1gtu39b4ATRNUTndm5EntxD/j1qT4Hbj+TC5Girv9/nHL2M+00jvSGAM4D5I2E loab1iTp+xyvLgin4QRvVWtO++MJYJ2bp7OU31ukplKKIXiZ91sJPSLx+a+8VqUNZUsCdXc+XMLC nnaP5A4vKGA1nZVEsabOSftkKW84KQoBC6L0Ws2myMz7n6K+ARedx1c9IFROAIbCEtRDQWy+TH0q pMPlFzdJTjBTsl9oBD+vCpM1UYckSA6QL9pgn2f5j0WfJ2olY9Izy9OtyEDmQaOwnCH23nNm41mg nVFGq7MG5juYuYDsUDt4JYHT/PAdqA1v0YOaplyfvNOSV1jy2vyBrMIyFguUWoyfrtERZIkvU6fg v8dSkloR0jsI06aCLMiYe9Z9vJ5Yu3r8i6JsOvmr5JtHV0lLuM0x1eBWDT2zBcU+2Zy2ZdCDe0h+ w+l1L3vtDXl6j1FVE6Z+ZRvpX/YxODkcQzY+9REg8aKu72WZB+HBvrR82WQlOykGiBcmFEMya5j9 RURq5e68h4Qwn1FqxUgOpp9nrXi/k8KFhwBDsE4PKy7C7hlZKtR67q3WeMlOlyC0EzOwzreIkh9m M3vHIFPn4P728DGh2VFAVSFy/7IfLfZGt1gI+IfeyzSLInUML0B8PtTmdSli2wFeJIns8DO3HbE2 eGrCIfvz6TZTHAMghYOa/bEkHCFR2MxLgwC/en10KSofEZARiUyeYhf74OOXkejSBWT1vC9B2eLR u7YiKcrIehIXKOUyOLTyk8jC4uM6kyFXF4DoC+4yvrahKHduVGns3CVdhv8N7fHqDZtMTX+6frMl l7QburqF9aRPQ7wdULJOLbf+cYj/gamJx8Smwa/ClkJ+II8q5S0rKFiBJ2g/DGidg+UrF10UbjOi wh6cVbc6H8bpag0+x9UPqoUQMOROXCHu8FBto8D9SFKL1GmxtaEakH6Y3y3DiGdh9sckxY+lTGWl XjJbz3mUA3tJn5UObs3sNLGZfzS/MZ87K6jf+9k3d28dQA1E3GCnGpIPWxXkEDQjsVsnykfxmv5l tCQGafaFUmTWKsnSgIMfBRptt2DycnW2jN4iPWaaXPcQt6vRU+KbGscoNeYORJTEMerGxmpX+COB pTkKjaoUg7a3a4WoVFZc8MbyrXniROsm3Hf6hTs8EJQ9XvaRRUq3FDcPP/gGHbRLM2qQX29wpxdj 5IUBOTHqs6bK6tPBfeo7QBqCefM8vhtmKL8UVjvawLs/3TVmo5cO3OrTkKqdx58Nz/wnsfg8eNzr rQjOdmRQNTK7ha2O5YBOZ2MMgtUYaZXwQax8oRO+oU7FJWTVFwdxk8iiXWj3H53jdpelpM5fpgfT QakP4T2Le/9TZbXLZqDFt9SqBa7YrKocvfeZMx4U0d/aidbdlx/hEpEpOiYQ0LHvWaJMUO7okVtu FlFq5VxiSX0zbRWg4ubP1wJEz7cr1WAccnW2RXalavxTi2HH/2b6jRlXYtz2qmGjjI230ltTTa7M M0aRqao36sjtuU3xGqoKjo055HnWvLBPA249UmzN/TF1YmLw3KeDWKbxYnYInOvs8k/Xf5FTZm9n jIm7KjKSNy8AZpRUqv34qNoJbIlGO3WH1uQGUKtHZOG9jCUHy1uR8EvwJs/Bkb406Cez+r27m8Ap bpZAf2DTywC5NPuYCiNpZO//obPBx4V9piXBt8oCc0rJ7hx8fp/YKeygbzF/nGJFkkxGGyIkOAmZ nyqGaql3UtU5zksnhqNoJVtHkN46M99k2lKyVURztW6Q3I0AQRkEI+gTYL6kNYNHI/Gq95uorh+q UD0O5YtJO0bUH+kSYciCstHJGUjrTHv2GIP/qkT7npIyxvuUtyLV/YMTBiUMDM4umMvNX0tCBW3s +MrkkyzuIaZ9zJfS/yc+DpNB2nu/9u+bSbpVUrI8usZHKifD18doJTmXVKB9zFmloKpmihyiiXxM 9fAYdaGmBjwr5leyfsURk8M5/v7IKYYUE0mieTYYGlxXGupIfmD624WSOwnMp/FIDSBORb4JOywZ 5tq3PabRP/uxYXXmaoCTtWCCE5+sfKjzBVgg+3+AWjOcF2bqyZp3wXvl5L9zLYWlgP21VGuVQQZ4 0mDLuirn4U9SoY4g0mXxcligTbSd+yOkf+1a/C/f2nwS3vB1jItfI1/fv2JU9aznofEGBc2gBUF5 bi2nQZto2IfjYc1FOhT5FbGePUpRKVSoCVNit73dbWoTeEwV2sGJYq9LUHYSmaUpO4Ee8roUOONT PFwzaCYhd9v2cEhJdlh2J1TM45bf+BEJDiRuzaLaIUoKD/KBJhUx6I8OVFf5a72m0iqkwZVOJuyA CzowcrI7YqKAHWgcTTWO8cW/zT2ArGeNq3ahIrk8C21NZzkbNmbOw869KyFOZLS1yj+XsyEkQXn1 ZrRaj8S2Emp5UQ9QHF+jmn3TixQVOw5sKPO5Un7AoMpUY66Vd7IgOjSoU9wXDyXIKlyNvaUJIwRp G/QTD/2V5jL7BlvU/psi2zJ9kdOgfD5GeeoDL8A40R5orwog3SP+BKw+svqVQHMQqE34pzYxVgVm lLodK15AhHZagZEwP1ZlywphoZMBJ4dA00y5R2fwcafUC7rJh7Ls1nnT3aYAgah48NmubkvHTWeD mZer96irnOjoe5Dk6fjBRRzspPSSS4oEKwPyRfLRRfC6n1SPtyZRJgvhqbouvtMZaCIgYfIDRqGi WckWE7Kr6gVd0zX4ebLevP0BWURs1nfEx8HA973tVpAnWJYxdgIaPYBcHl7MvRlRuvGMGs4b87Xr ZUWnOps3NP7Zb3HqYjzhKdkwqfEkXInt1qeQKr0lLzK1QMXJh2iSAmIllOfQEiqteeDFw46sz4i8 Q65twGUT+v+vv5cWE4W/+Y39Ae2Hcw6jCu8aXBL8eEOEp45fy8CIW6yhtDfUkedU9vDtWcwPf21G 2pRAxtuWx69+z5UgDhpxDaMjftIoufjAbD6lHmK0fCn5aq2bTt0V6sUxfcXEEAHK25+cgNUxBi9E jcPvT3vAa26eK9nIE9MDEwZJu1VI4i/hRU3EtOHh278Y64YTv/9evHl9Q8a0iGNaMMvxKZdk7+Z0 +6/0WgZ2KqTyQvuisgzxXnUPykHatHO+gfLp7FJscpnLFrOcm9vK6SrA5YdjZoLpY4QzikILutVM 2mx00J2j+wQpbM0an38zbWW1gu7ftUsiLYlVEqAK0/eBfGUvNfPtr4CGcQmf6slbECJcDKH0prKR lGJkl9dGhbK/Lp5e+I8vmmRZtEFWYhs4vPd2KR61W6ohjfNWBtmTYQdcs9cEaHHJBWRGNQskp2bO ldRz3cvZnh362RRfiFL4zd5mMMK/dUIRsz6ssH6myxM8RSGrEy5QSOVZ0GeLagjtrHiKrGxSgJvK Yxve7+V3zyXckzfb5EfFDAO7jZloD8Lr0m+1KhyOBCgwo9Bwjr6APywU757XbqgI1vTUG2D6fpHr ckefZl+gBwXT9z6BvaGXn7FVlAf+SYMhZiJTYa9+MwEvQpelK1d+CAelXZcK8mhBkbNI0lfRhC5t CTUEwInuEzlfGofU8hYDlpzazx8cM5Vu4OPC+2vDlAoPgjL/q10JXoLTZJ8ASY4dltAaR5I5kK2d 5zmwH5KujYAF9r+J8q+JAspGB/eK7dwt99Fei6b4lJWYY0fiqt5f9Cp4bWepETlE7Qz6ebKADFFs PJXPY4fbbKA46ioNxQbtE++WYZ5BF6IgEHi25xJ0iESZ4exfCt7YR4Dy3a5f9Q6yh9o0tjyp/YX6 mWXLqrVjV9FbT7S5KCdsr19ZjKgVNMljAtWxTF/0r58HNyRMdXLmivDn6Ea8HsAXznKmYzfiBzef g5BHmb1f6qVBUhrlaaKroWM/SfuXUnM4PPJUUhFVs0SKgSFGCIOs68iJRSXqcTVR8miLYh2LPK+w EvW7OUHIgYTeA4nQ7hA3/Wix7Iyj9Q833Rmag0b+cjiPiU8CQKt4w05iPqvDjg/mgSbTJQflyqOA E17B3E9NrYJ3ozjst7ILXBY6zZrpHb51qK8KrK8g852N/L79kk7ujPA5RVz/NFO4ki7rnO4BA9LC VvkbKVh6B+kSy2d5gMajGHj9PRFkEIltLVAw5V3xx6LuBrF4xCCGDDgtfOeQRLDEB7QAG7Qj9G3a dAGv+iwuNHKzAJXSVUuZJ8OXHwv1403dqsOfK+7nFKKqnASDaqKhH6ukKni2ydlveu4epJlzg7Lk N8m0RiYV2a0Nc6hFNLZG/0bxDZEtgCbqDejlhwsI0aJ412dY9B20Tct/yD8BoPAXVVpS1mbB/rpI 4IeISFPCAAXez64xk9O9p9tZfdDzyzuTno8+Wnfprr8f4hLBG3M3hY7yra5Qv+ZoCcfLkreqi6eS UkTU65jCuIMTLx+ksjS2TrIe8dBRn4wma2GzWDdV3aFcTz/mzrdqwkBJ0Yv/VZahRJfgXp8PxL5b ilBBL9GODakJkWKFjveTsXimsfMyzYarihrNaovQBIKlWlrTQ2/+u9MpHLycPAgPb6qCSkwOGUMK S4CuMnsSU85PuLP48+Vvpm6qePc/NkcRYhCdVL2CKMJmhdw3bJTipAWhMvKZ6sSnMqy5jeDJxMU/ qyExd1FTU4sVUXIct2Nf1rzQiStEyGB/M2futSu3V8bSDFybN5kFLlWpP5n+XPDs19MxJszTx08h Swl6DtM3SZX7oIwcpLfk4eaHfxQWMjbWQFS8tMvjEjrgSUm4q0Pi/kLezhyVh+RaxyopGZQ9Mhqc dQMcdsJaS8kueZcS2uaWq9yPIkK6fa/7YWquYpuBz5sykJ947qARI/6Cl9zh3MBmEQu0u23NrXkx P/EytHR7VnyPEsxbmYhn1n8i9TYmPN12fegd3I5PpIxgWPR4cY+m87jZikaU9dMtcqZkyVlbm8sW ArOCTTcR1eE3+ldVbl1Rx8ZJLE7gwl1/B+d/wGo9NSBUxZsx2P7Z93KBrrQvuIAhLE2ms8MaQ+7X cUiHSAL+3uVS6comp+t4JwuGKo4ikHC38kH7mc6LWcxZ4Bkq9K7T0KpD35GHCUYz4fjjBaDF4ah9 pC0CALUANHPff6VGg3z1KjXul4mzpxtYINuYERfMzTS955dn9tfxnKz0rwqbcttyTXiGKtdvpwfI zhi8f4ol6kDeMPpLDmLy79ugXp4DqyZcL/onSyI/An5nhV1+pr4sGZNJGvzEbhU9vs0yA7mLHJZH MPWLTWk7ljKV+CJA9XFwuYJI4hvTtIejREFcwsxMYBRN0LclJjhOzYNCyNb9PqduLkImVnlCTTvD AC/JPjhZ9nWu/WzdmexVqulUT9YOTDIA/fey2iOHrygC3XBER2JSjj0lIXy8c+JotuQKbzpEA0LU auALDMOD3hvEFyzWjM2k+rnRQY3DbiKktf7nDt8XfyPSgWM7tqTr6AmAo1FjbTRDZRtlPv2JDu9W A+uBe2ZTwOIPWq/MooD6s6ZtcspXkcxMd3NVzzdIn+8hgGhUc2U+jV/vd3BjdHVkMjsoYKO05nup xYJ/itGIvcpcYr2JXIS5QVxEva2jgn6R886qIWHjfg1zf5gg6EOjRHWJFyJDyzacKtZNe5iGEVyX CUFjKDQaEkojMiVxMyRYIrQkKP0EqB5gpfnc3sieIuCBd/UmwSpHCuGgi1dFnUaZcMaT8mWQPL0Z v2kSZsHHuO1UaZlK+t5Iz1CXDoDZS2OgviMEMgaAYq7Np21rB+zOFTKDgiSt95qdMVKP8t0iTRa6 odW5hHr9ke7mtBNwKXNwCT7GMl2ub9vx0aFZe6SNkCmiOn28vUWR7H+CrruQiOMCGOGpW0MlMA9a rJNJ4oaFvxSJY4JQvxCh3KOF+pVrcLGr4LHmIajLu/1qXJMNBurz6GdH7QYyebarIl2B0vu6Yq+E lBaVzoXsMosx1frOvoqSsHVptlenVRj6DlzvjjjPBe8oeBTX7Ap0EE0EWDCDSmisp8/YxFlWincG MbTKMWaMMxNnkeckUh5cXWUcTWLUtFESCzS0o+3X/WdKVe82Qi4rb7HRACx1wg/DgH6txiPIGk8d Lro60GwOsl8RPOEbzcaSQeZblu2rw61yoBRka0yoLz8FE3oknkuW5o0XgNHJ+ZTlGx212BrKxnVC ajx8VP5Qr/ZF4L/CreNBF/rB1aeD899rlmJmrJYRkftrfOMfoJnOQ73C81hZV3wPmhOvgdiaB2+k pX/LyOCgdszMzzAp1GYuM3w7sLERE0B9tO0cVbGLCsRyCf7KejjN9vDgzjXdZ9Syy0V3GdM9NM5k 0eUnhPCSIY2wsoX1FqG7O68b5veBfE5xYQZOtjMthDz/O+0sDF0TUIFHwc/WvV3rEK8c2xEmW1MT UtGaxApzp8xvrrLDD8/YXQmbtw/QK+v48Fntl0Inwgx48EAT76eLrF/AiDkcUUVUCEb8o5MV03qI nxqDYVIN/ZDw9musokALT6ra88dU8DFNWsjgHa09RjiNfuOBadx7cqeFYNXOrX2EvU3gGFRT36+f WhB/gmQ1T+MJISZG4GXUxdLm10atLmRhvzlDBq9kJGLqvzERxPiUjAUowgvNImBftQzRb8/mzDQg a/pUSyPwubUVSY4UxyYlJTcYg6nqo4BoFvLebXloKi+oNkddyZXJjsQyeFq/UZ2sWwW5+h2TAYJq Rem7myg5X6qAIvxQ/NsyMlBXA71L7gV2Amo3b4z4N9hJbF70LWMe20UrChCrNBh+uw+FMYFGtQUy R2daZBUwBA2TZV8JOeTvGzXEYW7Nc55Ve0zBqyT48B/xQCKpJKyNMPXz8P5tlLkZhlquK69a2ZmD n83FKE23FVzHOgmKmpI1+b3j3fSjPnw2Amifln8zF4LnnO90jPJXMfi/JbpnBr2VlTGE0RO/XBy7 SaOYLvui8TRFucFw/bM8E7IO1UoGJiNW9OuGdINrbMyVRvhX+v4XLdOv6r+kK/f3BUc25t+0OyVZ 5aD7Kd97LFigb2cGV54a+kUXWT92v8VyBvJqlrQ6NFYuX3v4IL9s0tOpx/tNUi4m+NreW1Iu4H8f Nyd6E1j+aiMZdksm8t/MyMMUMuYe44VaULpJaGf9XmHdemAT0UzPmPwRLIz85QdZs5/bb47s5mp3 WLVkVwLybHiKcFJeSCGM74POLvWMnFH0o4I7peSETIqorLPXZppQvCO6Cp0Ss2bBm1saUAflz11n teWiU4aDIQj54cMXWFpes1Jv3oDSk/EnuGnutrHAKVnzbxrgzE7qRzA+MionyVloI7hbQ7ZhXsiO ZgfT95Pz1PTRKEFnfuSlkaO967H0N7heuW8t3aJGZzsic6bev2peOEqB0JbfvOKvN//OP1ixvOKG mxXwkZnRwEjx0hVc7F4QDO/ZWfhSXf2SkcKYqPL5TtT8Vn6LtSog51RgbAyDvn1b1SlqrfOlVhLo i8XuxSzqM9bwinuChkBwZxyu2WfBcWdyR6hj6iKQdOcsWl9UG5QUoI+pVOpgoarZvXEtNi5tlKbv 2YXjrNUsuD8TczLFRxUVdniKfIevcAEQdlMXbtKHzCBNAWpgCz+p8k7koA2WWpJn63MaY53uZhS1 CiwWagbfdYRtrUP3fDqcdx3HurvTDuQtQMnIfvyVe8S7jGz35v2cYAACcaVhZPZuGW3tQ/AKxCXl /U6kPFXzESgpKI6cSZs3xCzr8YspUY2CZL+zRt5MCt4SC+HGAMd1NfBlyQ9pcRnb4MwQOAfDYrao 9uvDbQS3FlpJk1u6TgeNRgix6IXIZtL6X2+h/gjq/9pJjZAjOk6V/LLtCijbXQ4iw71/srNId9Sn d/gy6rXr46W+PlhxzcOZ9lhaVSJRV25utcWf+Rj3dSqR+nwWxituJBJpudAjEdJnaqoIeOL9OWbA tt1S1b03vmceV8lzVy3lx/TMwJDfAnczKtUkjtDeglvOKnubMXnV5n1pNlkGL8wrFYYWsu1pUnoF ohg4KEEfymWpoOARcY7TefrA2GsuQ+MnGqYbnGGR/62Vgpt29KggDsASA5XC2rWS35vWo2yH37j8 jDixeQvUJF6z1LNQTWcyvvm7+xMV1WemaJgdW57EcrK4IJqYGFpML0dsFaqZKMDTnPFQV0jPV7Mv u1NTCerbauuPGHIk7N7rq4hrxemxSFtY9YBU2R8JAHDoUAZGA7LDzoG6pVN2RqWJN7zPacIA2c/t Z7BRr2llaF0ajX/L5PjM9M1l4hGv0ZAx5fWoSoLf0DaXBo2rXr19FYa++ja0/i99OFHkC0jiZtRu 4gDpJLd8uu+BHgerkO4zhEYfQ0ySDo7i/QkS/nzCY9I6fVvi8WbDriHXrA//6a28qySLRPJhs6Vi wf/J59O+xbPBCs4tWuq0dz9j0R4ym9YWtS3hvNx/KNC5LuKvZ4a3jj3vhBn4hqWpQUuX+PXY98zs yf+3+WA4ne2wEi4yrKditsnqbq1Gwd6yzv+1PsRDL1u3FbaIJXk8dZjuE5NFS8A1N3FyLXIg5BKW MR7bS2qgjW9XIaSCOSTVigb9wANhxKIJ1tCZbV4abgwuiO/Ec++yefLDeWL0GrSgwWhfmoadKY2s xnirxxqYs9Fh1HUq0/QMbN66PH7UOhUmNKDfn1LVXVb+tElKu+Qm6BfRgXd89tQTZ701jp2daxE3 9S89v6l8EpGNosSZ5LJkr3OvQl7RFNYqyw42kwFNLpTOlnS1rWE2eXCSnGFFoMSAdjq90FtYx6hR niOoO/2Ezx9wEkjEpK2UzZkndzzI4728yTevAYxLtMIrPA0WOZ2uDcUzLAxnEDU35vH5JLAXMXHD /RkwLVQC99mhoS1uRZTnOPAgft1IyOFc/odGyHesH9YddD841jHOZaidbj4g1qFTBhWNU2Ragi6A 2262lh2JJ4Zw39G7r12XOE9bQe9ALvFQiUUhR/0vlzdLlqjMAs4kfK9/TpfmYh7AX18EGm0XCu/U tsLx/dnVMzArdRslxyMlnVZY92IV2D+9f/DOquyzoKsqfHSJqAqBeU0w9TMoE5tsrwYPKal731CT O/yPpZBWOWmqLkqlM+Y/E0BZg72rpK4GlUMGtNL5T0XLCHrUOAu7nyS0HmW7BFuEDoHLD6+PIniO lJ95qs7xB0yZSG0U4c/pYCzIPNkw+TqnUhSeUCTi2k52OvpPDj0Rh5ycz3vcDXHA7WqVK0Yqgduy IICuMRIEuGn5IFAQgY9fFShNd5OQaCZpVXi2EX5IcIib/zhzZ09BcgxeHvK38el0DWRBwx8+R4RT 1JpMWj3BWlk0+uFep6wLOtrs3ubIjLsMTiQp1uVhAu3028iChyMdsk4GCYfeTurX9jq9g43JipSz PwddND4gJ8S+OCOk5Aw3jCirUz8UecrkdA0QM3mUkQQyXMru+V0TCgTZ5kRjE9EI6WJ77X2bjTHO ON1dyHO062DGmPFfOS65A2QMV3q9yMc10v3D6mAFg3/CTQz6QYcMtJwedjAPxlNnTKcQBRMdJN76 2/ds98hWKz8ouoPQZy/JasmtPKoa0CHqGc7F3PIqLazW3cF7N2qEGmv9uPCsUNgPUmXRlKmtmglM f5ziMI3WUZxwPykBVV4FmXfV62JIG3V1aAX+F9vSBXUtacjbAQ1g23HJxZXiGrdVP1Ss5eXzufxL qqqRkWa+N7CQq7aCV63UjDtKH9GHytEWgXNCk+FOig0n4rGJ24/z9xJdfoRguKyXw+fUcmXtnPC+ JCt8jwMOZ/fluXovazfqzkU9/nnhB7Z+oVTGaFhbrPHie4BTKNmIGvLkr9nDHzNGsZ2uHj+FCZ3h J+D6LW7OpAmbfXNJNKrZ+M0theU5Umfp6mHsTO/WVekAyPWqKnh+FEyQj8wW3z6kflgBVRxmf095 OFoH7pM3Va5+o5RocBbA93S1P3MF96erkD7gNrpAe6AGuuGnj8QfWuzpVfsjes/4Uld6sOiwpVDT /24m3Nm6Im8E3vNG+JX2ysvR4tw6+7ikcCrUZcK8UV2h8uVfhCK9/iIxOHL9yXql3ffmnge/Ly1/ fHMXRxnOWiQPDwtTwuT5pDSPdh3lCJvqosvmnGELRIDroFjR1rTLM9NSBgvq23xcI0Hn61HEBsBb PYi81PqBmQcplT8QFbqeGdtqcinKZHCDHkmPxzXkUhoNdkFXncWN/4RJEnOsQupXC+xFvm11EUyS nMBHSnP9BRtseS8nMeiwr6MzMiNvVSYfhINtVtLd4CxT6+Ikb8iOKbjacWRSpXWZYByWgrmsmXp8 YNr392L31Oh2h3lSN67iKMy2AvI+KiCuB/MHlb+NNP2pwV6T23fwUwMsPiv0dihpgvnGsQ+/T/eD ndxP1DD55OcirQZMyI2/lYSHQ3l/nhP6cXDhTqwfJVm8PUzDBi8Ih1O2QzHoIx9t9FsuINcuhYSq FFH8UjrKexXUpHiAcAmlZ//d7VkndxU00BsBwhmlRiRbCmP9CiefZdgiuPkGpRKIrpIJwjy+ADlb kAbphaKDJyOqJp9yoYQrsfBh77RuJnCt4kU4NoWBkfBMYtIepfp8XDk+gVvj+5euWS+A8DLUBeod 2mk3Iz01JjNYcwiFCvK4/i9VIbh/RB6lfEXHI2stzhU/70tawlEmR+sd16SIJpAyTCxE+bsOKYKM 63rIazS8wlfmi3f8jUti4Mvd3hDPHwJr9B/XDO5YXpvVRhj6SNnvJFLR2f9d6ST8CLm+QAS3cWqH 23tIBUouLo/oeKB3zILdlILqPX8R0hMf9aGaa4OKnboiV3xueMQLUb6y4GwFqDvOE8eg2Y6P3ilO ajeaHteoNHvxce8miQgFea1tIhx9hmBn2r4JYnG7lrF+ezyXnq+K6IQpIq5Sv0x0+7TC10eDuQAy 77DxjwYjVuoFFMhrrI9jeDEcaZpmdWH8pymfhlIYFqiNgvcFGHLcEjHnI+VySIOlM3YSdNBI/YpJ mIl3RMmB5HlWAW26xTCWUXAj9NEGBU7Ikovv0lEXvXH2bjpGkoD/SP4/oq1f6wILV7w4fkR1pvEQ 66kFjedYMt17axfgKDeqxKDl9rcMFNUVQ8pQSn2Q2zyeWcHA2UWtPMVerJZ3t4ONTmVV/rCgRotN XchOdONMMqsm6O5TP0ncC3OW+QCNdhPKo9TBCJADSqnQnVQmPKNfFg+Sn7kEhIRMOg+zSm8y1qfz MRXsbLaNGmzIOb1BpoYRh2wL5exJ5bV0HCJomhKzq9kppuRjez+LpscM0Z02WDmWeGqHOjG22aiq 4N17Nsydumo0Ah3IHTlFSK+8RLhht2FTIQMrz6zyN5Dom5M6+TkFjqrmzHdwoGwCz7/WUbVnUP92 Yo5bPAqL9xBbcf4PS1hJJRBBRMj/34RUebz3gL6fYXZoaD9wPJ/YmpVIoaWadFO077t4xoIISXfy QMAv45ez8ELoRLZE+7dpJZbrNu6lqsq1vwR+xk4fzGQvvVrP98EqKo8SCVr4ZUgPTo3S2VSepUev +lNU9wSp0Th7bu6m29W9/PCjJaEGDzoBa9WJIbqqOdKc0Q9lcoqjlu95vunpC7DxV2ZkT0cjWo3C z3tGgW6drzNxiWyGy1W1FlrioW9P1Elf5Lius4MatVLCXER82CxATWpvh1dhTza7zhLBG0rvYuRR 4Eqg7eEolgMkDBNAUtfQzHxrWC0s5b1BTlTOJamcz/8IAD9XQiDUQJyfcNFrUQ3mS+iqF6Y/UKnJ eNkXQX7uFhaM6waP68sQXLnYCDI7U/y10nGnpnVVZaQuNw8HkxrLSO7OSwu+7EE45JizNkqwfEnw mEMz9M7e9e9qH5EFYaD0d06YWIFURpDO/2oIk9VTwkPjfVrux3UeHQaWqH6yS1FBhX9b1FxmPoKc LoMhWRpGWbpwvObro12/oRPGxjZrRAL9CPNQ89pKzquVHYjZUyEqsHPdcJNwBMsgRfTO1bzqT1Yl q+d7s/05vzQbquxfQ4GzDIuxJLncrp7rCLfTnk0INFBJAQYB/oF19uhIKZrHTb95KxTCgBEofvtp O14XF/WliQA4c5xWwulFF96bs2k0k8ihj0uOwUXU4abA1xlk97RcYFPyPHQumBtqUBrfWDpy6veG BEeZTlmmMWVxWugLha5UcHzANH+JxXwoyi0s5VYhI/cyi+/Vc5It8DdRUWIXlJMFqJSueRWT+aq3 EgHN+JYLB1K+W9LgXkGR7ElxaSSyWAIExtq4d4+3KyAE3ZALHXyVUlqF66pRB1fxvEnMz6tfL5jU Mp6MPNiEsDvKEgp0XyLSMMhM3hr6AIOHHMeXdaemaEV3IQgTTOp3oVSXy3AUmterb1BFZwi8QR6Y 7e3MiG8Njm/sl/4AG5YVCzb3MUgLAcFmWbmNUkjYM6ZXMKYIVz1BWd8BmIvoXm7DVIjQw1U7uVHa 09yXhM+BQvr2FfuDx8ytc6Y1vFuwM8LMctHF1dftmmh8DIOVNBWeluKi54MNos67nbrmfMGAr9Ij uysIf3vSvWCx5H7iIVEh2l6eAHbJJ1nPsIrovy/RerCaY3z24dPDq8XJ5WK+fqzy7/KAxE5ERUEP HHS9smGbHJA2t7pAXc8tCgRsZCoSFJzr3JEvk3E4q99OLjeeU8EAfqxU4yfFaha9bBqF3vCnVrlt 8qGTbQ3ojT0JAiebeuohdR/AOS8E314tUnunYkqzZdY82EFrUJhr4SRygATnwwxlXn5YXN9swEMh uriIRIBpEoa1tKqYE/B7IgN493Ew3KRc2oeNgKv5BWc2pk7LAlWD+HlK7CM/sas95jLfuxmRHktg CaNQe3wdR65TSNWylLjtmMtPUtv0B+iHzSi6hFhfFUcQdeVwMFqvz8FDnwPl0a7tCqhBMObEOYbP C4pNjdvbvrCOiJg+SYDyXQsxzeIIQvPcHsFVXS21JnWUayJghd89ihsUs+v1HE+2/2uqVv3DVaZf KVZlo+k3SxjvdMcZsnv82kEfgebxn3gVCn0MsXDi6NCbcnNMnIvUjydO6g51rQE+QvDZmOh0S4G6 8/2uUjPSFseGyNAmidRNKcQmg0iaNXfll0D/x2zGrb3ljILFgV9JmRahRBj62kt14TmJ/QzsmyZw 8T9sZKYYf3xulyRNF68Jnm5viw2LOfEiLpTSu4RjLD+y/Zd7sjb5Vy3Y0T/OWN6zVRvsMaKnVJDn lYMBXvle3GzZGjF5EUenBNTIY5p38eb+LtgELT+MKfdQrt4aVTV+nXBYX4nGowtWuyxfNPb67uey 6tk2gPnXU3BYsNmRv5Pb29l4j1ZkC3izw5brnbLkY0vlVNcTO2aq7MAw09g4tbp06X3DAx7NwpMK za24DshRiMkwMAr+LdfuRKdV61ySjF5GvdrCljAQtHoPThykBYzqZbQ33zJ+Z8jTqwawyGntHz/Y a3yQVidagcPZMB3p5nOQdm+CTHBfs6GnsG0iyhRL7ZhA1y0/CCPeK1wyETt3R1pCHT4MuNdVdtSw aIVs4FszEcQ6phRRwsjGm+GP1fl4lcTJvdouD28JOSqKXzYUvj/7lpkw8pczg3LV+plrv4dmF7nK bQaMbCIiElsTHW/MtvzU3bDTBPA7yrpUh14iNvYWIQk01oJvXrL5ekaowYwReumATzCSZvSke1ID 24sU16Dp5pUVQVbO7aTIxD4FA/iPlXvvVMa9L2+DiHUigfkzZVo74fsM/Z0lh07jipgA+9XgJZW0 5xppPbGgiOLoMZ/t9quXdgrpAqpZYyXm6Y8MoZTnEiPLoGq3H4cCavE8wYsaMalfzrk9XEgYK+eA oSoI3oOrjcpgIi88FdQqBqdU2vnxgfm/B7z4BPb3+t6uv1bkIrWHobnQGqlwh5NkZoxJksVXTSYW 2jqBz2+waIuTsFGgEAIDFiBrSrFcvK38/22KUeAIXYSgJkhtHrUcFobXq3M/yYhLU7EJAxTfcdgV HcwxrR8b92HZg62htbDBqeHC9wmeWpvQabVw9NIoWcN91vdkK1oBdGMvbIAXa1QCihXitBwabg3F l34xsMy5BzJfO9HvGuQCku8P5HAfZumgo6tVdoUDJih2ARFA6ecY1jDHdRx8HmK1WoLahrV2YvCH QRuxalJ3/nLtekqn7sQoscRzNqaOKmyJi4v7O9oxwI6A/alv0Mknhq3+xTVX8v9Gr2UiYf/R9p+R j9GVytEPuUGrUtQegfx1GEJDzSoZviZ/mhVvCjbHx2U1+wHBWKCAAlvgWuZoYr3avcJ4Pc9SDjYO 5f7kQjJCT+km99x3wy3Qo3lboZbhrWS8Jz8oEhSOpdlPCOaJ5/+OlQQFT8y7XT8yCQ6oDs/tIlMq onlZnBnt3dATcTaCUWqJsk/Wq9G3clbYcM7oVskRLfX9nxeuMUEz4Q8MbgGU9/HGuXa6mOrwDUgz kcUYbQ9NMuTQzRQhS34VjYPO6n2WqCBxOJXgYpI7azBYjYZFa+8I+5iAuT9wiiG+dV2wSakM3fMa GXsapt/3STFUe959LuhuR1gXyBRjNKSKQFs+fjYtaoLp/0IqmYasyJJaHqeNZhujET/3XKInhksX X2M8qNOIfeT7ImZWQxmunVG8iSa+Ct9X+Lyij/39yOT2W3dfCwsaREDvQSjc9x0UqM48AIgGJKhu gQUUsxihKe6zFTbNIQC7GewWy0eR/JV/Gl7nP+4MkhgTik1XDIt9Th+JQW4G4rOzpDUVWzdK/cjx CgeN8tfBEYe0TgcmNyk6LHGnQQ+0kKoqNKXathjgIlfLjHs2HpJgDIa6z2VtjCuq2vT70IW4z0Dl XtRGdNWp7bgmUS8wjN7esPrBmkT2cVR/jhIP4pF76j/06aVUxMHOIIlO4dzW8Kg5ATU0v0/Q05N2 /omVzHcSc8bk1yLBVDxJEavlGTApUnPfzoe41s/gew6B5gqZsrS6duJJeVnJtHe6/CCmS9DDxHa4 V59/ufJhWAfZ/Dirs39FlsukAIFmygMyIf3CKoe1WH5TIqM/xlCt6n/TfzVflnd4lI7rcQaxxuMz SwlSOzac3pcfjWvwrSkczDx+x3UpQBGiHZKmmHMBxJimkcHJ5xImZ9hoXzxoyioSLvpVhSTcwZ8O quJGoSgRPURz7LpEEmiS71NQbnXGlwGmKFqkGuVgJldqKp9T4mGxMs1TNJaCPiGJDp4thWdkeYmX kZfEKrwFBVK6Nn3hEZdEKBtjwQ0R6wE8mw1NaTw18AjWe1UKf8PxMUh7A2SfihBshgEDyPBU5DiA zwFn/fbD4wQ/1AOzPaEIb41yGdbSEUuLtFrqPjKOC5jJ+twDPRqcMhh6yRu61CsRmWkBj2RqPtdo B+svpFbau3OmFM6rqai6z1Y/umxx1izalx+CGdRGaxE+UJmdUX5FShHgi/NsKbBKstDkFI/Qakv8 Yj29B1EIo8Sz/5tWlB1qTZJpGbnTQg7hZbKqN1SscHPXifrh3CJsSlFYoFCNVfJOpFC9dH2LjrTT 5Kl/vy0gEelyy1mY4GgHJ+By4WdgROwigTz8me7e8/D/mrYAdQ1hTdu9UyEp1fq2iTRTcNUORFTR CnZPwONfxPPwK9/VJ6U1y7+q7IXOiWX6QpuDJwrJe7ahWLY2jt9cEZ2Sj6x8ZukPnTpv1e+lKJbk Z02rYHHmL6SkqQejrNInz/zJ8ccN3oWxUs/GzFGbSUYS/KQdeb/joUPBzHNwPRnJXxb/hJy3AAvt bLZDJSf8rk03MO48cxRvxDP6l0bjh7N/qYBOwU6KZ4Miqjpt/3xfgaHu+ZZIRaR30OECoZvaECAk IbWmCfixA6Z96LOV1AI4TE6nMRQ0X04i5obd2y1hOk5WLGWG6KAZEMGVrK66VhPl3c1H6O6GAVmm Y81Unu8C5uFESeW/5tMFCuQ7UW5CjxKB3nFLtLJK/o3pi3Jd+KjKm1ef0cjGtR1H45oaYIxxOt4P 93quxWSQ8WY5Bpw+ODQuoIKWKaJ7fGQ2aAoIWT828AvlOrYwY6NRFD4f3qHxCizFyQbMO2lQ9NFH bz6trDssJ5hHq7SkrTyHchgMv9Kouv2tXPYOhgzKt0SqGetDXwbT5rxX1/08UlSiCHs6pXeA8TLc HyeDK3+fEsJIhYEQkTAfOI29vqX5OrMGDmIQCVyyKUgNyXQu9Nb5hbdAL8UBpPTHP0WAHKGnaj1O t7S66CFla/FE5S2nmAHCweiqRypbwsM9s+Qr9zR4NRnIb3sQI0GVvOtAsTN3UfWpool1gExaKjns hHbHSAzxgMspkv47cmTdFlxhYe78mfFGB0UeRvGdCx9ccLpyK7wkdnA7Q95gr1LaqtPNCFtF9CoL /A53t7aDZ8PuqMVlPevXiwtIGUNwgmr2F5XNdACmiWF/opcJW6AaIwFAqOFEplXj3Rb7Dfd7FU3Q cTC+no2aZqIi2jW9xT6gThY8ZIWQMOF2qsnULaLY98a/ZEJkPs/op2+Gc04a9Ai7gFZKMsjOwETG ny+zjhsyWJSZs2fG2cJJEG0xGvCr/XlqnrReHZH/AgiNNx7X/kW1fwro9YB8l+N3iabaf9qNe9kj J99xcVBFDp5P9X7gMeEQgGeaLdUbJRa3dTGLEhta3u1jIA7DT+K8O6+JWlwcasmQ15QLWtiQxc0L pIgM0Rbg3hByJFiKjbteLaqjK/JpcJyNCBReuG2V1WjUA91XneFcFuq396/vN4bwWRQ2+hoVco3F 0JM2aDbiJR81hT/f4ctzbq9xCNoXX1m7bnGHgBjHCizDquM8lVEHdN4YCME4cTpR3KFn06XSiZkd xlR8jSeNXJj0dsrr4QLXSzs5Ee7B/TaTMnY+v1HBaSR0eZ0r2RNrahcynaSLS6kOuabQpifsUf6S U2TfFSYd15XpQraP/2NQ9hoY7mVxImgaeSTQ9OgL42ly36QD8VSaPtZcAifOxQ3qOhf1YU3N1Qi0 dcQ2gKkgtiKndg6KsuyIO40SjKYKjb1lx48bkQO1vF9g21RJykZ75BaclYomjsfPTiOirxKdIPhj 9rY08tCKR39lyfMQdo3xSWp+gz8PbjylLpF8h+YUOkPri5pwaTKbdEg3Jg441mSDSCMTCeqVjeGh Cc5Skz+5G3reE+CD7p5AgMhXH7kbfbtSvnQEGRCjppJFlvXvQTzmw427xy6es/+yTEJFT8cgo9rr eE/siPakjwO4nhmzNOQPQ+n6tDzJBy/KLQ2Zw/HCMFiMhSf+66fzogkM9EMq8kAud5gih3iboxI8 x1L6JG41f40Fyf1qEEaWoMGV+IMPbmyQDiSdOBMZVAzZBNdh0OJjow5gD2P/QJBCvldy90xKB8Xv c4kAsUxo4iesbKjH6l5c25GUq2fWxu1z+GsH7xJtzTslzO9y/8i2Lb1X+HVsv3J4E4JGf6+hyZjH zzrg9bJ2uH3TrZd+SQpY9WP5SU5YiRAjw/1FAz0nV7v1xqiiYShqGg6fdgIDkBicT/eIZGx4XNlU fGxtljDG66FTB68+jyKNN9y9o/dPOnjOC/NKq/yKWmfA/oW7iQeGChCE2pkhQHNmH+lppsPKQ6d4 pGW7z96OEVixybJseykT5uFOaRuPqhfqnaFbT3+PHZPP6aUgr/Rn+PBwCWlFfoP15cO63MB3282t A4S7cW3Bac3HAYztmPD6mUI7A3tXGwuAlZwqfdP/8Jm0oZBHWBGwEp/PWYg2X0OLIiEpIe1sc47e A9DJqcXe2hzRS+sqK3yy8IwRW2Eg2EE6ePK4h0teNOSq4cjjcRk6CUHdmutbaHAK1jTg7uajPJRg cevilnfAKUfdsUoeCjTpqEuRTW5c8X3AQUG8iFwlHwxWM7VYSA8eU/OS32VupcmORE0oX+i8GmqD 79FUfEPnjjK18U2+xyO3n71CfP4WlwBwQgNjoErdwZZz81JcE8yhGrfmBJbh7SB5WSEdHE9yvMlH 3vlgli1SvKw8/klwQpu1ZpaQoyXRDIyyI3jvlxi5Td8Mc1++IuIkybgEFWDvVpH4uxNxswcz5lze z6M1ER3JFrrRf+bGMyo/vajtlVmIbGqT3HQExREd3lbZ72JreEIX86Kv9hBfV1L9i0c4F/eGerQL NDORpVCZFq1mHL5uLycdZw9v3ZRBKOqoxa7JUGBteNUigSHl8KrPkcbXJ74Jnd0GAysbhRlGnMUc iDw+jdFM6D4J85S8FAh0qdvvdYoRMuTJmjyOEVnbgX5g41SoxF7lZq9xmtCp4MR0jmrm6q8w+tny xG+SBOSLSt5SmCY0k14oIC02gO8c74V8AFJilw2g9u98SWgUzoZztj+xbvOTgapQ5tOlsCUy1rij DUJEd9M9MvpBmdAUdZCEhdawjqvQspwTney2eM0CKbwdzRP7hs8iLo+39VG6qK5We8ABv3b3gGR3 TsG6DCz1SG2Kjluqz22qqU/Tkbd14lGUooDGs9PrZrgNNA0lw72mfDmFkOi3fv0w59JsqnyAG0y7 w/bJEAu8wpcCNFOoKAbYn3Scf2MCK4wsvinMtX/k+L23CU7eYzz7go+QqWld22DlxUFcPBOcrHeX EXNX1XZzcRdmQrWVNbWejblTpS2DS1pDzwC4cdDV5TEKefZSv0qjJEEOUV0UGxhksOeunAixhsz5 H6bMP3whyngCkSL0Dm0NtR7/D4ikuGbHTiISerVbuVAdRdmCBmLuQxs7ki68PyyXcKc3J5dMocxR eI6rZXESzBw0tZpOWKU11nOy+azM/QNONGII0kqRcEdbaKWEECBB/gcDgXwlKmYjamRaY5ICYpCF R2CyszAQtNK4Gxv1W9D2nS5g4TPakiHPqKQRxAI1gvThdHCCeJfvwEoUJUdPjc2gY1mhIUUYUdDj vZTysePTtHTqNPdYVfGjZZI3MFiWvYEwsIO8JAQ5msQ6lIHLj+phTemHFhBkDzmyIrnsqKVKcief ZR2mnnCoNgwxnJCkPU17kxOeWpd152et2VSVx7POn2qg7kmaHrKvPfgjwqIdnMRVaY7v30Uslx6i pFRo5QKibhTc/czUNbKWvFZoeMP2K5zV/p2Fvw62jn2CTgbEAmwzC+WLQ0yOmGY9CbEhjDBp54q7 F73H1SsRc/YXoHXx32NzB2yKjoSu0OHJI6awnBwheZ+hDEYmJ/5R9MM/k7hqWzU4r9n0XUDlbilv Gb0kij7N5DFVUmwI8NyZpn0RrHdnXS3YQYeZnBPl/LvMsVxn64hFqtm9y1UeRE/DxfvUqkP92dOE lEBuhE1MGIPWzxIdJiIIgco56jK5Aa5BYe+qmn/knv5iFcA0kzu9woDBEgNd0csyxq26DDG6jROx 7VjTOFqTsMxGWechz4vNSxfB4tOzf/0cOTEad97YplqyOQAK1OZTodFkPn4F5zoSExUis4WxZFF9 mf51HELuwX9n9a8QISAPgEMn1N1y56T3ogsf71hoba8Dv3/xNy1HRIU6xBZkTzBkmp4S2JUuSxGu Gj0tPTGY5YrImSezqeh/AmI2yxdDC3goS7Wjhqs6PuH1NC0FHTa1CTPLK1Pb8NDs7pvf/ZYgQWFG htS/Z6CWVoVTBKOwAH/x7D/DFAi/VP/S6snrJXNstfk8plSs9EtQZrzHWob3fAY1kNRjpuul1u/k lzxtde5JfqaqFYJ/+Uil6YhgBPs5ABEZCqJYfi39eZWE+r64MV9/IWsLZWqIotv5F29UZ2IQ5Wz7 rXLp1B47AL6UHUP6u+tGIgcizFWhkjIeMt0Ho51gB8XhercTM8eaRnV5ZISIDLZ3Uc3VRjtIMQZM i6ZYP/SZz//BrwR6wf564tFLzvYILXtm1IBiOP3MKWYB4MGYC5HGMAc3lbqTdlZZGakBy96owGWf 0k408r8bl2GPKAtRx3Xu3Q0SbfFQa8bIIJQTMCG6p6vxbOCRt09C+G2k1KMxIkUs0GsAS3iVSRpn Bx5ILLhHL3o9s1Sz/ZbsA5E8hKkiS+Q2QzB2tUvCOz+TizHIJc/G0WyTc572HZHqvJk9U7uSVU1t ntIa0pFkNDVhkn25o9Jlrj3Y2iCv7CDouQ/ZJHBN5dlF6oj16TQsdGPWtrH+U+dERo8nghsesbGo gQv4WbICUwTiE3qlz20zjTFE6AdHTIul/sNItARnoVYGghPJmoW0/eBoY7E6VLZBcoSYhZxrhPgB uorX3xNI00Z+kzR8S6Ox8LWe2BA+BksZUow4Wll8ZykM1M9n/G1wSc4QzKmSxAuymA8fpRdEcj81 MkUQGTOQlZpkvrV7uFIRBfshTsXxsVNY1VQG/naCnFPy0I/T2PEiBNDYHe5M8KdIrRyczdHc2sMl AB0qiYWwCaMUtGghXZVXSM85JxwIobDF6ijSFvI2sCU06fV+XC5OSWkY39HFKKYL9fDKZuK830/n 5ykJfI9e3q1cL6W0IdInNxZQ24Vpbcw0CQ/vHMex6DjtgsxlU26rXRz6h4DPkoKBAI+4B802t4gX sm69eCgqll5YEwa6pbvN1adNWre3ORDYYodY58bwNQVMe7O2HO7YrgeLpEFQSyu8zlkOf0kjVRXS ERGg1oXMSJB9oJwFGMEj3oF0P2yjZCm7SNFLPH7/voNsebNuAsSLNHK+9RBfLhfgqOnLDXyM8RLM uEcJbQ5Pg9/It+4WfAFLm1xV5x4Tru6SH5PrFNS7Ph6cyp+Qx7/IaUvRGXYYAjqE8EYjxdg6KX1O CAk3Ci7xVb8lO+gVi4+XGdq+TvCu7MN0VxZR9JGRk/I64uI+jtrM6FzyEv1BRUjuWsmV8SgVXPu9 ULsUBq+c0pRcVsr2SfwTeIPiYGrq5bzc10w9xA54JVD+eN6Ix58TR+z9Ae46OsD8gM1TCTwO2x37 GKzde3B9aFBERYFUIitXLo/bgNMEjRS8cce4wERytE9vwT4dpyNXb60MzgwVSBeexUM7lEusOvZ9 8lds4Y2KcQpNAfyQ1+UzwcIAl4k6J9mKOBEnSUAcRxu54facfXSqTQFMr3h+Vn1W0ckQ+N1uv5rd V6RLbyQqhn7k2jtdYiP6N5xv5JN9ecXTamakv1GTg31JlW2gTgDC38pM1KgtVVxsCztAOlucTgk4 lRbvn5yq+OIOTU2pTtGlNtecuMlDUB86lWW4u7Ft2M2TTT9CneTebmj3H7TxceoCiDxU3N37nGHC JG0Fb2v7PNtw4gbYSpgyaby33/j03/UQJxeWiaHbeQ2OFtIuhq9EchGZLTGbtwgY5jg61UPq/Rv3 YtisoX3ARQ43Hs9qK3dp3OfIX7v+JJOA1uJIApY8wKzfs5FmCsHS3ILjDrAsnt4atUKfE0x1uHK4 B+xKUfWXAvI/5QerWQy50X1oOW0znLzwaDVjNxLwjYWFbH+WivmyUODxwP7O08VPBT1aFZfXOt/N Eel+j836REWH8xCRFBfcfui26ZKuO6uR498Fp5PrZGRolF8m9CwfkzKmvg2GbO8i6Fzgij6YYSNY KUWVbXU0JLBXYxTBCwVBnl6HKAhxkmm/Dd6RD1Fou0knMCst7RzrAvOp/Oh5AyQFi2HvPaLcFJI5 hq0/cA1UxKBRn8nHblicuH+TjVXdOcCDX7xo3FIxsTvBUPBOva1AjF4Ibgv8A0LO90IUxbBwSy1F 5T+TmKk92R2uhqQWjokNa0oZm6nKJKM3fAKzE/qJ54xTBYFZjILxG8q6TpY55q07KgGMU8gP6yYY VsybMY1yoeKM07ITO6bdB3PReukrj3JbZgdSis5PEWOzmWsVy+k+9Ta5QN/LTwMurLN7dMxooPm4 7yorzvFbcRIBEqhlt0IX+6sQSj0cIhS6fN1VQ7AVKpQcqaaeMeWeJQsiwseypU/skDUmhFIxo31D eW7pANzy0wAf3ppx4pgEgivykpveOOUANsNMOl/bMslZrg6yL/fu5+lK1VPsgBKZ79+7EZFN7Ssf ctkOcGVJ2kW9NIxoE1fTNhqeeT7PkKeHJQorKa5COqiDMk9Lw7ZV1mfIJEmH+oc8gIOSzSKywSmu 4YRuoQXlj3QUYkPrNp8FtwcUv/gO4nJXuqcx9UaudH/fYMBFfseHnyzK7A0j9cWpLDJ/bEyRsc+S YUESs/J+8NWvQvRJBQtp2X7mBURDEATfuA6wduxrXvoILkLK8VZC4e1M/2A+GscpS/ONmCZTrZwS A9rrO7Po3hi2s7R/+KMAn0ZPst+QuIIafEcIG8l8lAIZN095ZpvgXCVCPTJk3S6fzxlxb+LL+uFa y3seA/OEQrXRGlLyUmxR7KP/Fc6spkAEkqkx9VU1RdEQL+bGvcFjjEGk1qVAefcYcUXOAeePUmt6 MueVU1x962oRr1b/xAkyriRwgcintQelKuqs7Er2J9aehCWwiVavMUozHqOaEOHIolkI7AES4f5S /YF68VfjtebNhPI1yrDGKONXa908+kQ9xayeObpbEVJqm+6QqgaKuJWdDwBXpecaE7ODCcoNzPIS PWCjHiu8j66MnQer2oiN4qfK9Frl37qUUHg10sEsp8fYwhwx+Ers3EEeke3k+ZCntKIACpH+Aura WprhUX6eF/yX7DtOl2g3x6cMN6LyUsYsrqs1sncLXfFjEO+R6OdzfzxPuhnzYgzu+tpR5HtWVSc4 8ntIB+LE4aMA71NZKMVEHAMbNOA/YLCcEMB+DYbbzyRK9qQC9iGdYEY/DMNy+yIz+IgYlfbsRQBk Tr3UQDUJkmO33gT6+6fdJk0IEjidE1mFzJyanzt2MgKkpADYZWh5qyUyuPyo+fOi1SP9lXiaOEPd M2meTYLv6FgE5C3qyihQZ+aocd4MIGouuMyQenXErsC3GBHpIIOp3XMXmiMb3sq43Cm0HrEHvzNZ YvJFYZKG9BJAngcUTjQCxoSCsPAjFYYMsy0l9urcYQeMq6bCH4KVjlidVbeLDwrrB6NcjipZa63v bnCJ8qKE4jfwygaFrZqPxGthxk0yW79tj2GkNEFueO/2oZh7LyVAW8vzRjj1MEC82RDb79aq68Pu 7B2qi0+iimurSNK0CPLs2DxEk2Plw+1bpwq4WXaH7zJngqUnjSbcWgyUhyNwsHJDMaprE+U+DA6b H342Rh3zA1asHkSLf9Br/Z5fpd8bISzuatv+cT28uI9WRQ9jzGMIVFpmVRTabKusPtRyk8oa+pNj +xEu6knf9iwT04CTioDqZwth6xUXxEe71hstWKTyShUssW2J0kfQ1fDdV+WGBRWDQERzgeT3gkaQ c4+Cdv5R14LThN7nSVR7cM4xlH8vKCL5k8JV7ZypozeJbQqICQoohW6PKbvS1sc6ek8lLH2Uclh2 24t0ia5RQP4NgxzlIhW6BWqM4wmrgjxI6OMhN+gXwj6qkRtwbJF1FhsVwLchbzOySYn8h+T47/fu qnHtq0xF2OrzS096mhDT/jvLwZZADRJ38dAquiFAbRFueb5JxuC2I5/uGu/x/V/8V+2XkpU7HJlT mUdo9pv6ikXAJ3E4J+PCR/y235VCNk5SGxGsuCVyoq+gZ3FKOyDPXRhOEfkEoxMNgz/Gb8DDmh33 qgcWNtmkmVAArwkh+HLaITHtz5rpmHymlpCBbTBiUQdMiIG58Xtl/TNwL9SdQirC1MZp+kSkCIQi +Ell1dKqkDyMvHy/e3cYR9o+hlISabA3N5RLw/VEW1W6pawr/b6T+MH+iZzvlnLVRM4A7tna0QhP Ob3TsY3OTas8x7gx2MSFItD4d3vBEU+B8w1iBcY2+1+Mq98jBZQIsaLQ6mEBGvyG9wJIVAc1HNN8 evDGagxCqnmFYjfTyRuKa4TYLsosKyqq6Dhx6hLQxp+rz24Baedynt9Ov+kwCVRBSHN+nHqwV1TA 8rIaoUJOLxC0Hvvtk+6CdgIOrOWatQpx2X4R0UnibHz9cBuHV7YoIjR6lln2yNdRzug5OYOyd5O0 zZLsFkLCgV4EdAJIoUPcwR93AQuQBTk86V9ACcSFQ8/zJbYK2Nv/D3y/q+Nkv7zfX58tqcLRYH/Z klJVBHWMrYq2ZiRKhgaPHjUZ3DplYoh2PmoMKGueSLyDjAH2SGUWxYjaYK5Sk7Mca9alal0B5fp4 3rAMYxqoCL9NnDWJc2PuHw+dh38j5IWa2smb8tcG+O92MPM2EODZpXUPGhzy5b6JJR1wy/oQFZNE jgD0XIDI6gNS+ey7omrY77lTAjkBCBwdNrKkO9lntk3Gr9fc3tfDcLuPIY4EcIca8N+ejM/YL/yU iqgs1NhBcc4FiIh3oOzLCXtZ3T+MtafcXRp7Sjk2DEFwRZBw7r/JuGoBSPX3EUzZYMbMtU0Ly1Te 1iQo3sB4fq4NkHaJsgBCoTRTWC2vVFzDkMdU5zxIOTmV6eGkiW4SlNzp+ZWE3FnXikVHgTzK9zqR rUnSE1yqsbzldqBC91CEFwTvhdNv676p7bLRrDyD4CJIRZObSMKw/MKmRMgs8HhZ3JLesIpXzTi8 7oNlw1/R3BMh33Y/LXKikICOPl4Vs6FHEvpY7a0kyNXwPL//Rx673ggMYs1oHgn4IPs3hUf14Q0Q KQWT1m28l3alck5aT+5Iw0iA1kAX22ez3jEWZ2VbgAB/LNFzOmcY4XgES7H6dnZbL4UYxshJZ1AJ LfautIV1AEtokOQMcCMfnY+vMxoo3k+6DgUivxp+D8H0XyE1cPnbMimSwtt1Gw3ZpStUSOl3YHqn lo0A1UUMqQFxMtiX+Wglq6nDik5gQUYBoCqjfJ70E6j+qpsDkNqrFhnkw9hwoItfCqhq8At7C/U8 b3Iiqau+1ynRI2SA7Mh9l5SDZFWpcXPRgq8y4bBbXwEoZrgY5aaM8gQyi1Yb8sqjarg6K8kHjxH/ urrGCDfu72MR3falCnS+cr3LXfnyymVVcS8Zn8CQUcI5LDWGmp/C3DQKeiXuBMtZf18AKXhXGEDD P0ca0ZwghqnzBxhIgv+l30HnIIQ0Y215F0x05EFIYcaTWg0+xHFe33CCi5IMW56h5UZjpjykE4j7 Z2AALlqOsFIR5VDRC0TlKPPF7Qw80X8vNYa+adneYIAvJg6AUJop3NHO7f4yqYpv5NNRoS79uXyi Ib1sBmDrd8kPPxNAbG1a6Hc4tx1h+5ppuk+Ijz0fhpo8NG35rwBAdqJopz60M8qKwbDzEE6T1Qok nbAQ/PwbZ4XRmZid8TP77WRcaXJaVsxbFR3yAa8tlU4OvqacbF4G+oazMmDZ5D8KLHIc9iDrF7vc NEQW+Na5le4QHpMFe+fALVHk01REdIUXh22y7gmRAGx4YUDpxC3qsBq8AH+f+DRzZuDrE6lNJMxo DjG67dx90nlvsL31fg/MWAw6ktRaVjlDakHHV0sSIPsEhdDfWRd7nhmsNNrsewy78EKvlqMBuFmZ w2AZZ6AQbNpqH/c1q1Oogs+kx8fIssFOd+TiShLR7GYAU7t1AY8xMuTaR7HAlitJIc2IXACkhsuG 3otPdtuZJPFbyBWQ0z5XcZknscgbFOO/8FjLr+91EUlqQW52I3CtCsAEbVi777/dQsqmExSj2Lnp B1HY504ApW65S55gABnSz6T8Q76/LsUeEldzVixl6GSBKILxWM+/FbCFSeMfrUpk2x90uKsGa0ZA O85bRfC7e6S8Tfs+wvt9b/r7OqRCx7+kNkZrDrvwvL4JDbFmEsngjO2aotj+jVXwNIv4MM/MRwFh aFSGHLIQrrbGEk7bwRMJkIXCxTtBmGgYFomIqVaHQJB0zZnkTYVqmYqKkWLDBnhFYiV+2Pir8Kim uGcy3HZF9W8+WY82L/MHNQeLTh6BR41+yC2YgmVg2kEElNu1c088X0q26hb3tW6pJBn3DpTJdrnz zX85TjzL1aNmyfkpzCrWfqIA4XB5Puy7bp5ut2T9e0uLjYC6MZADqlmh+dWaQh2lInwkz06ZdGg9 sjAcLoDJyub//N/aiY9FRPxcqpc9YkAUNfu2fYbh89z2FodpOcbYm8+IN2RKImcM3x+gCMi8dMXE RtObb86hRsWjUc/RDz5BzvlVLohz+hKcWPDWbuIEasv++A08XkwWq59VGGq8Mhd73zVfHCeJwdJg G5hGWBdpDE0qHY36KH3F2n4oeyrB+yzYhe/EG/6Yv7yO0UgG5mSG+FugOaoGB9Ds+yNAScyR23M8 yCZ9IW16btQRAQJv4IimJPvbU7JexiGRI4+KDQzBwzKQwL948sjRG80kkVRLxASqRvrZ7Nm2g8/7 8OkfjeXx1jfRSZ5R13nU63RIN3Qvd7j2xulP9BkeeCLlyjIpIFignPMb1e0JlrUxyRsZy5DRvo8i 88kp+vYqkZyBGBK1JQ8ambehcHj9ZED8MntumXCghmTe7vCr764L7I8M0cAu7CaxEMwBd+65Qfu5 m3qg0LmO3buWcVL7UNqtznhUl6/zztceoqBZZQu6sAGP8I/W7eJZ3NAOqjPzyxmI4lUTqQTnzeLr BAcb79rI2yfFJMN7PGkeGWhVEP5GMbYqI3AoYQ0USf0EnY88qBXaOCcCAlwt0CtY6t+X3zRrIvkr EN6qR1sFvhvgJUiAfdAxmMoMnlyJNtXbYEcPdIq+f036N3+8zepktvSc6rVm+/5s6Zdj+QoIUouM jrJWL7UrtGy04jWmik29MZZfjJiXsd06kLUQAZXjVXxjpakWUCp3+jfhaBUhtGgLl6NdawWaMrYH AxoidHUw7+rySZUrGW8qCDCFfMeOIRJNSBVwwlziXd95DznASAtlbN6hc9FUBkxOMLy5B+u4eehH MwdVgNL/3W+Epd5sm+hM0o/3dZTyRlP5hgKbRlIsVlLyHLvHXJUL4nE1v9nMOECNzlsfj71Ln+iy Y+0BBJjhrXIJuiSkDzMPs2ZlfL2MJECx4ECia8JM15JvXtUcoR2O4Pg/2HqxgqE732wlGKKrEj1V RHv30TJ/ao0STW/azJbmgnSfBHV9YbXZqHggYjMFMNMFZkfs0x+Kj2JKOeFYiYTE5cpKDEPVvrb5 YXmHjHFliX+QC25m3D7cqmt/ijksSoLbUUF8uV1OagCzXM/J/7XuGqE+TV1BQsiCNSrGbz7Mxvql dgf3J/3hSkg7AUz1MI2S4yEZlguCQ7UpXNRCWgC2cG90yiIyoM+6imKR4k1RhN//FOUo7AW9C8AR 7A8WL3THAxJNhrc5lCkwAjMFa0pLqEx21UiKlxsPj2CmZJ1LL3F13wzilhOFZNr44qS44WfJBNJR uJigBhXFtIBniVHyJo6yHUAI7FPTyAXzXrC7k0Rj35ePNBnBxYbwNOf/fRVfYmjAcUtcjV5Xnv8X Ci5YOls+vbRkF7pUyYo0bNRAX8VqY9w0mHreOgtJEfFkxRfjvdarrNEeF+ZW55bWbPSz65Dk51gx 2w18azbAPhb883v3IWlgwflXVGx2wA1BSI2/AreCbwDvpmjmSXE7vyitGWD93vU5sX08B4JJ4xtR f7DTWeYAO+Bhzy5h+8Tq6sj0FI0fRQdqN4uoN3pSBaLg3QhSPRHG4JLzEtTudop7iuiMHMyf1PGp jF0qAn6CD/JJpTLx6JMU/viXjRrkx4SKSvzoFM3Q700eAydIELvY98E3eaz1tU9+GJSxidru3umw MLZTz2RiOhDuBcEAsq5KATbh0N+bRfjHxTcUW/m+3Owz4KGk6oeRkMei6PMXSoDcaNkKweIfl/OU 6lBn2m1sCYsT2LPOzC5nzcMmarWZlbqqaGrUcKENAB89elPp35v3k2a6K33siRe5Jfad92padY2X p3xFvu+/5povg6JSAb1rqzEz5LwdhcISe6V0IxSV+OTTl8MQCSejB1Y8cERLTx++iuLWvXbiMBgA Zihb5/lb2xAUcRyoChhzOGfUqSLbCwsaEUI9+t9n72EG6DLjka5TeLEa55qKimRkO99ZMwUn/L0L 02DvuwcqvB4GfzcBTPq+C3So2N1amBlx4NGy048Ok3EToL1Nx8+deK4RNqGkvyRgEGi6wf7rnv2A /tw/Sg6UWHN7d7C/gFx2ClifxMOPJYePYTUsi4PwCEknnQz035R9QXcBZseN86Ow1Dtke4GsPiVe a7ua33HslvNhU1r8YfgSOQ1Du+VwDT8bXL3sTzR/LuPJ2iXLlr0ZtYDgpMWGwhRJLI9DpfE1L5Ba fQ8chNcuEM4Y5D/zckYu4VEHjbKAfHY6JZ8lmkrGafnBE9SG+oLUa6IA59FpykGaA7n4KuvN1UVZ 7JnvbHFExj7fla6SJZolUX3BR3ouS5SHAsmqiDFdnmcn6CIb/G0CEVOMyQvHskUebfQaL4M9dgV9 ETkVwYeDjTX2ytGPx+Hgzx0GgHzMxTK1TbswEfnFiS2Wfbrdyoqsq9HQ9K2yqbUGWqgfB24WTArR 012y4fQGY0hG8jnVPCd6UPvkqnqjNga1250I6xoP/HTK8I9d1zBzzSHeXAYbljIwLredvkXXPhOv wYlMiBwkVVIZXE55K0DA73Fq1l1qju1Gk5KBP/Z/xPukbtJuWxpRA8sC0yQW7vFDxAZcwptMiHx8 pKVt+PW320BwutH7jVaf/xxg1hKdawinskA+FxMmorhGcEe5YzxwC1/lzZZVVYzj0w6E5DYZd3H1 eokuh1rDFwCL0siDSyg3z9Pu4ItkkKlw4gtz5Ps3PH9s/BsuJrYaqccyiTb/srst6ytfNCO8oUCQ 7sgIMC6MPJlYki0zUfAZUbRV8qH8QIZcQCCXA31Hey+wooGtY/MtH/S1Q/LKNXUkl6Tzrjip6iBC YWjTzqeziWx00M5MLgwc7d1VwAbA2VSLC6bwU069qnvke2WBPKyQeLFeno8caCc+bGxN7j5qzjAY 45SkzAua24Jta6x+FBq4zRdHIQBjz4jbXFpJv7c3q9LGjypnk67oD4SDILsSeSKjfe8iyIaJ6E6E Gdz/h+gKRWkufOAgvkLLWfvk+VtiCJYm+6IlEx7Y/AImmZmXyQaKoqMRWmDcFmbO0GUagAcZLCSZ cyYk0QBU3v6zRWAk3P0RNUy2MEs3L83E2NytPJdbFqLTACoNlMu4ByzuL+ALRA6hmZvg806NnRfu 2QrC7mwRLcRqcTVEyI9TX+YYAqTsIFdf2FFE+ueNziRIYl95cQuWD0lUu7O2p2QT3ttcyAQZ/mW9 shn+8C7lOjkwDVRH12hDTakO4RJApYXNMBRBlnvQBOZ82LOevHSnfv8X/wrXXLwZvXdU+LooFHg1 Xfm0UZCimpUI8m5wkIv+DX891A0Fss/UKc3y6TzyEUfsuwr5gOnG0aoJcXsKZuXtx99zZQHt07gO +PSYf6au+w0Jtv1XxhtxlcKqnaRpihawW4VA+N5ROXIBB/9pljxtByygjBbjgpuwKi22inJe1JXZ m1ODZ0Q6bvX2TjavcBH5HeD7ySWC1vvtgQLDmbAbLhMKKH3mWYDNClJe0N2v8VWqscE6BAuaXkyf yWxzRb5bYFg8rVTjQb9vcgoIM/CP0fCWwt2IzUuWORDuB7AIGtEbISwdY3Yi2DR0ECOojhibOVCh 4+N2aGyK+ITuvccQG6F/mm7rGEwboGV1uLOlhY/xDpnefwtfzDYT6wt7qOnVKAaffb6SN9iThWNw W0/SXIKhutvw5VK/BRn0eDd6SRtei3Q1KsDRU9KBiGxbRbe1gXgs24r9lmZaVTjwxmI7J7eXD9vN 1PjZOAk2Q3i33W3WQ5GjqcgbvUJ8lqM955qgxtvR8G2RMJHjuPjliONGoBIHArPJbYFRPxfP68pI yR9Xz27i4I4Ms/iE3/8ZBnJJKpa0+vCg1mch0qNYMqpk+ji3Eza4MONBSpDzl6GJfg60Lfu8XJJL eDAfxdy0iibEegODo1yGGv+XlOxMe56+P3W6lAOCmR86BX1/dAWhsTS9An8Oq9EFpteoEphrq+19 45gCIumRuteMP9xRsVMNN+OvPWQUzCq5W/jyRcs4OxdDDVZnVwuLAk4MepBSGt+WzHtRWtj53WIw jiOKC1ZsHR6LUHShiHoPuACNp/L9hd6zve+ErfkajPFsfvYAFBpsRkvqrQ2f9X5IeVVMQQue0j2X 9myfCa+pCYKzT/FuCLXd5ILBqD/Qr1AyWyyHJ2PcYzuc378Au0MfxiUbCTULO8nYVYuh9yW1/28g GXF8fK1nwIox3z/KGs8maZUjQmAVw7kfGPiRUF1Z+58j/veTdY3nJLfYWGx7m08zx+5qGTBu3mjS npTE5nue6c5uhLyY/Imvy2ttUPjEZRisSpYHXxVUAngnOePRBov6pMxTmX194/GgM3Vq47XaJBvY KWLFdm+2CzctVFV2xdgjc+OvL86Y9lptG5q66jLQTerfSGIpetbHJhE3mnhVMWo8b97t/mFnqluo 11T7OCwzWutuqX41DoB0TqmWZYieJlUrVSUtmYNGTaesotbnzzBlC1Us6y/oHdTOmCBrFZ4FA5ir SG+wHweIcZyFWgap9Tozcm+EPKHHiLrcPw/v369pzV4JRaU5eiQQoKndzAqQ8EJCkXSQROVND7im SgG8A8A/HExZDq9AXbvrsxw2M5HXYzSYnRia7QFA3mSV3+QK9j+paSPQFQAkrZv5eEEkbi12IZZU Jlk81HgWRIEFApGpMmWZb5ipGecU8BpSl2wWdkHV+1bLvRZku3TruNrNjxCv1a7E4w1wT9FuhNaP R8/skXT34MMHqJy2cdFSHJdFDXhKAMvUJFsZQvDwlFKT3vONodYWUMfESqXdPwjmltUCq6T/T7d2 Hu9R6RB5stKeFE/FjGdbmTr3bUtrwmYpTviwW/OLPbx1PrwFt4zuVnLrcF/g+gV45HbImrcBSxDy OSEZYqq89VEhVXU4+04kEdQuaLHp9ra8MZCilQ65pB+QZvEK88vK9YUGPLK7RVAV/dhg3we3P6N+ BtbDSTSCm14pEfzHU+lhPb7CxyHqGraW83fjLfZNPk7C3Lcvj5ru4xZGM+JVqs3nLGIrdB33TM4l jVtQpcRUpcezkKfg9jGWnVRohCNupo2TLjQJYWBcoH83Dp4L+ZBUnM6VFpS6yytgGaNJBVKPpEA8 eWyclDw7Gjb/SUYvxIY/MGGqn9ksMmpx0i7uil6EEEmcD4LQ01pzCxgPAjna7bU0wSaFqN2UGGeJ Zh24R3pz9wila+h9+ZefJW2l2b86zeh+dGXnImL23+9o85NvImme/neycKMjWZRpELj+iADLc84A p+gkbEabDsiLgCOvYX/ei5zyugZrBAbFb5BDJ4Q5zB2jbR6+5CdmEJFqB52Cyma8ZCJUoab2ohDE e4O1wCsFO7cQ6Bw/etIfzmEfufzVbJbIiqi9h4BBmm8Rzpdk3Nq07vWiLbOZ5U1RWl95y8R/1UgD pW/1GkcXa0Z/otiZ7Et4J8oP7KWW2W4CXvGQF1UFJ47G7oY+pfnJS41tAbOJlNje/BL44rxJz95O LNMSI8dNDCdwAt/eKQM/ZNyZwPWkQdNHzvgtQYFstvYPbD3UZa1OaPXq5q747Itb1w0mQrNlYO+Q edV7m0lz1/4xYQXEQ2JjKiOCU/24+3Eo6vtoerk+hcgHx/KVU00d63tpSqtnPvyw9IxmLfFYUOst woSAYT80v4PKdKGDhm1t7J7qleu3fywZ9rGCMj2LoyxUEQegYjqX0Odyi0tgqOsSN3Vwshm+XCG6 WWTSPpyj1yet4ev95kxDuFYhlbh86nglU68Tv2v1AXbMx1diIuBop6xG4cEGTrSYXM+Y0qAZZ+mi FOZqD7VchmMWgYff7IwT/jCa2yQ/30Ftvr3wIPBXmI/ZzsAYnI9V/QjTnLRX9oUCd6ELKLB4iYRt T9z5kX6Znmg0W0U+bzyG/cWWd02k3GVZPEbdDRz2USR6vfa/w+xevj+9qW2/rIKS4VGR1KV//Gav DkhOa5c3QyCW4mD43hwMsWDY7yBOJNyKQ3qsGpuygO/gSCXA8DtiT5WA6Np8cfN8JvLUUSsi8XCn hpx9fQbw/rUAKKNq17p98Qw6SZDq3K+WQ1Mqox5hzEkcZ55IprpOPC22WXJ5PUrYxYNZLlTbz2oG FMHPNDjbO6Lqhgz15UkqV06nd6ZY64d9C7ThreSYOkhjzs8ybIinI6pIFaCvAgx0Qv/P9KBDTcUD auvwc2+NXczXsiPS8BFggydEjUzFupRfMN7RQKIcyVyWZ/+qdxRC9E8rbbCsoi4mTWJFXR+D1LQg TEV9NJd/fPxxVYF6oktc4CnU3XYlqUs8uLVwla+My5SaI4oETwbca5jcdnlJ0kA9TBc6Z6eYMIUe zLFHDB8a4x3cbSGrM0hpGGGK5KB+w6fcQjFRq1vtnuNdsSBxJTOnbQkfMyqx07oXuzB2vOhXwtn9 cM7Yx7BYLOpiu81oVbyRgC/adXxVTpooQLh++DgVBddFdZfv2EvgoteYOajNE6Ke9mPnkJwO9ASu ke2yQD6xrzxQq74m4YGDAwC8YceKAqZdvMhodFaFrm0qql0HxEiW/oCe/XGfwqPyJZI9r54Hlt0s vJZQCCgZivGJ1WJoX0rc8vsLKAtEHVP7ZZ5jZQ2tndXYgJvz069IkfI9XnNqQ2Theq6t+v6y1SrP qCNNWh7jpsRUJ+dGndiQNue3AC8KSvPGtklb5/ed90f6xnqbRAq3ZR321ugaxDTxXi6gm8LxXPuK WGYwPy11LUEqIcP3G9kvUzUy22a0t4njVViy08eOpt1C9y7ErUtouiqM1BzB7yMdoEtWaERaK1WW gMe8PclZkdVGrke/LB7bNCaOZ7ZCKsK2bQtmx1EUDv+0vS7JMFG0AbSZeKHEd91SZfJ29Tw6VW3e Y3h5a/NNguQMP0fJVTMnc1amcV2+1lKLYB1ipN2eHMDtStwO6V6VvgjKzB3aHa9fIM95XOP2EYTX miz+97J/WiZeoFvA8uOmIbHFABKT8IialxZ+N2N49PZ3ZyR7gW/j6yy+SVqtcW1QmSl+pgTmIGKI 6vMC5+bGHI6S9cYKXgqVppM6qZxBATBQeahHeh6gZliMCLGZSSQEeCFSCAfpnePyGX5ozQC58/hD AWQGu/s8qPJFpP720shU9RQ3kHc5hT0ywjtm2yZbH8mh0usx04vOanKLwWPk8aHTr5u/NbWrXsMH jDbzcmFsUr/45ytBu3Gy37YZ4OBa1ZDPaWQEnOeQATUpCpNfMbQwhD1UbFO9vNfHGH0tgmv5EZiO 3mPEfFd8DSzMPOUlfd7VmFScAKKmCWYLB8XjbhGevdKx5gE1AIuMLABm2uXQ1A5qoq5ZUjufuO1F LkMkuIsvQiJV1FI19hUwUkJaYGzpsF+PenqmKvnypAnTiRAzfpALdexFH6CMbn+iqFjiIJBCRaT4 VKEiBaMpK3HgR+s+kG4rhxWx7wdcD/JpYKbFCEg6zDM6PaSa5yCvkEYsuoOSgxcQQnZtuHym9QBF Py57rY6/7s0IttApZxGhw5Oqltvcm6osy6Di/RZ2LhRH0p6UGkWrrD5MUrNDYAka77MdxQpCp2DX sJ3iX5bVCbgNICVWZXXLzZLkXF6isIOqAhDmbI8ZsK6p2+P0A3XVC31Ob8fTzLh9poECAJbqX/K1 ENuc+PjFeitd/bGZgrmpOJMWHoBTRAhl5WScAzk8/PpaV3hwNyR2x5upEbt/PliSQCLQAntHHOMu 9Si0+wk7KrafXqOgExq62cKEgfiJktik34myvBXGT/bLU2ZCC2M5Rr236yZ3exAoVbCVOYxOa99V A+MIC9NgU8vk2bErx9HQBftlw0q0+eN4yHjCiTfSj21x6sZXtHHCNpz8EOkYBbKcwgucpbTWg3jJ k5G7DbQxr/MWHI0HONzCerXBpYp6v6DXjWxRZCxpfTY7NoYWkCPVGE7P4ijKCyMgMWFBDXKLBoeV FqEq9q2Qa+sFFcst1QPZ+PbclTp7sNk5c2tQs7bHj+jLChEfYnp7RvDLWkKX7L+8gP511Hir7fQb Bd1P1kccEh6FrgRQakNB6DE/9zlG1lccTLX1M9f+1NvBHUhWVfTDbSinAZfcJpmtUoTQhhaS97Hk +3SQzHHnw0HHgW9F0LGVg/qrDl+6vbtew8cSCqDamnWhM3IYsQBucUzTS9awMID3Kb6Z6oNW/QOR A5eKkMi3vvldIxVQiGKCBV6l2jQ8FoEwQrVQamPpya6RZd8fKBFsz85sNR6PIszoVPoGJYEtn7W0 KKZci3qHPe+ZjMCD407EQV6WCaFLLzquJYGdD9jWJIXurtqj7j7MCNNyZ7VXgDZhWSA2FoEGKr8n gvwmbcWLCFuyT2Zem5Li1Mk7QlDDhp7Ml6nHwesgLqYic/6Okl4knt7pxFcuFyJIkJYym1+pOHw7 jAa0LPnj7A7p8jDoYqGhjXi5ONSrh+QPqudHeehyDuQuaIjzGOwlvfE0CtfQ926P6KZbnXPZu3Ft VRRjLFbmuHpkBs16nnGwL2PiDs89hMWEi3Evt3Yk8KQ/qeURouAUqh59vIT/qXUmEfV4FBQh5ORi rd8GdKaNz4T4FGhY7RaF/pUt8KEHuvaEguuNH6Di+Mu7IXT1aPQz3tPtE7asPKXcopSc2Z5zZC/l TP1aMZ71mFVjzh2Icvx7uG3Dn58z+ZYBqPOy5uyi8hxKKC0DtufAMOhXGkNOVdMm84SdtG4Awn1A ryrrgCWp0RzAw71y4VjRGWbYsKPDo0L4oHN2tXRwJYcZsCNSyeXVICB/UnGNAbxn73l5ZCHElYos XvyzfQ2+vIC3BIuKWrYQpTv6sHEdz5dlGHCqXF4gawd6VXxgJDimEZwMvE8+JXfvkqU1LuaBROVs pT3x+Sh3Il/JAd8MFmbe58kl8gk7GwOYSuDl/laaK3Y1DLqU7fmjHDLKCsaqEAwrl7B7xoihRJz9 UCCJMqVGPV70hHTWga4Wlr/sqEEaDSHImWNw32wk6SJUL8p13Bq00mHjLxZwlZxhYaodXWoCgBXj yIgYLB3y42SdsTgYNcvP+FsHhmqIduVjEyjiMM6zTL8tQK4qAHua0gqtBih6dugnrWgPHSbeCSRW 2zULh8BWq4J+JhWQ4UKvOWt/M5xIOby/XaUqhC/hbFia+rbV8jiSR7PNG0NlmdXifQxgW2sHhX9X XtWdb52HHN3X//+GBaUlC2kmluDDEKX+bQJMZpBvv3M9nUMn/Z8miutOrk3yn+szJbevti6HAAVk tfzaWu2m/adung66fagLjOQBgOQtYKD1s93eY/05zTi/eXOrJ0a5BIEjaOPO7aqjk1Tt0JbicvHA SvOZjVWNZW/SkviUWdSxBlu2HvU6/Scb5SESl0ZZYjIA6Xhqj0o6sM6EDsEIDLvS0BGR2S56cPFs djGo3BSITWSVo844rKH03L4CB/Q0M85vh7wekBDRurqa6PXyrX1FgvsIe0582nMKC1ULsJ5VIPZR WOdlvSu6PZ7SZIQJo+X1VItFwUuovdALn7DnFhairjWJs1uwzsGEXNEbgNZdGby83I9WIZXYRnFY NOWLUN/hqMbMVbZePQH24rVP7OfBo7QgYfOKJEF/6klbwMc11WHwm+BJkkXmxfBLVE3suFRAqmgw D/w4bKu3Rb/1dmQgwAtlh+Vcvcn5y48j1HqgOeccpOAY/yhgHo+Uh5pd+FXcGLbWl+lTDXzAi89W Sxa8sYrFxm/vzmBnO+mPUw0NulI6ZRVlr9ntlGF9Ycz/h+5sFlEYWRWM1ed9xGucY4yfIrRrzids BUhgpckks9iIbezKQxt1mypwx/8T22nMrBA9HY20oa8NhaJShoRVisWMsH6cw2Om7YeA0FNGO42v ZCXboRXvXhe4/YJSLhtRTaUMxpiwU07+xsE051Ir4JAVGxLQs4d1jvScDCWv6FWnc+hZwoocqpWg qGiBKXbxBb3nd6CgluVsYx0+nkhXyCKkZoKo/fvJuhVrUdhkK6NMXAYyk9eXEq9DJEF4UKWB+OnR Hq1BEuTHHffF6rYpmr4lr+GFJW/YsUP5mEJNeuEjtDds6OBacQeNBe/xUtQ5hyZoYi+HGJdmmZrA PknsOlC3vWyDlnw+/blFx+qBqf4FbySzA2t9QijizX1Os2Ph2ZMYqbg/qWa7la/IJjwW43YMiJn8 7SZCAtjEqLv+ZAhkdElwMn3T46HD2NsFUWyLnuv8I+7PCWY0jwKkdgwQputxcmUh8G7DEuo1s0eX n5+GVb9lNwJL2HFjSUMIj3RGcIa0lMUOgoIFdR71UCh6cfyIyWFnBpkcPbp1mJUCTtVW/dkydi6J H1X6Lm7ijeSdiabOHQT+2ojxKV5iPrCnwYpxZbeWDrSBFGV2MbvIuQggykGhOhy2h8plG4iC/Gm0 Yy/dBd4wBbZMUkLDVKwQ7V8FD9QN0BlN56tScvojjUBH9ZpxL7c+arrYPVCTiEw2l6nk6wWCki1K KsWclUB7CWkM3s8suoAoYDI9qt/3w6xqOyJVxw67c5HZIbgj8pOhQPcmHmppgmYSYRDdIEQNrlrV NW0X7hZmlER57iH9OWYUNK1hVM65t3ThSbh+ohZnsu6V8zjuBZJawzf9mi7INMMxOLt3ZVNiT8UI mJ/hZqs+0I+JauTe5H/vPUOm+o7+GvtSkrEbIhIhcflIuAQBFDDBkg1f7mQfwq38obmSdrN1XW+V +X6sICitza5oEBYoW4GmcFTuJrjkMdGk5AQ1AUKI1a/FKeTDLXtfMcYVolypwGfwdvLou7G6pgGr +txhrGWVD7SYFvnj/qPWWVrrVLjIdRwfeTb2AaSFfUMXqz46dpLK9wxc58CqKdsxo4i4Pk5IbyLn 23kyS4GZp++DFhEIQttn+At6FCbdip5eYbOOc2hV9p1kmjccrpaXugqiwLgUN7lvMgS1lC/F2dTZ qzWj021T//PPHRRUYbpuA42bfNs2k8kiHOsJyQUJm04X4+y+K4jftYuW93ip0kt705UCPE+fhxy1 7anyU/rPPMkE7TSBa3eQL69Rh3XTDYp4TNW64I+5EkUW/onOC8tqqJx17IYrFevyfAgCSsKQS88V ujE6wpDiymTNMwiniTrZFzJfIVneFb0b+g/+jVdeBOtxSVKqcmOAGuqA1Nf95S1J8nWAkzj90gMI QRGIJ8qrjRPHwluhRbT3/33VehTwEYMyoEoYcRVbbiI+YRShaOOYzPJuafL4gLQj0y3FCesXfnUV 8hGUiXfDt4iYftshKbNjJEqWJ/RKlvJiiJh/HSLGejWyRyu20bZ3hk0vjkP2Q78RClLpMGJPEfJZ N5piq+VNQshxl5vVV/w1Y8QX+cmYcDVDD9d5ETUZVa0g/b/PftZ3Q8vvIw7aNWfVdI6M9mHn524J +AzksUIXnFHjWAjGyvFYM6Dfkz/Ejkl++LnBzXPOoqtL2rNXzItWpbbReay47sAXXSjMbza8NH53 ZpTJfA89wlwckFjviFwP4/gOmbnngh982Pwd3bu0RIcz0UY8qvJDYydRyzbfylX4eOND2B+FVnQ3 BcpshaOTMb0zeG2wcKW+QR0EgYJeuivmHSwrBP62zB8iH/4Hj0ZdvUqvOWyZyQxcWG2JiYq0OfGp SVmRLzlwA+o6iqkhna/nRSQMCanES0JLWvMO/GFBUDmTiaHbthqmD0gisnC0Nbf58O6x1muKP+Nd qEQzdVVJbAutEXwrgGbvQmbYaeMh3scy4fVv3wSwo6eKkB0nks7wdb6eBJjFW83O8na+DVpCuNFx /VFdw7u4s7TZAYhG6aRJw/1it3brMYDj9wwNZTyXI21YZoFO0+MCYXf71D9aMm1/uykBp83dfo6U jSsKNS8coFB0xppi09o+iq4gmpNFyea//x6EyWmczm4LvkU8qoh2KMxkkPsrH41N0jzsfyysCnEi HUFTwXM8ckoh1MyMJ4m8okR9XZqfON3UKyVzO7inO85KNMVGLUOeAnan+FEBj99bhnCHqNlKwgQ5 W2bDpsjwQ6SFc4gWCnhK3pw+FG7TgUp4GkstBVcMOQJWlytsnNHVO1b6m5OYtdEL5BYy9+gD4U2j R5qflDDBCvrC6OS63eTRT504ca0zs6wuqPWwaHjF7meTVsvvvVjpuAjfOEbZTf2P3k3JJkAv5uHF 0l3kt6CmX2f83Qc4otC7ZpsqFw7mPtfh0lL+S6LXW0If5LFjhm2D7GMOuLYYb2KoII7Strf2Xvq3 0fvGhyiDltkurPLXhhBxXqdn6E0yr9z1eG47NwCu4V1xe/kzQlYqhcbNT3w8FMUymupuNBFis0Gj 8+9qnKF1Ih0FW4fgnfjD61fDInv3tgFF0DFasgjkHgvO86/RzB1TCMOgt3BOTniGfp4SDbJiHoNH 1jtwdVUooOdQg5vJaooy6HfO2YaHgZxsJYTUU93N85QufQrg/R0sbN1PX/vKYzV5B1qcQDiiv7d3 igitZIlJErhTXACr+NAi73VkXD6OnwbrvT24bijfne6YLb43k9JUgQnqaS1pfvajQKoRTLr2cEmL i1hY11a2J92ERut6mBdF4xJkKux4PCOFm2+SEC5FRZSVvhsxewoThxyMofm27W9a0SaXLP9KsBzo hMYS0Xpz8oBLgbCEA5fT80YqkemZ+vZNjx+Gt9RO1w8+caYHldgLt5AUNQSTh2/WgSMEVeD8Qtrr ILWWnzXv0z2tv1xZf18hGEev1FvalkQas7bstdJKe/zKTbQ5vF/jKvcqvcL4/Gs5apWW8mUKDP+b KCeloHCPuvgV/qIHz8fr45hP2hY09J8jFIxLcZrsWVINJDM2aobNckuF5Kx1mwLIZmI1qRpkKQAj xERg8xYMkee+73aLTVxNws6O+yBcs7Z+1xpCfWHVy93aAl7Fbd3bRisePZWyxbpv+3O9xpR7t1Id iQwbiAqxpHRplpFkGJQBCGhEJEd79Re3Y+IbIAZ5mrYzsGTYjp6NFW0xtQhcEdFckTu8GKJ2ZeF+ oW+Nyl/HMP7fam2ng8kb+VA3xEy9ysGd0hcPCrXlWpQ4ozGwAgF317+IZc6dCIkRfLXFFPDhMXD4 h9lRSfC6YzgaXvdAYYDk9rhwnSei9YyuOTp6TE2jd8zd2ORCjQrrWWxGNcqBO6RVg5id+M5Hvu0J qDviZYTO0374xxOd6G4m4g96+EFbtLTFzhlSuR90rWXDFkzi+zetkTMrmblKBdmZtF7Xs5WjH0Cj tFuuT/Mj0cmq2aEJXqEfOvs9BUfczsc+jvxhpt4fa7dbd+3WQzh4unli4cW2ukxm2Ju9my8p7CLi Gsrkl2iZR3ABK4xI5sGqQKaJTIceU0giFMroYRTfdD3vApOCR3C7iaDZHl8Gt0MnRe4MmhyYkW7Y leiyWZG5d6tJmXHpsQe81lzDDyiGdc/TQoPmN5ZrY7MYwyTiNwY69dOBPMqCFbbMvbO/wWxML6D/ aerNjbVhyd/glIWo0pOENYqPuUCuJWDWun/Rrjb9OjGpQh1kQtniXR2X/XX4B/AYPsaihD/16Lse vqMbP+98iRewR3c4cTs2HmQ3vi8GqvE5RUUXWHTlA4dTwCpfjuk1UVBYuxAk7NZlueheVZPjPbYn Gj/7LPBCgMqMH/K1rKA2qWR+ZDMB3f/OzJYSHnsOWYLJ9Ia3u8fIEU19Xkl0kh4DsohlYt707QVt 7JduwfRScgrd7I2RGi0McUJvW/zjlYi6CUt7BvpQQCem7NIwHrCnx8d/ak0TeESJRma18chyBjm4 mDJ3DcZofmVL3vI9sj+Y68fl8P3TeMsCDqcaMIlR1gY6JXl11oNem8JMZRldWzvF0cteVcO+q0tX gUNNsL1Nr2LTPAmf//ByBk0d4YqmSfa2uNNlL1kLD7R0SWhaHBERTfiQYnMOayXZA402UvvWbdMI W8AQ0pes84RESSp7y72Exlq6f2hUvv67OFONxHdnUeP3Y13slJEKp0fyE69sSFaNuJJivS6m/N2m ZWcQdhtnEWFUckcDxosQow5IRatESl1EgSB9e57ETceQnvKr6CF5IEnI8oP7laoxouFjeIm2ZhiR m/uRhnFU4xTOGwnwVk1mVOCp0Jkg1rLS7phRUp+hLlwf0qyJ2aN/8jT2UsSIYsZFX0594BIOUm7P BCG906+XTLkO2Pi+59OIIqsv900l5TlmHB3fONv87zCmkCNsT1330f16Dl08d0umJl4ckjL5+QXr kI9L/c7NVxT09A4MErsYjSiynr37k//ZftbMJEZmd3dVERveRHcCxRKY12PugmC++drWXZ4gqqB0 F0PnTtNIboUDhf6vy1+RqEC32MfCaffc7HUfNpdEvyizF69+t4HYgDuy9sloGbAN511LLVPaPQUI iEq/zXBNqYfID0+8YDGQS44CdNpLGP1jdg+7C1pnJnfLBW6Te4EeS4Rm4TyRRvz1fof0maXmwbIb D441ho2becs2Yb8hCH0c2Mbo6NPW4pKdbfVgCzkt8dL8/SAZg5TKtugbSv1QcOQRWMWCWFX4l5uX f/QcVnFGVlSqIA5AUGhZm9BpeWO7i2Mkz467+tfdExDCgocmqYVdqvuyzsqeN03Svp9LRWbc12wy 8zztyFuI6VO8vFLq6tQVCObFVdyXJzhOkAGuz7LK27SAriQAjZ0GHaH4ccLuV0GTSkX3pxbbud/T 1gbBP9KN5OYIrgnVJ0nbEBdNiUN0KyjCodF4gKlb1USKB7Y5mNpZfN5DspEERzOkvTNvzSIvW2OI Y8h3+PMlGreI1O5l6ZowLRjkrpohFcyp/pARRiwJFuM5xIvqOEUUOVLPGv142ux+qlAgC9KdiTS1 yOgX1X7Z7IFf4hQiN8N2LqGI+0GLnPxKviz6DG98/aCg9OLMMxPpqFvRpL5jMQI6l3cbwAlhgeRL 1nvwVCL6GxtWa1X0x7NbLAfIXrsVaxk1Avi/2C2GSledsJHPaJUwKIC3djHQCInTqUAQgFG1ot5u dHbzCMWoRhsZGAYKg0pMrVCGji1uAur9Az5Gc1DfA9yy1k5YnAzXycdAQXELhNRZVnDxQIiF6+Hu HXouZmlolF76mg9Ja4HrAgmvLzsasbhDGHGKwRFuGuitaHXD7RyMH1uMpr5ViDsqU0gC7yAn/7bx puyG1PfxqhAD1VU037+kp0YFRVLbcQLdtstZlrfZ1PCzSGZ0U21IDzjA70POXsHbrhY7kZ5+AHKb UWP6IPSGqRBXD2o5h90rKPwtRAlckY1kOWi5nQnoi4pRE1NKvtjuO2l3LkgVCQxmXxtYSY+dClPv FdmYiQyj8TOWfsKFex7YZcpJrBD4RqPuhFZMjq7tyztCH0nhH2IXJyg7TQex2FDSPGx9+CM41bHB I/zPJQ/Adz18Y0piN6Y77RVs8bmA21wQWjE6phQK6PhydO2fadYKov0PkcrnA9c2yBiEVrOKV6UW lohJ6HAPbB1BC1UvcP4ydHLJh479/x2Z3m81u43LMFOEDhyprwyGZ0kkEkCmGdX1L1vrxc9s/Rml FVuV2URRXpSWNv092+awo1RNH0bhNkyfc4LP96HeNbtqLnJ+J0+7HHfpS+b83jKZIw6N8IUQd23s rn1yiNF2vSfIhe/vD/0zKJx38l6XVxGcQW52H4kRwHMfD1V/bj+TFkfiht8gDY9T5g1HVu1jkw7E M+phd8FX5tkOAnJTVQ0tYutdCGeikWL0eGwzpHmKgsU7zY5DvIP3V0Qpq4PgzoqVTx/4tP3S0UkB oWQDsaNBw8sBl9yG51ycAPqhcU/n5iHPbWJP7ZFkJMgOb1cea2mMsd2XBXAlw6y/uC7+TPC3dyO8 OURrb84XRn7ChLRQDs8eKQ== `protect end_protected
bsd-2-clause
42f32058fb077126f841c8a4244ba674
0.950738
1.81779
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/dsu/ic_dport_2s_1m.vhd
1
8,021
--! --! Copyright 2020 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! --! @brief DPort interconnect to provided access for 2 sources: --! 1. Direct access from DSU to all cores --! 2. DMI registers access ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() use ieee.numeric_std.all; library commonlib; use commonlib.types_common.all; library riverlib; use riverlib.river_cfg.all; use riverlib.types_river.all; entity ic_dport_2s_1m is generic ( async_reset : boolean := false ); port ( clk : in std_logic; nrst : in std_logic; -- Group <=> DMI interface i_sdport0i : in dport_in_vector; o_sdport0o : out dport_out_vector; -- Group <=> DSU interface i_sdport1i : in dport_in_vector; o_sdport1o : out dport_out_vector; -- Group connection o_mdporti : out dport_in_vector; i_mdporto : in dport_out_vector ); end; architecture arch_ic_dport_2s_1m of ic_dport_2s_1m is type state_type is ( idle, dport_request, dport_response, slave_accept ); type rdata_type is array (0 to CFG_TOTAL_CPU_MAX-1) of std_logic_vector(RISCV_ARCH-1 downto 0); type registers is record state : state_type; idx : std_logic; mst_req_valid : std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0); mst_resp_ready : std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0); dporti : dport_in_vector; rdata : rdata_type; end record; signal r, rin: registers; begin comblogic : process(nrst, i_sdport0i, i_sdport1i, i_mdporto, r) variable v : registers; variable vb_req_valid : std_logic_vector(1 downto 0); variable vb_ic_req_ready : std_logic_vector(1 downto 0); variable v_dport_request : std_logic; variable v_dport_response : std_logic; variable vb_slv0_resp_valid : std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0); variable vb_slv1_resp_valid : std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0); variable vb_ic_req_valid : std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0); begin v := r; -- Slave request 0: vb_req_valid(0) := '0'; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop vb_req_valid(0) := vb_req_valid(0) or i_sdport0i(n).req_valid; end loop; -- Slave request 1: vb_req_valid(1) := '0'; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop vb_req_valid(1) := vb_req_valid(1) or i_sdport1i(n).req_valid; end loop; vb_ic_req_ready := (others => '0'); v_dport_request := '0'; v_dport_response := '0'; vb_slv0_resp_valid := (others => '0'); vb_slv1_resp_valid := (others => '0'); for n in 0 to CFG_TOTAL_CPU_MAX-1 loop vb_ic_req_valid(n) := r.dporti(n).req_valid; end loop; case (r.state) is when idle => for n in 0 to CFG_TOTAL_CPU_MAX-1 loop v.mst_req_valid := (others => '0'); v.mst_resp_ready := (others => '0'); end loop; -- Slave request 1 has low priority than 0: if vb_req_valid(0) = '1' then vb_ic_req_ready(0) := '1'; v.idx := '0'; v.state := dport_request; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop v.mst_req_valid(n) := i_sdport0i(n).req_valid; v.mst_resp_ready(n) := i_sdport0i(n).req_valid; v.dporti(n).req_valid := i_sdport0i(n).req_valid; v.dporti(n).write := i_sdport0i(n).write; v.dporti(n).addr := i_sdport0i(n).addr; v.dporti(n).wdata := i_sdport0i(n).wdata; end loop; elsif vb_req_valid(1) = '1' then vb_ic_req_ready(1) := '1'; v.idx := '1'; v.state := dport_request; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop v.mst_req_valid(n) := i_sdport1i(n).req_valid; v.mst_resp_ready(n) := i_sdport1i(n).req_valid; v.dporti(n).req_valid := i_sdport1i(n).req_valid; v.dporti(n).write := i_sdport1i(n).write; v.dporti(n).addr := i_sdport1i(n).addr; v.dporti(n).wdata := i_sdport1i(n).wdata; end loop; end if; when dport_request => v_dport_request := '1'; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop if i_mdporto(n).req_ready = '1' then v.mst_req_valid(n) := '0'; end if; end loop; if or_reduce(v.mst_req_valid) = '0' then v.state := dport_response; end if; when dport_response => v_dport_response := '1'; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop if i_mdporto(n).resp_valid = '1' then v.mst_resp_ready(n) := '0'; v.rdata(n) := i_mdporto(n).rdata; end if; end loop; if or_reduce(v.mst_resp_ready) = '0' then v.state := slave_accept; end if; when slave_accept => if r.idx = '0' then vb_slv0_resp_valid := vb_ic_req_valid; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop if i_sdport0i(n).resp_ready = '1' then v.dporti(n).req_valid := '0'; end if; end loop; else vb_slv1_resp_valid := vb_ic_req_valid; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop if i_sdport1i(n).resp_ready = '1' then v.dporti(n).req_valid := '0'; end if; end loop; end if; if or_reduce(vb_ic_req_valid) = '0' then v.state := idle; end if; when others => end case; if not async_reset and nrst = '0' then v.state := idle; v.idx := '0'; v.mst_req_valid := (others => '0'); v.mst_resp_ready := (others => '0'); for n in 0 to CFG_TOTAL_CPU_MAX-1 loop v.dporti(n) := dport_in_none; v.rdata(n) := (others => '0'); end loop; end if; rin <= v; for n in 0 to CFG_TOTAL_CPU_MAX-1 loop o_sdport0o(n).halted <= i_mdporto(n).halted; o_sdport0o(n).available <= i_mdporto(n).available; o_sdport0o(n).req_ready <= vb_ic_req_ready(0); o_sdport0o(n).resp_valid <= vb_slv0_resp_valid(n); o_sdport0o(n).rdata <= r.rdata(n); o_sdport1o(n).halted <= i_mdporto(n).halted; o_sdport1o(n).available <= i_mdporto(n).available; o_sdport1o(n).req_ready <= vb_ic_req_ready(1); o_sdport1o(n).resp_valid <= vb_slv1_resp_valid(n); o_sdport1o(n).rdata <= r.rdata(n); o_mdporti(n).req_valid <= r.mst_req_valid(n) and v_dport_request; o_mdporti(n).resp_ready <= r.mst_resp_ready(n) and v_dport_response; o_mdporti(n).write <= r.dporti(n).write; o_mdporti(n).addr <= r.dporti(n).addr; o_mdporti(n).wdata <= r.dporti(n).wdata; end loop; end process; -- registers: regs : process(clk, nrst) begin if async_reset and nrst = '0' then r.state <= idle; r.idx <= '0'; r.mst_req_valid <= (others => '0'); r.mst_resp_ready <= (others => '0'); for n in 0 to CFG_TOTAL_CPU_MAX-1 loop r.dporti(n) <= dport_in_none; r.rdata(n) <= (others => '0'); end loop; elsif rising_edge(clk) then r <= rin; end if; end process; end;
apache-2.0
7923d7768db9fd624ca8c37c103e3cae
0.54669
3.012017
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_queue.vhd
1
41,099
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_pkg.all; --use axi_sg_v4_1_3.axi_sg_afifo_autord.all; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.sync_fifo_fg; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_MM2S : integer range 0 to 1 := 0; C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; ch2_sg_idle : in std_logic ; -- Channel Control -- desc1_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; desc2_flush : in std_logic ; -- ftch1_active : in std_logic ; -- ftch2_active : in std_logic ; -- ftch1_queue_empty : out std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch1_queue_full : out std_logic ; -- ftch2_queue_full : out std_logic ; -- ftch1_pause : out std_logic ; -- ftch2_pause : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing1_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- sof_ftch_desc : in std_logic ; m_axis1_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- -- data_concat_64 : in std_logic_vector -- (31 downto 0) ; -- data_concat_64_cdma : in std_logic_vector -- (31 downto 0) ; -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- data_concat_tlast : in std_logic ; -- next_bd : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_aclk : in std_logic ; -- m_axis_ftch1_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch1_tvalid : out std_logic ; -- m_axis_ftch1_tready : in std_logic ; -- m_axis_ftch1_tlast : out std_logic ; -- m_axis_ftch1_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch1_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch1_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic ; m_axis_ftch2_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch2_tvalid : out std_logic ; -- m_axis_ftch2_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch2_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch2_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic ; m_axis_ftch2_tready : in std_logic ; -- m_axis_ftch2_tlast : out std_logic ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Number of words deep fifo needs to be -- 6 is subtracted as BD address are always 16 word aligned constant FIFO_WIDTH : integer := (128*C_ENABLE_CDMA + 97*(1-C_ENABLE_CDMA) -6); constant C_SG_WORDS_TO_FETCH1 : integer := C_SG_WORDS_TO_FETCH + 2*C_ENABLE_MULTI_CHANNEL; --constant FETCH_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE -- * C_SG_WORDS_TO_FETCH1)); constant FETCH_QUEUE_DEPTH : integer := 16; -- Select between BRAM or Logic Memory Type constant MEMORY_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG_WORDS_TO_FETCH1 > 16); constant FETCH_QUEUE_CNT_WIDTH : integer := clog2(FETCH_QUEUE_DEPTH+1); constant DCNT_LO_INDEX : integer := max2(1,clog2(C_SG_WORDS_TO_FETCH1)) - 1; constant DCNT_HI_INDEX : integer := FETCH_QUEUE_CNT_WIDTH-1; -- CR616461 constant C_SG2_WORDS_TO_FETCH1 : integer := C_SG2_WORDS_TO_FETCH; constant FETCH2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1)); -- Select between BRAM or Logic Memory Type constant MEMORY2_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1 > 16); constant FETCH2_QUEUE_CNT_WIDTH : integer := clog2(FETCH2_QUEUE_DEPTH+1); constant DCNT2_LO_INDEX : integer := max2(1,clog2(C_SG2_WORDS_TO_FETCH1)) - 1; constant DCNT2_HI_INDEX : integer := FETCH2_QUEUE_CNT_WIDTH-1; -- CR616461 -- Width of fifo rd and wr counts - only used for proper fifo operation constant DESC2QUEUE_VECT_WIDTH : integer := 4; --constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 --constant DCNT_HI_INDEX : integer := (DCNT_LO_INDEX + DESC2QUEUE_VECT_WIDTH) - 1; -- CR616461 constant ZERO_COUNT : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_COUNT1 : std_logic_vector(FETCH2_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tvalid_new : std_logic := '0'; signal ftch_tdata : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tdata_new, reg1, reg2 : std_logic_vector (FIFO_WIDTH-1 downto 0) := (others => '0'); signal ftch_tdata_new_64, reg1_64, reg2_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal ftch_tdata_new_bd, reg2_bd_64, reg1_bd_64 : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tlast : std_logic := '0'; signal ftch_tlast_new : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal ftch_tready_ch1 : std_logic := '0'; signal ftch_tready_ch2 : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; -- FIFO signals signal queue_rden2 : std_logic := '0'; signal queue_rden2_new : std_logic := '0'; signal queue_wren2 : std_logic := '0'; signal queue_wren2_new : std_logic := '0'; signal queue_empty2 : std_logic := '0'; signal queue_empty2_new : std_logic := '0'; signal queue_rden : std_logic := '0'; signal queue_rden_new : std_logic := '0'; signal queue_wren : std_logic := '0'; signal queue_wren_new : std_logic := '0'; signal queue_empty : std_logic := '0'; signal queue_empty_new : std_logic := '0'; signal queue_dout_valid : std_logic := '0'; signal queue_dout2_valid : std_logic := '0'; signal queue_full_new : std_logic := '0'; signal queue_full2_new : std_logic := '0'; signal queue_full, queue_full2 : std_logic := '0'; signal queue_din_new : std_logic_vector (127 downto 0) := (others => '0'); signal queue_dout_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_dout2_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout2_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout2_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout2_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_din : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout2 : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_sinit : std_logic := '0'; signal queue_sinit2 : std_logic := '0'; signal queue_dcount_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal queue_dcount2_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal ftch_no_room : std_logic; signal ftch_active : std_logic := '0'; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal sof_ftch_desc_del : std_logic; signal sof_ftch_desc_del1 : std_logic; signal sof_ftch_desc_pulse : std_logic; signal current_bd : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal xfer_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1'))then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); ftch_active <= ftch1_active or ftch2_active; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- CURRENT_BD_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(32+DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_64; CURRENT_BD_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_32; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; m_axis_ftch1_tdata_mcdma_new <= (others => '0'); m_axis_ftch2_tdata_mcdma_new <= (others => '0'); COUNTER_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then counter <= (others => '0'); elsif (m_axis_mm2s_tvalid = '1') then counter <= std_logic_vector(unsigned(counter) + 1); end if; end if; end process COUNTER_PROCESS; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- TVALID MUX -- MUX tvalid out channel port --------------------------------------------------------------------------- CDMA_FIELDS : if C_ENABLE_CDMA = 1 generate begin CDMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (63 downto 0) <= data_concat_64_cdma & data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate CDMA_FIELDS_64; ftch_tdata_new (95 downto 0) <= data_concat; -- BD is always 16 word aligned ftch_tdata_new (121 downto 96) <= current_bd (31 downto 6); end generate CDMA_FIELDS; DMA_FIELDS : if C_ENABLE_CDMA = 0 generate begin DMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (31 downto 0) <= data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate DMA_FIELDS_64; ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); -- BD is always 16 word aligned ftch_tdata_new (90 downto 65) <= current_bd (31 downto 6); end generate DMA_FIELDS; ftch_tvalid_new <= data_concat_valid and ftch_active; ftch_tlast_new <= data_concat_tlast and ftch_active; GEN_MM2S : if C_INCLUDE_MM2S = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_rden_new = '1') then queue_empty_new <= '1'; queue_full_new <= '0'; elsif (queue_wren_new = '1') then queue_empty_new <= '0'; queue_full_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then reg1 <= (others => '0'); reg1_64 <= (others => '0'); reg1_bd_64 <= (others => '0'); elsif (queue_wren_new = '1') then reg1 <= ftch_tdata_new; reg1_64 <= ftch_tdata_new_64; reg1_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then queue_dout_new <= (others => '0'); queue_dout_new_64 <= (others => '0'); queue_dout_new_bd <= (others => '0'); elsif (queue_rden_new = '1') then queue_dout_new <= reg1; queue_dout_new_64 <= reg1_64; queue_dout_new_bd <= reg1_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_dout_valid = '1') then queue_dout_valid <= '0'; elsif (queue_rden_new = '1') then queue_dout_valid <= '1'; end if; end if; end process; MCDMA_MM2S : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH1_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0_5.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren_new , Rd_en => queue_rden_new , Dout => queue_dout_mcdma_new , Full => open, --queue_full_new , Empty => open, --queue_empty_new , Almost_full => open , Data_count => open, --queue_dcount_new , Rd_ack => open, --queue_dout_valid, --open , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch1_tdata_mcdma_new <= queue_dout_mcdma_new; end generate MCDMA_MM2S; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 generate begin I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1_3.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; end generate GEN_MM2S; GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_rden2_new = '1') then queue_empty2_new <= '1'; queue_full2_new <= '0'; elsif (queue_wren2_new = '1') then queue_empty2_new <= '0'; queue_full2_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then reg2 <= (others => '0'); reg2_64 <= (others => '0'); reg2_bd_64 <= (others => '0'); elsif (queue_wren2_new = '1') then reg2 <= ftch_tdata_new; reg2_64 <= ftch_tdata_new_64; reg2_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then queue_dout2_new <= (others => '0'); queue_dout2_new_64 <= (others => '0'); queue_dout2_new_bd <= (others => '0'); elsif (queue_rden2_new = '1') then queue_dout2_new <= reg2; queue_dout2_new_64 <= reg2_64; queue_dout2_new_bd <= reg2_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_dout2_valid = '1') then queue_dout2_valid <= '0'; elsif (queue_rden2_new = '1') then queue_dout2_valid <= '1'; end if; end if; end process; MCDMA_S2MM : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH2_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0_5.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit2 , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren2_new , Rd_en => queue_rden2_new , Dout => queue_dout2_new , Full => open, --queue_full2_new , Empty => open, --queue_empty2_new , Almost_full => open , Data_count => queue_dcount2_new , Rd_ack => open, --queue_dout2_valid , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch2_tdata_mcdma_new <= queue_dcount2_new; end generate MCDMA_S2MM; end generate GEN_S2MM; ----------------------------------------------------------------------- -- Internal Side ----------------------------------------------------------------------- -- Drive tready with fifo not full ftch_tready <= ftch_tready_ch1 or ftch_tready_ch2; -- Following is the APP data that goes into APP FIFO queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; GEN_CH1_CTRL : if C_INCLUDE_MM2S =1 generate begin --queue_full_new <= '1' when (queue_dcount_new = "00100") else '0'; queue_sinit <= desc1_flush or not m_axi_sg_aresetn; ftch_tready_ch1 <= (not queue_full and ftch1_active); m_axis1_mm2s_tready <= ftch_tready_ch1; -- Wr_en to APP FIFO. Data is written only when BD with SOF is fetched. queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch1_active; -- Wr_en of BD FIFO queue_wren_new <= not queue_full_new and ftch_tvalid_new and ftch1_active; ftch1_queue_empty <= queue_empty_new; ftch1_queue_full <= queue_full_new; ftch1_pause <= queue_full_new; -- RD_en of APP FIFO based on empty and tready -- RD_EN of BD FIFO based on empty and tready queue_rden_new <= not queue_empty_new and m_axis_ftch1_tready; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; m_axis_ftch1_tvalid_new <= queue_dout_valid; --not queue_empty_new and (not ch2_sg_idle); -- below signal triggers the fetch of BD in MM2S Mngr m_axis_ftch1_desc_available <= not queue_empty_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); FTCH_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new_bd & queue_dout_new_64 & queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64; FTCH_FIELDS_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32; writing1_curdesc_out <= writing_curdesc and ftch1_active; NOCONTROL_STREAM_ASST : if C_SG_WORDS_TO_FETCH = 8 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NOCONTROL_STREAM_ASST; end generate GEN_CH1_CTRL; GEN_NO_CH1_CTRL : if C_INCLUDE_MM2S =0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; ftch_tready_ch1 <= '0'; m_axis1_mm2s_tready <= '0'; -- Write to fifo if it is not full and data is valid queue_wren <= '0'; ftch1_queue_empty <= '0'; ftch1_queue_full <= '0'; ftch1_pause <= '0'; queue_rden <= '0'; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); writing1_curdesc_out <= '0'; m_axis_ftch1_tdata_new <= (others => '0'); m_axis_ftch1_tvalid_new <= '0'; m_axis_ftch1_desc_available <= '0'; end generate GEN_NO_CH1_CTRL; GEN_CH2_CTRL : if C_INCLUDE_S2MM =1 generate begin queue_sinit2 <= desc2_flush or not m_axi_sg_aresetn; ftch_tready_ch2 <= (not queue_full2_new and ftch2_active); m_axis2_mm2s_tready <= ftch_tready_ch2; queue_wren2 <= '0'; -- Wr_en for S2MM BD FIFO queue_wren2_new <= not queue_full2_new and ftch_tvalid_new and ftch2_active; --queue_full2_new <= '1' when (queue_dcount2_new = "00100") else '0'; -- Pass fifo status back to fetch sm for channel IDLE determination ftch2_queue_empty <= queue_empty2_new; ftch2_queue_full <= queue_full2_new; ftch2_pause <= queue_full2_new; queue_rden2 <= '0'; -- Rd_en for S2MM BD FIFO queue_rden2_new <= not queue_empty2_new and m_axis_ftch2_tready; m_axis_ftch2_tvalid <= '0'; m_axis_ftch2_tvalid_new <= queue_dout2_valid; -- not queue_empty2_new and (not ch2_sg_idle); m_axis_ftch2_desc_available <= not queue_empty2_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); FTCH_FIELDS_64_2 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new_bd & queue_dout2_new_64 & queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64_2; FTCH_FIELDS_32_2 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32_2; writing2_curdesc_out <= writing_curdesc and ftch2_active; end generate GEN_CH2_CTRL; GEN_NO_CH2_CTRL : if C_INCLUDE_S2MM =0 generate begin ftch_tready_ch2 <= '0'; m_axis2_mm2s_tready <= '0'; queue_wren2 <= '0'; -- Pass fifo status back to fetch sm for channel IDLE determination --ftch_queue_empty <= queue_empty; CR 621600 ftch2_queue_empty <= '0'; ftch2_queue_full <= '0'; ftch2_pause <= '0'; queue_rden2 <= '0'; m_axis_ftch2_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); m_axis_ftch2_tdata_new <= (others => '0'); m_axis_ftch2_tvalid_new <= '0'; writing2_curdesc_out <= '0'; m_axis_ftch2_desc_available <= '0'; end generate GEN_NO_CH2_CTRL; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
mit
f085e6ace2cf8e48d97b88c0a6ea197d
0.476143
3.680398
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_cmd_status.vhd
1
19,774
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_3; Use axi_sg_v4_1_3.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_3.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_3.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_3.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
mit
e32a8397c9fa06c45786ea73f94613c6
0.422929
4.909136
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/bufg/bufgmux_fpga.vhd
1
1,280
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Clock multiplexer with buffered output for Xilinx FPGA. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity bufgmux_fpga is generic ( rf_frontend_ena : boolean := false ); port ( O : out std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; S : in std_ulogic ); end; architecture rtl of bufgmux_fpga is begin good : if rf_frontend_ena generate --! @details BUFGMUX suits much better to switch clock depending DIP[0] --! signal, but ISE studio doesn't properly synth. such logic. --! So here we will use ADC signal only. --mux_buf : BUFGMUX --port map ( -- O => O, -- I0 => I1, -- I1 => I2, -- S => S --); mux_buf : BUFG port map ( O => O, I => I1 ); end generate; bad : if not rf_frontend_ena generate mux_buf : BUFG port map ( O => O, I => I2 ); end generate; end;
apache-2.0
d461c98de76ade77d130b9f0c25d5cde
0.489063
3.914373
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/fpu_d/fadd_d.vhd
1
17,003
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity DoubleAdd is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_add : in std_logic; i_sub : in std_logic; i_eq : in std_logic; i_lt : in std_logic; i_le : in std_logic; i_max : in std_logic; i_min : in std_logic; i_a : in std_logic_vector(63 downto 0); i_b : in std_logic_vector(63 downto 0); o_res : out std_logic_vector(63 downto 0); o_illegal_op : out std_logic; o_overflow : out std_logic; o_valid : out std_logic; o_busy : out std_logic ); end; architecture arch_DoubleAdd of DoubleAdd is type RegistersType is record busy : std_logic; ena : std_logic_vector(7 downto 0); a : std_logic_vector(63 downto 0); b : std_logic_vector(63 downto 0); result : std_logic_vector(63 downto 0); illegal_op : std_logic; overflow : std_logic; add : std_logic; sub : std_logic; eq : std_logic; lt : std_logic; le : std_logic; max : std_logic; min : std_logic; flMore : std_logic; flEqual : std_logic; flLess : std_logic; preShift : integer range 0 to 4095; signOpMore : std_logic; expMore : std_logic_vector(10 downto 0); mantMore : std_logic_vector(52 downto 0); mantLess : std_logic_vector(52 downto 0); mantLessScale : std_logic_vector(104 downto 0); mantSum : std_logic_vector(105 downto 0); lshift : integer range 0 to 127; mantAlign : std_logic_vector(104 downto 0); expPostScale : std_logic_vector(11 downto 0); expPostScaleInv : integer range 0 to 4095; mantPostScale : std_logic_vector(104 downto 0); end record; constant R_RESET : RegistersType := ( '0', (others => '0'), -- busy, ena (others => '0'), (others => '0'), (others => '0'), -- a, b, result '0', '0', '0', '0', -- illegal_op, overflow, add, sub '0', '0', '0', '0', '0', -- eq, lt, le, max, min '0', '0', '0', -- flMore, flEqual, flLess 0, '0', (others => '0'), -- preShift, signOpMore, expMore (others => '0'), (others => '0'), (others => '0'), -- mantMore, mantLess, mantLessScale (others => '0'), 0, (others => '0'), -- mantSum, lshift, mantAlign (others => '0'), 0, (others => '0') -- expPostScale, expPostScaleInv, mantPostScale ); constant zero105 : std_logic_vector(104 downto 0) := (others => '0'); signal r, rin : RegistersType; begin -- registers: comb : process(i_nrst, i_ena, i_add, i_sub, i_eq, i_lt, i_le, i_max, i_min, i_a, i_b, r) variable v : RegistersType; variable signOp : std_logic; variable signA : std_logic; variable signB : std_logic; variable signOpB : std_logic; variable mantA : std_logic_vector(52 downto 0); variable mantB : std_logic_vector(52 downto 0); variable mantDif : std_logic_vector(53 downto 0); variable expDif : std_logic_vector(11 downto 0); variable v_flMore : std_logic; variable v_flEqual : std_logic; variable v_flLess : std_logic; variable vb_preShift : std_logic_vector(11 downto 0); variable v_signOpMore : std_logic; variable vb_expMore : std_logic_vector(10 downto 0); variable vb_mantMore : std_logic_vector(52 downto 0); variable vb_mantLess : std_logic_vector(52 downto 0); variable mantMoreScale : std_logic_vector(104 downto 0); variable mantLessScale : std_logic_vector(104 downto 0); variable vb_mantSum : std_logic_vector(105 downto 0); variable vb_mantSumInv : std_logic_vector(104 downto 0); variable vb_lshift : integer range 0 to 127; variable vb_lshift_p1 : integer range 0 to 127; variable vb_lshift_p2 : integer range 0 to 127; variable vb_mantAlign : std_logic_vector(104 downto 0); variable vb_expPostScale : std_logic_vector(11 downto 0); variable vb_mantPostScale : std_logic_vector(104 downto 0); variable mantShort : std_logic_vector(52 downto 0); variable tmpMant05 : std_logic_vector(51 downto 0); variable mantOnes : std_logic; variable mantEven : std_logic; variable mant05 : std_logic; variable rndBit : std_logic; variable mantZeroA : std_logic; variable mantZeroB : std_logic; variable allZero : std_logic; variable sumZero : std_logic; variable nanA : std_logic; variable nanB : std_logic; variable nanAB : std_logic; variable overflow : std_logic; variable resAdd : std_logic_vector(63 downto 0); variable resEQ : std_logic_vector(63 downto 0); variable resLT : std_logic_vector(63 downto 0); variable resLE : std_logic_vector(63 downto 0); variable resMax : std_logic_vector(63 downto 0); variable resMin : std_logic_vector(63 downto 0); begin v := r; v.ena := r.ena(6 downto 0) & (i_ena and not r.busy); if i_ena = '1' then v.busy := '1'; v.add := i_add; v.sub := i_sub; v.eq := i_eq; v.lt := i_lt; v.le := i_le; v.max := i_max; v.min := i_min; v.a := i_a; v.b := i_b; v.illegal_op := '0'; v.overflow := '0'; end if; signOp := r.sub or r.le or r.lt; signA := r.a(63); signB := r.b(63); signOpB := signB xor signOp; mantA(51 downto 0) := r.a(51 downto 0); mantA(52) := '0'; if r.a(62 downto 52) /= zero105(10 downto 0) then mantA(52) := '1'; end if; mantB(51 downto 0) := r.b(51 downto 0); mantB(52) := '0'; if r.b(62 downto 52) /= zero105(10 downto 0) then mantB(52) := '1'; end if; if r.a(62 downto 52) /= "00000000000" and r.b(62 downto 52) = "00000000000" then expDif := ('0' & r.a(62 downto 52)) - "000000000001"; elsif r.a(62 downto 52) = "00000000000" and r.b(62 downto 52) /= "00000000000" then expDif := "000000000001" - ('0' & r.b(62 downto 52)); else expDif := ('0' & r.a(62 downto 52)) - ('0' & r.b(62 downto 52)); end if; mantDif := ('0' & mantA) - ('0' & mantB); if expDif = X"000" then vb_preShift := expDif; if mantDif = zero105(53 downto 0) then v_flMore := not signA and (signA xor signB); v_flEqual := not (signA xor signB); v_flLess := signA and (signA xor signB); v_signOpMore := signA; vb_expMore := r.a(62 downto 52); vb_mantMore := mantA; vb_mantLess := mantB; elsif mantDif(53) = '0' then -- A > B v_flMore := not signA; v_flEqual := '0'; v_flLess := signA; v_signOpMore := signA; vb_expMore := r.a(62 downto 52); vb_mantMore := mantA; vb_mantLess := mantB; else v_flMore := signB; v_flEqual := '0'; v_flLess := not signB; v_signOpMore := signOpB; vb_expMore := r.b(62 downto 52); vb_mantMore := mantB; vb_mantLess := mantA; end if; elsif expDif(11) = '0' then v_flMore := not signA; v_flEqual := '0'; v_flLess := signA; vb_preShift := expDif; v_signOpMore := signA; vb_expMore := r.a(62 downto 52); vb_mantMore := mantA; vb_mantLess := mantB; else v_flMore := signB; v_flEqual := '0'; v_flLess := not signB; vb_preShift := not expDif + 1; v_signOpMore := signOpB; vb_expMore := r.b(62 downto 52); vb_mantMore := mantB; vb_mantLess := mantA; end if; if r.ena(0) = '1' then v.flMore := v_flMore; v.flEqual := v_flEqual; v.flLess := v_flLess; v.preShift := conv_integer(vb_preShift); v.signOpMore := v_signOpMore; v.expMore := vb_expMore; v.mantMore := vb_mantMore; v.mantLess := vb_mantLess; end if; -- Pre-scale 105-bits mantissa if preShift < 105: -- M = {mantM, 52'd0} mantLessScale := r.mantLess & zero105(51 downto 0); if r.ena(1) = '1' then if r.preShift = 0 then v.mantLessScale := mantLessScale; else v.mantLessScale := (others => '0'); for i in 1 to 104 loop if i = r.preShift then v.mantLessScale := zero105(i-1 downto 0) & mantLessScale(104 downto i); end if; end loop; end if; end if; mantMoreScale := r.mantMore & zero105(51 downto 0); -- 106-bits adder/subtractor if (signA xor signOpB) = '1' then vb_mantSum := ('0' & mantMoreScale) - ('0' & r.mantLessScale); else vb_mantSum := ('0' & mantMoreScale) + ('0' & r.mantLessScale); end if; if r.ena(2) = '1' then v.mantSum := vb_mantSum; end if; -- To avoid timing constrains violation occured in Vivado Studio -- try to implement parallel demuxultiplexer splitted on 2 parts vb_mantSumInv(0) := '0'; for i in 0 to 103 loop vb_mantSumInv(i + 1) := r.mantSum(103 - i); end loop; vb_lshift_p1 := 0; for i in 0 to 63 loop if vb_lshift_p1 = 0 and vb_mantSumInv(i) = '1' then vb_lshift_p1 := i; end if; end loop; vb_lshift_p2 := 0; for i in 0 to 40 loop if vb_lshift_p2 = 0 and vb_mantSumInv(64 + i) = '1' then vb_lshift_p2 := 64 + i; end if; end loop; -- multiplexer if r.mantSum(105) = '1' then -- shift right vb_lshift := 127; elsif r.mantSum(104) = '1' then vb_lshift := 0; elsif vb_lshift_p1 /= 0 then vb_lshift := vb_lshift_p1; else vb_lshift := vb_lshift_p2; end if; if r.ena(3) = '1' then v.lshift := vb_lshift; end if; -- Prepare to mantissa post-scale vb_mantAlign := (others => '0'); if r.lshift = 127 then vb_mantAlign := r.mantSum(105 downto 1); elsif r.lshift = 0 then vb_mantAlign := r.mantSum(104 downto 0); else for i in 1 to 104 loop if i = r.lshift then vb_mantAlign := r.mantSum(104-i downto 0) & zero105(i-1 downto 0); end if; end loop; end if; if r.lshift = 127 then if r.expMore = "11111111111" then vb_expPostScale := ('0' & r.expMore); else vb_expPostScale := ('0' & r.expMore) + 1; end if; else if r.expMore = "00000000000" and r.lshift = 0 then vb_expPostScale := X"001"; else vb_expPostScale := ('0' & r.expMore) - conv_std_logic_vector(r.lshift, 12); end if; end if; if (signA xor signOpB) = '1' then -- subtractor only: result value becomes with exp=0 if r.expMore /= "00000000000" and (vb_expPostScale(11) = '1' or vb_expPostScale = X"000") then vb_expPostScale := vb_expPostScale - 1; end if; end if; if r.ena(4) = '1' then v.mantAlign := vb_mantAlign; v.expPostScale := vb_expPostScale; v.expPostScaleInv := conv_integer((not vb_expPostScale) + 1); end if; -- Mantissa post-scale: -- Scaled = SumScale>>(-ExpSum) only if ExpSum < 0; vb_mantPostScale := r.mantAlign; if r.expPostScale(11) = '1' then for i in 1 to 104 loop if i = r.expPostScaleInv then vb_mantPostScale := zero105(i-1 downto 0) & r.mantAlign(104 downto i); end if; end loop; end if; if r.ena(5) = '1' then v.mantPostScale := vb_mantPostScale; end if; -- Rounding bit mantShort := r.mantPostScale(104 downto 52); tmpMant05 := r.mantPostScale(51 downto 0); mantOnes := '0'; if mantShort(52) = '1' and mantShort(51 downto 0) = X"fffffffffffff" then mantOnes := '1'; end if; mantEven := r.mantPostScale(52); mant05 := '0'; if tmpMant05 = X"8000000000000" then mant05 := '1'; end if; rndBit := r.mantPostScale(51) and not(mant05 and not mantEven); -- Check Borders mantZeroA := '0'; if r.a(51 downto 0) = zero105(51 downto 0) then mantZeroA := '1'; end if; mantZeroB := '0'; if r.b(51 downto 0) = zero105(51 downto 0) then mantZeroB := '1'; end if; -- Exceptions allZero := '0'; if r.a(62 downto 0) = zero105(62 downto 0) and r.b(62 downto 0) = zero105(62 downto 0) then allZero := '1'; end if; sumZero := '0'; if r.mantPostScale = zero105 then sumZero := '1'; end if; nanA := '0'; if r.a(62 downto 52) = "11111111111" then nanA := '1'; end if; nanB := '0'; if r.b(62 downto 52) = "11111111111" then nanB := '1'; end if; nanAB := nanA and mantZeroA and nanB and mantZeroB; overflow := '0'; if r.expPostScale = X"7FF" then -- positive overflow := '1'; end if; -- Result multiplexers: if (nanAB and signOp) = '1' then resAdd(63) := signA xor signOpB; elsif nanA = '1' then -- when both values are NaN, value B has higher priority if sign=1 resAdd(63) := signA or (nanB and signOpB); elsif nanB = '1' then resAdd(63) := signOpB xor (signOp and not mantZeroB); elsif allZero = '1' then resAdd(63) := signA and signOpB; elsif sumZero = '1' then resAdd(63) := '0'; else resAdd(63) := r.signOpMore; end if; if (nanA or nanB) = '1' then resAdd(62 downto 52) := (others => '1'); elsif r.expPostScale(11) = '1' or sumZero = '1' then resAdd(62 downto 52) := (others => '0'); else resAdd(62 downto 52) := r.expPostScale(10 downto 0) + (mantOnes and rndBit and not r.overflow); end if; if (nanA and mantZeroA and nanB and mantZeroB) = '1' then resAdd(51) := '1'; resAdd(50 downto 0) := (others => '0'); elsif nanA = '1' and (nanB and signOpB) = '0' then -- when both values are NaN, value B has higher priority if sign=1 resAdd(51) := '1'; resAdd(50 downto 0) := r.a(50 downto 0); elsif nanB = '1' then resAdd(51) := '1'; resAdd(50 downto 0) := r.b(50 downto 0); elsif r.overflow = '1' then resAdd(51 downto 0) := (others => '0'); else resAdd(51 downto 0) := mantShort(51 downto 0) + rndBit; end if; resEQ(63 downto 1) := (others => '0'); resEQ(0) := r.flEqual; resLT(63 downto 1) := (others => '0'); resLT(0) := r.flLess; resLE(63 downto 1) := (others => '0'); resLE(0) := r.flLess or r.flEqual; if (nanA or nanB) = '1' then resMax := r.b; elsif r.flMore = '1' then resMax := r.a; else resMax := r.b; end if; if (nanA or nanB) = '1' then resMin := r.b; elsif r.flLess = '1' then resMin := r.a; else resMin := r.b; end if; if r.ena(6) = '1' then if r.eq = '1' then v.result := resEQ; elsif r.lt = '1' then v.result := resLT; elsif r.le = '1' then v.result := resLE; elsif r.max = '1' then v.result := resMax; elsif r.min = '1' then v.result := resMin; else v.result := resAdd; end if; v.illegal_op := nanA or nanB; v.overflow := overflow; v.busy := '0'; v.add := '0'; v.sub := '0'; v.eq := '0'; v.lt := '0'; v.le := '0'; v.max := '0'; v.min := '0'; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_res <= r.result; o_illegal_op <= r.illegal_op; o_overflow <= r.overflow; o_valid <= r.ena(7); o_busy <= r.busy; -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
cf4c88fb6e4049cd6f1227ddf59ca0ea
0.543081
3.218436
false
false
false
false
mharndt/profibusmonitor
VHDL_Bausteine_old/abandoned_code/TEST_CTRL_TELEGRAM_FILTER_SD1/DEB_50MZ_100MS_SRC.vhd
38
2,643
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --Enprelleinheit --entprellt bei 50 MHZ etw mit 100 ms entity DEB_50MZ_100MS_SRC is Port ( IN_DEB : in std_logic; F_50MHZ : in std_logic; OUT_DEB : out std_logic); end DEB_50MZ_100MS_SRC; architecture Behavioral of DEB_50MZ_100MS_SRC is type SV_TYPE is (DEB0, DEB1); signal SV, n_SV, SV_M : SV_TYPE; signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0); signal NOT_F_50MHZ : std_logic; signal IN_DEB_S : std_logic; constant CONST_DEB_max: std_logic_vector := x"4C4B40"; begin IREG_PROC: process (IN_DEB, NOT_F_50MHZ) begin if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1') then IN_DEB_S <= IN_DEB; end if; end process; SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M) begin if (F_50MHZ'event and F_50MHZ = '1') then SV_M <= n_SV; COUNT_DEB_M <= n_COUNT_DEB; else COUNT_DEB_M <= COUNT_DEB_M; end if; end process; NOT_F_50MHZ_PROC: process (F_50MHZ) begin NOT_F_50MHZ <= not F_50MHZ; end process; SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M) begin if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1') then SV <= SV_M; COUNT_DEB <= COUNT_DEB_M; end if; end process; IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB) begin case SV is when DEB0 => if (IN_DEB_S = '1') then if COUNT_DEB >= CONST_DEB_max then OUT_DEB <= '0'; n_COUNT_DEB <= x"000000"; n_SV <= DEB1; else OUT_DEB <= '0'; n_COUNT_DEB <= COUNT_DEB+1; n_SV <= DEB0; end if; else if COUNT_DEB = x"000000" then OUT_DEB <= '0'; n_COUNT_DEB <= COUNT_DEB; n_SV <= DEB0; else OUT_DEB <= '0'; n_COUNT_DEB <= COUNT_DEB-1; n_SV <= DEB0; end if; end if; when DEB1 => if (IN_DEB_S = '1') then if COUNT_DEB >= CONST_DEB_max then OUT_DEB <= '1'; n_COUNT_DEB <= COUNT_DEB; n_SV <= DEB1; else OUT_DEB <= '1'; n_COUNT_DEB <= COUNT_DEB+1; n_SV <= DEB1; end if; else if COUNT_DEB = x"000000" then OUT_DEB <= '1'; n_COUNT_DEB <= COUNT_DEB; n_SV <= DEB0; else OUT_DEB <= '1'; n_COUNT_DEB <= COUNT_DEB-1; n_SV <= DEB1; end if; end if; when Others => OUT_DEB <= '0'; n_COUNT_DEB <= x"000000"; n_SV <= DEB0; end case; end process; end Behavioral;
gpl-2.0
6d0b1464262c74e7e150d6d65ec11b61
0.525161
2.790919
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_skid2mm_buf.vhd
1
17,071
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_wr_demux; ------------------------------------------------------------------------------- entity axi_sg_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_sg_skid2mm_buf; architecture implementation of axi_sg_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_sg_v4_1_3.axi_sg_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
mit
1cdfbf116424c41ac378924fbba15646
0.472614
4.442103
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/fifo_generator_v11_0.vhd
19
89,172
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ya253+37kdInKtzN3pd3f0ykMvIJsSTHE2tRr5TaFzMStJPqyqbq8G0/aCj9umOixPoTbod1oPEi NM8lNQufqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZnAl3olUb+r5fzAKtbT+P9BDg9y9NfOiCUm1R2Jcpt91ydHcXeu+pZ8D0lxHNM0CXXGhs5RFFeCB fQNmyCQv4qniT4fHHC3wrH5hPwmAH8kqSEyGt3c0SvSsHCYTeXhpF8Chp2XvC1WNZGYymRNjehFn t70d4j3zNeEsu5WAW84= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iKnL/TA899sfLGiFOsNtfsGv8lNgBNaSxC78jj2+skMz/TodvgTxrRQVQ/h/L38N/D5FIkKYR4II +olODWgmPzea4VBkBMLQ7z2XenA/M8Uvin39meT5Qbx7/ksgG2EdpyOtsmAvmeXZQgf/A59DevU7 Mrm0rcVFwLpmjNvbnBOl5iGpGgx6v231GzIUzFEiOeCx1PkRai2IOZKE9lG2BMKHN7Bhsm6JH1NF XhuV8OyupD6h/Fr6EDMMNZqriSBB1MM7btJKN6VC9jmTT/Bega2BSYjqAkfYdUTeyup0UqEM3znP 2BL1mUmUOgL1/UMAmExO5qz/A5ddH+Ai46kqhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bwfblhQfYU7J4v01pOh0vYth2hZJ6Xlf2qmEYdxkErcnbM5+VpJUpwU8+A/bDOJB4gUPbJHCeAw+ tmj2AabGe4D0Pf/UukkjTsO8eFOUvoPbwDwH6UV1AKQFszUSN+Z4NTgaKs8pxWumW0juNgJujhCL 2ChBu6ddPnHdB5HG8uQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UTW+eKUNnZFWLDMo9paR505jK3kaKnyoN1JMPNm5SlY5iSmlguqsHIHMaqSHkHrYg25dIfFqsLa+ ygBhaN4bDhxyus3QZ9m0sw/aVS4ly/5bNlw+8ePaK1evrFFnRWDzqTt8U+H1O06G7NfpkTmeK+am Q1esOyihSrmjwIiD3aw5SiSY1J84QcBDQl5D2DAd5uRtMADgrmEFzx9Y7yHel0j2iF6Z2vom7g5G 7K31eIbiTPvCntdYde5+aN/nl/kdiT8a+6o8fslm8ZFdkfMYbKE6CsL8CG+5F82TWbIzOMfxbILY sXfUaKwgi3ZDGoeeudit9zXCRYxReIG0hfQ27Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64272) `protect data_block /bcZV+m49oZuF6FYMRCgeXDBoi+tDtdX0blPrXZW2WcdMTVIfSVBSCAcvKerZqg5DwgpZ4zc1a8Z PQnazme/gUNwsrQbTFBj3g7LXgBYG44tA6xE1n0KrLcGlntfqBOsHgTpASSEkElb4HJXZB55DmKH gnveqK1rWnpq2sitK06fD+nm/dJBi/j1kOtyPVhNe7g7U7MTUoaXTurpQdwDUXpLtnyNAr1HRKh6 TE+MhbRskxJTdlsPtj4nEHfTMmvtIQtS3g1rN/+9QI7yr8C+fI3PYWqyPuFyl4GbBd1CDSLS1GHv 8tnAJq5WQFJ6vmIs/w7+Sv+PLCGEG179A2QgWQ8MtCN0XZ1kuWBbsXotXojnxDLY90u2R0EuGz9r QfGgrh7JEPNpUpszWqXEcKje98BABWOnQDRHBTiEZw0mfODfA2Zj4+W2A+xfymGgYXsPK9QHtYw3 gD9vNUzTpdBVsXKvYtMgrPsO+u/1VovOSGhOAzsAcXM02z5fd0k4USfVlxlVLLKv8caObncWQgJm MGL72VNY0xx7xeltFMDKx9YGODZcfdjWXPEGr01Pk2ejHxavbLDb1Egai52yI/ycoQch8OT0pnnc Pl5fRw1mRn4sBeEPrt7YNjq6jvTloylkKMcY1eQVQX/VYZz4WqSPyWTodrQR6+JZQgtHczBMWvkg I8QtywgTuPbcu+FAEXqhMFaUeSZJzOEnDQP5rvDKbkQurWUe8Y0q/wBLiAV721gDAykZdoMZJWA/ 6myOZAVyC53lZhlhXyJNp4SGD0wpZ6AK2R5N3tWAG5aMjuPOQk1Kl0yvbKhqEKmQcInSVrPpILPq qWmJMlaTmq4chBAFFeCmrXGRcb3EjB6cGZ1lQB+2IfmjxE+c5kJKAdTMDF0s8VepeHluNnYZpx4+ qQyG0O/tQ6f8w0+v6w0QO39BY4VtsblKqabYmms3h4fJTvNUfajj3lmoNbE9954B27Nb9xQyP9Nf SYnW5AHb1Vd4LP7eNG4wiOT/LT/FHeJTTfEJSvxdwUHqEZf6E7JNyfoutIZC2cZFK8o8epS9uDW6 C1fYaqsd4vOQM+QMcuafumTdYH54TIcVBwXwUaH94nrxwSaLhcsmdPB0R+hDrHvAtAozp2Vk+b4I 07nWMpciA7uPVEHI8x2VK1ZhEPt6lsl8UkRsXo86COEXC3iOjnx3WABlQfCHNXL8BqtYOOBbFkS1 Z3asfjAWxbjOK/mmBTvlZrG20fdqzESmJpkIMuIH9cv930hBXqCcaahuwnwFpw7drRZX6JXIIf7n VPW3aAHlplSAYFMDH2TC7QSvMBghwllnYcO2oQCfUccsVqZovLEaOiN9wLAXKp4p02jBumDy8zTr g2R7rqar5CfbHHzKnqUXtsCu9plM72c3Str6ucms4iY0CE1SdlnCEPUTaG9qHTxr5kqEcFUHnLVB ivaMNRjBCWv0yEm3xHSjw4Lo5DhFXhvhJO0ZhrGrRRPaar84yUewvh7BJYPPnEZBwyzcCaZRJ6Da nK8931tqFzUt1EJOSR9SWCd+sh2740m9yAkNRYV2ydtlYCPXeNCt9Xla/KzDDMhoVhCUybNakpiM 34sr/QFWxS3jfw/dKeaBzIPa/4xLDeqwEwo7yKVRR1JvngbJCkyj2IqnWz47FePc4LiF0898B65R OPM7bU7qazW4awk7DlKEhwhYTZQyASAeyxEPNg9qrrF4lcZBChwne0TNhtbOIYsXiE3gSpreksYF ObN6fRc/7WLe/mfxDEFjfX+QSQGhdu3dIhXKi9Ivr8y0G6gm1OqUEy28YHNehvJaIQOlgJdNQ7Pb ibFv6Z9KX74UUybO0QvIk8q17XUPQBQtnYtfHh5bdEbfpt246ZnpA2NLC8ZqZ5FVOlUkRNJXFduC BjuVIIUheFtqt758+CMesl0sCdfdOEN+fMoINSXUd9hh1r3ajQnOBjD3IoRqvRTAObC/Kqsrb11c e/Xxv7fDNBBZ0sDCU6d4cq3vxrYr/l6EoypXbZLxeRJktfPKuLxQFPIFI8KX3CF1U3TIkxJNtEqr wTU45ANMoGJJxXMzXK/QkoRhvOlevQbKUjEp4cu7Lk58h4/AjWPDLMgaqecLlrcMjPHeWLDYysjt 3E/kTIDJZxdX68mKkpMNOBKaEfsWECiCrcwpfdc8q3YXUJU8cRwPQwj/wGLozKU8wtj+NNozPiJ3 K1aFKTLMnWSqebxFeQnUyDv4WqUEZrWgmxBj313FagzJANB/2bLA/+k3xGTiuQC/Adz73IhfhC2g VOZXyslyEObEpdWCm1L0KMvAyE2Cg/rNWa1Z8kCdW9FZZuO5p1dHhNvGZN4iJMcXeHfEa8bnFgWt sD2BvzBbzWOB6T857aaDMNQchVWvoZEnnE5LiRMxNi8SNfNaq9foJYDJVaeUsvKV4Wd2t0Oohtpt UlSV5k2wWXA+vW/sQsW4713yRFK96/0bYA+7o2p/X9vbCu7FzxUFqg21ZswZPBNLNRki0HF3v/M4 sjbh9GHmjSe0ZrWmpgX4yYWhi78l0x8tI+bzwB58O7v+/6G3TEoThVP4W6BUDU35qNyFF/EUOrvN VNKer3v5RfuEJrK9XB2siDBIpSrw/Qzc486ur4Ay/FR/S1AjLVVs/6rtcBg9zeTu/LrB5QOnHaER j9i+KvuRbMGj+zym9AizphF3kP8Cv5G2jI0KF1fsBSOMKUcU3Za6TXX9SqxyjfoTsBMZ+a0F4pmN EA7jA5c0HynlS4ppxjegZlLM67kiCi9SEJlKnb49j6PjCPt+hc7d87WQ+Ddg7ut41Bqk0sU8Npfr 8WL/zdRRiMFjxJJ2TqFJFPJpkK2CZYrqPyiHBoySEgia4h2JoF79I9SoUQtwHapGXC9b1dZspcwG dC1059+/Fe3VCuEIURlTF/YjYv9/cgjwh9ET1FSeXgZSKg4/iA0ibrqnVy+H5gN/8DU6GOY5OIpI k8y3tC2VTPKIGTUDxyDF4kVVrYm1LF0Ob5tNApaXEuIXq41yly2/E0HIM57QwxgoaGJcvdJ2WAjK AxFVEa+7lnqtzZWmj0nIc54Ls1n3TveouJePInJ+OqYgNW5ISG13qJReX8lOIcnP7w9gTAuzZoMU lMpCLNFaZwMt76hZNmSoB5nOsBjgeXD8GLMR7QuNK6QGjV4C9WIrVLNKNF9GvDUVuJ5GMqKWKAYq RZFspPQ6Jx4/cLcvne3t/w/9Pe7HxGfAQhTrNh9NokqAdlil2792YglaMBnVSNQxPZVYm3rDYMwf YPhVN3HPuCy6Yp4KNg8PvC5Y7IumWbgGnVGvSpHa18RGoSNgrxpcN+eULaX5qo7gVuOn9wFBGuHG v7iQujfQD64Td5sUwWYLI/M4AjGXVoG0hCd4+bf421L4xuUEeHPZro8fJUx/PkcfynQ1Z1C/YfiN Bl5FBzHs6Eu8i6w1enOuvcO/mu54ytgUHpqk7WTBT9RAAJjoCr9vdH5fGvNXSvZP9VFhHmKsIshU f+H1+j9lHAm7+zqz5lW6HUMhCUThuA0bNO3ShfTBAYma61Vyb+BoQwfE1L4FxqkVprjQvSlzUHpX MT2TqKOIFJP7M3Om5/l52oiabuCa67RMcBukRomc9lqcmVQs7ea7ezeKQaCT1VGEc0DrHGogP6H+ AKIF1AUb9whYplivB7Hpe2HMpkLd9ZLoegn0LZyIjKkTThb0HWeM5zyibSFQ5H+zGMe2JzRkjE5Q Ed7/Xw3qQ9QV98Gn0/7FUUK+VgDjQyLNcINA1PYBCi50iELJXLj2vebZ97A8OAZy+5fvV1pYNU6/ SHNWcRTC5OR6fgBWfbZfarFQpvs6ukJ7l9tJ+ZJcUsSoZYO7JxVqnXr3nsoABKn/l85BRznM7Etv Esiv9Gv05vzIN0HMUZBI32ws1//KkPf0JlAATpIBXBJrGjKktk1r3n7K2X46+LBnNCmyj6yLa/WM bU2va+bkyJEUua7zZDqEINntC+Sv8wXQe4JmzagjoLGCnYveerStX1wKq0tlAV858oyrJexKSMr3 sAzu7D/C8Vlvb86YXLfL5ZY464oiMjcJoKn4Y5BPEEfY6wiOCHAyGYiy4FJSbrJnFEwtMuZ3r3D4 IMASoGJ7Wb0fwHha0EoylTkqPVH8keaYpZAfY7KmL+p9tbrjWWrX3WAAX36OUy6W8EtkrtWlhpAe VvzaWIHbcoq0HOLJmxnD0a/mqoHxjzT6S+RpiOBzLQh+AXLWuA5g4fHsqBJm4Gcx+DZYx0T5qkqC YJIchEoDORrr/+ugse1EqFW7/UWfka+BQxmDmXOohnEFBCXgl1HCrzuNrqiRaubWrR3x/lKhesGf laADOC+gxidQxFiOKcOmzZVnbhPWFauGPjQTGEk7uPwoZCbh8avmsHu/aZwq1LU3WL/K41GR1p5G Afjxr48iZzPUNJeUq/mMBXMuE0kgmb++iphDi2WDi0bRIVfeMMYf3g6o6pT2thb47ULDh7ZQfn8O gZaH9GczG4yPM/mFfTUgPQsP+WwBcMGPTNnM2wNlmhejvGt859eZO5EH9Ia/Zz2XuR0/1NwNP1i6 b5Rk6wPSdt201KDgNuKFzLcfTgIfJAKiymS46Fft0OOd45+ak/1WMkB0mqtRc+tRPdRlv9wRcKiA mrdphuJrcmWAiEaURL1j0Y8vYRomdCsJwxX7EZmMEZ7ijU7qaoht/4R8uK8joH8amrmOuVABVz+6 e7LPLxBv+wFrSYxSak3FyKAY8hzNVSYjSSwDX0xcyRpVnDh3/8n/YUEr+IQFM7Sht2ZRzGy3gfIR 6lK+85ScZTEAPv4Zp2gBRRlmYt1jYNlBBBqV+JwvmfNDXCCnSZyooI658yfeaRrpyQkCmluN7mcn NtNlao7h+tINcyCzDIKNRQHhl8rxDiwiR+NWZYDQXCJ5n9AiWexKPqb/P9Yn3iZcAQBMf0Q7KFyb QAT85CmlDzg3RTrbhwcjk5CzBdT9i4LAwq3+TvtmUWiF7OUxDMibG1G51gQlfCFNApoEqgIRskaQ dzf2/qq6uL8AURHSn27CWKoHVdcDoJ3Hh9RzrKuSKD6OYkYUPUX0hLvnWVSql5LaeQPBcf34hqVA OHNZGRZ4oGWO8VBdKPTwtlPev5R7HrI2pAa6XcPs9JWqWkDlcFTmmDACU6kGPBMhvRY8eaAD5B1q +q2pPfmNmStP5aQQ0Ek7ottldDtPzTAursOeP8ICQ8N2A1WojnHfmF4APLmsovHEkL52e+TYjVF8 mL9+7DNKFFX/VzAfG7/FBWi5V7YVbZ5AVBqlXv+CTqMBPzgoEUh5ewiPsRIE3AsqAUFTJ/cpI7Nj h9vY5cwqQP3UA8OEzOwgYwzttpN263RfhWg9o51fM799dExFTVxcJSeLuvMniOnzOhKT1yGjs3UO OOKcA4rambP00nfSqdymoPDj4HDgFWREGO7fzGv+je4WzbtFHyR/13YOWrltnKhQAzgqUZYFqrH8 Tv4g5F8JNZym9k+6mR0cVSvb+JNjwzzIXaorO9OvwxiLCyiayBHxipgFa5NYOQAT2Zhg2NOjaYnR Fq8MpJeUHUXC1hddNiy0gh3MCBkxDP9/2ULpOayaZl8xt6NLQRhVTYUFP+DsovEng7bToCO88FvQ wQVUEnDtwXPklpnnfUswq1buY/IyN4IE2GYn9rxDcNvX0QpQkFOg4HYteoJLdhJ3UpRaiyvdbjk/ 9pNod1iJ20feIAvuhU5UzaPCQKWf5s5cKfjVIxFhwXUGo4C2Qx6qayZEDH/+Mkl4ou+Bjuuru8ry 3gA8/qN9rID853UCeCYfzOQIrvDIgUF9ExtRnewE77oIHEtu8jsn6i08dc7p+QVav2FVSx4jyXLg lO5tqAxwZdC9j0ALyhyBvO2CroePgPFMlIG4yGWs84ctc3cl7M7yBDaJBSQh8qvVB/vBX1aOoCKD uofEDJPVA6VVfYwtOfmTn4Df5Z47/euTsxz9/IMET1TCy7CJrFO0Xr53h7pQNHlyo9/9JxK49QmL aocW/Acf+GubXTZAYrYEjar77jExzHhCcyAgCsI8Enz2yHDJTs1UH8XW2h2dn9Qopzp7EUGiXG4B GffQmit38ypdgMHzleM3Km8tRdqeHiaOvlBRakf82txopBi9NiH2px4IEoz1+ss6aoKer+N7JjR0 rSEf7hFDpbUKNsOi9ATAeMADlNIShbC3y6K2pDmP1WMnRCCMLRa0a9qObyQp8Vd7V1JcMFkID7+f H9jCtBMJL9XwWYD3nSS5EHTClRZJxR1PLeb07/O1igaLelwTnDtGRBc1hiIsnivV26wLgd9MUqBj s0JnkplXai811cqyG4zkzERGfcLLAmQLnrORLYxebUz0Kk1clT29tYTotr/8itBuVEfkgRDgL2OA GIdDCtsyNOZAI7Yer+TrBJXHMRMgebcztFJduNpi1RPMCniXHfrvtWtp4YotR/MnX+4BYDAGmyGz waWKQHoDmJO5/6AQJ33sY3ubyTKazntlh2nQ3HVS6d5xVrkO3hRPnOjpDbTWA9S6a/Ptlc9cb0A6 7Liv0lF1zDPGpC6NJxeghMXl3y7hQEJyvfaw2Ir2p+Y2UEJaGGUshgDIe85lvm70zSnA6UN8s9sD ZSnb4p79DebHVO9nA9Hoz3O9hSHdmQWp+6OZtbyZMCrHfpG6zc5J4S3F9yA6eYy/4QXe22q0R9AW lmMcCFqkNedUApeaZnbLSUJsLQxf1b7u23+H5r+VB4EAgXt928NKi+sXlGKoLdp+ihXw+czH8RLI dasR3Sg2oFkUwj7ucxOeYlPXk6EpOLaUjtd5F7kAjj3NtCiAuufSEDTSQWttVtT9OS6yAptS6BCN 4iKgRPU6X1pDXMfS/c6DCfeURUMdArhXUaxPdOpiMKNkYBH01ilHjlnkzG7luuMKp9PtI0kXwev9 1Y5TZNbTHc34QH+CCu5e9blSNtxXYuGijYcyoZDigBeNaia56qTIpK4fliSuoCwvTiFV1N7Jr6dK 2/6VM2jwPFo3QrIMBpL/Jcxi3zesTduTFDrPsXpgT2MFPRvD9v7ojmvic8/NUhodJv9jhEqOgN1o fdNp+xj7AECHPmeIxi27ZO5SCwwBge0kTDsl6vqxaxYkgT+7QjMHIYzOGgLwwYGgU2wMOIUMSNgi mr1uxNVCTf/quZ3jVcMA0/Uy+cwc8O4aRQn1iYivhp2fW4wEhx94ZiVV8Wjgh34wTfk0ayArzi1L v1feHLE2zC6SJHUgHipatNYNkwRR/N8OeWG9OKM79Rwh6+lsfP4T+MOQHWE7iPUrIEeQ5Vmdj2Wf tdGaHPyt46Nvsu4TkJ/WCyxiXko+DmrMkl95kUZT3k0d83l2JK+4JswPpHRstqrKk/OGv+ivR3m3 xd2/AnLkhUXIq/P95BlWTVw7ikmcDTmBd60RrPm4/snU41RB6WOeQJBQ+cXMCfCSLIR3aFcVz6QQ tz4SQvcv4Q8NQ9Hdt9WRrzsKKErd+KzdY9GvkQSX8ckz3fcmkG7h81xukMT2Fw+ukuzCbsVUeeGG YenSqos6EXCCd/NaEHzIwx68C/rz7kte9bM8dKS+X+4ppr1Wli3DMNZ8LPapOA0cQOUZcdJtZGD8 CQIvVClnUduVkOHiGp8bNQ0BWEIyUcxdXLJ5Y8Uc9iuprkZUh6xRUQ2UzfUZCxOinmkI6AcLMp4j Pc4yk45b+9NodEK2DHO3DBtMsSCPpcbSRN4XfFc/gsaREiwVSJsQxpTXXdu9mi3mYQU7JW9ziywK UoMF1uEboPXp8Fv2/u77Io8Kh9PZIld+CQFNtRD815Zt6ba8YBkYIi8uAmX6GBRmto16srCbMrYW GzBPWMvf35+MnmHHVM1vV39jUDp2BI7Qx2P7IwEMGl2DIAteV18xf8hVtYltf1/dptn2kf/efxZY oPhsq/kMoxow4gspvgpLHmPrqrVitONQ49Ga0qU+0z8PATa80IJrwDTVjGROEByK/m8cWyRZoA4h 0uIc56V1qjMA/ilACzCk7dyVecYMc1hrg+ORWxy/mOBSw+7JJ224JsihTdNgkzhvz8xI+EQK4zJA Ab2qi6kCPfyJQXeBCLc0qdC29oX3XnfUEUn0b7POj/TWCAqH7QX2CjgVKM0gmC81tpomvc4ND+If QVrEAGPBjo5jUb0fX6kc5/HzpaWNoIf/jHFRUBI8yUCHx29UsXmKDhU+bUXxluTZhuq/QVOVna12 vasYiTfDcs5eYY8uDNuVALHL6Lo3MZbfnOT2JR8PPxxyE6iZ1cZasxSO1JrwXaSy9V+yNTL1ZuPj RNNJznXS5rqg4dk6pGxjIi1fHkcaWp+9sH5xADILcIWQbRwpHvMJ7nbsl1brVcgnxEChdrCoRjQv 88KP2qRfSljBwG4t+7rJXqz4wk7Ip9o+9vVYaX0t8tZr1UmIZ5HA46hrnngEw9cmxTUMFoN0ffgv Z9jEGoX2u++LyRAXZn1hrNwmHwaYe4t/Y6/uLQ8sSohejFe17BoO/qUEfpwcwhEGHX+tovz+A1LT 2ffoacviFmxsLh2UPtECcYt3eNnJ9wRi/WN2KOaqY+am07yAW85zJbgUhtK7rlEl7FexVpGnd0YS uC5CtjINfgFMMFsxDjSgw+Luomvp7lK8Rv4lRQoJxMKV0qzD5nnBU1l+WOVvzjU7vOrEM6TIHlcY o1opbmPoMojuztsp/60iehKb3hW5ocBgC84xnpgZ3RuKkJZ4fwr7FXF14nAqdpzK8zbNK+HQ3kbm LS2/uqkW2Tpy4JNMl3eJoSa8S4W1J4TYiWtNlFC5RdwA49gkuyguY4hBkjEdQMVwc0hjqaUwFCOM 1s+m/SUyJMLn7mqyhWJuGDNIHmU9VJysyDKHlHl5sOGIabnSJfcefiXtOKWwUDfs0yY+hJ9xHzHD cKZcsSEeKKKDJRfxXcotkSC07zom5q42vOAFBUqxNqbSuR76QnLq7J3ChfSB7e8kR/awrn3kkIAn h3+iOC/Cz28ug6k4gE8VoJ0ElRw4qY5IaKLGhL8ETEVU4snf0cAotS6seEH+BB3pF+pWQwrCb4fy C7pPP6tXTWXum6fk7wC9nTK23vDQHWUfUUwDbGawg/HTea5IlkGLh16jyIjC4SWE51fRG+XqWKrX FcrnrmG22E2lEm/jCyFDB02rJwu+Brhc2p9ApFBHgon3rheZYgDe9YLnXA4E4WGADDa0PDM6T7eN AQKAsaZc6ODCPcSHCBbaDn/OHbehdWRrmToT0uPbGpGzg78LlLmKatxAm6lAXrV5mlTObs8ZZsDo 1Pi5wl/2bk5IhPZAvFlKTHl7V/MK4OTaDvKZYMDlN54tsnCnyr/2GFmGOFrWeZ4QP0KXPv7ZhnC/ x32INWp0YH41fMqliBJJDxRQZM0MpYbmPfc3PO62fZpQSuf8V26MLMFRJdQPjrb8lGXGKEASv9J/ flJRMIP6jWu0LIY44NlTonXPIN7w/ZrpcAiOmbpxHGoRrzu6Rrf5egIRHHB+fummHEq/TzarMVE4 GSQTn1ujewIWskL997m4f4sB4U0oDABj0jUaKL0iE5OmGne//+BVuA9PWjCqXNXUxq84Y271cKgF rd+a5SHOh5H4xw4oKtzIfRTWar6G96bmPLGJ14YmOumylGKCnhlWoKrbpZTB/v11NMdZMn/PAq2f fRyKq9YqgC4qVIeTdGP24XsJXjjTTdr8hZ7uzvDOIi7t7CPH45PuyMobmVjpNtj42VdBeX0k/Rul PtjHCQa6ApHSrtTqAIplUsm3kZyCl0CWtgpft36LjnT20PbJG1Fn0T4KCe134wlUx4dLMdCEeBsQ xX4fQ6WItCij4O4H1CoLR4AxgpWOxYkBUcX5emSk6ORukdcyQSEIYyluEjLfF1AG3SCc5/jnxpQk Y49FRSOh9x5FiAx+BPvC6xnXyywDbl0n3PGCmZcy7wHbj4pXv9kjQyN140VZuVtSFVw7q4noRyE3 CPE0B+5F/eB2WXYoi3h6dy/UjW6jcmfJDZPzsL0ie+dgP5w6xphUKJgonoPaD7DCiVjw0RxdVB7j 2onej0/0pwkZsf/lH1WLDgkvTwifvH/6+SZGEnWmyOKzBSDFBRDJ2aK1RJ4+jHaq/1pfj15PWian IO6AgiHX5MwzgS10upWt/mOiE8u3eITZ/Bcz9eUJ4ScYOUgCG1fUaf6EhkNXH0q8jQj/+8tJQkUI 3auAMAh0o133hdzmUoUi9nxjWeWHFZIuJY/bYIP9pkHcDHobZjzu91RHISCHiclGbOkGq/aKvOqS vbjBfHbxe858F0Gk3uW+RloLgZtwZ3jRs5SobYY5R4+iBiFWp3NLvwusK+Ppomw7HnsZky8cZTn1 yFxPWfboF33k+pJtZVVa2y++jY6OdOZyNmG29tYY1X7EXmr4O8eYvRntGjLCm+vYOJ0xd8FXsfeG vv6+yLEChJYYAv2f6jGKRo5EEqdrnaZsUbas5VYtAvqgH1Vy7sO4Lidgi6Kznsd/R9QxN4C/2+Vb whSxmP7F+5NpjhxPAGigOPeRlnyiS2ZPtZ0ghDZwPvFiJ9zPrNiUKszD0zCNCft4K/VfTELqYeDl v5i2dd2Rl0fGmuPGHHdRvHVblUmBl+wDIDfPhV8sX2j5PzWtb6datmQ9K7Mzy8wzjfNawJs6Ri6L ZujEJf3xo6hXkVDeGXnsLY8OhY14sl4wxjjPj5H7Ly8d6B3q/U1C1AcYZAvZC4N752rxqkA7C9Zo YYOnmQLzzucnKPaMjTgSLKhCnQWWGOUL4sbbGkOP4hr8goTxRHwJGKttBtsrFi1v6+R+WE19VlDG neCqquRHxMra3YBgAk2Hs6SEjA3nP/065FHvpHYafoaFtATODPYx+A5+LmvJpSd9uw3HUGBJlUxv Utz/WHRlVrx5B5sFqMjVvDOL1UUsSb2E21Ixp2nb052EbRJgMMUxZblx8IY+WKk6om4z91BK7DkP jSn0K5oytTIfIDYemNDvsmrbmKc06Jaax88sAzyx9vVnwPgkVKLt2ZMSZrG9cKyO3ASJm5fG/RIq 6e3p8Tsmmcux+2BMH63KTZMy53NvlMURrDXQd52a7WOHuqhK1JtAfv+h7HTjWOrosNsYur4gGGTB 0VRKZx4Ztrk5On1yj2fpQfmG/evYYF3aFUKh43KzgKlPCY5VDUGJw9gbLeeZzNjy2dTDG1z1yf5Y Nj4VviPANCV+FYELblwdHYPQTLFzefCWk0P0GfrEU1x5REtBeRjJul7W6qL0w6GKHAeHWj9FyRZV nTGSE6eMnwWDCYM9+P72I2oO7wXrVqQotD5v7/b8IGvnW4b6hHXEv0AVxgWsD5Sr5rFjXqNLhLnA ApKswN2vtHU4J+p+8tgs+OZ3StfcPvjGtL3mnAphbwhxEkrBu6bRj4BR2LK2Psa2Y/hD1v+W7+om ObO2CEMXobOuWXpou9UMYDQ5FmAHpsMB1MMOu80sKwiKLKtyHCB8sDq+n13e/T5BeRfI11LLNJgg vd0pOH+3kVgW7MnKVAEHdAjjXFfWsD9XYspBE1+V/OMPc0FzbTG3usawNUiHAq1EVzNVan/B5lC1 +9XuuZ4ty51VcuhfgKPtq8lyxtWhpNZ1fqV8hP5vHf44VafIpGwtFH2KHFhl9302cKxl9XBxco6M 2kZhEJukHKqCfzuaCPctPzVilG1Sl9ydUPgLBjz/Dssd8fDR7cHb17XSR039ar0nwp5Zz0gvCCIn oPoK2exYVdfyOHGjKPXW98741BzED/ne0RX24gMOeqX+8TS+kXXUIE7HHOMielsQ/h1FpgySNCKk MLKJhhaLg9tK/Ww+mXre0BUOvhqtWUvDJENHKIsitJx7EenfK7mTuc6MXlSixdy/OB6pZw8zQP+h GOhVykw7Q6YvCfO2rZWpU6VNa94nymNR6dUxGBMa+pRYrMO6JvYfET9voRLbX+K/O/qpXtIEAX/y Cb5FVEDL3Y4QXg4WYWg4I6TCSeR9jWN1na3VJ+6YhlmSNhG1nrauh2Emf96kAoWeMU9v1cBGMgQ+ oL6OQQHZd7cnbEZbpVtst+BjzrFndYnYhKGMmYeMtsNXXbcE17wOdmTfvWYfFpXl9zcBhkexNkwB rANZR47A+lt5t7pJP0O32XZ79PirRopgabe6WWUQiakToIbrc9LjR4Grp12ujYBZ0NKOccIDvnLM RGkpWOSG4i8Zuv5mBu9MVr6ZqZfpMWDc03v1rDyZM/5RrnTj+bXZHAKYIb4iOdri4pKW+mM5FMhd 4LMHSv1nN8AfcZMODA6emRQPqANtQlapREtkn4ZNI/AY6YO/EVbw+0l4BIlxtsF3r7E9e3Dk9n0o GAe8D0f5Wp+bcaR9QUoiCPqX9K69W2qN69lpn07xZ9rgMx7qyCOc0FMICAyEiPepQ03MIqycg2uo NQQWEqSBtm6EeggN6XwV13uSDpOy9E4Vk77PpU0Ju4pzEjli51bfOIyWeYp+ktAMRbBo6G15iioz Lf9TJXztjVw7PJ5EerzvO61QM7Bqeb1Tfglv4SatlSfmEvQcNx0gYlxnOhr6VcaQSQ3B4jAjsFiq uFrEUO34+f2Jqal20gANvn+rcEK/8dSJIJrHnGyqbz0mkr4WT3zoUYydIMahLaAgmOATbws2WQs4 UEruwXq4DyDpTGoCJ7ETQwarSiCdXR2wDk52JXiydlzdbwb0XY6PCHSA93XX7rbs7113ERXEL2Gk V4wuP3mVcRqrWN1BwU7z1vO6THAlWHIc36Ox8pTJqGRMkqIa7G/qBmNh7Zu5AfhFygLrAvXuCM2L kp5ibKAXD5kVfLIqEKkx9VCihq8VprmK/4KFHedA00K1+zVLvdJ4NCjAlinKbODXb2oqXbU8QFzZ 7eJn4gj6avdBas7HSdd2v6nz5i1h0Xw5trqR7LRiHBstTHlVJU2k9Bd3H7hLa3shgV0eLWiGCK8f Bu0j4zuzZHqp1AX8h1n1BqRajTSgZuTzaQDTIjApjjHt7B0lICdThARuTJmAUBrxLbIso9Wwo0FW bQcGO1pr3yw5pBgWBgICeV4Chb/Xh/3LMirhGrQ5GmMlP4/NY0xcX/cGZOoL7CvD0JeK2yLae5ZZ WJizT2RPB7RSm1ucG66C0V3X82zemJyQPcewGiIwsNGGzxbJ7Zvaj6uqHvs3/+W6kURAkMfrZAph VAadIwrErQAK1sFYQHaIsJ7XyZPfDfEB/p7sbG8srQEmWRub1DYySbiMNDU8GMIpjW81XxfMVCgQ PZFo+Giu/aNkNJSsl5PqK+iSelPyrRDKhbLT2hyjIlW0Bpot1egIGAAVjFwQ/NmQ8L7GmzRE7qI7 D9nT9C1E8LivHu9ylI7IyDUiGptGyg7PKZusVQxPlFJh8DRUOkq7Xq8nynepo9ggW6PSPcAqEygU KuCcHCqyvlfI0aYNBu2jovA7K2N+VMMCVEv+IrUhvlfuWPgnBdVYKobD+VINtYXHv9czKXttbBDF nlTtI+XX2kzIxlB3uAkUVGnmg/qOwT2wwaSrrVfNcRf4LUhFX+Wihr0ti5EVIACDZcRaPd1blygg sghORnb5WrehrPFU305zezBb2YGwoVG1rpAH0xR3k8FxHpao+CcbMYSWAHZzao2Uk6Hz5JcfUztP G1OuVLlrzATyVleRk0ToKtQGzNO2fWZlUrjPxT0wC56fqL2gnMkAApmPhIeUMny5YFe2MVIx4E3b T4mjXE87XtgEzSjJ23tq3kX00bg/+MQ1crAGbiPp4Nv9VquPi/ls1lUIj9H+sYOasBUeie8wwJl8 tDaFrzWbqQCzJLsEz8j0dqG0eoMu7m1/l1zYRH7Wr4PCENR2i3jRXVSrPMB+U5yc5EXWRLtBe758 /BDcdF/HLvxdNHAOxKd//QJMlwVmHcXlBadtS9Qc4B42309sjKREdX2spIF1srDiXZ1g2JdQ6BSj nA/vf59W8v2C0G0BZ62n31H0RVKzPjFFrzQoNo/9mBxK46ay+bDNqervvCXzywRteHbxaHS4sFau CPaKZEl1iVJpjgfvvG/iKMQxm9/E172GqQgz9nnyraDwVU3KZYKnKwJmjlQKKisVezR5jc5mc2r9 FiwNItD8I/NBnJtlYE1EbKBsgRW4OFF9KXuAfhH0UYK5WS7244fwKADX1iKq6lz5TbY7a9lGzC+z qRrr3eYPpJgLerW7Y9UFFoMeFA1M2omIrACfR8/01QrCQr9jhiNLBaDL9CS5LDrLFJbNXDXkq8qb HB2W3xDwEmy6l4XfmKtccMbNPVN05ZmjJpc+tnZxqVzpuBL9/u1ii4HGJh++Pr4rxLqTgKEMf/Hm Vz8IL2WId9WurF8gxg4jPjfAtk7L+p70zSrNREkjl03gpR5rV7iiO6vsNAK7+Hbh0t4csOMRv5J5 a2PNj7zWV0uaDl+OvKBtbMUWtClAwV4n8QzFBJb16s0VNRF1lt0+QSfG0RIDAVQze1NwPQfSD0pi A6COeX3DFZp0FmMqcowB3FUT7AlYzDNiOBwv/OyZMO4+8dmzVKPxQ8TOImmnedaLNHn6AfNUFx2Z 2K2vPknPvij8jBJNzlXFDhzQulp0pt8cORWYTB/4L1rDCRLCz/WDGDVRkXNA2T0rHQzmC2rGhJ3l xBaFIV4IjsW1Om8eG3YF/rRzFXb/gjo+YEWxguy8HxLEvmKWuf30jTyY4h0s+1wJC4ChXriXRutY Tv6Hr3giz4xEZF8Nb5dn72S6luxoQ/9W8Oq4HKStqMJ1vfxYFMOQorA1nsNfTOSwBqJZX336GtvN vsCRhHM+qhOTlR/C2SM916fUedPtSpxZ1OfJDY5gLNz/t86ul9D26sBuBmc/qQ3SJNjZddnULLUR G2YFl0OpmvTRRJV3S1N2T89soS8QoJCArzpmxMwZc1XU9hEVxH5JtJMy28ImfqyrAPX/68I+8h1i 19rLZF8HPG6vB2AsitzuxUtebX2YBGB7UV/CIXbkpiP0zqVfsFScPx9e8bps39JYWcQi3l+aBpyS nvp+IHpXw7qi8EzvNUkoTMRI8xou6JPq/ojbCIbW2SKLujmRLMfvRMH5pQdt4E4KKJodU6/sdW+U r5oEauRiWz9aGQYjnE0ecYUBgl5vBYxlqZFirfHoclunUi3nADQ0reILFcl4N+z1byx+DfJ5uP6h FD5l+2G4p8DERHYHBUIYnxnR5detCvCAU9eLDliMO9EsyGz6CLVoWFWWH46etpMt4AfdU3T8Dc/0 cferhdtOPivHsyAmDgB30zMk6hyYwOeHKj9TcHO3xoiXVSyLqfcWMyef/M5oERQ8dRMxdXleDTHz nfv6HTxLS84gGzR/Bh3dqLLTIFsyX5l2dj97ZQeccSB4EMI67MUUw86VGhELEDj3swDKMNgswEQd 879+Zn4DzP1ES/0tDZk3dqqXS2hA3XoKiVwYae2bYKGk3ttfMnS/Yd6aybDj3W7IVO/ykstPQUel 4qEjZb7OuwLd3yJ453FKuGHiiuk/0+eGA3HtBuFPJCs41eIxZ3Rjm9lmN6eUZh5IWeMZYNXnzIS+ tVZ6nnmwXFn/CYWXsgSe/6QjX0hOQq2qRO/n+bqnPFaB3kiMBNy3+WCVsqkyEq95TcgUVE0SZYhf W3Lu2ZuHLNlKbC2Lc7XtoaXIhDkHVbIB3Qy+QWfrRMgQDThnjCpFukLyZ5sK7r9JgL359WFuNBmH c8wecG+ArBS7QwSnCnVfDQd32kbmWM5Pxyxrhqe4CJSachpdGH05ypd6qAEUCnMKajI0UjjtmBwW mq1yYaDNjxsOb2LABUaPZuEYHG9Kp8r++PkkC3A4At2XHfDybI7MxPXmkOd8Cua8lGFhoPwzmgmU bmUj8Q3SuPMlKFPG9tXGF+5JAPO9as+8hCNAo1h791IY8VRBnTCSHc3zWpv6V1wh9BN2MF5Xx1Yb bRkmD9NEPtVqcdFc/XxnBZY8ckbrS1KggXaNq//O7+oF+0PH/4VMARJ8IioFE7p9gB/L/Pp0hECg Klpn1d4VdhTZZWzotjFTxO3dCd3wptT3MfXB24o3irDHMpPayhCzEb++HBqeXHK+/+Wrf42I/JmQ ZrdqIgaV80zphD2dNzMfifmM2VLhiAqu6I1sVYy6CwoU0rPI+oWxM4WH9a2imHk5nk0tR3YfAymG dCH7UY6qFGFLOLE/UdXrGv/PJQhb9ZG6YbsXQ+gAIT+mZH86EGw4g3VUDcfAPuheE3+5mMdf/3MC epbA6OzTddkIsgX/8mp7BrRjunnfYPsrOGAZW5Ui8L4NlmOwTJ99NkL33j7MUrCxeD2zMlFQVGC3 6v4cEPLDUem6fG8ltEK0zHaOCNU2pHrhQoO+aAhPCeEmsTrJh04oHxs7zAgRPN2VQm8HlULWAw60 fULNvWstzTXhlND8S082Ry1UvhtrN+QyqkUI6juRT8g7fitdWCPwXaqrJkh7DoDtfnFcLqoXyWwn XyymswRtWFkhQ7B9MUrqbuiJ0QpKkjglp0qyVttNwzG6Ao9taEw6CL0+cMJ/G9H/Or6cqYlb47tl u+KyTjby/8B/jm3aqrHOOu8Ha7/eyJGMnyniasU8Y1JanwmnUMnpxBRS19DVyoSE31yoWIOVyIAY b/yhOIVbB1mm2OTwl82WBfGcPJJXg2P5OeIo/fXGvx8avvQXLHkiePUveNP8zpV2Rj6llbkc1TZy KH/99I6wYFEMNssaju7acEns5p6+nu/TtXIKWXp9M8SMIm7MBUyqwNB9tV2k6+WtYuPf+Nlng456 0UCJCLDHlOKwdstWoSTRsBCbpqSbRnQHFcmlexXytMjP4mCujRfqHA1l2AiZYefQPxG+88unMak0 vSOWOFRx/h8l1daLzGgcr94RE9TV/PqQg0ijcg241NGJnK+nHtTBwTOmcfzF8nZXKTUV+oInhp4o F+moQG86EuOGTHgU6Jj1RmiPiPVQ7XmvLS7TQihv1yK/LJovYJZFlN6kG3Susj3Gw1FEvSgsrdUw lvXM2Dyx0hw/MeS4z0uWR7oiLa69PBaV54jWVwdoJULemXoVbgsSNyKMAyxEzx/gr3N+XlV8XALu 7tE3kQbO0h1qsnEuXXMfm+NmDvay/vFi8Xz8UolVplHlEFWrsoRIXRezdRzumdU8cUoHR66Zh5eu pxcA35p0YDLMDTU8MU2ixuuOlGz3iKq4Qz4/5lIFS/ChSdoENfbSjIURrss++tTG8d/3fkDGGTMW nQs3np/bOu32Zi5Hb59sWPHQzd0JdUVUpoH7bfgqtSx4d6rX8KRwS4BqrOmHCotIW9160J17jTVs MP/z+kle5wiaF4NQcFOhUhQ+brQesrwygs0r7H/5aHOpaeJer1Y6BfgAyi4xlP6uRCJJa9hQPubL 9ppnyAyqH0UnPdNgpxxbiriK/2kd3soZC41JjyO56K4WF0GtmX2AlFC58Qq8dkrXR6TB0qdnMm16 Pm3QZ6ZpQ6uppjeCcrlnJFk7Vv7lhUv2SxNNchXgW1JPzI9R5GKPGd//2Iq4XP7hVmqRVgD9E8Lc 40oktIafvxMMT93c5s4g5vOLNZ3duDJZzEgf/yXnb3WiM3L1x6dWkYeagh+uiqFfedP7RGhou7Y/ BVoJ5YPKG8kqry6kQ8/EmWlBFgVjVXw8hboDCOd6qBj4tNUWcMwDWPHMtUqs7miGyNcMMa3wlDZ1 iCSDS2P4aBBCVrWwHbe0NS0AEoI/yrrRf58+k17dr+Xrrr2SyoPGB5P9sNvaFItTjW4Ocy7v+NuE D2CWwu5YpMO3iKBlWPHvIAibm201Zn1WTWCmt8y/sLjH3SOf0QVpG14AEEsjtLl38ZVgp5XSzinn SSbN0sbxp8xU27tPbbmeJ40851Vb7FeiOc46nXR54/7BzUW6htuJ10Mbhj7EeidAKpr+cxmhB1iE cBh9Ju5Lhp2FrrQ3ajM0lJ0OrDbvGdoAIR8yTR0WDwgb+q50MH1kpoU660EaLew5B5f2bZUHG614 qrsMgTP8FLjziEQXBPbrf6DbLvSnHKnxInWTQq1jHEiQr1UF7NMYrLtg6AmVfM9Avz27ZGPOAk0Q ju60FarDIpte9MH5Wyk+mBgGTb+ziEVTU5KpTRcAianlshjUb3ihsrUspURkXR5t+GBoamiBRmnD KNiLtaV+++nSDVoKZG9Ds/ynPrvYgf75KaC6e1v8STcxduQx4eqc5Dn+3/LuZwxnBz9Uor0yjnNs nKDsCHKdhZfBfMHUZPhPxxyUeQpsqpywgb+qQPMud7wveC9Brfhfos+Nktd8lllIUkXM9ffZeTqw 4bg1qL+5jAXRxVLPZdOX9yHS1hD8TyUUmNZDsbB2lC9r41vTBk1n+QCw5EQ3p74ihBZlGVt2U2mm VgroS9bcNNxa21BBY50W8EYwI7HMwLLkZ6K8/75rUFm8pNZaS/tadnByxlOaSfKJAfhSIJTKQJVM TeHw7oVp5/0RBbj1jbkcGSOMuTvIXmE2QOYX9RgcfIipAID8YaXsx/kup19M+hd2ulUsYdVryU6F qEezpahSBYhDF534B6z9Ug/uUi+xqwOeigNqXBKm3o0Ks2Nhm/XYgIlxBB5q2BkXRQJOluROUag5 TC/Ej2S4uFYsn6vQ00s5yz0vkGgJlMpSnth48yKi1hu0KmB4/44uGdYfhRCvYyyXhTpyhu3JBJJC YVwWNcGal7lFecmol3QVVFuMs18Pmp4pI9KtHc0QnGiOsESTsLd0gQkKVm4L0dQNc9NirZtdQr6W MIshYDo8W3NM+Tgfkuam9DAQhZg/UsjqvUmEvYaaBht4fxJNdAPiqO8KjxVL2QdgJtljfw5Y7cmE GwSopNNZGH/6AK6x4IDIajL6dJk9TZ79dTLFKHpRFz8QhMCjaIoYcOCvoVdgpthO1Von9qmx+tA4 OywZOw8YnSdv2Z9RKR56tq0bqjIOjAGKk9Zpqv/v0FJMmhm+0aXlYsEoaEr5HL7Zo2GpEEUJ8e/z 2+hVXF552Ja8zgUX4czTFGp5fyPRg8B6wB/FjRtgxq3lNj+luqljvDsJAZLAgC+jRKNPF6SM0O8A Ewrqf5rabx4YJEp0AXRO0m4lw+poSZ07bRItvOUZSmKfDE6MQJOTjHYP15huAsqUqQ8Zb7Maad8r +JvcyqmehsFK9QRC8wPz3Rhh1cqQnPfbKUktcLAEDAajINYvJE9/FRMZtS8f8E59J1KW6VZssn6E 0pQYzocQRL6ULTwc858I1xbiZyBsURC+8n131wPTS1Pbw/ky1zn8mXvDkY71dwHyQtKuzwteDNQQ v5GqNazRxFDD3n2NNAC3e8QvQTV7chxPGfhTGwy3z5iJTPxs7s8BIusvHvh/zOhPAP/sUo4u3NGf gxL+aN7r2m5aFQ85SgaFVnFqGJsH/bP0Kxroi9pS36jZBVkdEiI//uoX7NvyxejKalxgaY6AW+bP yNSFiya/R0ubgvJ7P0NztUFNLCWED8/HIp/2jn3euMaqbOXWSiFswaHxfKyS8f1y7Gdmz59uo8U1 aePSAoQAipmrQzzxvSshQTmYgER9FnAnlPAeTZ1NErfJe4QgCXuXnYZDHwrxtvXh5QtQwlLioT2m ZYK0GmK+5HpmUDEIyThp6JZgubQQ7AqLbDBVqpwMwVfsY1QaC7rZ6arKvED7UYIy1Rfr8VcxmPG5 m0MqFZoeX8DdeCqIdEv2tef0S2HqGHSSi6WkEhiURe/X39O5Dy1LogCD83ztOfahn+oYhkRQpdKE HDH3yqXxiwhQUtgR+WogmKEyXaWPEB5F3MjUe7eXD5wMJYLPO4W+punyrz5+IeMKCqHz6VTRnxg7 ARRpcdX87a51K9JBmKE9iKPNH7hbWNQBNnr58k++jqQGwF1xb7RNhB25hiVzwLLBOvzlwiXTFvwv TQncRF6/psH06TeR11VLgbBwScKSJK9yPwJTTbGMWs696xDdJ3YLHi8swTjgOITBM6uuHIfm1KnG hV1Nj+BEdUVgqWuNBcQlcLB+GycG/f5DpHL5+bZ445vuZisv9CVQZIwEnCvvFMfcoGauv5R3BAsG GTuatWAl/AkbcbNfSYYvAqrGgUJLP+Q4rezQR+Wog8Qp0h97q93kgk0Yi5K9hHXpG17wGNPtG2ee VtbIJZPEAtd9ETjL81fL07nlVcEpewouj1FyrfunzAe5VpNqk/NnOsKTL7jdMnEVS3ahSOZQDtC+ +r8gwFQiXUFU5w33CQgxqsEcNaizdepbSJ4/wQse2Ci3tEUdh1GH4LnVXSc1oX8PIxVkXEXZHqXf r64RmleTrYNAbLCCCIa7lAYg+w+hQEYtS8fKAq82YT14QR2M9yUNLNWJr0VLlpzHHNLBvvIV9WmK hGLfj/GLgdeESBV0wvBRcw4ryKjBdQCiegJkLmGWDaIcxSlUepNyx7LLxqsaHgzClXVFzlKa/G3N wV5pYtrnS5s0cOFzcv8B+DgpNZzhs2D445Isn29UTgprQV+SaY4ws0OHVHcUPPZvesaPe2uTWdGS 7tptiqDuUEaYSLbQUk97B5VzatZwjYNM/XKLv7DqUmr4aXTteF5E7SN+zn5XQlYIJx4xPy+DJ1js 8Sr50SQ64WVQV3q/IlRPdLSQA5oQF6GaoVARp9wcTbq4z3xi5cTt3LTl97djnu1JFIMyXs0Xm2bz IWEzkoj4b4lpVJrpidHPzHc4vgDKQzVwv4JaI9IfXIlU6J19+y/nqQ0a7giuf+k8lKsI7BeQoLGi B58SAQTPv0W2Wygncc9JTsXRMsjExNWgpPsfsvUh/xSzCPbK7jut/Sc1GWCai+mHTGPWpJcrdfE+ VJzDnyzbAmkFfBQ45nhy+urvR7nzmlKEARA/7AFkLGDJosmSSk6ICHLBA3oJ78zlTgprTGZyWIHO s9VgqSdNN2Z0SizCf6t9mZzsgoZWmFKEb6s3bQntfn5kzQUCZyIOu9nDdHIEs0vtWBzSpHmThb7g 6wLCPcQqV+YrRKlkLbLpxaz5DV3ls6FI86R6XqDycllne4hKV6LZWGgy8uMX52xGtnxvXsYlW0rY LR1RgO52udbW8FrlC2E+2lGkYVE5FOVj/3POAfGnGdYx06bTYYFXez04B2z6AWJWjLBXUbNgK1p6 Gyu01YmQv1dwilv6Z8qTnIebGNDtMvipcvmRqA6t6CF+cmaX06B8QXu7/xEJ7t9NKOmfuHcQbbxT OLoiw4kcv7blm+jzdjEUX5NCFLK9ROYEX9OdEfHnQwwuH56sz7liyrTCjPobgzB8RZGiMDSxaJ0f JT+fYXvHuYepGALs3oV1nEGEWQ1OkVUvltGZKpSRexXOv+xsMV3kPifgs6nmss8KAkBNwJ+W4Aoz r+TLWwKIeDCMmt/1ZKR0TLE/vPV8WCbyUBZXLQ6JlVjrmzSqf1D1EZqAJ54nAQxaNyi1iLNFG6+9 FUxHC9hj/eTcfb1tNibbJZ8X0oEhlrNvfyieGfycd4Imqj7k2yp9gFGgNxRBPBYx/7KdRfx34TQm IDhhGlJoTE9w9kwdVf8piXBpTnSZ76pSiDWY9G3EFvhryEpk9yR3by9kA1rZvprbwkAhFSfEfU9o qvMi2t0147JL3qBovULTm/m3Mobs0HO2PcXHu8eCXXnlUSyPhOQVQtojXbfhFm8a35rG95+uTR1T kbML6pmOAwQjDlm7qLkNMeDflpbRYaa33QE1DV58WPC9eWHi1G/Q/1Y0vS9iXyLWF+FuCGbyTFZa Lyfd4Jve7bkLrcOt7aPtc/leoojWvC5S0RCLeJz2KulhbZ9ylMAM9a8OP4haRULzf9ZMzXqxpKAF FEgFFvZFYO00TCKupoJ6MCHQN0c9IXyIgN1i+STQS/TDnVrUUHJqnJz5p6A09OgCTKv377GY3Z7j 59LTIXqzNt4RtmfU30XMBai1RUqUXKcl7eM7n29VBPBIZDlgooXpAXELWqMnjIW+v1/YwdcQhQdy TaUVWC0H/2JnR3b/NR4Gjf+YBHMygMJVT6AbSuQQisE0qnJ8sElY36FbVzzdqBD07AbLen5kqBfD 8sfJ1EfoWEvke5c84dF3+5Sk/yoe7Ax2UOEoKuQRpzkxr8lst6Bfq/Sn+0dMZl872JFpY85k8NN/ QThVxItzJvoIoynuX46LgpmmJs8bjGdfYw0lBK3VlZ0oslA6AfwmXeofzSJtT6aa6blwlujF5jNt 3xe+UBdZWqTn0spV8FLRZNRbRNAY1ANAzxbmZixHbuVT85dSYlmBgzUFP9aIK57VvqErxtT5Y3ZR Dxgluc7jhRyXiHXlxxcjHH3Luy5stEm80Ohl/6sQK2TgwBZGx4uqgf/EHlDEba/x8zA73JgGvn2G +VZSkHI608pOuqIJc4QVc9hsPhdzNOMXix/DYQXY1joJD+z9wzp8PBklIxX8+v+tWBQW15ZFOsT+ I9JOYNHjTVd0AL2YrO4ksqD6UVY5eS7tbMIwTPEdpAkFV6L/ISf/dQl0sgmO3kKzaLUnvgE7RmWw VlG37siWjzLwyI4feWOvqQkoBVh4VwqX+7DRU4NIlnIUNwAs//xp3UrPHef7gB/dXgxxrno4Ejg0 g7LjGuMODSu/m7duM+GQuo7y6SF9s2glSBLS/coauOvLiuCd9/qMIdpvuUGHYny7c/XGYowVsNJ7 IzSw7Pocn857UIc+U2NCo2RIb3ylJB+IC/fiew1VMEBsdsK7gLnQ9l/UplTJX8byK8G11K8VrVSC zLBeqyxcnpD/bz4yv6+S8rPy7t/ZGnInLKdSw6SdCKFa9m9MYjADVehqusn3eage/27inN0OcTGc a6cI+LsyANlrOi9ruNgwAGHw8MATUcDH4fsSD+/kyfKrkRR0Mz8B1P5PLV19HUoGCiprCn/hMQtD JI5fXr6/9+qLUd27exyPjfXsubVYZbieYhF05yH+bG+RzflsC/yVyTNLtocVoVKB3+5XF490wEGG Ot84V54AEAhMzTn5Hs3AKuY8rR4pb0KiciNSlfvY3CkU0gBO0xowvAyx1PskrXFzD7byI1l/Ns5M t/0p/lunnDEQdJ/EYsmWEZKQDUcqwAwNdy5R0jxzCS9BHlbfGnOMnOzdOd4wy5qJqgfVg5fJ/CMG 2Jd1I9RCsAV3nlTRwGnCnXFiWFW+2fGHCgxr5pf4bsMEDOwRguGbXZ120upel1l4azsOm6Ors8Jn 22fEm6ZSGOBRfHh4KXjd1ZtL9U9GwxwH2FwVmeXCqwbNlEypbonFsKCX8IAVG8hd9sZc8YSMtrC4 nWAmMUHLXwoudOFamk2FOHrRgJ4P+aBZqdiehR5kCscrJQS2oR/zN1F076frHDUHPmkX8jPTvROo UrlMzFDVF5zsWl2mEnlFxkG9Cj2153Szj5RdbfI8DgJZqC3+juohKJP5LMq8Mt4jYEShixjiIgu3 IG5L3R3ZV9aebEuneADXL+fuW0smSsXmaAV64SrE8AwOH/VJVZhaPmno/1O2QkP+rRY73pp/qiL3 74w0kg5ZoE4JnJt+FxsljeCticUnDbJVGXYMd54527x2Q+vHG82zQcmp04ZAJlkhmv+LloxoMoCH lW/WD/eZzPLo6ZE7SHBLxrRwSOXxq7ds01wx3pWX7i+jsJg4bAutTSoDirHx0BJmHBgweix18wE3 P6OdDKgB4hUfufVAaSAqPBnK96aPr9bqDfuJ/3Djvj8JsHsz8Fxojc1v5SEpxfyWDwD91EvgvfYV BXu8gCUANpshkxCNIHKVXb5hd5p9vGmR+uATo0bKrGADsXaMo/V/J+459HZzSXO+lRgjhurnsTX6 oCjHfhTxMkjv1bvQgekPiVHHDTdi/p0IAiLpKaMmJJy6v9Z1AeJOvQb2WQmfS100ReQRi35eOv45 njDJwAUHO64YTb1JAHXZUeadvRHJ8OhBpIy4BgOIDLXGj8oC0yxp29l/i+VTZGpjhd+vLJrfmT/x yo4gG1l9t1bCchZagBLGRgfCUy0mrIQ7W2SWOLt/x8+QmwKiJUvvsr1XITXExkrQH45k2vEWFEFX iQ5e/AkPO5W5CrpMiyKEqMjMms5EMm5cO6q7v1nRd/UBK7Q6KVByww+h3OvOsdTJZ7OyObaPPAv+ baTwM8Yczdmy2HLLO99i+N5wnMOImZnZgExJO66OLmOfDzUo5HGiX5i1FvupMJVY7lrCTtpvdv3u PdfWTve2j/auUMGHSdkRe/zGLYRQ1rA2T09eyPV4imensmOsTJeRz0ihLImtTYAHBAx2Wgt7AeOy qs25a0W7S2LXaFdBvtmgth8eddQxmp7978emvZMB1dOrrL7TYZUrOGS/OC0LpE16CbuPWBHqxlnX ImqyQuD8+9v1V8ZUtoqPgQi2HnLsyYo6FRC3j0QFctM4Fl9bUCUOOmmsd5aC1uXYcAUkDbZE7TAo VV2VegKK5YwqGUXxB7e7U8kwtrg0rTfheCZe4NSCfBK878GcjGRTy0LpiglO7NbivQOKSibmCUSn ifvgCCdo9g8QNHnhdsV+kC0/CO/1N5l2RXl6CsYjB+yGFhUeI1WgWOlnWGLt84a6PMILX8M8VV0T 99COrJ2ZfjRH9grZ0Mcv/ITq2eWusIbgXKy6lp7zCI3U092i7Q3Krl94avvAvKNkkJoO5chfGRtP uSxa0sH7Bjo7ALfKH3ZkgdKea138MWe3uWATpUA/qRMlSPJgeCIIivtI+/6xrNqW2U9kifVEYNg9 8LpJhM5FAKcn0DdxKvJA1Z1M77M3Bvbug1QAW3C/u1P5NvGo5tiHn3IyjBmM55Y1X58cHVAgKasH GJ+fygLTC2JqdVv8Bh13Rmdn3Y/88RrsvF5dboAlLhQV9BWnUjoMaFDjaM5BTyK/MzEkx3tZNJhK XEahe5Sol8blvEnlRi/hC5exxXUFa7KhohScY5vBt9caLz4j9hcnD1THC9rx3179C/jNpV3xFX5+ Xo9i7MeTpgnfmzh7BVRX0rE3sMGNLCWI34xtHeLNtbPeGnOnVwllXrqPRzptwl4UsTx5Wyxdf0c5 4VoktF2zlwnq91LAxFRry6TnAgAh/8iWN4VBMSuUy7f+uJ6cNEnesXEEFi3mWhCARgvkaSxohTiP YUhewYGxSCwL2FMcBs+3b/iXKwg1bh8/B0mgEbpWalwQZCE8QcbiHlpCrsnX2x0JBGbNGYqVz4+2 cG9Qat4vDGSx3Sp1NsL6nW4A6qA3B1XPpMfBmjy7tAITrI/wKs2Hd10gTbpRc1571dkqxb0z79Uu /OmQBjbo7rP95IqFdxm+qbQzo5trFTW3JFVlbojH33xvglrFREstqm7RWU/SS17ucQoS8RnBaDnp T6f16OWabiUeTr9g0xbCvN7+lFkrMNdyzrc3wTkKZZ1SViXxrFd5bGqnlkSLa2QIMs2Edo3elLMY fk1eNfurIDoot16FOx7pPzTLGwXrva6eCXqf/93/YGg7+NTqycSdTCsnH28NS78JQvBOQX8IQwdu TAFTkyk3cO4Krn7L/eT4/5j0sv/smQcs43Gcx1kjvQNluFc86MoOcuikN4YY4iJp1CbHy1qNmq4N Tl+e1poxvQLepaf+SjueXxj419q5MH9jG0rELaeZayw4Ig6n7W0Ih2jJq0CYlt+C7lawrJPMG8gY iGHOHaV7yFOJXWjHrXHX1jSqduox2x5ElNRvCDBs0MpZ43R33OqwZOmBix6SdtYUySeq4fVPfc2q 8blbRcagbbIUzJHHuyrmw5mRn2wkb0yVs+IaO/22TsLa1yHNExgA3aFxSGYhZWrK2QvWSUDKa8bJ mOdmnyuWAg08Om8LiItLQr3iD37vxTcxoPspgtg6G2vdAfRFk9zObcYL1Fm0ZeD4i3OnDC2+oJ/P rMAl8FnC+a+KSHdPUGNW4SIUZG2ErutwheURPkkWnUlteDD+HAdp7HQzId8SyhRFJc6OesLhvIVW OpGmthv4kNifuy540CZGN45xovWJI8BVw36/lY2Vb58bcS41cf2F0SdP9Yk140nM8QOx/kEX7xBM VTMfVFOTvaAGznl/eR5ZbvHaZIwD9VfNb/7zU4K/e7reZs8eNY86r2sXCSkeXTAeIOuWUJI4tbgO f6fPG14wYEkfKyFbZ5nnUEs+WCL2AS/CzuKE/XkQllnCcy3hi7Q58CPpKjfQHBubPzFu3iyV8T5C 2UeGw9aLoa744xNPKXahxhGX+efafZ3xjoN9sJ48xvrf64Es4fA0ugnsYAPiDfdrIbwGRBozVWGU TfIiM7X1FD1avp8cfh+9oWm7muVVwqUBm9NZbRu7WVz2fxeckgnT6ePKttvUIk7aibX9/Eic5sve 0FCIoUnFMkJ+ZOhqR8CQ+ZcalTqXLHulado807ZLq9FG+yV0VANASZcKCaIcmkCIii0/MMJNUzcH SIkj4D2TwiZSIxv0aWSJ8ERZpbaJjfHQ3aI0cgSMjwBcw4EzHy6RG7io+kURvQVG1yax+WganfMT 9YFBRjYXTCs57V9eXw/HfVtXg20r/0cun4D96f3TJ9mdesIsOUGt54vix3oLLxdQbuA0bHjJfBqS 5DVPReuUS9JjmQAkygKcUxlxB6FTsPikRmoimn7rDw6bJufGkgmJZuT/p4EVHHtmW9m99vbhIU60 aqiXVkdlLvBajqFLUZgOZkxQhrfy6Hv4ZvjyBB3bZ9tSrCzcu/gWY0jm097yhS/pf3gKNXvfRDZk P8/lxmM4vDErByRQPubmqVQUQDvqRdHd3iZbV/8HmZawlrctiyvkA9AY4PwkEhglYyM69HyD2BpM Jaax1xdUBJXOipJMOkCjqJq3WrBT75UYUNmudFI9vRL+V03HLmVikY/C3psPq+T4NtESkFpqCIGh LW/yhREylQAE56vl4An1UKd+7XD+fsNaEKYML19DoS6f7elxa6OIRy/wDGPJVsPJgFWoxsmOPxbx jcq8y6vyY/DhyJ1uRxeyAtI7GECrJNDmWRUCiDY6wtxK+kxjJbqnRvB3+Q/DKoX4Uv+V1+2ikFbG Q+Phmy/KzI1flxnQNuMaNBU4hzv9hIttzt2zcK2Faiv5RJkLYPty6ESlV0RVWtkGMLrhXbIBFocW sz5gbQ2Qn4SdL+PgZ10QYHlsfFj4D+wV40g+GrDt6WRtW0gtkwJGchlQ9O1xm34visVgevCdV6wi hWdhc+45bIxEOetce4dpwtKss9h9uITnUlyDonuiUyD/RclFv7/jI3G6ZYzbDJqaLFhvuOoGI7KT fA6Fm8PBKh8Utbogr2RyOoD6ej3+I979my+30mZESR6GOUIiJIpIKiplylSFtNNpg8VsD12bQklf L0RMcjgH/pHcUUfWmcCnZ8smxHAZqbw2mvrtMYKPExBr79dsqYIhK42df53Av8eX0kPS7EuBw9UO oO8hol17Ucsz4KGEJOygaNY4OMmt58/3b6HlIkD0unWmda3CnuDUSkqvPF0MIvRNCIpjC+PKeinA F+SHltmk9Lg5PtArxexqO3HxgYc+xxMwLNDyOC2vLzwB+0MdJB2NrcviY1qEZEdzlb0sh6g82M2v PouKs5GjHDRJa5OGN1ZaIaaoLx75aGUAMup/uJaOB71c3GVoqSb4zhY5NXVBjZaJ/PQaXDqjfEPd z/CJLu6B0dt8ueq/y0tVHRQ9FGNUEBRgjuOBg/Sck+txZH90HuNgoWsC5oJIr+NzOMXBp2EEdkBW rPgOOJlVYNtCRMgnUlFsDeoaygoewx3X/PtGJr3EM54riEBy+1os6QkHrS0GzT5zOOE/cDBjDQG7 h315BzbvLJQ+1BPd6g/oJ/nX1zXur1Hqf7cV3ru8A5cp5bt47MGITsl6APjg0v75+xxy+uvlPYdI 9jWlCuQkjRNbGLHHvxXLBi4ZsTzmnFkznzVD9spPmkCIJM31QPN186F4dIWtaaYE+6Hv+WoKDDnO uswbF44r1KoHjJtVEU+vS+Wmu60s1r15Tr3NgM0mVZit6WHvjfOe5hrMvniFOY6wpCuC5OqWw1gz jZJxWGTDjImlQTYD9n4m0Ow8b9ur9oy3RtRtGmStdWGOqloZaSvy8hP/lmODwZkbEkCRa1e0VFFy XUK1h0/cBQ0WO5cFk1qTCMsyePH+IIESpiHMFxEQr+3cjbIgqLM2CwqeTSlZH0xkWfNoTle9xsxx S+pkIj0xWDX6ATms2ac019y+fUilU7VJbCtY8OmsXZilRzt9XX3yaHH0sVB/BGQEjL4M7RfLgEXm e/G3e6CR+uHJ8n1TzsqbJD8IzmmcFC3PUbk2rvohVtbN8yU4XXsGka5ctZ+RYokb7SgcYF5EKqka UR7u89XhvWNFSt6TbcuNum+NQOUatP4KvZrXJbCg8pdWvES8cHTm6pqv99q09fIKkX0ES2r9hm2W Q3vBEE86YbsmTRlOWFT3RpKA/giRlP3LJAQ/TMdMDaFp2nK6cUeAwS2ghcgVfGNTMHF3Dje1VsQW zUlZZBmvnDr7/gMRfPnwL5VpconFrt7XgRswG6rm0pcZTmMk014byRUiyJy3Qo8IU/2vDGipEn3m r7CXnKpaQnQu4aBXb8Ey6VfDQlEIdAiE9SO9MLwOcKR9qLsqM6wg3CWMV9Ajr3n4UHySwZPd5WGy iNtJdovV7ms59Oas6OFxab0dguDeTHqjGF46uWZgZdfbwx3xRC0BQj14ZFA/OOcyV9v0JFZxDKFw mxUox3VZsy9+qSenNslBcoBcjgazly64QiV8cYBPv4zoP6hibY/Jt6CK3F4pJAev2V/qiG03pYCK 2/F0CIj7as3LwIi2Kyx+X56g/fjao6acai1/15I0QVJTO3JyC03tbuidFXtwuFIUQnBYcsCJAP82 /jQwnosODGC8XXNz81GQMV8IS7CF1LNj9n7K1zHTVVSeJsZgxoN49OxOL/F8cnCqZS2kUG1QO+o+ 5D+8wPk9gZxwAgVrrG7jpsKYHtwRgkya563yt5GEdsjuw5SJD48UG1FUmkJxC6Sya+Wse/sbWXcW 0PKvDsrd3KVi5Zr9uX9YMfs8DtHFbsfwTb4oc/EsDTnm49yJ31Q5+vjTszr5P2wqozTbwSBpBhCp FUsrW4wS0FlnUWePB60iGrqqf8w+/w5mAHKSh8FOo3YRgyhxz0c3ViARboTVf4sA2+AKlrtc8TQV 7kobGIO2TebyJ3uPDXg84bJVuQgmw5m0KmAMsF1CdDF9tkgbAlIoyzV+VlT1HjDD+F4jmtIksaaf s64RJz1godYfyUb4nFLSmqpzPI60UM6cNz6xx97MhxsodHfXi/wMpuDcFNlz/cALUxveg1oeGiwg PgwQ4VeqfmaLe+7wzX3km3lEuNQtd3oKjt35L2iR3TVjWEvBvs0/BZvCZyjzRZ2N0ZXXgAsGt4YS Z2zKTDb9t99lvR3/X4b7Os+ltegFFl9iEnoDME51iRtZhdhK8X8LBqkQ9a7SALKAoNsI/SOngfdo TARgaObXdK/E/Vj3v8ZFgn78q37arxQUgU+x6MeWCvdpb5UkmL/QwcGpQCR7lEP+8207Cl1wa0fp onEXUt/OwI2+Ean8qhzlxtP8/CIv1WgUcWkHSV6Xn8hBHwKyqt3l05RLmWIagz8s9b6SpqSroAi0 qcK4BsRyxoag0f1tfTyB+SD95B9f1m38WGjJ223itm5wOlFy8h5yAwQH5EU4XAn/46suaTNsbv0J BTfgYMqmyVa7/p79EnIUdl3CH/OrwNnrIye/dZvcFd+nZ0cM7RgWDDKe3WxOqX2mZpkVazf9V+JQ i04ifNzXjIh1YiR8CdgSUqi7AYFSzwPbtzUixpnSt23D0eiwN9F7TzCg1lyqrcKeu0D0dIPy3zw/ 40lSlUV0O234lBprI31twmalsuYt5Sg9VYxq/xDS+AcS/HZcdePr/QTIlrbT3fCyHxLU9QvgKmhs Dk7ioqMw58tgqO+9o5YoY6K1Gx2CBcRpNJmWW3fNX8pil35vZEbO9hhWTvdXIuc9m64XXzd4u9OD n1w1bEUiNtaz9Ulk8bKCuTvUL67eStNZ7WoQqQfWAIjfsWtQm1dsI3zeJWmvJpixtmL9vXmAtHIt p4z8+q7oFq5JoNtUyJgOjy17O1aBhbmXdwET67Q0xWbcr0VlGpd+0bz8+1GpRXgm0hNLmavsYLzG NJ0ap85VEEYsbvEyHoAuZ+pd4EIr4IyO6qlROYU96srsvUmZBsSVoZlexQZw7tpAn/QdCeHwDdAd lg2x7nyrowkcOwv1O1JNsQz7EMh0L3Y64tm7WJ/msu963YKYTcTtx+hVPeqPVYeGP4eg9Xgo/zVb n4P+CkJH3rT4pcxyWyH9NkHxqcn9Nu6Ot1X9kpTX/Mqd9JqihIQUq9zQhvOcJEQwLx7eUiJ7fCum kLO4iIN/udl8f86+kFf4g7k44f4nvmaisyszuSyyv9fMHTu8WDfZCc/U5Xr3lBVss69magaMxkuL JpCh4nBFfMrkeoPiqVfSd0DigLJlvrhv8wkncAoEMoW8Xq0onCh7G2eckDZSjGTw7HAvCiUsH+Le 8TyWeIX28/BdbSUDyLkceE09jzrNO8ui9Yv9NgQ8k93MyaTE2TI+3wVjF9pUvKHma6P5xxKqtU+L iQaWLilW76l3yTbaauUUoAITJD6fYQqMSNHcdxqkRYPRUyYe1hng9vg4haS1uf/6Vvf8A9JVdmnU hlI+rajXfGqCoaa0H33rnuSdYulwlD8veOTqm2Dr1YZfuaBrX8eIfhKB4A6pylVz7rKXSUyN/6of dts0j367Z+aMQLZfGGPimhd6afHpdK2232f7mid0iahSQMC8dihIDWGhMn3EeMc8/hWMRn6e3Khp vftjAavt4Ine4NQxjocW1wyOr0qdWvzd1E5AGi6rP7usO70cU1WkY0WNUUuNDIS++61jfuUMNmAS kWujjt4MJhV4JrVoR/v9OIwrY4oRNhdA2U6fPFhIlbutvUVVz805k7mNUKy1qhPjNzpOvr7btZ0w 3XZQ6y/Q97LcZ00ZGNDoUfrWh3OKNIXuJOspbEJWN4iSZ5sUGgqVSsLMHHnPyHH7azP1I/p82mdM OTLvavC/8Z482lzkTe55kugLN2bNW9wh1Wcc4MKkRdfUq7KNtXvLyvKILNIl2ADJtEt4AhLSEEm7 msSJJq1i/sbLW2DmPIgJip/SuC/ASFA+YgXupcR9TL1+DHlR/T1ANfPhEpH7LLZX+jX5u7Y54awr 02wDYc8wEfAqM7GMsRmWVEyVwL9SI0kqnLUznKtHj6k9oPHOQXQOsCWbccSCUEAtMA6DkfHKEEwl PJdsmwCsf6srV3Bt7Qs12t5OfaSS2VqUlF/5M8DKbk5QZ7fwACCvt0yYA35JLKL5ZQ9oV3brEhm/ twhyCLjq/rtl1bcxYBJFDG75qSiLrPbo9ojN13KSuttvsS1sdAyRsUObLGJ4BigAhG5WstTuvKkX RbjFwOkLH7FBp9RvqnVL4Ip9OmDUe740EeW2sdfWThgzmDbyvvlxnWbNseZfzN7ZOESFD5WnRff8 XS7/2fmu6+1NGuWrt6goLcJoE6jfgkkc1etq5uw1iAB0mcPlmhINiEpaJgYmM1U3qKMB0oNGg2Md +W3vTUvROnw80WStJRRQuCUfTkuosq920kK6x69eE0tUJ6r5+8tpE/mPdVkoU4OKGbZ0wfM47a/h Aol/ZeygSNjhXiBb/YEECkGFfkUaZt+1wXJ9vN+SORNPbmp6A7Of5R2bF6+qSwGMj37JT2hYiWp7 h9yBShPvKF2tE2IBs4EvjsJ0FmaSFQ2NAkpVXLvtiNPEULbODfbcPjCduBS5zbu9Tm9KWcq9SgcK s6f5YwIGf8gIVOeo9KsFXXRY1qqDO5Mezh2Hr0vIGki3RPVoSSOQii7Z7xMyhc4Z9uFmHk1FKp0u d/2blPm1KzYrHY2WwvqbK5lWJfvhQI0WQ+ZT/bh23CC0+d9HyxJt+e5hebbk5wwZ8Iz3rEEyrvEX 8NNRiVO3NS2E4rGwKLAo2J7Cymw3SbrWn0u06TBXyBE+/5j043xoi3tOCesU2/6FjLRbLA1O7rjJ R4ooWR8agL4Q6t44bIHe8iJDB75FQV+T+uuEole7IuRg8VujL+Yt15BD1mbBSRfV/sTBqSYukIsp uRCAMhEfZTswHzmJUcIQJ04xCOHtj6L1TEFbVq+e2/5IUowD38UbqSIjQycNhHBxsuHr/Spdr7pf y0JbCg11eq3kT+l044QfFajCjfMAIxToHkjbytU139ANTI+zmvipXP79pfTg9iyDc6VY+AAgR2oa WAlEu7dxE2VxVU2/dTt8RUV4nZK1VuzCUJ8cQPS3NY69fZCslJL5ZnptVENBoQS+fMc0OKpMyUJz zHhzgVOyBvDcrglzSKwKJDe+ZnCfq6LuobPITg31R7R7GoXGcv77N21nTdyASuA46dzugNZsZi58 dK6e25LqntgMzr5mGplwpyLX3XDcGcxITbz+HHZepajkQu0f9WucP9IOEx8Q9LGagmKFr48FwfqN MVcB43e5trfMp9ABcnQN2Hg2G+226vU+GR92VglAIrDjYtdpEFRr6+EbYmOA6fmeeGek1qKbMWUB w0ZNPSA4/lbYJY0wTbEzKtNsPwJzQqXy5TlXgJmZ00ovCdxDY/tAU2FqWFEJWyLyH+EBMq8IbcsT VbGs/R/VmvtZmk/uMdV4asUd2GSShM7EBWUWwXkVM0wN32+d3OG5gKzlJ8r3fDM+0kDEqKXFSjNL 9I3Zh0Oz8UNpKEmjErPJz79J3/u6xdKyBiSvl6DTte/6O0+9VAZP3mNSxgONo3bu/5dcstIdLq72 3pVAMSGO8L1/BJsMtVz+vM2JgmNbw5QhRjmxrrZ0S7fYoHXamFMs548vm1/KzhdulMGCnZ68DDNg lWjeYTPLB5iCB+DR58PWcxCvflHVeJ5GQ0JHpx7fItSmmg7K4/0fwn/W6tm23bcCrnC50BsSIilL dOEGdGIxZcbYc7lfIKKssyBO4p66h7i8t3wf4Cx3qTl4Qj+jHNDyxrmU+8+4iAIQohmtnX0mvst5 /uzWBuuv9oyxPbFIOyUTENWu/87OCRTBoWziePeNbcxDsJSpEjJ13QGMgZ3Cp0PPb4fvMX7nQG8h 7M5NvWzA5XMQO5zOXp0AT1RItr2STEonBvg5XCLv59LPPUYR0mKnIImzndeZQGS2UVCyr+xx3rTX FJzwBneL3FTPdbUcwgCDLPppGRZwDuRbzo5WroXurPL0AfNJYxr56eJyzdazuvyKTYsdC9nO5f/4 G20LCmbfixD/UTmW2a/cfMEf1VJC+veIJxGnsiFvYSMPyX2P/tzFDIqGB9Mjl+wTYD2x6yTCfCzH E+kNN0ielxLpTXIHCtnM53UDa0vbHwfR8k2DnmYy7orzLSzDx6N2AsvmvQV/wsMw8hJD3d9D2m2X P4pZT/b0uJ6rFEep2wWH6AacO8I/Y7/2fg1TIZRFd+oZf4Jm2oYuh3Lh9Ny6kZJxwr2BiOaJbloZ HsN9s0CDntezGbGFrIlitLTj8o2V3mKzgeCSSgMutKITBqFo8Q+wQGyF/DUV+MilsoqEmFLImgem 5Yq7RcqWXAU3r8r3FHvQwFE8cjLQGw0h6OJxU5e1uXTAqZRidpH8nKXErYHFzhHLlMawkQkk/lvb owM9tlrAw6g5RiCW9VbhXweCmgTf0lw73CuF55pa7YVixOucEV+ysNOEHk8kInSWGJ5G4RznlMkC CMtea/+5JvFtNgyT68tLkhqxbMrVM4NK55Gu4dESA0WzYpAFEDPsw8tWJTQuogku6pKYtumbIygS 6XnXZ535S8yQOl8QzqxWoUTQi2vloY0T1rjEbc0/9OhHaGhfdBFTgtTeSiSsWIR6vUvtsDFtK2yE z859TiWAYipLL9Yv8mJlTc4n/UwuKfkvcmYgIdfZjI0yZXRyt7C9thobx04JbZ3mv7igk3xGkOTL t1CXNWm24il3EOsI4RI22KeFTUW0I4yYXOXtX+Wtn4WIL9rgCxt6OR95vbr6e3s5vhPmdLUzX52E LAc0W1MTw6TPB2N6ii7Z6XdiQy1UKBiOHyiskIfN5c68N53TShQq0OTEizLrQZ7Ax6XPcnlpjxyI zSyt7N5OHX6bnLwqbCixGCx9Zs7ddffPD6Nys7cfdx6vBdwOcNPi06RIMxIEeixob7An3A9fhMuo 4oPbnPbp23aW5LxOOqHfIPnJtsPq0f0YdvR/C2lJ6vJKDq5CBMGbBUbOw8aphCvApEOODta6Knvq KljVcwIjAGGORivPdm84rUBQJibXJ+h6FWVWrAs2B+n8CqqVAHkXM0ORNgBNrEDDxZcvNVqJuLDm BnI60VUZDcwIQGcIZttQCaWEsFaygfasSf3c73qoBkx3ZyTa42K+1Km2cJH/h24ppnqfGsMCDzKK VS8jJ+1F9Re7oghSZaeHZv3u4m0GNRJd2/oI8yLGs6nS6solBC4gwf0dxTPKnarThvz/wI6t/zJY sBRBa16rADvfgAUC9z+ClLvUMRI5quG21+TuBX9vb+Lq1s78jy/a+ct2ML4LxD+gBDxNYZo26bH8 0ipOb4d/N9Wg6WJXsnOmP+3vFgSBcKLFx9o2vfbKjkspYrDMjAjezeWLm4Z3oekVh9fSU0GP7nwV MPr6X9aEkRVVZZU4/MdwI+7sYxB/lnpv2aawFAb8yVQTrjtVUSzAzWqszkXdh8/2c+Y+VTNb2J47 Rmalj/d+LpxtLg66c9wnMM5NlEKxE5X8wyrB9Bwk/sy70bOXwOhcQQFsJiAUbpeU3rNxKJeNq70I 7adnRrQx/+Bcc4pdsc+Eso9cmL6uicAIHdwlSXTBaRYxqdMa3tyGEPEDo7EsRNMqISrJbThLvJ81 BBIMXuO8pzcRSfG0qSbIKqJY5qHpktYVHm7GB+Lm1gbmKjB3BbJfTT4JjweIOmnt/sQiHq1Tvn2f ADevCpk+zCLIiCBitTW9huC/z8/j8S/Iu/r+TJuG8D0fGsSom3bOjDcaFJdz5H5vJ8QJr2j3Szqi evT14OSjtUtFJHnB24pWwjFdOABdpTz87WAkzLwZyHJoFy4OUkiFf9ad8pOESZt/A5Z2nAV/VSBJ pt8qV+V6WfoblXM3JgvjxMgwUEGsn5qPbUbXiNcdE10l0E8DzH1nzD+GaQsFyFJxnaZQcD5AVnU1 F8RLhBR5C29pdGLQ8gLQsG/GwFF0qz+A1FqHo9joJOAvXRuWX5Ni9KZsd3KE7f84CcA7VQoFasFo NaBIDqq/1n/CFfh/HOAEMqnerkpBOP/tI7BC8f2EoGPsnsJhDU1z/xpwEcyiKGMd58MjFQvVQNQa 0xMU9NBndZdGA5RlT7suoFEwMMwxOZjhjKEFyJTPK/YXkgLwroHTm1T/H9BTQPUviW5Wztf8LVko PoH6CYAR8mseJMVMRF5V6iw9U/eP3Dk76p2XPNTbEqMWnHegbMC5oPAwXcREVIeJwMQVLuSeILMH vAprC/in0KeBjFsKmOr6N2xDmp6/VYNCs09oFPTN2mtZEokxPFPUiKbdmSmPjVX07L8ifq541kaO EiZypncRASmteF4f9APTVWXTQJWoy55GpSiEIa/qtSbKDKhhSw/7x4bTile7RQ3RVdtBhXEoiIcx 99kKgwUPpjpvH/h3ZUa6lnGerhIOVUdkP82sBXbz9vYRQpn3VB3yQi1TM1bFen8UK6nm7VpmxXMd MszpZtDQ4GDxhBIZ1NOZesUY1FhcoPd04dePYJJHGpdtS1Lu89SAL/YIP44sRVKmTUAmzw8XQ2AH qJvgRjeQQONRRuWpZEBP5nyzAxzeTws4zwIkLuplhTRSoafJ01agLtSoYjDVofCMtsSReixyZBiy lMz+t4zPTMIGTEKk2GWh+cYTv6g/W5cKzyIzd1Jx5LMuc14KFZ1fOIvSruycqrNtfz/+xDjrdm/x Th6DXcNCF62GCxcOsx2eexcAS224e24EfH3+BxLEDz3qSBZ1O3WkMdQEddJ1xuTu6G4jFYav9xNp reldnsiALYTXJK+rrGbV3pEZsiJBs1lceXOBYBNq5TSaONwdlN9p2DEhHwsJ14i7LL5ThSUaqy6U xhVGDwa1lcs5MZT+3TyogXwqqUpt688G+OpQF6VeSjgGxMGVNLPpbTjZFw4w4qWmxLxPqz486vt/ lJ7kN1/2rA4h55ZxZ2z37ZdMQhJzIsMCH9lPvUwt9tBvMrvxtOV/eabJH6r+bEDhp4TCPjDgkpkx gugvAbg6J/V/ocSRVuIUwXS+IEwy8IN/TsFgaxlM5vnogRPsF8yOF6gYqDf0cihWCHwwm1mdoGO8 Hl/DGyqPafMERg86uqRLNtfkdhsgrWot4IYxckxO/6urfecTJFCQAWVHoDyP8Pb/irgb9WmUJWym 2FdhsPvXmo/c5X4NtX6mzbCsYRr6k1byHq14a1giL29lcI0qHmFz+RPPYjMD1XEx/iuIIeEaaOnk 5saJYOjkv7BtZ90O344+5XboHQuk5D+HTz6OCNmoy1p9Vfhh/e1PhEkNvqSe+klQCyjpPNOj2wT8 ViI0+lXXnghbmvf7O2YRnEKQqmarZOBsFj2o0b3qLXFM2pyJqt1SvXAofCxD6ESt8ugA7DdYwp3E 3YHh4EYmkWHPnRGWx/tegDG6MrgHIyCHinnYM9GPZbg0EXXoAiJFpQinPrR0fW1vTUZZcFGl4Zow EFcPaWJzCECnQVAJ4vjDcSleE2yDcQbwfCHVnNZuyF3SD5N/oIJNAmiNoXaIf9NGXSDYVYT21Y4a psMLhJSRf8ewtBvCrP/FDCaCmw6nd5pTU5gN+l4InHH2kq3THNKBE7TBXYeW7wLBDd+AOpb+kKNO 5F88xrur3Ay+wp7MTwftPkWO+9nI+vlnIiRHxKt/Dqs0IESzfmxcBh/FTqVEq7HPmHV1D8vKxNE0 T67CBlsxwXpTgqK2tvM3JgcD9d2IvOkzFLFl4fdmPtPyfiy0QsCbm64q2p6L/KpQL6R22xlkMXYe KKPSiAPNWXgvq61arbxBx6ZQ/Pur50DlR+9SZfa3mCsk5jnhx7It31etcGMzK3Q4rES+WAddn3wU wDKnVpj8WxsO3ymoQaZn6bgfLHK+xLgHwW8ZkdpQez4oO1gcX9kayw/86coBpvwv76zP6q3LWkuE JCS3TQCRSwiX2t7I0EC0msbTw/Lw09mh5Prdnyi3YkXM74qaY1nOEvct/OZSBFhEdLvXreYWvD16 sexYnkDhu908rABKs/BnGuIa2XEjU7XdTfj+AVil8yW5L9ufwRK6Ub1LsKgpFqKNrEgwLvB7zCfz 7jClgT6RpOFANeAzP11FKt60zR3BPC5UxscW386dQISYhejcZlxnsLooCp24Ayqx3RuYcfjymuUM ch1frySu6ZfHp3Ya5keTlTkl58rimKNLScoNK5aLvMjom6qeREdBVpNsw2ulIZPcqutQf2lhULZg E1g955QDBqa5OhRGkpNmBp/lFvtBDbBHWgLQBrqo132o55GxmF8ej8RQVqjbtQpXeHYhJsArOXwU GgQomZtSNEbg7UkVj2/ihRLBQuUb3IoHWZjUEy55ozs1i6W2sRTx2h+To9grPWfti6F+gGZuYpY4 Dm6NVQh4FtNixvZ2NLWfxL7B/74Kk0QZ1yvXSACaDV1SRdyD7+7lmAJM+XS25tcUKH66HWd58LiT xPkd599/52J3tHJqmOgfnyRaJwvtMUGLC6f21ran0HhmUt3jepzvy9AUFdUySARvajrxA2B8pAx5 OHjxikXyu/NvXEMFmm6GBcMQhzuMOhMcv7G7hohez3kjLxYaFzGnis4au6iTaQ4jo5iOSfmpWHdA DD6yrvZTI3/kOfcWGCdra5OA5crdeHgBFh7Wu4ki3ydTMYlmEOCwUXFfgYR7HETCuxOBp3UcY3eO Myn1l2eBVkhPL1ulAr5XNIOCTn7xfffkoLMXMHDG4OajEOMZOU+mhNCyDhRApmAT7SRR5wcgoz/U WD17JQs4rxBQQWRKL0cEdBXeKGKml6uy4HnYbIrwJHcuVrve9HAGw8HFNCI2fuw1O4j2NfETqiK2 e4eNYvtBYP6K9kTLqo5uYbFEo+VUOYYEYGxlL4m12mP8kBR16pSLNg/+kKy/CFuCJM0ehP97/2F0 BDtu7hZXt7skoUaYz1UVjAz8Y9VJIXz0dCp4Sw9Tid67yrZsO6/o8mPxCmFQcC5rKg0kFWt1fRmq MGWTnGGaw8kVUELMfVZnqIyxOMy76RMCbuw8KqMRrDUbBOiTmxLViuf01KwJBs/VvBdyxfrZzBAr umrEuOc8zvWnRDhq1lBLoeFX7bTsN827yNgQX3VUu9n6FaJlVte/9ZhFi7bwLG2XqSArHxuYy2aC gldf71r6EeY8TRQazkmRaKyLG2m4r0wkfI26wKY7ZKQsIedDZ1T3Ko9z/H87B2bRXYCI8zdQDP54 Zx+CGF/f9ARTjKqU6IW1zxeRNtNguyJ45HVMacTETQP4u4IP5Nuas9O0+2s1b8UwW+zJqZuw22r/ uIRD/DzAqbK9gRux7dyjwPImvu9QZ8hcsyGGSA6jPL/LDhEzgIKOjWlqVlVkuYFwiTHStyU9r0dg VDEEepEbMScFz3lKV2XjChkTiBcc4kjkCaeFNeRdYE/9Km8tMWo80nLQTXKgtaCD13Wc7V33G30g BbVd8XMIZJymTzlEoOEpMG/AxXGeQYkFOEE6q5Fsa2X0HNX7e1IYl6duBkm8+Zd5oSDMmn+pmSOM rPii8tp5RLl/opHEDRn72gSSI5oDBWrSpwed7DMGacwmY8QOYodYlcku+6JL5dIdmnKfH3hkdPwM 4SiUruExFI9yYgw40RGb9VKc1h0kXwW3uWF9H5uq0UeEpYqWtLTmjPIzcz1NnQtvLjQ1C9t3YJ7b 9rXUhxK84RwKMehe2s43FKy4e23bNbVh+wImO74JNXp4XKEPkQM0zqvCGME4XgksJs6XGhG/xOyL nEBg6sOD9MicgYFII8PeQlgawbhaUWZDV0120l0A66T6MsjMG/DuVj6XSZk9lA4woCQnKx7rhnGE Scef3W+xuK/f9F7AA6HYGvn36Ric7O/LE/FKAs7aePIxGJu3UkfHBRGKlK0v2RLK1lnd5rO84Nry 44AF54XYxHHJfbnH20XRS3B0kMHGKZaUE7zJ3d10OT600BZRQ76j3CyYFTyBbQKkwtGU8uVSbauQ 0GNas15vuJEKGKw1jN5YqnFS3Q07E3JNp+Q4PQ+tqijfaIyZ8ze5P0GCuFaDtB8xga7rDMG4zjbE iPxZxFdWQhPXc5qDQgPZwMramglUb6AW5mRKbGGLotZHaSkZsUxSaGby/ntxM9h60xcwGurkTp0T /1T5qVm/wxYK/N2fWFZkba3Cbnjj0BfVMRmI8Nb9fBrx7XGl3nSPBCuNS997w0zoocx5ifZSC4OB 7XBAWeADyLkRypgTQkMtGJJTxpgFY6Mp8DbT1yYvPGMMCEYud+rS9YEL/PdT4+2htbgGV2fXMwaH A6uFtqRCdHzNMGsfF3aHxl2WopDO0ixZlZcqBUx6V+SIhkaEw2bIW+n9VxvUEC6QaRD4nkXosv7f SvxDqshnuHa5hc/+7cSX9nkw+vQN+wAjfpAzUjBW+kUp0YQsASKfhjpQCnf+hE6X0EkgjpgbQqqj mjPplpVrmzSf/zAudHLxXxPC/enWwpXe6lelTNKYJgxRa5po0j/bWwsni/YeIKCE56FbPRx4KUW3 tHsJiIRE3/LVLJSn85U5O1MZ0AcqdpLzv7FsdtVjyrHwN+wx5zq4bjeLBLUe9j3w6LfUc+Xs4J8B 0/Yx2aZ1cNnGLqjEdLuvVZBrb6+aAz2ZuAz/+sJKIF2ey00ThQuaqRbzh7wrgtHbf+IkektU4fFt qN4F1wy9+rpLhmzT5vAO2Bygwj0cbyuM9McpykDhKgugdmtzQ2kupqYQ+SAUi1UEZ40NKhWel0th nCs08iaXcVxQ6bp4ZrOykD4eHNMYlZpbYu7o0RdpweSBBFByfecFezOSoQ/3I6QZX24nK8wN4uAk RwveJdxPiZReACZ/obvdAoEOYh2gOdjy9M7xzIMED1GjlhfRg2am3cnIOcXo9r/yHDryE5kkGMcx ryk7MZJvAcm6HYDLJeXYudReLGutuucFOYyWJ0zyCE3UX8ObsbwA//rwlcYZfjof2LyVWATqOIZU ANA6jJIzpSte7/RB9npRpHLb74/+PiuBu+1TLK+8DYrIiV+I7e0kfuZu3K5R1LmmGpo7PO7R29oz HJW1aCGSzOZqaQxDZSKG7eM6xLRTFp6guqAK7ccmOikcUpgga5yNmJtIGxBpNBEaQ0ZhUnm1eV/D yf/cMbSSI8rubCpBr8/mOkgeP8hreT+iPiiWXwCp/XJOY/stRU561ePxyzOmY7uUzdgjqQXIUlLC dymjL6TAaJb5+BVZ8glv54NAz2u64Ir1kzKPX2mHK+oerSZwaVTh1QvRffT9T952+1Bv814Eq6kw H8vv0TOEGXgnBbblkyI02nAc/tJ9+IVmU8UXvYMoO4SBS9qrx3Ff4fTxdw4cq5pdx+R/xMjN7lzp OTVNJ8XiX85gZgjOViitMT6cN9hNhGpB6yyLMfXGp/LxeSHLuD72i1fv7JPRbIi60V0COZ/3wsRw YlBJvpnuVeJ2exDsPTTOVzxdY6DVmvV7nx0d1XUNio2sNMfrOK3YHNnyqP5W3NaISUO4JB7ZMRum lWCVBxiBlsukpC2JthFgZ8ThutD/jMZq4dQazzWNscJkTelftmLry0QrkkxUyjlKec0xflfH3ElH 3chYo7mx50Dsd2Y+cEGhgU4atdQTXPxXgGHHSeLL0h09MId9MTAagDBVVDmQcpFqGVBnpvGsOTwq I/U842as1Xdy5u4vRlJBvXD1i+8/01X8+MjNDCEPwQ3yaMCnF5PAy9Ay0HQLQ3xQY0jkmtebci6j 9Q2QAB7CKg8+2kMwyfIGZwbkSWQN5CUlSSmy7kQTe8pbeT95/Q+yh/x6J2bOL+uNqMlrk2mF3wKE QKTFo+Io8468bRHjmfDqu8DcldJcZgF7aFOQN2teEftM6VWm032sRyxTaJFqD3c0rt+GOr60LqgJ 0354Ypb7QCi3+V5xlaFVJg/B0uHTq/qNpk5Pu2nx3SwoMC6l5BVgrvHhtwjHybnoaX0F/H3tQmZO nAZWCAFczWQSrRxFBiL8e/8qMkQFFf4AlyxVLJEd4q9lcgRUNPf04i70ria28Bd9CMkL8VfVTkE+ jMCGIuK6LzxOrbzNr3vqJP66UGqg78jDnvOjFtRPXmTlAfrmiwogseo/D50U1PzznW9DT77eYPtS W2IYt7O6hojJvqC5dJCurqudcC6X4vIfUJZxUEXc0k66USZBrVZuLEMCycHLg1qXMYW188qjNuom WF4czvOMR7dx1IMI85budjDel+ZtOBm5udkGKPz19XWfAUq1jovpwmltQttx6PcB8ikxYLZe7aHJ KV8qKxmMzYZE1VZHGoYuqgY3i2RjA9f8+Kz2dRP8nKVeaQpkpVzjjzNAY+iQJr+wTw4BZDMTRMtr YqOSkGNAqK5zxqt1NvdlsrbcGkorjZkoK/9ouY1t+vdp5XfRCOq9vC1TRlAmVwk0y4UQAd1cUmyr hzdFOP4IzR/3RiMmVnYVbE/mMTZAXN6i+cgywntT+v0TI9JKcizAIBWFBOi5Y2N4Li+PdhGKSHkE ddx0qSHgXOW+7U1TmvqvzsAGglcNlJYtyuovQ/CbumlTIhlMmVfmXs3N6i/O3V0o2kmLFxgIx9IM 3IA11q0KLphqDCHmYymakWTQiGwJHEcUQsigGRqAYjIe4I/Y9ROcgF67ID1LVW9N5h7I+ze2omH/ EbpOLKygdYfljDtCsiIy2tysag1VU0OYwA+pObKVDopg+aWZe2lEOMiAmefir0eO652BSiOj1skv +Sct9iRG7R2+u7jtXS7jCRhhruLfAoIkRZ8qO9W3BqjzWxKalo1jqbgz5bYpmTY33fCSsR9xQHFx C6Pmh9lLO1FfvIrBKXznYSQ+LQRLaBl4NZxHD3i9EBwN05xVxbhRX4xf5SMkijjbSM1pXSjexOqr MXpJTI5RHmvS1X8/KP4rsrRFxui0Lq5Soka+4MumZm3oV7fS0ScwE3ZnRyF1cOUXipX9OrEHJ355 N8QyG2UQ8TbEYFGVMWWgEaoEZJDOasrraSIhYfExSnTFwJvV5pm9GyuvqMeJobE8ZehyqkzbG5ng E+P9vXjTtSRhcJ8MVCUuiiVpmk4o6o9ssAdBUrTgkRQmiYSfXoerwFutn9QCGceUB2gNG6G/MXVc 8VZK+C4H5039dTQQGRp3nnEq8Nr++vOcHKcDDoeb2A6JYaaoQAwN2sHGI722lQafi7gMsfCw4rMG bRd/1kVtgIsMOYW3Wxc6CqeF/6HI0T1a1ALj6zBHwrlkEBG6LzYoBKu6ThMX62N60jRKiHMOtES9 O5XKZqRtIZDKsVzeLKgKeykSQPUdD21e3xJxQTUUn8WeBisAPToY4CXgxaQJEkB31pjhDhggyLoo 0QeDhvZ6oA+rQqQfL3bM6WSUmm+seJwd0sHY437Q7lVYHuLEnNhbs7QBs42deg2VfeGRZZqQjXHj bgtv2Sz85xcMnPz8Gmj5FElWa1KS9hGdT9H1PiHmsc1Iy6WjwNRBU7SS6VNQO0UimbJA5nxbJAXc 0dRJg8riN1nZYAx9YCj+m0L5JQ31nQkWqJPgjlUPcG5l+WuVq+PX3xRWSxguTMz5utGr2zMOHxHi 76IIBvm2SyTAbycARJ28gKbMSva3BSp0bsTIxYVTtkFAXtCTk+Cdw9t3wfnqE36SojkuIOGMl1h8 aJJoCzzfKVXTh87GlG6jr/p8pxW0w+5YlV7f14WGIB4JIdQZ5DH6AeYXorSqGIVa6pVXX4XguzNH RtwT1dH+RPvAJvqGJPYbzJb5s/JnAUt+nMYl5mmceqnSLmmWiPGjerz8d9hX3xmUnqssUfhEVhFN NCF5wXARjHT++hojcLjj7SS2c7eu+uqTOUrqfD5huKvrGpQDzjKaIRxLZitkTWxZw8p0KlJYNFgx UPaTt9FzF9HCACfRDLwNjbgGSQDQo4V6EfcMUMGbRb7tXFRzTJ+JtgGO9f1BgLhth/HTPmlH9L+y 5PJAew5VEDJKTytJmlCw91rDb9L3Hp8q9eQJXTYeuXhetznegbFbnMMowilZ5o2kPZmnn6QXqV8+ 35oErk2Ggd1tu9CjQGH4xypj8hqji2V75NNGP1KsWzRarvihIcsDni7LBNF/kAI+SBXQX1syhf/E lId5dDtAbXDrVSx7LKkCA2QhNHeRF0ZE2Ds3nOtu+2sUoMvOwcDqaFX5lEmf89epdAzIyJaOq6PG k218BR0Oex7vpJi8oldpPv1lDl7oyHFcnAV33drJFsP0oMlSy8zkgZ7IQlrQ7K/grOtM8apwsVkg 0tYLnLh8KhC9CvcvStH1GDkXZJUzQHu6exgKeYFNDqaI4F95Sxnpr+aJKy7kWMW2Ff3YJwOzd932 OklEtZaRBXyBOKETxHGieImngQEY9PeG3HPXIgH0aeHO3WeCjN2P08C+xPjF6vwkOgBzMGbN3xgT 3S7XgnEE5U1QUJ+xs30jCwXvpbkINJPj7RAMGGGRP3evRbPeUBDIMKV4YMoEsYowlNm+wbak7L78 1PmwCSriLNMu9pdygCBIP2r01sQmVWbeBeqhtVTVMvGyum8wj94wdE6bvl8QDBJ04UV2Qvt9ylok QRYKRlxf1uAfv3R5//PxJitVDCzoB/ghVLi11P8FviHr+uFOqNyWAb+mfcVomBQUnOM9IEwuoZnI Dv9xMVjw2yOI5EvWPDRryfQwZ+XWWDUjyV9EnS5X5LchI9XsZ3cvBoDkLW60Toav13HsGIOGnE9G XZGkO1HnoY1NsqH9k+TfTnrJSs5UD/sz7O0c7EH5c7LNUJyz1LdXzA6bHxlCF6KVnJ9DPqArmptM rSmcOLO42Pa1QnEJOBdshO7eAo95jP5IKHpMiiTgxd7Mtfts4QHCWkFeFfsnQF0gEo4cuvLWAgz0 I7N9YV4gNd0u0Kt6RZ57BrhwgBReGYEKV5Reyt/LBN+Pjjtl1SGulw+MyDwzBrXypPwGKBFFChuG t5v7RfXx8Vi+W9KfEkyrgPXqSSycbunpgrbNNUr4D0GU+5Yimv15OboESsdGPhHEfaqa5c27OzBE qI6bHQYzk8cMCK27/+NLW9s7J1fy+VphqmKniZO/ujI9vZj3cGgaAMtpKdLGkVFQQgUQy8Mmt1kG 7kLaP22FvTop6C9HFfcufCgqLgZFenZzT1LtDE1UFZ+tfKdOA/ZUL12383YGZ3tF3mrxR4nK39fX IMdW1jI7Fbd74ehs60B9WB+4uHqXe4HZi+u3DtJtQ9dlprG/cVtO56/g6hYLC/DgRvvw7xBpluN/ tLqfo681SmIM0Z8CG0azu9NE7JqSaHvhnLbqUVtHVoOM1yT81eavXq3dOsHD/fnHODKR/XC4TM+p Rb3Ts8JWlOsYDj0TlByDon6kLhC6/NHcmOvn5IcRUBuIFSWdut0UgQqSWw/O47kt7d4zQxs4E61H 3UGwf+cvAHPxLibP5RG5X4s3P0hGUCe90Irs8qVUnodmjITDOIdEld4NpLBFWfx3JFVI1kIzGomS ojScRN2gIstBNJO3bMOoJ6ZqV7ClVqBZmhBY1yzEFk9WUXG+zQ36vR4DK3rKmXzNIgTHUdyIaCrG YZZ5zgKN3Fj07r2zhpY9/CH0qGHhH4q++sQlNxQzvmvokXepM9xDjjUJxpimo7w2YEuEb6aNs/au CuG7d/Hsapuk8JijniPR6k6FyPNOpzsXGszWhlmSmHPmwbgIKjpAE//wNqXlK1om0quXCy94YWp9 2vZii8BXMZU73pX+mGGUzL2F7vZrNsAyHruJI824nywCAAIna1soCy5NxTvwAL7K8JslwdjOL8US 3TOn7OSxkR9rhBhxP5uEN2to/6Tb4SI6E+9LSdgX7bM/VReXVEaxjWp5yfjyV1QHH708D7XWJrYK qhTRijSNpQQn39SWOMWV2cOCcXayRDXq25OQv0BYuAz99UpeL/Qt0hni/kf9788RPCjXPRxIXX7P vw0qzs6Ge/ZkIOnNlv+JP+FtV1wtk9OKzholba7R+n8ga023gMvRVp8SZgn4VfNELO1NcFl1wMKL v6/jgQf2BAdrRpxB3pM15NGQmXp/nZgwfH0jK5/YUObJZ4tHxK1TxOzmr/aEoJrOkCzy192f8LfZ pf+12AAXsC70Lr5q7kdsV8M+56vX4CWtgIp7tlPgW8OzoLHH1t+mvgrsm7G16/yYpKGV9/VSJORO CaUK8hmrn5PZX7Rr+8pTQm6TuVCyo/m0qjAWk4HgNjfVJWlYxLeclKW/9OuTMCiCxjw2ipXSsIjI yfED2XnlSotBtri3Oe6kWEov7wp2KrMlegacZxQZ1R6Omm2wI78NjH+dqnnj2Wgzf64Sg25bDfGO g+NfwHbMrGNFmEQnnOdfehLKaPtZ6+TMRJQlmAXwnVySu87FREttDQHh1rTq7jyPUYXWJKXXxc7c L7t5CepzkxK1Y90DnwyoFOtkMiAqxfpdZAHvdmWGK8m1GLF6Q3Fp+G1WVy+Vr6EbRcJbUofAeGPY r7Leb21P4pD6gGTHRk1zpkO4ojmvJsNZRu977kfF7kH6XzHl6Vq3ub+wICJCohCAHOO2rsiUGPRo Uhv/WsSB5Fa+lIufVzNIZwa9M334T/5CYmI8UTLXzaHbutvUKnNnTmeCR+hhZqeP5IMI910oOGUp U5HaMJ8m5bVQPLFuYKC9cGxhJS623gBsY9K+kSBkKle4t0UWqGK9UVxWxcRCJYvOHmz/zKbHtUDx jjYSA0StvnRair2m1YDI+m64xIOvABgUZEjYi1+HZlzPe/T8FzpXz+sWTrgqnLElnnXtJnRNq1qQ z6FJb2MFW9WHxh9In0tGXXr9hE3GtSxJRYLqccIq11ph0JR/CJPr7pYYKgRdrG8mA75A2T9sanpO HaPjV7MwhxrpXvW0wzfQP3By0wC0WmXBmzNQ/u2mGYUfcs0rt1mpuVwuwjOpmKPkUG6PBgygSPev Xu095xP09RqOaQ4en+5zbHsxfvYJLoitCevbaxih+T3QTXsuVY5gwkzvabVcXFlu8lZUFuO1NTRH FojUkjZTD2uB3ufj4/sfqCPx2+jzDokj9ZtbcUKAbY6cKtmBK3F/wr68c+BS8tXih7MLEAQ0GiYl pX51AWAYdJwGn/B4CK7lWmm/WfJXvPzlbudeBoxaEebOIGcm8grjllTRl1W/CBO0FHfY1C6P38Wm 1l6n+Ngx049loMp5xvDiqdFT637qCKNn6AhX41wqBGYSkg3lQ0HSrJX2sHQTH84j6sAxb1FnVkmN 9g7/GaohW41PUStgBbriqUFzx+NZMyarIM+BAInApEHe0uyHHz5BjkfjfUgOeyMWdZP0wJ1PKeAF BsVB/Ya6Tlum6MAjXdjyStT1EIQevO9Fv6nQFDeiw1DgkhR6Kv0F3DC+eDos4ZN+4wBmVkrlLjHy xfsefPPNixYAYPYL2Ax4c0GaT+v+nQ+B5GRWEowSPpPJFk5ynzqZHS8b/L1+y0EcmMfcgyGj2Zh4 DURCP51WJ6srrVK07QWF+TVpvkfgT016/8XfmN2SzJdENq3f02YQlWhQtsYICxORsqIeMwXSmHJG teUGbZGXBi/+4+tTVMPVO63sMpHK2+t7bPjpNL6vTpsl6d5Sr1qdh6QXhgXAswWZE8Uvo9jnIux4 hLDtR3MVMLA90tQgsnraX0DbVQITIHC7mgX4B3IHeJg8gmlI9auWYrC1NyrVIDzFZNpMCjWOxitn T5sGdnIyDRBfy/0CEj7/llkTecbt8gyj+X01cDx2+s7HvpZ9U1f5DglJXsKbyuT+cTJAxAfzM9Zz dDYwEB+U2MgpIdL0o46BAFdUW/ht6pcFDPlbCADDS2aQcwWMkwwKFr+VoZUR1bauD8HmV0KDf771 /kNlNhbVx3cGV9kFkf6/QGNbg39OPkBCNJz8Vzxf6OXDJhAtN8CAuGFrxuVx+slCKKgbdUXkjcch Gy831LwAYs/D/u/WjEz2XUQ+rJUwNpTOSIgeHk8FGITcvz7giMx9p6d79umIzykzs/kG3+JlCf+N gJW6r1w22HqGmiE8/1zd81SOaWDwTgRbHFY41DSdVQ1Oj0XGwdDO4XCk03e8hNUyz6HGxSiF3hN1 DRuM00tEYNge1yjolkPpraLj51RMy1qIGpTJxl8FXbYvfWbZSQADVaIcrunWjmdYLsnFKSrNC6PG HGegOYVrT1nQjmJb+uTkFfHHmB8vsYJGSB6Lxxhlsp2dF5HP+/jCTGdKEk8siB4+VQsd7Cb56rW2 rhMKzfjM2IGl4fszfAChdp5rDgegS3v5xbKgp4PA1TxcQORGWwNX2Woy5gDPCMdDdkHyFPVWBIFU 4itay7LyZgfJ1VGX4VPCv9qCeTbyBUvL1N0H4qpIjd6ndrQFfty0g1AelS4yR3Hp2h1vXN6scIUY 9Q2Yd7XF0WoJZ3kUQPOchtIYnp+jOriEBcyCXnCf4+eEPUL8HTJNPc3jFuGSpnyEezjYDpXmbQ93 vRWoPfNTwiVfYrxBhBnPic/Y1BEtHxsUw7DL7ItWpd3dW7Dt0jZ2NNGmVwbHwlx8MfZ84pH4Z4wE YYTACNRSzXT5DGbGzuMuvRxO747O0BFgNISTLoIcCoNviPkarl+wiQRA0Qll5Uv75qSDbA2+ZX5l lnw3idIxYEfpxjTzS+Tgjmh+CJykMUMyA1I4DPSG/YT1ddgN0oTZ0KNbdSYQEZ0Z8JWOqkxosP31 Cm2Dz9QcKGlRIrDDz5zARy8yFgtu9avHvFUBxDUoiSO2GQ+kDUNsH0vAEDoxly+hMvmJG6Pt0VWa AVFJZZ5X7BaLRrbDo0ZCykquucMWadl+JpzAFrBwRQBBUNwLpRTO0KB7gGJUlDsFWlN3hATLkKsT AJjbujZZ6JuFuqbl3LXM4/NbSA1iFSpvBhaKLd1H4ru7VF8WeunUej3/Wj7NYBrsTi7nwSHRnR2B KeeDDGGzT1skHpFD5ISnMBhmb+zJo5phA7JzJxjbFXSnegISjbnqT6lYbXtvKJjgQkh0GRGB/nLV i3cmebka8cY008DlfMFmJCwuTuT+ZnnIUPsf/bzospYdttJA7lDohfJ2ohgH835HZfBPHFzjFpKj pODBQd7iRJRZfhj8E/3nNFocO3KTpJHfr2towNodjFcK6+hdQdEjqAa4Y+UahWy3x5hnzgZ9EGkx gb9FfXVE5ghaYQVnO2KeaHPEtloLCWEvTk9nehUPlma6DY+prpAGRl8BpZXwapDsN9dPNWKfOyr+ 4VhJ09LKAAKitZErUCqBVgCD/1owy4zHpbuUFzn2VLQmLZd83/kxPF/ZcqIr6qXb7pMltvN6lC3W dffcnIFJ103nOrO68gGQZ8AWgmFSMBJKVfFeAJf5y8xUpPxsTcWDIvlu47lzZUR0ptmJx8bYXDFZ TiM1BxG6ZtLCS6oKrUQWbrdumen/cb9ZQ80amXU0/dwF2i6diVb7eiDYjCXYpUdKwMk5CftIBhrz 3g4FxLR4e0xG3he37+sWG/GazCmvIL+yFKkOqTsjmOOhlVf1tWQvE9LLl7oS0UKpeCGOKenLpGkX 3t6PY1jfSBMGlzymONv6FqwbD560fMabfPkfyJmSkaDEtVNP77yhO7JxiUUxFsCPEQAs1bSHlee0 kagzB9w2jipWMhv26VM7SCfmxR1QsV0bwNEEpN+OTLqb2u9Z+pOkfE4gIQp5VFxMd0/r551FyPwV ZEkB7dvW6Ndd/xJ/AEPJxZ1hjX0XaUKGw794gRTqzs+i6VP68UBsbfCr0LjmHWymoZnObnJ8n+ja z6OKb2v0phMPSAOfVSROgXKbY0zfPEXfxgQAJyo0Dzuqwocc8VY0nB7iUhKv3/paMiZdKfbOmh3B /acjrrG4VleAnKM+4+zd6XGOJULfGLH1ejKF86sS/YVnqXOzaYId96sHWJRFj7HAKRFdcvcs1136 efIByoeBnFCoPcvelwltGxrv7Z4Mkb0MDGucE6lFL7K8tnaKgF8Qs91nlC/kRkRKTn3FbyNCIj/q bMVa17pN91+6OLCZgEWX6t+ZOJFtbN53o9DDfQd0z+jGCmoG1c6Ko8hn/jkaEpmeakzias69GueN DIyyo5Dtgztnku4b2y1wF29Olb7bIPX5s34jX5SpmgJhe9qT8Q3o0JdOT9ylOrwPvUI9apuKvnEy tdn47Xudp2QABWbCKexI7m/nk0LisHiTFqyhdMNcr4NkFn7NoC0Vivy2efOQufddbq9+vOOSCuYy aBctWeIEWhjzsRoOXLab+kNcnYjub9ttAkz4h7vjBTe9zNLfM80nQTIv2bDczI+DB2/QFyJhDYoe GLqrFtqB/mnoheS9+p3oPCegLk4gcRIM1DjbtYgP6KCACJlWz5DhFtmymaZ2CYsY0l6JqgSpSQtM hlm1q4LRwCZQglYnjKhRo77mmCADtw8y1UIWGC3XVVeMkVJxSKEV0E5ZDX2ijBJtvROHYhWWM/Tv DCJT1PNV0eHjEyfBi9xtc4SyIbYav+f6jkXp9qzlMOQRBh50mZkTb+zGhx6JH7XKLQWF21ZJ6Q+j mos6ih+8Xe5rkszRVPVa2QRfOqegIWbSiEBk1mnO3Vn2gHjFnjhzRb0mTGUrmNc079Ba758rBdvI tdZFEmSq+TpDVmMBdmatYWv/RzjjxVde7T08AHA1E4/HLAVe/7Lgs63U1llJ66PKaZbEzOTrzhv0 oZcdWS7abDXfUWlJK9T5DtKV++CV9fs5g0sfXPnpYlUNyys8HA90nE+gCvHwi4gYrzl+HA5fxczK sciEb9lokFTBvjXHdJmh7/dX4YUz4RVIk0xfnQTWXeF8TpWvwOrljhurbIDmrerxcqj8E0IbdbnH ryGQHqMm67q8uWJ+E8HaHQb6RFghTcweknGecdYwK0x28kCRqS71M5SXvqGyi34vDja887GU8Sek yRFp15nrqSQbqgjrZZaR7ofAB8sbYl8RdHMzpR6rXNOp1jYgnB/OK6ijWA6x7iKdme3c6rdR3hz4 qRf1xXH1UXcOFbA1wVHWYV9k7UkgW+PuFpnT8qtzexzuAy2YlfGrl6uecahwtBNZU2J91VqCMCVb AXOD6ie7xZBZMNMDN5eprg6jpr+M7O7uetx7pssMknjabMH7x15/WnxcmS+zInk2wOsVlKZtpx32 WtXxDoDc8c33P2VYWnHck+h7vr5yENRwAk0fPE+xNNKtFyCLLrQThUK9R7SiFGnmE0oejr6qWrGp hAWzflzSQTwjfUhMXm+hUxrf7hhK/imznH20RjjzGOaC3aMjlUvgSRa378Fsc88mPsVmeiskghju UdX5LxTX5LbxcNBbxtDDcy/KlR+oNU5mOVLO7YuiR/FNMsJnOTkOIJF4AXJOkW0zqMWbzwCYStKB Yf+VKdwfKCi04yXrSfW4HqwHWFS3DKTgDwHaRVfNsDxBoDN/vYWhM9SydOakMX4wF2e+0Z1x7Lf9 JUZxPPYvBgsuJtMqoMHJwqrhuKR9wL9o2eEOoiTeUpRsK2kDpCHywCqy5i17q8nGNREYRbsnFidF aKwjHgYHgQwSzGNu3xQn2jJPCIeLVVCztlo2AJ/iYymhZaU4YUymdpb4T1hRWU43v8brPjEY4zCk y9fcKDTr1Bi5XLeGL+rbqNfThz5Ab4jkhbSLUqAjY6oPjt184NPT7pf3rW45Zn1U9isku0yZmKD7 3+9qLsAs8VwjtHkoRqAq+ZMAAt3L0wq0DoRinFrOGp5+Bu+mbg6GFKGzXlxBM12WWFylanzcjF11 9BjvvnnIym/dA7SocLFzpBPizFqiDngsdVfeWU/oObK28fnrCqm/gtyUEnrm6AffvCgy9NNOVADn lEx3FDl9fbrezN88QN37L2pVBplMzQbgI9RZQN1YqsMFUjM6gGYkbiGOTVeddhnCVm2SI495/1V/ IXxcddpJ/+dkWs7W8GazjVo2EW08xR+PPp0QZggmuEpA55bod2f1jyJ2ARU6/qNMMFYnaPQoeryr T8Voy6u7at8Gv1CWbyJU/lIYiqVPq7BXPXogIVQ2f25daGmSzjn6yuAYVdaYN9ub/gtWq1Zebebk AZUTiiLozPl+kHixJzNUZDofJM8r7D34+7L2uAsQ0D1PpHitlFKA563gA2LW6Z5W5IW+pPn6Jjwu pN7JDgI+u49oL/Y/ZhICsOptReVlnI+uZNhlyhMZMLueTIR9mHEpDJTR0/aCRSV7K/cwxHmy2Mez cDeUE8RrlOzuCjMxKJflLRPoj0U8715QamjhyuaonYzbpffkQ9q7oBMveEvMzh6n63IgxKDZfKfo QEOs7EitIboJUuR0/fUrQ5xZZikdX4dblmNPYGlbORIjyfSvVOEXLVYq9BmdaON9G/U14YhJJuah pUR1FTV45Wpn6E5eU+p6c1tIm5OMgG8LPjjw7z+abBm40uc1L1C/Ias+VzAKmp//yI05Eus3NAW4 A0NRDTFKETDrrx+AFPxHnR7qgyV1Fem07POOQcgdl9ZoxqahTnFKvcDkaWaYbVZA570Pe5YZll7i VJyXki6rWsL+Ghfijy3EP2zbVt6U/9Ao4Dr+k0ughgDdJ4uwK+CO3UT1JbPog4scyMRYw7Bwz/Zr 5HoZWRE0SYjkHKSHt5hjfNl+3vGDqSPl5bkK+K6YdcvBmVSodimb9e+yBTO+nAeva+JXP0hxfXeX j/s4VI6cJIETp/YLbtN6+2yqAfVOnhOVjzPr6Dj8i6iH4EmGpJAVjkww5S3/pYKuTfzxNiWTNLhE wKEZ/I2GlwtT/78eIEUoI6ysUbqlT+URYZeN1ECj6iDLmDdlBHUO6bgH+v9XEwKfAGe7ymh10rtB lZjFBW8itPsUPm+SvaumW56KyUkmfQgxFKlUVQc6xftEWGCgl2MGRj1kH5RjgJQs423HUHMx9rYZ Ly4ccjDRo3Ef3PHzPFMX3vXxkCB17RsZJ5Y7KVbZkpGCtZhsmzPWGXuA2Xbq8iISteFBddrRND5E CQY0weiWOzWfy1b2wy8qz4aWwpubD1wCU1kY0EHHjl6hM+NinUCN92X6YYgIRDSd+WG4sTAweLNQ HTom3X7eoyY4GwpD35P0Xs/Lc4LGfiyiqL/uIdP62z8ZmpySE1SS0tl4rEbteVET0diZ5woCAfLP yyjBKAB2uHOWlCfsP0OqCe9Z//DVwoTVZ5VnIwd6sbK3HcuyuLS1V+vTBQpjTNDmiIUe7ZtVRkqw LaS1VVa3gdoDtZa6AD61Q0DPYkPwCCmLn+37SU7+1hVehPz/Agv1LROZgRJdAhjD9Txvfs8d2NoE YQX/70O7/ALqgCBXzAQbhnVCyG4r07S+n+LhnDtGWgpcJwY3jsKpZvtDY345fuPEuLrz/AwgrD5x JXypF5bL9Jh9l5b1PtnPSEvnuLqvRD6MOVBN8fyT6/MaGoUJdWiupG5Q4+QoKZtnv7hwkWox2oaK hq8DWUNZk7gTqTElujmUOZhlhszJvUzgdC41gCQuvZ3vRRL96SHiWqZoSXKfrTx9d0eY26qorxyX PDl5S9lShBaKaBzt8VEBfqI8AoH1YkDZS3cYAazmmN/5AjZoKE59yLwrWB4PayzFkJnMqmSNtpE1 TyIKUpArmcI5CmIeS1G+ESMNSyUbFwPPrOel7rSUC7HVzBT+V3dT6REHqj0GKIW4DQE4r7CEjI2z yWCl0tb5kFxG4ZEF3LwH0DgUm01mpSKbgM9JNqtvwoBnWV1gff31msrIUVZpxFGlM8VGvhWWpKgN AAtsQDqxOcDPUYePol2t4lomQ54qaGvA7gHbp6mf7erZKWpH97qqWeAsW43gxh+YJVizQWzUqSbG MLP3UEWmhK2epEGqB0fCbWZH2LpyZYyD7dgnbIV91NQxvxKIYMm6hSFtndCMyUpOacXI0ME3ni8w WodwuGSm0ySezSMvRGZtdqiUo/K3wWDxreSwbBhuxHhR+FTpr2dFmW5tCXtkflYrzuOoreJtrHYZ 19afXLfNzlI9LToeVpAjWsJ6Q46z7fMSZtEEVIt8GPl1TehJfXZGptqAWJ9YZUE5j0iJOXSZ6Ol8 NFBAo6odA3oqh4qBc7k/lUF/HLST16C026UnUdDfAxmWE15Xsqu7fDvghx7BdsqDE3qT+NEuA04B 4ssomCkNCCQnljshOw1H8cNCRHxKtTzmEFZcwLSnJxfdt+mIcLa+B6dMcBvGrlzgDssC7BZ5K4y6 IUtN02GJLVFMxn+/8a5y4JcGlM/uy/3TLqOXoMz/2AexsvLJ+sQIvQeZbSVfcftm3cMtiqQrgHUs 1GaozHLAOhNouLUgUXnsDXfvEQIE26t9H0ISKsq0Eab1+1XX+kjz6AomkvXm1/ppyGBxCDPGJZIk 0+KP3Al4f20gx+zZ543zcXIhrZdQ0d9Ayudg9LtGPm6jVIhSQNUEJbIWtVqRGtYxWI6yyz/zipwy aCxrVLQRpR4jyUMR+En10ljQS/ORh2ewweDoN17pEghpgxryCmfZzFURpH6SDmc8ZkVNKW+i1Jq3 ExsN9eSCnA0vD08gw3iikLHRH/Rr8LnXneMBRiY/tdUJvcUUYcw1W6DKq9vlzGCa44ealC/I2mT+ lBET96eUVOJjTgZzcDWbGEFY8ui8KES+53l1vMC5CU7H4FDFd2t5X7kXjcCJwgsr75PWWFGyc88/ T7NM8JrPxEwz2c8hZesQGQDkHWDiexOuyeEKN4wljSyoVNpnZtT8atIA705umWvRokaDKWUrQNEM 1KvziuXiSwMYU2CCdNwj1zx6vpfCwDaoEZ3DidQSbjq0OrFT9CQMwcOCrq/Jf7hbHtfs80Xg7RFf 9psLtBvzitSXY3+AKScsv0jIOuT4xbEYc6FOh5IRNnMMI7fIS7oIlE33CfCT05nxW/TS2aMXZ1T1 zy9/294oad+HtAlVQImNl0nnHL7AqDhHnG1ohoXpJi2pu6kAyKW5RuYts9W2JdJV98WPkoUcjZK+ 11guYML6hud1E+0/7ndiyzk0h7JFC8lyPttxCK8o1+IrnA/gyFur+9LtB3f8ztSBRTci/LLbUAkf kWO9WguL/W3fZ3bF8sejGyZP2kF9/0sk7WfkdoIQaXPv5AdFZWjVsonrahQfchRJRxj83WC0fPEs 2fbPmd+LDkjkGhIoLmBqKcdKTiGUXGaRl7CYRrszYvuup6wBxslJFvofDZu/Xux13FgTWr3fkN+1 urfPxEOlcS+91R6lZT650m2GFn8T2c89Up9E7Dq/udac9W5t1jwiE/UKCsLQp2+X4NE5z4xqtYdQ VKj5OLZoAM92lS/Y3eV+U7XJZMXmMK4KsWJv/0Skx+AD5jHq1EugGfCeWEJpCXiw2RH3UmTFHPxn rwuTANlt0KMbQy+I00OPexkbpxyRfsmZCDQ3GDMBFleBCvBGEayXToGqMBs0vd7M+Dob377//owi cdUHw8/sy8zCD22UIvtiHgyF19oA6gd+zrlv0aLDZj6k7Gr0M29BWxSW5hkafM+Mat9yuQJxF8Gq rbAeaBAkh84YEcMHEUK0bFWHzBzw5gtGFR5uN6HhLAMRlvWGy3UKhEd5bCILFPDibnJHk6nHrs8/ PEThxO5ulMq7LcsHy0x/0VlXHmdBDLFItQZvMoY4ka82Alamv7JRbhy8u7kV8mJ22X95weC8A+cF eeqOXvErMPeeapi+yK1tuzY7emGV642E9lye/mXygOLLtweTupjBU+kKC41r3/qADZeNIKQ9KycK 5wCAm7g7/n7crCTlL+s4sRGUliWC+g8PEhJHTLmCyLpw88pllFpvwhqCwChLB3w1nvB8IHuVxOJ7 qR9B+sRAQAm/JnwOrfirxlGA9JNcEY2a2YIc8IXIOacQNXuBKMCuvMoDxpAPhYt9PZRwr3M8OTub U/FsEN8TTyANcxSbD/2QTufunBE7tWXb6sPoxBjLKPG0oZrMbpROIJVQpbivyij0YqHip8UR068W wn+9EzEBax/XENqEzPWRjgkHkobuoQGsKPU8S5duHgDkfs/vqgGifwu27i/1KP1la5Od0tBc/rXC OZN8l72FJW7HVt3MSkUgiddF30ai7Q2Fo/UJYQ9i65QjY4JZzq0X8VDd7Qh1KUVDp6gvNXLaKtRv okmmJG9FJp1Pohw37Du/J8f9o/NPX7W8kKpB3O92wikcBGAT265VfOpT96YYq1CDtaARfMzS7qWo XWGdat+N6sxHHEjWavbWheXJ8GjBkGyOGEtHibOLdHazG1UPohoj1efPs+E2ALfEOg/vuLlzpfSi fCzNT96vhfNq6X33EdlU2fLNt6D5F9uq2lP5S571ZzdpJcfHfbRcVslvW0fKZIVbKrdtLDYw7rkH YCNhWLDxWfzBAY8bF2gt4F7cjlnoK+pFVWDJqIwlCZd+ALmRIf6e+10MnVUX2vgx+CW0tsFCFrhy sXF8GPDsI/BCQZPkWGPtEFK0aV3TP3ZjfLrwAr9PxTtdZv77ytzALTe7I5vsSr59el1MB7bBQrGo 81hpAH3DL6Rg8rrLd4XLp5aX8s5S0C5wuHkppFAdB1lG69sAc4Ag1vs+yobO4ptStFn/mHYWY7HJ HjRLJWhny4c1XEFlSFWKEh+7K/hPFSzVIROaekYREYrG6VWfR/Ufe4/uzOAVs3NeKAkF4th4LnSy Yr/J/u+lgRA808pN2mMPEYofPDV9z4Xj792MniSQcOSssxdaUIeDkKUMC1pFNNPL4p9rn8HHIf8j KTP530bSWSQ4Qq+TZMdEoBsQliJE0b0HjCfqN4Dx6t/sTKttD5hWeN7m5HKvGSSOBR8zs129lGpq uz0npxdKR1GTRrLBg3kcWL6OEgigIbs6SSqGxVQknpfoCZqa9/rT8Y7mJu4jzcx5pVGO/1dklDH5 npZAbcZpCJPUGls4+HwyKNDegInJ6eBncJFjTiZGL0m7oYeJP3ZsHb1GknyMDrcmBqgowV65pnqw GRiBjcIqGaL3ZGK4w4cNzKlGxONw+8MBl3uJPuB6fwMaxElHHO6CwJmLb8mB2g8MNHV2MSBL9qx8 yyrC55EEWSkkXEqcbMhJNB3HGyK24Veeqs5CbiInlF4dF61tjVOfLMjEX2vJbjxXEGvw5X5CQqjm ZrDgijBF4EUlD7QVoGsm6K94uqk0prXartOvasN8gHn2iNVJs6wl/8Os11puNLF6U1Cdy+mZjRQ3 +2Sw51OU7ac9ZEVvS/TvUqZSPONBwMsBVdLPMsjHqeJaiZoNmQD57BxHxdLvYQVy2xaT/IplS62e mT554imNy3ckK6y9rVaYLHvpfpMVbK3V3zj/AnSwwWnUooTG7mhcIY1Q6HveBOQGBxVw92xUuKHG tsAntEcMnpo7tcDdrDUW+5DpPQcuGd8eTrZeRX/f9Kxnxpovj8CV7DIezNXWvvEumeuKWTMowsz4 iR1pbWf8IMZCirZO3zW13X2EFbb5b/ma6BT4YuvN7okynBOf2wBIJEt/8LDCo9ikYaGOxCrnIJMb r8M0zhtJ5NojykduiAAOyMzo0Pf4A+JCk0r3WQFmcHIzPDwZLHz8Kx0FdVA3t2a/mVC0wvWCtp0Q E2HrnKVPsdCzBQw4rbkOM1JZuXbDqUCRpZqsUaA4q7IiRB6zCr5HyrvzZiwe6xholKbImwLjFaho +jlEo8+CF/4TsWzLd2L4G+53mVqU1QFEXCuNxFw+T+1ukbhWza9sBTYgvk1hvnw7O9o3RMUPNygu 0T2JSB0u6PLFLOD/lxgVTWcl8rgEl/K+b6wAK4R4VuMMtvrZ2uBLjxzmSrPvPBWuvV8cYY5TY+Ch 7u3fq3vggUzDJigUQmE6Nhrc+KQtjhrQagPWb+0Bx3cHqTkoQiDCRP1dhsQ5/63peZsoyyxSmkJc eYhV1GLzFGveXv4N0309LMht5J18YeBVgS8nZP2eJSjyz3iHzTlRWctLHbu7I2EuB/7+RaEbl5gA OzRSl2N/gkJBJHRthTkWOMcSGVsWXaL+PT4nbwSH/aHQy0X0w8FiYyVmN3BiuCIJmpi3Y84V1Uug UDs+olWGZbh8GGjJvTHcAv2EO8HImg2N/JOaJ4BMtDjaDFcnBKWuhd531S7leMYqN/mGggN7hzWE 15xH7eUOY1OkqY95Yj4M4epyGnPpLzWRrQokVLQHBiF0tQuldkPNnp3uX36EZrMWm47C8kdWNtUJ oefEZD8UfPDQnfZf82dwsrvkArJ8KmFBU808VBBLkpNks1pp1XbsXfKFSBrDX//41+U4PEZTR3Iz 5g+1f5ESkn6Y63ATBDKLM0fAKxRRhGxzg3SfrhmSWWB1MeSzN3WKZyztNlFIorcUkfvywfGLBFN2 leY3MPm4RiQc0qFmpzNAs8KkP7Cb1KuA+IV58xycbUiCde93ZA5IKnVpJWUy/d43PywUHDO0HaJM ePjWlekQM3UeIAQ78+gUKYjVkidu3XHmg0pERHVK9EfSQrKLvCRKAVKyVBH65VfayH9hP0lfMMtn 32oxypQgzX/3z4qpFjOYtzyPuejp7opg9RZvBop3boncCQblW7Lf9mvbdognHWXKpBkZg1+jRZaK aUkRPFp/twKx4ETB9kjyxLcT1V7v6pe+690mgQ+xlomgiUV+2de/8zWqJZX4oVkoihSAFsxVaxQa Ozwx84dKNa2JyB7YoSzE1yh98pWgd+1h5Moa9CI8QFgVN2BvxohHmebPwp6xB9b2+mgnLUSc8I4a 7/U2h4RlOpADJV8x2yLX0ZvnqcQWOBRZmFin1PoI5BAHSBaW+MUP6LN+z329HtLGBFKmGh63CiJT 0dMSVRm6ndE9BwefZqO9HyU6TAK1TYNj4JIAhgORBVdlOlnMghwQ9Wv0XufhZjKvliQDMlXXzU9k 0yrsjoaLgXdJoJEnx1FBnThtvZ4DYBmzYIRe4N5SDzM2HwTe2kqGNsBwun0vxtVvM8oQMctfGWKe EzIC7dytBFUX8yA0oADeSTFDHe0o/NsZj7rQGI77QPFFY80YDDkgIK8pZdTGOkfOOqvgXuxYbLPl qkMllknjximPjJcpBl5tnPiAd2E34ZL/XateOWHjthPzPNV3YxClnNkuxlUutd3PfGH1NYCEKPfF +N9+bqM1QBWu6v35i52KQpJjTUcV3BqUtKqMo94XouhL4XsJ7hOr7jxWkyprCWzJ6HCFBkKHJ/JP bflqTN/m52qUANrMD3CDk/EpRJGHF5lThEv+UTrXYFrYlN0bK7L1s0aXcafaKm422Z+ayB3iuHol 8i9OPl0WENKUAgYOvgvxmuwmFAEcaf1bzDq/3o402dOJJufIl8hdkXW/m1mBywgYparkJ8APBbl+ /osvUZdiueqB5y3lSpwVCKjL3+suokGzowwrMTkqNYp+306v0E/MHL+2Bk9AOlITJ7Cs0oPLlCLn IF3I2igktLyZ9ADtV1jsaT/zFLW5L+LUuJPmyJicrGGkZHg/H+hT78dtkOj61S4OQqgr5qykma3t TrF1d5w+U71awwQpf73gA4fXnzCCdYUFBLLtTDCJQZe3VZdPwZqG3sQe8U7lkG0sNgAp1d7IMT24 l51mMOXalP0jk6u+iPxXk+kH+AJUOwPpOl4Ei4b8XrYmHOpM7Y0tVGIbVtLApH/UYDjcD/l1efuD DPSpwZd4fPTQHWZIIpfY65tAGRvXlvPcHT6EkzWW+/1meUPE4wIOT6DbcNTtYJZfLXBCjORbugak UL90ulx/uuWHjHxV+pkovYMSxr/1LskoAbwhacl0X894Dq+SPKaouuIcBRSZuoK+BXz85irYY+jI vheUUED8UXfoaibTDsobj+U6eZtY1JEcl6Y9yk8BCpnUVCZaRI39K+P53mKCVVK6+gGy6n22uH7A VfKnNbdvDG96r+WN9lo3KwqV0JufhUtE6pipiyCX4cjto+33fL9FuPuaIbQapLm7/Bh4171ecbsV OPcYI+4RkYgp49AJiIGxVQc5i94rgc5/mugHlUOR17ec5zup4IwSPZQ0flEvjYyzuvg0MogLLaWi gImdaNlO86HVj9nm6CfARlrdBgWlXjtkX8OksEV5v68IoeOx2KSOkE0M+N2OQ/aJq8LaRCt2sRNZ BmmD4D7Ru9ylDO97gGlT1O4cnb7gSqyAexc6Q0VKtDzbzlffEOf+rp5I4eQCaRtkUzh72s1QZRYq J1vXJ6z9/RByP0kay1BXim1/UxjuDoWbURUW8nnTtRMK60JbHiWVAenJDIXaSpq3sc2zAnlou3me pNCtCI4vlrJAKQJvLYNz5eYi8M8x/kXBm5J5+H4IIxvbNB6tHtv7u+s7tIfILeOlE7HVq0Rtr1BY Y6538799joPl5048Vq3H5sOiciNJhwqKAoXo4gwj0T39roGxHBnvSPU++WuyOcys34ld0HCEvU9w /iA51Zr2KMBvdkKVNdtouxmFDYTFWdPpC4Zhc6AWt3ecMJamm+CIRFtmgEDxYTjHvbAPx6p5qjl4 1u6ZO+bZZYGYT5PaIiCq2qXNUzQT6pfFR6PBcAGLrAEp0qlQC2wKZkpjCZTdSJIxSz2j1yA9AIIY 0A34CMPoNURnDITdRNikTvQxGqad9ZdM6FChkbOFsot+Hu8MII/qfyVBQfLGca1DXLmMKTfN1Yy1 4zGUu1Lf2u5PxiowwpT+SCIEtmrHLNh8JX4iYT/BJbutH5IRzk+FBTHZ3PUNz9tkkdQfL0vVF18a bgnqWsYAkrPgCeoBi16fUf/Y+7gnOj5BA4mlngEUnezUZ51vzthImtduuYkxAAu1s4g/5kMa+2po 4F8YDSfbVBuobHoPKOGGVa1r8JYZygXqqzyuG6gInHXzMwZ3ohPTUaQjgmgOsnD9z16oLfYr3DtS OCAza8Nd129qh1owfbOB+h21wG0d3cNE41PAaWMkfcvBbQitBCEsufE2i6wfY57jzmDwSrnna8/b EeZ/pIAX6/XjIzuDa6AacYAa0yWBKqPtP3nbLnu0rrwDyqmSZGeRzr0yR0khGJqWLdljZ9QsS4pt lxwrREvTagYpoQ5HzgtLYPaqN2mvjeFfmzMuiDUicAyp2R7zhyhS06LGgJ0+VtLIBIVXaALPsbpH 8fGMzcpoVo2YLBmXYSK+3bTJaTa309EIdtwl/b8hJO3nqTftMQKI910llGZKA89yv5/rj9wquc/9 PRNzfq4JXBKCbX6xS+7wWOtu4nCVUFUYaCTIlHDT6eqYOl4B6mdfqmoNURUD1OWZYhgyOYmQHdRv TaCfQ0elB7RCSl0Gqn6x/ysmNMO6kpOgjsMFkUu9EwlQSt6xTs9sTabH1jIuXcb7/gDWqVEvkGi4 qjHKyDaf7/eHvzxGFO5yGZGbEa35MFrjqBjxpQZZQ3PrLCCcmmFN/SzeWoZohJLExFwAaBTdvJkz SQJ8+JT8GEOrzOGEYSiqs3FVh2/Mq+AjDWYZ9f0D4TrzQPJ8NxMKVzaIlDuf89n23igPSosb11MV NgaIpe3qlwtNVzodpPlB2GdxUUTr09FSD/rgMlJfq6CCc2RmGgkv+qzxrHvo4AXiLJExvkAAAAiw 4l+osyjEyhX3m42yRX8bFAWS5ymnhtdiIVN/Z6AHk1xRrIUGRwwJfnJJPZUHzdyRXWoiRKtwZCF1 v+BV69M+XNleCRwHEZB6DAFswuo+bhjf33MslwBPjiqgsij9r9Uk319Ui5pvtqALcS0cjYrQ+Eya fwB/PnPt0zbDNtK8NWDF2Qp5zgPBp9hPXp9UZTeQ+/qgDRtz/65x630k2B+Dm8d69vFmF0Hf8hzb zUXzUtflMADqQdYqJHL4vXDVIhmNKnTc0nNPBr9iDSt9I2lsrFyAj9/+7L/cCqPe2za800FRXnaX YZcKz0mFIt9fmAHbLhFm4TBbhXhgw9l5udi2RcBuxWkh4iQMQTeLYlYgRz6agAK0cIENN2l3X5Hk HPHkqCR/a7yXDI+RS2eHgjXfwAO7ld1WgO5EEN1sZPK+bcg1SwZvZAEJIl/T2f3RQXKrV06jawvB Fd8ewLjHo+sjvqMCtjLF0oht+LiKeJfVWN6lg5VWumlQjkjKSed7tOZ8KHB7wHjDvIAXEBLZSduA w9Mvn6KVNL6fZT4qCluVpHApxUshP/M4sH9vwOEt/5kX9YmqftHAwJ3aEbPZZU5vJ/2nnA5wluNo 5ISxxilerxIh8KwTSt9Qw2iaPJc1cMHG09rczMUTGFEQ/masZYxWbd7BF/NvpHIDdaItPs/r7iZs 3w+6rUCBVx4dTKc/jn31P7QGhnzapZZhF1ENwnj9GLu+uJQbp/9MJjt4UUQ1PQlHV93nM5U+lP5t wnz/4XzXh9oXOYO5W8jfKJpbl8AKT0vmzrnUeej2hci2KiJVZEKtarJ/I2vFvKK5H2IKUWEQaJZy go7uRT2guapplnkjztSxyzEYiOO9UXzd8DjEGig2tLjvcgfwa6qxj6vYbHCfK6ZekQiAEIdDeMfl knxSqE6Dwhdi+JK/VeTvckvh7VrRXFoD7F6vRngXvKQRpWa4sMM5g/38fpZZdBT+I6J2y4R0avmQ MTaUDrXb3V/zpeK2070waLGp527wK1w3sgIQ7+4c+qZWNpDabYjzs9DZpAhXRnQwsgchXIVZSKxb xWav8JFj3a6HAeVJX6tZCxJYGujd1YY5fFwzxvdzV4OBqcI3L5J7w1wDsGS1odW4Fm5N34dWRlhB ZIoTP4eftzGIzSP3zhUE/ylFyA9+rwmfmkT7vDeJl2YCB3VE1D9UFeN/gPWKDkH4lBui3sexn0kh JkBgLbvkVsgAEnurUkVAllCSUdQS3h7bSB8LKr7ht6QW9QsGC7xVdPun0S6hi3faeolzIU5kEK8S oixGTZrMIVRSB+IW17n272GSzHwsGk0tBUo9NCqBcsCRPO/F9j5FI1ooqRfhKXaYDKhcXJO8nbG5 WktATpyA/BB38++nTcJhWD9DKN/s38tEaxs1gTcSmMjp0fPTt7EbZx6D5aqVKHOaTtuCp683IPgn 5tykYJsNnK1dxi0FT2deBHKy78wI8vXnUrWAGN6iRivnMxXLxXbv/cXkcc6I+XJdJjJNWowP9NTn dMJj1ITk3DFsZfhvk4vcpXVDD/Xnl+iJarrlRHflRBD3dQ66n8N098MsnsHMCMIPc8krnFwfw+s3 DUbDgqcuHGJwjsE1VUwuqRUpE7wzaBhUbHb+Flvxr6RC5Aqt4JcwxYBosDehonupSqhqJ5vzawQH j24r5guSZEsDGVDHM6g7gfx+pa7ormRUbLnZzmSqX5VHpBknhLpUi8QainPSsC5cGP/ITzh4BwyM 2F+D8Qf+GyfYtRig6hXNuwvmgZkUbTUyBE+0oz+BjWXjLAYar182z51EZvbBD7mmG4yfqvNKRkzU hhRqSufvqKvymEYgPFWLXlINHfP+JyN7PM0xWAKoXP+lObde4BPHC4AaazPe6T0OPz+uEq6Zzn6f VmkQ9SGDdMxIGVvjPMrzjwIIpGwAFlp1xx55NlFIQcaOnJRdkruap2s8dFgxQN9zKG2Zx95njFQm oXzZO0DxXpHNZaMHnMhJy/PlYy67RqBCKq4YXM8R4a3wOvk3Ol69MXJnEfxrbVTP0gTLOV38VKdo QI2MOK9n91zjafIO5U5VK2mCDc0Phq8w4jSmJRoPspPT1nwi3sHPmMMColUp7O/+Na4jnz0tu+xE Iytp+qcAmq7hx38UQ2qJ7UUVhEBO30e1H/fTCUd3iLAcGsumjZx0wM7p2Em9G0TML4zBJbG/XQaY E9w1nZ3qgta2eWIY+4yZ+wXfLTkGxli9gDNOy9uyHT7o5bS25S9SURgOBcvWZ5DMycN3EWvoQhc1 ZVqQYre9OkkTZliGdr1srPBtAmE4pJoM38zQjhE9mXsqLsn/bPyx0pvN3fUY1PACCwnlDe/5Mq/B 7nOSKecn8x6ibVZoUV11IsssRQ8IzQIctjO8RU2enWPq40FLDIIP1Nq078L/63XtIlsVQ/3KJPmi Y790eWjEFGehsdydsleRjFzPXxjhmIKqMZ/zcIyveZipaitNz+luPMmhw67ejL6TmpQXplu7CmlD UZnDTB0ZN9MuSv3CXRgY9Af3qH32gcc+pI0HbKI72P6RZKc1UJWIB7e6DHrgOItdjdSmNyZkFx8g mWYx2qzaPRAlbabe67V1VFnPC/Yxi3MzBaXBqzcNRi3/zqjyy7Mr2hV6a/PuGeymnamHbC0cSAwl M/ZI2e5/+B7eltOQvi2RNHMT3uJMAN4gfuSdFvCrQBlRYXDGqGdfwkFrwXrBcpCTXdXkocTlmwBR dzrr0VwT9Ids5rNJclmayidmYQcOJeej+k5WF9hlljbygNWo/qpCBMq53raYPIP03LK8AC1UIH41 WcDCNmZt2U0Bw1nFPGYyyHi3eSv3sLDiVIW+jPrMho7+twmWqY9dyoJFiHKXPL6YNxk13QR80MMT bCZn+4IVwTixVdzk3+F86kZLixjhEUwdQbGz8Pn9hCNhd4XyNu6EvBaHPOdjXY6C8CoNcjRi4AMe l0W5vGq7LAHNBsGck7Adk7dUPGhg7dC29Wmj2Hy65EV68A/19I2HMzZVsQdouHNIk3u/PogVAMiQ nKyY4sqktdjexHyW5WFMpPi8RdpH06pL5OC+epvlcTe1SlOF247kGMuPMiNtGVMqq3W2djLKkXoK 3w/7QYp4Espg02LpdOsoIgYVzHmJQ7SkSbNoZEdzeNuelcaI8mwZEjU71thQe3yJsCabgAnIx1hs w0LEch5pJr0QVk8dQIwRwN8c7yKrxBtR9Ng9IXXedhBQZaN0gn6b3kzaf80QnA65FyGPrq7roIp4 yiM3dxvXQ/Uh1Zk4sk662U5Yx7ogUFivAkTwtY8BtLPjxdVJsJfVRFcafKr5igYJJ3+W7dJazTFw ye6bzTXuXNN2U7hUsjNp6Z514UfMAsi3/RD+rkXidIvIqEUrNTdJyqteJKT/dHFGCWkErGN7o/cW D8woqE5xWNn9uYMmJf2AFYBmlILreWJp8HvW86PKbOQnaO33DydOzG7oGKuR4MIrHhK3gWACa3jR 6bXGu0QJuXh2YS3yXH9zqG2B/LxGHRWZoMBxIRr8CTCcX9qG55Up95SWB4HGZ1/4Oxj/foKpPn3H 6GdIX42IUFWYGEHmeWsGlwxc44I/Q/I8FaRyR62Xmx0szxlJxYS3aT7KzV1N2L9J046dqeRnm7nj mdSYVhGVSjd/szrVZMq5wj6Fgbwd4CPO+ZnlqLedE1bCIXsgmAlqRYeu3AFZ+u2YIc3JaEk8NpX3 D0BTtKAYn6NCbhN1cQy7s6AEooDAynJTuvJ0x5dVU1rK0dWRc9LBbmJKDqlCQVMQvVFAvUOH8+ve pf9y1rreSUzXAFdMKqwXpCDDPW0fbqL87xbkch2WosEuK7gSqWXfkolpGvKbxI+EksVs6PV/pBVN J1z7Gg+OHNYflckkSza4KuR/0U0E/OTC9kb9AesuAolAnoy0AIdThkhb6hlPtIZRw/hEQ9ixYedB Vgwa9+1f5ikaoNH3yWifKVKp+nMQqxyy96sGy5/susvpVOS4+fuhfrxvrlWCYtu5oPp7HiYJezfB g8IU3p39Q4Ndlk1+ah0PSD7hX+TKrqgaxH2wZUZ0rUlO4LFUd6Zn2dvHANsTS4gyZ27i5mgsbKCi 0Kd2cemCbL3oSVFNTUU9RPaUeFbPDSTfCDxwagUYGIBsljUA+bcNJ4dw33Jx7QhGeUyv5NBEbmUI ZDT4TlzhdR+e8CRcSn+T6753w1PcqhKQRYyhlDIqatEUJ/StP5pkNg0vCEXyrJr99w/S9/qIMpJV dMDoYBARoWtrtcWt0AIJ1zFjxCr6om2tKBGf+KiSk20+EJsDQv9ImKOCOsUVPIyYhkIyQ0EM/SlY yE5to6T0rCRW8nEHrA8ifafqFTkw0LWVqEv5Dj01gsDjiKuJhEPP0AqoUa9cDF47RjMrF8RXa5Mb 9Zdh9YbI2ah8FtJ6ZOwGcLh3J+7nxLvIPk57lq3XzJ+1lkKavznNaM9E9fmFHrkETEbIAJcVyt2v 7rq4/A8Sm+QjGxIz0tBKIESs1z491vi1AH5As4oRBjLypm6+Srrt9FcjFPFWdaUMqfATL+OAXRVa K0jjv3MtQ1elk14sHu5C55c9AzpFXsOKEIla3QijGfndltVNXGjV9d5xP/z89GvYZ4f1/F06SzyE IK7HYbbXSbYp+lyKOkj3wuZD01znmpFUBQ8PTL7dG9jpmWgemB2wuGG/FotN3JyPzqVXjddNNnG7 JIeG2IAHwapf0Mi3d985YEt1ZKMCs7vnGGucJBYiYaa4WCz+fVTnNKANE0DJNfcQF7F/sr3Kl+o2 oh6Edhy8jcyMzOWwEpGyz7GpMfrTAs79BZ492TQF8mbQnQPR37LvMoFPdA02/+R1NK+8j5Uq5gi+ eyIXlkcQqggy5g5pL6IHF3Bizp/I9DnXqp06VIvOrAsCgo1Q6uUHEvv9H5M01Einlbk7iRvJqpDH iMY/zPJBNK4bpQ69W0o6pmc2ojB5ZXCNkOJPi3MOVtjGsTRbCwDcz9NqKM3e4fwIvnZgl5YN992J e3Le0FWKmtqZNfxIMZyYRCUljiug56yX4/Ru6vfCY7x+cHqN9qBdh2R5vEPav4Bv8ll+eFgzvT+m lROD5qjBs0Bfr2ielduZKTHc5NdLn8qqchw41Qu6PRmLpZv5vwfmMUQAT1gz5ySYh+3ik6BC4Ul3 GxxZZ30jSSNAFSbp8Gd/6Tr68DBVyQSPEVtTy9cYbEseLGAagv6zdiw9uGfhVzaQb3qTpJuEV20/ l8QtJuYxBN/hhL790CpMz42XU9ro0+BXs7/MHgYOUi9XMxGBcXagdR/okqKj9vgz3GdWuTaU3mHu 01k7uS6en+0gXnEu0lk/xIeLcdk6LkGm8PlIhp3eRbI6ZcfIv4L0xTWE9IxDMixamacaSo/SMeAE spvGJnPhB0ExMzi+EiT/z9iiUETtEeMZx2h9sHKjDzv6iKC6Igx8k+eVP2XMdF/W8JhDsAsfudIN dgXkKLLMyZF/xRqV11JEue/fN49PZwu9O9qvMnQso1ZRHlsB0Y+CjuuBOEBSUksz59hyhI9/GpIj DRsZ93EMWIl5+pX+2QRF4PMAhN3oWpA0ijG3qLVydHIhkQK+FZAxbAzu77sJFywa4YmEaau99gBP qW9YJZVSM8BoAWJSAhhum9J5l9tu3ePl7TZZntJ4QUtfO9d1brSo2ZxSDrBAeRvs0/7nw1frn6lm JAXDWKXg3NLR1wj/MN61gXZJ9SeGx/VQKKwbnIpj5cdoB25QQRWBDyRkKWlCaadQIDurl0HgHfud qswo+rbVaiGIZEnB27V2UvxdqKt/bwfMxfF1i752o/3fSfVpCEpLAJi5UQ6P6MaRsjWgeYjI11XN jZ/z0eZD5I4s2INf+b8Be9C1EHBo2PLfdCTCXMhXQ1qWeglDB4CeaDC4+kp77X8JIavNEghpI1DY Vc0QQGrqNumLf7mxLxLS9um/PMABaxpg0GnuqnZnwAYCO1eovCAMztMLYeeKtDch+MEUVq0T0Kh8 xniMdHkq+STMeB79dZKVw5M/euCMcMHiXD/zB9qfT999Ooc3nofcFcp+x76UggX8MDRjRtLbBtd/ 8yUnlUdgQG/MdRG9baSS5mOMRUl1wMZCdKbirr6sn5BbwtVsuew6m3ceHOKjApRYSdoT/VPtSuEA nRZ4sP+XtWcHU56el6bOA4GzjxVx8Ghy7Hma4STFXYNLXYLxf2J9lrmC3i1rfoJJaTJs1dR2mN5N A9IvVNI7CnVA6UpCIupXKfmbRxTnX0o95oehRw0E3kRIsqlCKrjkt9HkKU+QSrOGWmAbTUtRFKYV rH+7CaOy6z7GPX/aaMI9crQAlQlC19kZPlOGtkU94gwX5Z0KCvUHd8vXgD5x6T7c177+C7uLw/aI egb0wQ3kwV2dg8aeTn3nyEMjbeNac1kdfTshZwbll9N2f0VLiCxvBLLFdBc69EyRHQcZaH7wecNq fK1qBilMprq7yap4zmxWeskrq0JgK66HzWVDkHDh6trnrVl6yRUM5kn51qpekAAaL5mKuqeDjJU9 oJ9OpgZW1Cc7pMGfGp/edQLmNEHfxgyQ26zycgCfVi16wZR935u8D2BzFOBE25k/1yUSEl3R3ima JUc76mG1WkGdE7EPB0kDc0ksbCMJknmCyX+UYEotLWeXuJdkMiSGYarHOXmHSQ0KIcVtlPP9uGA3 jPq2pZStraHRsY4EYN7sS2Zh7J+5bBEJcSZIQU7TPKUMsAvwy67NPdjXnBLc9eSxYEewinod0u3A xh5uLk7TCB5GHwGDWsWP+sl5tY9tHuvQdbCAxJRTMLK6+vsqvmVztOcLtEUA3zRo2pvl3z0y/KFU HrCmegaTxdqTVsqLegOzmx5AVQzRbEQUB9hBsJ8QMG2vQQvWL9KmZ8ye1sqOkbTB+zV+fZGEEOSV FQRZRTf5l01GZd1138jVe1Rghl8fT/OdlXtAiRik0P1B/4qwUQe1KceL8zl+xZIij/2QKmoQEkal qIvTi4EhsJjwoYaHqL7KZrK1dHQUaee8ODu3DAC2ctIublIAoXadzbyFix7DrwX5HBENumaaixbc Er6xYi4ONKlptnkm03Vh7uNxYfpgYSqRCUv8N32AUvJpUTBuFRkYZlVFGYAM6yEOIVqsRyUGf0qK QttbNxC5xPpnhR0WHndSjyXdp4i56XMx7x7OqsoeRo/igcPY+fQ1RfoyjJSAk5hZQR4MUONo+lYl wHaGC3WzyfwJPf4w5l04cWdMJlMVgd1YZkTxcQqYYmpSyn7dsI5ZDXXozwZPVPSsm52sYYIw03f0 B5LaesQsTLmgWvD2VLRMKdVP3edfPCHenxiCqHNZdWz9pLyxyPXMTizNrUoZ+0XqOWQCPrQxdryR R7k8UHDRLA57+b6w9XA4cmNd9CzuzP0xpJdkETrJFPiYqgxtyYz5USsb29meuoFhHiUi0dCss5SD szzYBNpYQlnPWm21wzWvC2QJ2/fP4PaxOG+naNLJ70E370JkoTzHrtG8aFSFls/GxWZOm1cSipeT bJ3ok99Wjaj/O6GrGQ7/jOYaxoI4k02KmB37/MbGdNcTw+YYG3nbF3IpdaRzOPrv2scXH62m5Txe 3JhiO3abchQDRhO2X5uFZUNkowwsykwDOb/wzMkvr0v0PqnexEBHLWTZhXqcnReUJTzlnfI9Tlaw Rj89aKyXEWjnRHIIqZMr7WqfJyPPm8ipDcXMHXw+s3ljZ4SFEy2nGAKdpS7H/ae7fH9dpWwlconB y+VKC/Uv8wy7gk3jdsyGYFa7zDjWZ3FZ5VHQ3FxVYqGxu/cNlHV6I31SuJi0Ntt9n0Odv+TL1K6s 86zEFQ5i0XmngJMm/MXixa/Z+FyWXrGPXf0ez/dav71KynHp9sHMTF2dFtwENnpksUSFnS597t/6 YxapkSnbHCzbWjjUh/RQh5VW7C4J5BgkPl/OWkN6kfWKraBX1UrLczi2vOcmyp3Mp8o73rhDjFp2 dEj+1LDth3HvGvDZeUG/AuDC0bzNx9MVJkluNvCicJYdngCQ8aaevR9wMzroiHBzUFHbGtME1Es2 n8t9E4M0SLCMV3n0l6Ps21vvONR6ICwaSFy85cirQwjImSsdk1oXbhWP24xb5xpvJm4Kowma5wly 0IGpVuaWK+XKduuVqb5zEA5bsokSLwS1GMsGnpU9xOXnwOYsZDIu5VjfffY/phS++TYAxJGrEVCY c+WPNOhmW/oiiArnp+jJNCuZEVj2naFd3ucKtNpMbL0No9t1Q1hfr+b4kP5+AoPnWB2hriM6GFpT oSPbiL3JAyYLyg7mD9ThyCsuPQXMrdxwM75hwmNmdwxFwRNGX86f8KYWoF0efAU+RVfTOVY7eKCc Uv9z+FQUmx6Rg1y8Vhc51eZBsj/buaX7a66Uit6YgN5HBuFhwT2qe20D5eYm/jM7lBH7WgYe0dqx GxU3uKUsEO+oZuruVsmzW63QVMxK1whBreZ8ikqvhDsWXrWhxqAui68pFUfTMW5fyR1d+9jRic4d +PHjfX4xmy3YpPZIjiGStgN7WhXrgAylXnB63sDSD03ZEhwvNzuXmgpiy1XTifnlPQon+7OAU0RU r/C85+PxOXC2565cpERZhrd+3tJ912CvvSO8bAS7ey/BnGSwSZUr1lUUmRaRfS44zivi1rkAH7wY znRNZVsCNn2PBe6U+cNGge1pprGa7CGCmqR+R/l4SyM6B6eH8Hl+fJqaPSJGUyXfZDkFkOJ5/zaf NpEzF3Ko3wXRYy1QLW82yvyjFZHsy3juAlDQapEZlWqp7O3nvIsbk7Erkki0ff1I3L3ESoe3+YNm ZsdIZQnYRSHm5pYTUeVv5wA6hthJc2T1/vD4yyufM5omE5DT4SaB/5rXGflLQJZRUkpkRvnRnEo8 v4nhdfk8ZeMxbOatbPI6AAe3cWMbiAaUvfr7cOceRK/OwsmOn7/aEUCwOR4uf/saE3CBhSOV/Afz 4O9MiNN9wck0tRqcRPehRBIi8wCNi3+L8NaIAojXwId+4XYqDSZEfbdmjTzWwfBZE/m3F6Z5HsUV CwxD1qkW+pi23JLVFOnpGYB+vCcqJDdMtEHVpATs4ritgd7u9149XPqE8rUk811aMz0afscyuwve sIXW47L1i3i2Sp70QVvLQJA81RYMm8vk7RalhN5G/401pQK8ntKRWgL5oeUODUWA2m69h8S/I02o I+7RGO/bomr419QU+s7ax93IVKQdSNP+Jble1s06EW56UCHJ1sLEAGUuA0X4X7+6PxteI9m3oNPE ig+J8gw3LwNeJ4HC7Ulw6WmmnDY552mwm+QEHSgeTAYo8n7pOpPY1imyMVKKzNAxzJ7Uhahv9YrH 74deuWrffilgf5hVCQH4H6nliN4Bb23+vxZE2vXfVyJnVaZooaVKX2LepCARwHKGcL3betoCHylY WS0j1X+jaeQ9uT9vk31cdaJsfPSchc+suUAu0Dfx/gVmZ5aXhPxoUkWl+Z2oZGu95OV+3ok5+cvU sDcR+ilms7UGjI2wSKx9KDFJENE3KAFnxv1sFw9J2jstZnZZxxljMTsGihuijnhzFRAMhvR6iiaQ NjA+dbkIx+yYOp63Ja47vzcSdFQAR+azhjvLChKE1nYQa1wPcjsNikDvGqi/ffjZ7uORp2atKYvJ 4d6558k75syLs7LMP8G5wxoIkMKFYdd2N+7qguC/NH+Iu5H49999bXVCyJ7f3xc5n5CC5EKuFW+8 xQZ2MVferNB1sFyvPj+9okSuKio64syUcs67DWMjlATpwybzZjJKBH6cL1d2LQOiGR1X4GcubGsV Sbf0SwtTAYNEDoq2gkan6Yp6/b3YznWx/9DqsdnuAVnW6nfUM2GpR11u/6fDenEZfcGTy09PwUW4 Tb+t8JLL32TpaaaA71GubswxLz3i81f3596ywGnIJZH7gzh3QLwDzlgRq/HMMdjwM5U2P+JJWnFg yXQFqti7OS7p0/8P93ePOd5hxCdN1QfhQY5FxA9ksZAnbCAOsYoRyv/U/mYdHna18WJv1ZrPQOlO j4WBDZQxOsBjeG1f+MoIQKt4bX/fz2fqCvse8IIw2hjA4xgaYZeP0dfOF3oTqb2JOPDbRPQjMKNM y40Zsm5KfWGVeQBRG8Y8FYgvRvjHIiv2EFZZBFAUr618MyCsXdF9PBpWOazj8G+pd6kiFQQok3cC l6udq9eBnFJBkQDjbyZHQTs5nbKfgcS1G43IkxO2S3uNGtOOxhWxBjmqCNdI/DUDvV3I4XbksTHE ug3veaSz6iWctJbfqWBa75T4Tp2/+B4mt81Kb9ARfOFiVDaOaUlVPAj125eUMHNWlu7qTlk/Gywm ZYEDFfDcdFND/ayhRXTNCMiyQ3ritgdX8miG5BHBQLcSjRXSaHtQ4IVQHZ3aGT61jQpdR2Plt5F+ m6U39juDn8AVeeiIduH1wYCZZuoplkDKb4dQgBY2dq3w6ZeWD/6lDjHCt8+7Cva58MkIo0aLwqH0 SjiPFritaA7xPAB8oPbK0jbRVL4xe1IbC7ZLRICAoZKeo6ZSCzZyoOm5SrE51NLdVmz3hmzVezNb i7IaiC1eZ3WsHCAjl8CId9ybhLyG3kRL7V8ds3AbYE8o1CCcaU+4LgkVyvJMsdhUm7Rxg9Z+J2w3 4gHHagS1khcQcabmtU+1pmfNXumdHuFSssX4sr9gDysod6ck5It4iS/Z4fbtf5KrclzWXnfOWpfI 0oHst9BqImYyaQibo6BDJS7QfmV0MVDN9zOvQjk7HgTLYchPzPV5WW1DAMhXpTYTqwvpIUYXJo5J D2F9TgawfTJw8KCU8l0HoYzn/b+fkCNdpHiLJdvse02ScYexlhk/WtV0fnPkgFYst4d0kdj6VWSI MLcSt5aRjKqsJfmA9+W9yF6lQitUXclEW3kVvF90t7RSXzQjcDNIf/fmEmfDlJJYW0mpFSD78fMH GhwZb7ObcaazBCtc00nQGBjzApVH0vrf1WfjGnjb0urvi/OxBMrQE0xcTp9gkMzgFZtZQgwHI198 ngG09dJXRUBF4fWF9OKl6qN7NIO7pILLXqNgVZZ1Eq++xoEGmVKORth9bnYL31wndSa9emPBpMWM WZBwnv+dIHh3PQP+KAfUInkpY3iHA0Qra9lkRclcMk84Y5njttsDLgaO5mz1l+v1ZXGC/h5UCk3o vOM79euvzswcBSo17hz/hQBlC0ND2FtnW9NuWRCiZTuKCnJIAzfr8cj4Um+XHEHPXU95VinIc1wt jnsXgFO7TlVvnalUcmN4dW9MnkkgsqohT1fjUy1lpzAEKi+vQpXFkRQIc9jBa3zwecaxvdiB4kt2 9yReB4mItZzLpFyg0JJtOCbwyi+gNHC4Ux61FagxR5V23hb+ClL7DxHCFAU8IZxHyKSvV8S07kMN PFeXDMnKcGJywG6K36bZ8w7dtkRtxVqpWwCsX3cBSMlH49S7Ee3sGBdzCdGNpY72Y3DUZn8clTT5 QSwyIAIz6en3Z/HOS1UFZV0ws8FtwN7QIXua6EFr+GoNHlmCv4nnpQTWw4u0OPV2I793fZoctLLO vkkznpbdfhWFsjiU+IVYYZiEW/KP/SLAD3dL/93T5MFJn62T/YDKjK2x91wKZ2Prwz99Vga8/EoE k6eNUm4zGrjd4ja97tO9jaBmbshNiVlCL0RlQIFnSVD3gtb1lF4gPtpKYMws3SFGJxD+EUQRKUqy xewpQFjSaUFRY0Dpot7A9Glb6KTnTaSrxChgpPjncSafqUMo1LQPw9Hem4x+6IyUZ9P4CmUhvrWw FdmQJ8sktB6o+9UFIQOcVH87e4Gni9CfDn+NJdVIDteg/aLyyufNVihKicA1ZS98tfULzW/4UxFq x467A/1U8vpFPIC4+OfWpEFMe65SNmtUPC7KEAH5GYucnlqzfu0wBMrxx6/gIPCgWSW1WF/R0lTF 8LAvQL0XcwlHMd9FpyNewnUcDM1FcRygz6oeSRrnlHS27NaxX5ufbDx7KEBTRWX1YORuhYORpaw5 LmoCzmoxbiZkn216BIyVR0WbILBc2DGRX6xi/Q2qH938IBZ7R2BWJhJMmS3w49+cn19V1sBACPfT r3/5/q+U4vOkNDf/6/3VYdGYq+uirrN5juD/NDxNfUiDiMqlCtBnnFQbeOLCBKDTltAQZXfW3byP iQfNkGC3w88RkYmwcUHv5WZIc+U1Oo4yef/xWsCQlztPLOh0lgfbKt0aNphgDo+lteKISNUGld8n OLkjagCSv2T5OZOgMviGjLAV9+19VkarBo+4kVFv68dHg1N9eFhwSoH/ZDHEGN7y/+jqjQ0Xj+Z4 Ui+nu4EhaPSAJoeGaoBbbgn0OfK5+oyvpq1q/WpjiRf6ck7NjW6D9hNF+15aR9azDwkFiXmzLdjr Top2JCZjSFJ6SzpZX3QyZMdfmfFBtRdVKKYL0nsGAAqKzSp1SjCNR8joNabV+Bt4qQB8GKkpTqLj BNf9nK/ZlxxjElDFwWO+0RRC2iEBgN3mQOvKypQU5JRAMVIQeSU8wkj13/M8TGGCH6pLA2WtHp41 840CoH473SGkr6pcwfWS5/QfY7cvdM5RM37xjFtRdoI5z4aTuT1/shE5b2HKSOkg/2e94SlItraL iRvx70OoKPxmSxSP+m4ics6+NdYP/4LlHit/MDATA4AUBTru8RhcCHXLsjCsuBTWWpeLZx/bWhd0 ZCAj0S0aICBrXmGAiCZPoN+S9hFJxmV43LEjJDN05tm0cjkbLBb3ognID367Vgtp/hv6Iw3UsiOy RMfI6r9sDnJPaK+kq81wg9WIkg8yu39e5uFrSQ+n8ek3QAVFY9G7qasm1MWl/6CemYB159AqEnv2 kEaW5qstP5g91eEA6Cv/7NZJQ/ObD3UZ3xdkPdkpiZDbCiCJuDpns/y0FtTbD8nZ8B+7jNWMa6Ch /+HfAs3HIZSjfIp6Ks9bhhip3cB+jLnNYv2KLhoxo2iWafN+hYzcB7otTjtDjiaAqlzOoDBgo+Ku fiEfBwop6VaCvrGe8QQi8tsMaiXk+P0rZgWxR58VnnmS19S31ni01tK5i4LDq4ycFefnQrhovZNl HHkouINj16ak03hrYKm5+iHhDmzC1HFrkB7kChFuH+Mnx6LO3zvvfn9RCu1UjxpM1hJJsjJQGUfd WwVAg3/gDQU0Dc25RWIpx59r/qe8teNbg03qaLEDCt2xUhoqrGv+SW2MhKfShDYyFfSEq8OyzM5Y BepLdPB1sEP9DVtK27VaFmrdkGaWwGbf6QJDTwGHpozuHhRg5W3yTggiNZrzknKtBm3D1WfmHJW6 cN2U/IXaFF1cHIDBxFiepZ6RkiBUVltEF5nI0NKd1kQIqv+mSEpKqIl5GgVwwhJuJQvabW5dgzSi HeZJei5jDG+XtIcEg1vGMmVWL349SrxlSmnJ4eUExgCZYn3cx23XpbkqTU88mmkVxx/Jyre0WDgr kQFn1hCTiZXZqXsmd+8Dga/w3cYbvyp5oAa4r3ZEa3l9ALIhkpDG33fpx/xaVK3lhPLdRbKrusSB GpmONoYuX3+ebh/x0wlcV0jwiDWES5fMVUOs/m8N3yprTjkRjOtoooc7hn+4Vzgbt8ZGqER543YO 15lqs83XVLqkQ6yxEJa89k8h4fqiuJKwCdcmfzGjyJVQUzKCWKlYaOJzBUQ2UyKkbqiqbph13Yeb /zWeSrYwAe4XOd/vu8WaUhFZW4l0Pg5JTfhGfcgXgcEEoW2IWG2B1FSOwacaotS4JgXHCfrl2ViE 049cziV4Zyq86b/ncMiuR8U/oqcIRQYhvSJm6zn2UArlQXgBjPJOeLdAdREdPbhXCGpais7lOW8R z6/wsU7puG4HO2ZZ7zsj7/xLYelFrFWXsz5teflbssMeN4upMxe5aVhNktm//KEgOLnLGOfVkLYa ysC7aK7RN0vvXsF82qXutvya8qA+UegJcj5o+qPPRxEAz1Ci3TFdBiQQbx4i8Ht9051nA0ijggi2 wQDdCMSRKR0V/hNuW9rNpSbL/+YHHE5aPKimkkmk9grNP2s1fwOvWeO5vwZdDa9it0GNHSvlEEXD enm9GUX+3DnDYeZhgX/hRZfjOlgCo/aBW/skM09q8SXUNNe4E2IucdrRi1yXk26e1WsSAOaselZQ 2lY46S5zniqbQGaai1TgJdGjmKm+d6mn8M7T20G7CPp0pB+aYX1bKZAN0i5lUs77Sshk/ADloJAY N3yJpUzzxQTqhf3FEksZGjyjqtaQpb0we2ZajjPUNlnv/9Nxu9RhHKaGtAy4y9287LdQO4xUxnns JOwPo4i0jvIvoRONPpyKq7w5ozfNbKj2pJP41Snr38vDa6vPtV9vlrer3VNqFz5OHXufw3GMlrbY nFLaObZ6pdzEZZUV3RJA+8jH11a9gbVc55cjE/W5JmGWJj0Kstzz4yrTMgD8CfgQ5KbyvrELiDAJ oHUpaE+AQsPmdWPKvHzpCV+uzqPthQMRDi6R+vR7oGi/xzmDWPAFXzK8ZFdekyIUVjL1+DtvdCI6 evcPm+u8XiH84uAus+GPopnkia6xWAoupSnl35F1BII0caz6DBQDC5lFRgLxoJ0WDiaykpcWJBmc ghlkYb6UGABtvWeFCtvvP6u+JwrfpgZWU1Uhz2s/usk/4voNHSaw7j96Lihb/yjH8NdtvQfKEv/Q zZb2JvZY704SyINaL1sEXaMEMV0CikrB4Gg+5Gm2HNPwDZF/0UhbX3Cv6MG3HHIcauwgLN6K9gAu lzfn6y/T3bI01u5PJ+CMiN220hs1w3gxzmSt8e3g5lazk3HF/vszfKgKm9yQyTJf3B0ZD3v1SsoX lqkY/NtymMixXiwbHCaA77ncOxLTJ/EOOn5aYH9dGEbgAgNAvUEehg2Llf+n9B6esnt9i4sBOptx c06W6iLuKCJXT7zKl0fhoaWY7zCZaU/vXq3MZUT4IcXdi1PBG5AtnRcFLjck2cYLRuYY985P/UBU 5AlqRYpf/rl0RAHepAELVtQ44dj7DXsEKaCO3LZSeu6UeivYo5m5phZhUnwExvophYRLSlQyiMhT sujqGW3U13ZvvxBXGIKMKfsbUAq5u/JMKonfxAeSkkSTW7WkuM4WfnnxLpqJBkYT5fV/uv5L4TjP usI9CZkaN2XulGzYJuBhk+C/Pihz8uY6hWTWLQUc9YbdoKAbukpic+wrNg8GOB3ZZ6ZITO1XNQZ3 U2Z2n6e/DfwEJ0xfpWUllRh56HzBWCpvYfaLkBST7TbkcsQhvpZcht/yReTpCuLWhrMkfca63Huc Cjhk2VklyUmBqYxCZ2WeHqBjX4hHH+weWkXdcQ9NTtKP2ePL0wfBk0UJLEkw2B+10TJ4Wy1OL0VP PZmyUPlVsh99vEESR0ACInHAVvAuekwP0VIJEzf0CO+u3poBJYOsQz3toj6EA7bwL81dv3S57TVL wH9QlOOus4jTHjsAnsm4JatWdrgCdeuIv0WJhywvvkZu9S68uEpwE42yyHYVaUrOT95bl7huqL/4 uzoAoC4y5CXnRgH3DOMA6OuFsMqWezE8Y8wgesMvW6F4bxhaVo/tGorElArmQbm+p+LVK0Zxarvt kV2CBVNa45A0L+Lu7NCtkP77XHtZ4Nv68bo5XLz+bnQDr0drArr/lWgsQT1+pNFqMO4GEbgKy1vv 4l8fhEJxin6FEpjpaRt+KTnAAG84KKvRp8DMXMYZEkhXTv6es7fQLtO/nKX6EJwvVx+Y8kLwDECg hlgi9qGIo74KqYkh2swOsQBcU7a6L2vPFc3nVDQjRFUs6e0LOBSpgyB1BqqCIu41kWrvOmX1IpXf kthJwru8j/JL4U3lQasY2uDginWk2pGVTJ6g/pZBGHvFOd/d/X/MQ0FFKIu3DzGndU+jJfqHUKy7 h15w1MLX00a0qVAZ68CfIA/F09ASKSs6oUeQ4K7O2EBMpwPDOtCParJze1jZU15RoAcvBPeRulMD oj+XVKLQLQAA8eMzVqMGr59089Htx6ZLLtSNgrAMsADvu+Wf+LXrjCOeTEMaJXV5vboL3ARcSZqx fbZTakC4AQzLAI2Asj10y0R8xvc2RZfSbRDvPvVR534TVbux5HLGfxGiDjj3zgsfBfFPUhDfIRU6 6a4j8iscAJNQzs2wKSxjmMABjr/h+tHrOcmjM2ZVBLeZmIEnOYh0GYWTqCfDxKm/f5O8OIDBKO1D Ri62PFKoVM0uH5KRru3TfjTCL3p6doPL8Itbi98RlyraL2ZSVdGW7GG+OVSTrB5jZHcfokfNIeLR ZAE+473Oi2fQxfaFfU9KtDIZzNnCmsH42g5+TQS6cwnKfj9D1GK1EOpo4djM7BdGf8hjBmhEikg3 kxUTLyQlYsfCWabk12eLnP+CcN48gm61gOHj3RfWdhtUaNQy97116mTzx+CBX6LpE8Rj7P/xIQRf uELXFJikt5SJQ5x0fA9eyiYiUjphPaWSepLwjqWIgwZZfljEhG+qNIPrzA3TtXfI/01t3YfweIYS 5Nfl+p1P5+zjLGex7cGm964TlHDaZAbOnhMk2XyxjXsPwkBrbkFt97ejlFhXc+LxA7eEK59OBYX2 gLjQY3cnnB/uAfaKUoOH3im7/DHDfgX2drOwJgGjLiJNYl40B3785WrK28y/r1HrNwDExPEleEkO yb6WHdlyHNbZvEG9a9RwXEm2jJOxoMIB23macmOoIlK1IXP5KH8rZVLa2M07Mf6935LDddxMDxkt kQzNDu6gtpY6O+jqCQjakJBHzmrPCGNwT7unIt88jPN7knYmxbFRxDk1PdDT34JGGHU2A+LeAomF bCe7XxAy3/kIgtWARSq0cURcvzsf0efIKn+tlVbxYX9IDVWjxZoh5dthwJh24tEMHhEZhjdRkjvb xB68L9bOl9AtryPUqoZUu1+Yy00+hmbTR9dcFb24ivo0ohiGc2dB0sZxqTbDCTfMsI5SwLaUAXaC ENQYymOhWW2pYy1uBTW5+znI9PIhFDpHtNEjr39HlrK1tNu1p7VDgNsY2tT48TjRJ7dH6+OtJ2aA MlZj8ij0eSdCNQwwj1TI/k51ND2QXTaSSprqgxgZY68HHgZP4vTf7WEGtNePodahpZqAcS3Wa2Ou Pyxw7XEBgKTFI/1V0zMBRy+1Q4ZlSdyyWRBhfvwrpPQmfc2tgeltG3wjiu/9j0x1vh4AqakotDZx zFw0wJwrg56d8Ia6j1AfJt2i6+PR2F7nrEBtLo+svjlTaJ8VxS4MuedT62Azfaqt4vPGLqiOViwQ UHSSsFo3uxOOZ0CvXvokNbH5UbqVF4P2gk76IpE9ad0fAyir/P11Rw6ViM/XvQBKbol2C138fY3F 0K7LyopIjDVRaac9NzymZTKUqVyu4RBPLjvG85b9U3RhzChjn/mAbpYf3koTgMggx9PygNOgpk9A PCc3cIMWwpKFgqaujO3AdYeFnbZQyWuXQ4eNnLTZ7tJoRSfGX0EYU9HS7zku02ul8Pa8hJO/zRxX rTp4Q4aefyjDI5oE62pxbyODhv7KtaNunYaPBSlCwkKub+d6TzEqlGP9gKWzwkK7p8f3KDjX1n9r 8dzcuDwbyWz3sX5rZqLcoJPFht+XJQUT/oEukhgec0LHy5qoBYA+2+RvEDa47m6/Dz8ElmELSIyQ Wg5rcTC3dMF63isXcHKDXAjQBZDfnFEGjGzItg52NgaC7n5cf3TFnG7x9Rc5awl40yOyP4qCFK+e +4ccKmq5Y+48E9f8iC1fXQ+0Mw1qsWs6Ewm79mWwMQQA0Cnjej/rO4H12z/T5d7MguJJm3VpJ2W3 s4REAnTpfn2L/X5X5af+0+qkdDnHJmA6KqUHNzG7F4vbZRC9LzRRsZUsP6F4U52DqScx44dNkFYY zv3c9W9kgJ7lwi6uWjq9L0DJSORovlY+LNkr1+WRsc3ya41Wmkubmk4zdDL0TRl73i5b2Wr4vOv/ afdyG3WwsfPWuVIqqeklTxxXo9qoijsF8hXVStYJxDoNx+wOya9+UfHbOYuwU3FtR6+FupFkKZ/B gkiX8kGtFwVX522KPdO2fWCj0A1Ob5S5vJdiu0rPzQ1uKNuZEfqf4lcS/7gW3kXh2FCrkUhwhmxM MbJu7tlSyyCjN0VIgfPWe6llpcDDVW+9VmcaELN/ovGC23jmu9huuN8wgHt0SOJpQl0oXHMAOD9d nZSP03E2RA3bGLXdgcG8TtRiBz9urhS43E0sELi7xi0mUeAmaQ8MnzGtK+IJsoFRi3UCkUoN7qez nIVLESu9Z1lYLZ6hBePj3w2/PkxI9Xh47YsrnN2oTXVQz0kyuqkfskKBGIjKBAyvwLNmoENUhceW h9oWYhfgTqM1sebmxAOKM7vhyMGxJmcdl7a09KZvNsWSirkbaOSIq59lmupehaOZk56ArM1x6QMW Qm26FBn6RF+MOQu38G7Vu2v6dXu060NbM+c76EUrND1OU00IAJTgutLiaqkVwhDqo0LMlw9MCHov oJRpBqi2lHCf7/6SOf/jkNu7JrQ8s3YZUhOk5ZwvR6FZDqJlQ1938N4CYJLR9ndthSFXUGpt4zPD eRtPcG7+07yLUMuL8ybCo0PiCQFYTGXj0Azj4dhV1X+gVk3wCWsAX9GI9kBgcGSpT/vvQxJRswEV jR/tCIANjPIt6Xjz46SjVYvXpkwyWsl8n3B29IOQTAS9G2pR+R3ivxfbAijC/LRZq2fqP8xrkmsa GbyUlWIP0buQrgz61FMDE4yhIhnRGoyLBDBD4CrN8/Opduz5ybDn/+/jot5Kv+6f13Xm8dGS3sBv 679haN6A1+A6BS7Sljmt2hIvGheV7yKeIOa2IyXhZ3qYveH342AnL+qBctjjaVpqSu5Q9AuGvb5k Ti1cIUBufpNhpKJWPraZaHt5Tl7dvL7Y3OSTi6tOTGZsT2BOWaJLq4sSYYNheDncaHyb3ynwNvu4 FmmkipSX6csAyT8Katg/+eW67xbJFrC5qHbi5TFAn73+O+HOvDpcihqHfNNu4Ba592m6c4/JS3ER l2H2y3rpLeYwsThD7gV9JwqE6fci0BBifsqWNfzbjyKOupIbr1vIUG27xyiq6blrU2uh8lgRvuPD V/ZRH7xWkQ2XK8hYsUcgx/l3Nz3HI/nzUzsu6VxfL1/PHN49JepkHUxtpdI1nbq/UhjrurPRa4o2 7eRt11I1mqKDU/7hxKtB0x6iM9IEEwzuSNlmkHyw2C//kFuKy2jbnQnofq8+va2A6HsrtwJLf8Xd IxnbPQNtEqS7Zo+x5nomuuv8bYOc+mA9Rqf3EgCuuaK2CgM9bTT/6mta6mVjLsbmBAPV4eXxSTp3 dQ7zVP4cwRSjx1PemFZn7fzsgyvHY10IpqeaFLcS/JW4bA1obuiYwSZWd1bTFZ66rtDxubwLlD5w 1mJB0deRLlBk/Ig8jnNTFWPwBGgLTWGRirYcxY+NCkIixXoCpcqDssUTUVou982UuYr8JAqqFk2j ZYU8o3WhXsTuhqEKNGdbfV2Zh2IBRS+wKRdsFfHK5HcaBTqoVc/lt4ORrEEj9/06jR3xf69/rypR c/seB91Xpo+AROFtWHpHjYFa0E8QcTQyZVRas86VeYq/Sgg3MnhLcZG/6NnBFYn028H74TNX7cfQ dWQVV9AN8QkQhyxe0KTqdN4STyQHHzz08dzYBNK9VXBFVdL951Kg+LSDIc8RtSQEYw1DRZ3LvFp5 0aZFHrsj6Wd63TvIVhRRGgSOBguyIBenM3jNGi5gMWzrMYhrMQauS860wm8AgOedz2aiGLztanzR KbRha1cux0ZLnyhuzbtifCFzZXptv4VZPH8KWUvCQwSl8M74H/znlLhXja377j0OfI3OjBe+pDti 78EB374OTWol+vOUmjkDZQWPfumEwToseKPmQLy8n+eTBaqWCMS+njwJ6tjC8HMY87oXao0m4KFC nDfri4aeiTJsG+8fgdXcPzOibB3MsX6XwXuq2ANiKH8sXApNsgR9+SjVkY8UNAK0lOrOJwCkedTz DK8cBlysnI1yGaQTNc9N52+IsAxU7ONte1y8Zx/+mnDaji5PtwQ3vqF6eSoQ+tdgSWZRblmDdx/t uY8AYQo2O2i+6nuGEmsl5HD5r3ptoOOoRopbax7d3j/9wXuz2A0EnNZYYJpfAP0fQEYDfWJSLdUX 5mrrD7o0o6avGy8pL+at9l8Sxe2IrFMQ7tmVpuiYcNcZ+kBeEFJ7Ixy5aClZ5AWtbNPHF8Uxg0aI YmTiAPiEgstR84M+P27GDJMMloIGdjuvw82OzeyfpcAmPcRoun1yRR2/tl3LjEoHdd+bP0MQm8aG SY7g11irHR9Dl2OjGQJPT6Z+23E6J/XEWoikp5prZKJoiV0b7wATNhF0idWNFZ6Y1/ffW3hacaDP 0n4hSVP9uMwazCScrvxhKkYO8ODu5RGLGIxj0V03eNMA6+2Cn+fpIpP76wPG5n9u9l5ehIXFBgzd 4879qfki4RHkduOAZgvDTZuK0nLOFdtVt4VqTW/D+Fy8RhVjsIAztCcki0gEfFT/WaBW+KTTRbgU /fqgDyZOF+zskKrM1RlsoUcj7aHqX0V58tUXHkp4Pv2umVReEAIAQTRSiFxN0mp6AvGlx7eQ45wg DAnri49x8M3DqULRPRPLhXC7Zs5TBH8vSI320Fi+t1PqA9sP40yvfBlh4dqme1L3IRFj0cIS2FfN F4vOeY8Sa0VUwPUuzP223oqGklnKqQd0BHvRwJokIsNQB3cREwYsn1cHO/uOWousfWnsDlEoA2yZ UdssRRfdtMLPVkKXDkmGYo2ujf3Mk3BJ85jfPInEBYXgQ2o9QYD81WoXJ3hiDhDDZdZafsz3GglC CspG0PVhIpflY2MXW492jlqLFOZufRGA27AjcZVKPcxQiAGwyyz8fPgV3QmxinLgrqbDTvsGXu8/ lB6hVLbjDieTTxefZLSHD0zA9ieJ8wjTGICFpanN505r1plaj8SC3kBcuFHStd5oNKRb37cvnCSy ZDVxvtg2qAZQApvH5Md8T4dqf/a7hBZXSCXq/nD+aodJaMABNilT43+p5kuC88ZeTIM7jB/1qWm7 nBaZyc3Zr6K0oEDBS9rRnlkQD6XvGJMvnpNuqkDpZ6/oglA0TKXof22MTvgx5lnvrgGoSFjBQyWV 3gLJTgH+aXy7ws0ybOClS0Fg1fTSUYKKNQ6ihYb7pwQeBWGe5v/ksKtNyTUH12jbxqtK3zTkbeFc SxKxZq1H9GeeC66YVDQzuZTaJqlAmAFNuwmzuTzee5It/b5GUEgsu0WoW4h56FMCjdUIMnvb5UnL 2H/6AWI+JAx19KURvlxSaKeA+eQjZf1A9EBVoC4kR1Obf0tvwXH+cZh0mrvr8q8IQueRwkPELt5Y ZwLGs3CzgUE5nD1lJao9UhWHw0LHglIWJPurmjZ9rYYuAoMNfqpzvXNHKCAUM1TzR9fbFDaXrxVi BOsjoKoYFieUltYDYhxsZv0HoKFheVX9NJB/dQHWQTOOtPCWsc1lWzcpgfZZ6GAIs/w0rMIIiW5O jgZZg2uKYVXL7noHkrVu/XXi2C/Mc7ZbYCXvbhgVZ4ShRwXS+hc8jgFrsl2axmzUsdRaEK7zJZe0 LuZ5qAyBQg1Ic+AXGpESNDJoO5pay3nvE0NPzIXTp7RrlcFZfFRFtOZyr1VeyD6G5SV8uBXTwVYB H+ViTv8P83R8NdjF44RdfNDWGq1izhDuAXOmsOQfft6ndCqUUivSnm6B3x8BNLR4rByf7O7zPXB9 dn4vr9tkA0D37AsQ8EX94U8xMx4d9+0h0eUNB9j458IHId3as/cYaVciIm0+57uEKMiU7mgSLKlO qok2/w3G7O5xFXTneFecUPD5GYz9RcHcz9AXq1zzxFHH63nuUOqd0pYwSb5/Nw+I4W5xBxfJz//J uP+/Qn/zAZ8Rqx/FXGNmuROzVyIef/tDMsMSy9eqqtskBnsV1WSnZ0gfeRzO76HUj9vOmE5xBvz6 tQZSd3zF/01vd64ghdqKGcxNYCUhyT87+m8WcZoJ6EjArXFRhjs3Wj04twXoYZmdl9Dw9nprYw/f frIy0MD6XhCM5vtyjhMhtL9mFioGi6GF7pcZgCN0MOvgoNn7JoUldxgAiXHB+6o9OyIjTsSc0w32 I+4ufXRqX0jfChvMZoaN1IFcohcqpnCdDVRmQUrqPWSkjjmn5lQSYEYqfssP8CtZgwxk5afdlIpR wrDkF6IrnWirPT3J+S2G/t8uhSS1lwPc6nm5hOip7LK1jR1Zz4BYQ71sw3ikb/cd2aVsYam9WhBg I9NcXAgfXiGiwzaroD3fHaFAkmwp4nxhEAjf6M+nVPkE5W8aGQ5CGHY333AQEiwsmrdWZEzkcRSY ZbJb+fbz7Y7VByc6ekUUK+9vOfHYhex3Mzce1WnLBTEfqp4breBEjcpW9mtvOUBT5ZRSIFOmVeUy yZaI3ff0FkHSOZzVFpKdSLk4xLMQyQmtG4UVbBGYxQJhY3qOSPUQHaDdDjbaZg5BO5Je9BqyW8Zk 7yf5ugjz3xXVJ+U/ya+rIxN3Mua1pDltyHW/Y9jqQr1Zt0lDRNL0oWr/MEe3Umu0H4vArHtEEI74 UKVHc9yzl2u+b6AqNG3vZdtHJz8kI52rPE1b1RD4iUviKeioDdG0EytM/w4ciWiSl0Yn8XJ8IBtx SXN3n+UFeodqH5Qpj2l4EEOo+t2PeOakaj+H/5iAgRf+dovf+2NGo3No+QNvD9o+GA8hOrEk8xGL ybWGTKjIQfl6XA4+4XP8r3ZxC9/KNxH5dbs01U3uPIQRwJqdfl21/xg0GFjBTY7a7cYg8D/GFK/d vMrHW3HMMr9W1JMLFlICMTfk89bl8uQJfbQHlObmSIx9H5YqyOYeBuuSgZTpLOSz+Vv2UeylDhgY hzFEJwPpQP37vQpc7qkk5SRM+ISLbbf5/+jDqErQStWp2SrtEZIzST1FXWHSAPxV/Fd+yQ4BIPYq ZkWE5k+k6+o4NuZ/OkycqwWswCh3w+C9oIRF+qkDLKhaHKI4hb3GdOVJnIQThN24DkJDwlOSN/mB 0tI3AGgsWXoyb0BcU9lAoGQrZPyDZnYsxpali30DZZnyP0OJzssnFTuRUwnuK8eOCJ0EC8ApBVB6 W9s3BhbhGS//5w3+G0gaIm7Vd9vtdvjl6BcOikiSAh5VTg4uuNobv956ugbKqyO7Bo2tjyagzZPF mgvkLfTJjswj7WS4lP+B7ZV1jUzdpHlIe1VWrETOM0EYjyK1eZflocsr9BmArkQ9co5RqH9pLFlv KdHUaR3tW5ALzLJkBnE2dHMPsZ7XXQhsNdAM8gLCh3r3gb3vsEHtkDRvdx/wubg8ViCkEClFPBCZ lalExRGfAoGDISG+hpsjxWgdBIBzhdNc8eDqh14YLfRZZq+PcGP9N4GAhMf/vrRRFPvolgn3yLh9 HKouI6dYfBwpSUpLOaA3riJRL8+JwiREAB2UcUARjbDTGk9Cf5Cbz0X38k8UFP7WCSLbw6ofdQQL ytISA9N24xAuFI2WRcYXo9oj6bcbR7eAtWaoSzCJQolD5H8u0yB+g+HyDGQCjv9/uvJu1twtCa5E 8f0ys6VEoKvLZntjvJNwbyuCc/nJjgetAM1dDxzqqfy2UJE4kJJhcUOnPkZSnpkuxBEN5j7YIrTM UkrDLF6aOmvyAM58HUZk/9d3hZPelckdKHfTdBCKugT2Fi0NHmcPaEha0lvCouQGAvLicNIGIlwP MoOKvOAHHj91gDYlYA7QSutUyYcagGrl6lacHhV5BNwWYrnrDTcCOk+4vF1mVRNTP7fmvBE4/L1x F/Bd7skiFyvBrukpdLAJVL5jG6dKrmjp2vKKgbhJ90ozrECK4DztOkcdVO3xKrmw7cwjGRVl/9gw P8ZEYngLNqnvIVy1/XQ9Hkb7OLxiJOyFs6o1BQ2DcsxLFLZowDuzzUAeyzJtJwlh4WCFqrx6yzxT wKYTvAVegTHO9K6OAem9vIRLX8DnfYGLefsQICpCvyJSCTu4UmcvvQz88BkZIuNZOYmSYoX0VaQY vsDtQMvzOXe5c2tHtxENBMq50ionUxWpmPOpA522XAidzBs4IQ2Q0EqSthbUJabm4ekb99YfMBi8 pIseF1FSWsJWWMFmEDCFkLMsO9BlFPyqy4w9AiFMlNVXLO8Pct+S9kwzdfFsFRyoj+kiMJWbVc6N nw6Ucpm4ko8bRv1omBEAoG+Gtj9nKPEr4gT8Z10rNrj0OwjTQHppf8ar6wHA8X9TD7/Nbdzkkccd DhaQzn800fSUH4Av3N3nnx9XkdnddDn/PAewG51kEgPB9lWvPFaJpE7Qqajl94V8Mn30qPcJgP87 IPC6ImAxTXP+gRdHbO2Pndy8CT4iThDn4VRUn2tES9TllBvJqHHJilTJKRalIuKRJ1XF2vMk+Iim qkbdMU8fk4rZUQfudp4aqRxcUseB8HNjnmEzJFSMEgZpUkCmmgrhcqAWcQ1EWTcsntAl2nMFxF7i VgIcCjoOfOmAtrqlUb+CHZ6o88WfnlReysqfOVjSQPjdtzDc0mcqcHAFY0XAbRAGFYiI+2I90nED WaaXTRQxBDxh86lVjZB2kovLyVgfKUASJey+sEeA26w++6Nnz0GkAwow0pB4pCgRLYxwjL9m8z0G dSk4k5YVqKXQxPL64kqc1sg3/kjZEh0zlMfKJo/euPVenQ5PYcN5HaBvbrfiRb8Evk71AYeaSQdV EXS0m7hcS3dHbnQBE/ae917jyH2X4jYCFce7sHrlu5Bk04mQWB/ET2Iuw2AVdSQwjBbHyqK/9L04 FSFcVgE3KvclBvfh4bpCDwbPlv6uujbqMRzAh3MVqNIMqVKP+kRQ7l1A9BpB2oz4clPc+7V6QEIH nPZbFi4NZK4F3wDzsQaHDeMXglX0bGK6EVY72+HPBhG6EMvBIPkmxk6DUaHmjCz/cZSEp2pPUfvn VN8mW4QTWLx8XtrWTXONu418CFmN6J5Ltu5yQ/rG9UKCCx3LvpluLq5MXhQFbVtHlLDslx58dkJP qyI3IBVnAjp+pV7fMLoqZ52ZygWM++pnh8u8bFsQT3N8iGKSHTR/piTfXRGbEuIM6gyOeJsDfywj Il/8V0j4YnNK3eyDzHctrENapkUnqO5hFLKwAZ4mALRVL33KJTUnpcobEIaIEjyT4086LPia1SV9 RhyseN5T0EQLEIARXK5Ictc0rLqpgTkLSxyGYRNP7ReV `protect end_protected
bsd-2-clause
83e1929f14df817bf0b278aa332ea875
0.953091
1.817537
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_gen_prim_width.vhd
27
70,652
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MPaacDM0TWg8wcifAVW4jEGylx4PKrqc4CLboKEk0r6t7KyfUnirQwQAphZDsR83L059CNEzB4wD M8AKmBfOkw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XUT3zAfEi3anHP5UZ9Q64SRw1RnMtcFX7nJsXqsc+jcNnlmbg5PdhmwV7UaFs/PrWKFdgim7UZCy o9NtHbXd3iHyUEXXZiWfkC6NC5Dndoi/rfKSxw5AtxtcCSaJ3/cb/i40IG38fEOD0mldCmJ0WOZD xOW9J2aHwV12uWmmUBs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5hB2z6qFvCHrfde+xOJHAAm9Y4Zd5X0rYu4ngUzTSYyHrr6WAc0PuLxe2Zog3gNAv7DFoV1y/Y4U F6T4flnTjzAqIUvyAW8+maZzCAeWDi8VgmeKHRbLydt/JWB9Ri7GcOoofnS5/hxq8wRCMMkoHbQF kNzxfXz2j2QXU8RR6+E7pvqcJkK5H/P2HIhS88SnGwppr+eD2lVT18h0s/QB43BH12kpY1JIkQU4 LOR3Ej9QoPTxmx24xAodMjc6qGME333306vLcWETw7evLQ7fHCoyGS8qVr9xvwEOuA+HtAnx7p26 Z/azE34tKzoImCmpb36r638Bv/NLBk+b7agF9w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n2iw7CqdgxuZ5kdEH+pm9NjU5keAcvOSKkOt8pim3KzIVtdYby3hWhnEsC/F1aUQ3kkgfoeHTv/o nwfMP+AVXxDoH7hATDu0iX0A8s8avaGhFp6novk5xXzwMVnGP5Rbk3GwwADpRNWqzKN80je+JhyS o3J4z9hQTmce/KBAfWo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sR/mTVuOveJs41YLuqwkxNe6mc/KV56Pt/6c0cIYmcRhmwLHOU3+/VfoPpEClea5ISswKcgmSmEA 91cZp5XMe9E1MxpJldN5YBxK+3XVJrpKIG8b4LM2yC+ZTp/81AZ6CpAKQXOcZAota3bpWOVB7WQt kPn3pALJ48nc4gaIOk2j5GO0g6BLITkCLwe8Z4XOzYZAEaEB+5dJ58Q/7AbNKHr5UdGO2UVVG5Oo 7GIt9ETizL/sKscnCI3CshbxwDQPtnh9/CAQY2Ci2Oqc2ptOmylUrV0jpazJ/ulKvyLMe7D7sjb1 BOUUkYAI7NZU4AkYW+pW9jcllm96HEkuSjkTDQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50560) `protect data_block ub3/RMvR26xpf2H/Z7JhNo3tEbcbc3XprZKAgsf2UBsjft//uoCcHwbPqRlZjqH0ekPxOlpSec7b VgyhwQsbEPoAL9/tOSS2b7WaWqaXrzP1hBGHzSBEJlfcoxnPEHg2UY4WvbDPQYUQ2CyPKpL1MPLp jM8ZdGFfslCg6rvLE3rsGmDkb8KY7vXBbpFoD3NbN3Xi7snaUAp0DCaBJVbHdiATZtC/B09gZaUr 9ppb9TsSu6+FFlFyrI53Wj7Mws1d0HrcrqkVYrGzffgR4raccgNioIFaUZGZHWp/tr55EGMh5s0+ aN/FiBGQ6NNEzDtUfiH69a89qMyJP/gZzIsLzYD4jVonTYUTE/PufrpcmvBh4WbhNNzcz+qYmyJP ihqI6R8J+x/8rO2ohH1BUZaHak/rbojTcePJuaKKAfjtmRJyCTxp3tL7IcNiOZVTOAVzY0GWT7kK r9IMmL/YayA34h7xGqVydIyZ17GqedMHwhXKOl45DCTA9Ua6WGGb0Ge4rscHnWkVxexhjZtbHI6B UqEC+eR5kdWbqMyBxjOJoDITHA0l9fpLpnLVeJGLIh2GNlrOGre3eyPcHOdXvPG1TI6puLMbwC5O D76Foijpz5rXMFi7TOFRYFooqp4FUORGMYjGNHGhjl5WujZJmx2k4hxEtJAH1YDaz9AlFBxmepa/ aUBiNwGE/C0HlyYkHWzJ0U4MnN6e4tSqNr1f9wVV2VyZ1doHWL/ZPH1n7T4Em/71C+BxNcqqfPc+ hq5XY2KQnmzCZt4B2h0NOsg/Z7krRP1nrecS6XUvaYw5oxcyy6YirVrhYnzRxRi8zdkzyoGmNIl/ mxUxVszPzGZjkRT0+wFkesW0QhJeKwhZBEyGqjcIAnUn4NvJ32fxLvDXHFs/tWh94EsRk7/cHAqf MCrOybjHyPR94dD6DMOQlPRHEoI2J9tTSNIeL0tTyk8lyx5kaTzTyTH9hUyKPN7ox/vSc/ofGXeX Vxu9Mrt4OGgXi61tt0ZI7dxA2C10N5Dft8LjvEh02FDORZkTXz00UwXtliawBqCiMEduzkBR8B51 rBEd7p9iPAKxHPnBBROi37+JmBgqwbvCAEe2Kg3RFaWwemkAz5UpeqsTKwBurI7zIOk4ss7wJZMo tiRHhdQCpPwOfdi5rHHKyKu/imYq0elA1ZHGPqvzmLAIXSYpI6RmQGrLaqi+Ems0BIMqGTDjBTu9 1v0AjMO+SaUf1Me3DX2AGy1W9295klvzceBZ5Mwkhjs3qs6dHlhxvKiS4nlyuRN6KICCZweraChK KqL52dzlbxVpTKLFZQfc7NxSLyX7LOr6wui6g2cNuE0/Al20dr6o2IAaYNfa2zKCpQvfS8wDi8Rt skHgZDCQNPyNhUv1d97c8rluAUe+w3o6FuCSVzdA97w0wVdNqJuZMZroH/+84LaGnNkF0aVkRkVJ 5kLB9fdf6uc1BX5Rw+fxO8Y32M3VNIHQ5bbu8KSGudtudYUA1ljUueBMNqRtBT/arJURCfx3Oc2T k/jw5c95h+tfGhb2GxswE3CbUPazV97jTtj2gxEHNGVTzicq/9TvlLd0E+ZEELU+kvehmiQMBtkV mTiUQ6GFrEeB+JWFCDmmw9f/m0ZnrmS1U29CUlnBfS23SeWcxxaKW/HLFpiJzxALmIeioRZgf66V Oiv9tE7lwoZ8ZLsP9oPMMW+R1147FjJqSusHXm/IsznjtXsiYuuysPN+Su5sFT4ofkQsJB6g54SG 22ByRloNggb0cV9QP/B91TEqNpqPk2P6eXu2W+h3uEgZt9RvP9VpmSCFjWYCWhb4o7FgyPBzJOEv 6fCeLiEC7Ac0GUSM9qZxB1FqMDZqta3DhfvFpbaSQru4PPsEzdDYfaGeW89D4tZqv2EEfyGrPhwC z1TQ9lDj5QOdoM1PxccKkriAFXd0PPXOCnF+SOYqjBdhBdhWINL62Dz7kDf6i4BCO1Gank1z8+Pu OEbSJscO9tmVhfLK6Cr/qFi+slqywmR6Hh6xxY6DF5DKJ+pzuIaW7hnFb5ONGXEOZy8ooHlTl9Q1 ayi2XAyeYvqoCNtMUxQAL5U0KnWZfoxPEWfXhbI8UvR8ZH0Lp69LN5YzTmQniR2YqP6TltK+62B9 PzlLh/ipTj900IRPr4Z6/kRap4V7xaNOCTDyliFBlAejfgeofgi1SXd3QVgtc6ccc18SOAEqMnht TDwkpva+2lZ/hR0hqhss5xLEY55McwaGmz7eZFIFufvU63dGtivB/womahbfwbY9e51nQJ91Wwso 2y58ZGLKyfLSAUZN1d273V+ac1du/14X4rQbJP1bPj0u+ctlSdh5PfFJu+W2vCW26cDiCtTSQieP /JeTSrgggxFrY+XlBIgPDT9IigQTG3P6KrD1Dt9UVYYmLmRgoIIaF1JEJ8TahgJywvAFgFk3i2nX RjA6uVi63dTabSnqO+WEsImvNDSR/IZ1wpbuYEFxqKQIiNNbVnOsFMmNDlzzuAYxFL3sKFj4qU8s Lm71Kqj/k7bnnMJnYjzBueZOsgyX2byRw8b9W6ieTvM6lUiV3QnstQ1rtg/lv0EhqryzRJvq6Vcz BY7hyi/Ybl6WjFVeZfR5n37pzTVonlKujA1XFybGWWBZz1q5nTxBqOy6HBecof23qtRc/PogIqLs HVcHo+ctsSWSPLWzUptb0phWB9Uu1M8zf0Qtp2lCOB7PDPd0guLFfmrnKhGvULUcv5qNmoHUvm7T yJix8Nh1KuWf4wCP1c/ueBF/pPdjtj6VjvhbLTPfjEhpjnADsMjDuUW+bN0rOV7KXNlzy86H3d4A EVB1Awq/oecxTTNpZmGPeBbv75Hobt1+xOls3iYENLaf2YXqcNxWpacOIIu0Df1QK4G4PInSMtOl /gqCuPNH8BsYGIHNk1qC2j7spUn+tif/+mMouu+MbZJ+hFE4mWxzQIYcxuGnaaT9cNZg1TcDkI6U B/8DdcVZc9+EZwTqg4BIRX+fmawWXQCxffYkRFVbez2CnLICyd2oNrLKuSht28elBZ7ldX6k4WKV itMVIMUlYicT/IkGK/85LILoUcyO/m1/Tm5FZhpOMVt5q4axBigwhS9wwLSqDre2P3NEWy957rGH Jz1uxKcB2i5QMhXAjB57bpknxrKn9lHUh2yBaqbwrvBOfH1jzxS1qFtH1+QelomQI4M2STLgkH+g fNiUdm5wpef77Ieg/xNDNwZH6eIBiiFI3o5vslJ8jrfO2mEtMwdPGOHXZQNENroAfcyynJwv5B4j QfTtax+3k4K+Aw/IU46Q4Ltn/X8Qu2Lv19slg8UYHUC2XpDH3L7un6VZY3U9WSJUvH6WLwkiv0FL JE7KAAxCoiL/bZATyMxS3XgYdGGKREZoAqmm37uoaVFLkHP1crk11Olv3a9ghef6c5XywGcUId9V E+/U6L6hXo2HOX8pJdO0OlkqL1PNsyJTocc2KSO5GxRk8uj1KXBLVzo7t0rLP35ZMCal0LJLiGlH WoibX82s0jneCV1tA1jyJJPtVHYontvwG4gr0zscNXM2VQ24xhfq6whHlr01RnMF05Bb8whN0dIU Q2pQ9gvHXdAvK9uHEzkgLA9unAWrxeRBNzyKNWicbfNMV60v2Sua1ENpo1N2WhFHijq3MTUsYekC 91UOJEsfOmLOaceUnDbN/4XVJEJJf+SnISW1Tj1YE2hl+DgtLkvIY7Gh5A3ibktMb6RgRiyORIKn 0W+dEwxxB2yXUvjQtAX9nPgeK101zUTq/j7kZ08Dv8Pazm3e8P1pdayE4puvhwhtBXrxbnfq4J9K 7hvf/vnZ1xb1JG0lx8/c/e5HS8iyo/Uvm+O6mojVQMoevR9PAHcXRN3izaJN5PvnbojS0VE/JQTj NhzURmDwzPwGBd7QzF+Du2DxROaTiV7Rg8ucEtaNXsRXVkqJ2Ft6+HtBYs7bkW9jZZUx8eIRAcpB rYtbhR3h+fn95sSC0RS1uyO4SYaljtG9Km4QAOnH9E9WrMRrDVnQeNWhSHfp/W2VD8j4v8rse5DD haSgpDA6541RXqOOJH42dwqsmIWe6d86TsB6s7tb+O8zNjdoQnjJ5BNFENoTW8k9TaIljc9FsV5O gMWRGYFF0Fy7YEji6ZlGIz6XAZdrS5k8VxDRnXkGzR6VA+WLBqsDhw7iuojQjieyEV2ZC5dDePw9 LG6G0iUK5l5zGEDYP8AZF0k1OEMI56T0i8G1HtOVhvNNV3/ZJI2p6c02zRzHke8QgfQtRxbLhF43 0KMOUdpgMMwXG2x8JMmXmUQJTJKMrQ5NqGnfI8Hogrkeu5XlD7p4VyDUwthSk90FZ+QwvUk9mke0 KywsGcb4HaqkoiIae7cOX9Ur4Svh1ADSGvaJ9TW386+eT7K+Kag1N83b0tbJTNmcGwnrN9v+d5UJ S1TbBDTLiXCWIK9RGz/JrWnCEp0jh78iY/bKbh+8iXC2OVfm7RLw1IgEkQ/YeB/vIkRqRcBG9P9D /bKIADIvBN8Ry3oK4RNDgXiTK/wDIyzaEntt83R6SfvP105QX9hpYqRcbtcy2zqYiD51ui2ANZLH xystcPqgKT7AU+eMUsC4++o2o3h7cPvL2XygXPhSqB8H1EDUbyj3Z9xL4c4dYgaa4hDYH8uESzoz YySjkKQdqNtA67UOumtby1FAPOZSRD3dpzfENFcK21U6V3ek5GxqaVZa9K26g+EDM6jXke+H6dY+ Ei/9zS5700L6I5C5lA+iPodTribiRbArwt7pTJwE9aUfYMYf4akPpBHLXvWYJ9V7wUsJobU7qPQt IoOwgw37PMP6oqvu9Muw6IjibBDtVkx+VwP6LYfb1OMtTbqV+4jlh2PY5jvPs/QVnyDWooS04E4s przmziNCdTHz4/+rDyz6urRrkWL1hTTSqft94HoyDIYbqMbqGRC7xoLWCXwEMtpdFzEmFcCIlAlI wOBa1ktZwtSroEGmmNz3j9FOerARtBl2K7AW52WTBMnL7k0ttXXYT4BXGjwZACChgX8p5I90/ocl /2uSaGXSMI2lCDS63Yv7H1Rxd0zBDiTOuwwGqKRTS7sua9cRKnAqiOdR16774YZDLV84MQ59TDKo AyP7UwsU2sIS4a/+sVviEhzSbmQxOcVjCMWuYuUtCbbyT0E2rbbM7SPI5MOtDgonRgD0BqUVm70y TO2U4kAHsXX5viZpeA/26nzBJYO00mod3QKmsC1ytYVRLmumOLnt75poc0Vr4WifoqBAExDdI047 I+cyZ1Z3nzadBkyB15rEtrhLuJo5yaNRv5T9FNDwEtGEY/grCUs96omWgD2JOBsRK7uhd/iub2Fd D9IOLX9zRllrJusVExh7GExWpaa4f6dJlEMSoRFvoSwePaiw2lCovct2imfwV9CupUjX5RM0VWQv bVwDLJpnEgC/w6iXVsWnYEv/+hLtfOBb/SBnGR/Gt16lYYeYAK9SaMLEhy4omBCYgOTU+omDmzfM jeIk+rP6iCbOc/Jg1eNzN4v/bjd+8SLBpoBRIft5Twq4MwHjw8F6EUcviyzqL+1iDuDvos71Mrx+ fmPNYR6SGWquOSyYhGaOASIy4ZPehoQhAODES0SylcdYfGS4x01ZlR2fFuXogxWhgjqpprIwwox1 BWzgrZhvWKsQdVj0zPhH/H1PqjAUj5oAKeLDHCWQdapYyyromGZQiobJo2XiyHEiZR3bObXe08Ss k7VNAMQPv6bdvSOsPFyGRMSkyCnK8JLE0RIotDsnDIxAPvNqwFPcMQfck36tBRz88WfzZYcMvuxq SZm6ty+7QT88MtVhy4w13Eh5PHwgCBddwl8J4MrsnID646dFfm5km2tS5gX3BaJ4CkKg3u97rcd2 8nfJItA7b2qh6b29cAZEromr2F2lc/+NwKGbWH/JcVN2Mm7mdxaHuteYRszARaRoA0yuaw5ZiipC c8YhMhItFnCh2aWk/qFWDfqNY4xHdGu9r/f9CnryplGDDof+7wV7mApAIeP8JGz4KPekAE4QQvjo VDpxogPseAPpxAggPDItgkAq6TmNPC4woohsn2vCpCNegjO5aXC8QOdMQcPDQtuWz/mGM9rcsRXc PlnyX6DjoiAYqicVKQzDBuVuWs23madBaNh4u7jTTn0D/VULlqS75SqBLdC4ecIZMTq25Gnw8wu7 eMD9p49/pmwh4AmUgBAka+9yi3r7CvGelCl2eLfhG+ocA2GYRNOeppSiH+F3s6ugTc6xuKoLL3uw v/PcXjh1wE3bSIZUBlm5E3SJWujB6dyTEk0sNC/fZlXWNEuSVvvvKo/yPS3fyJG5BaN6zHODjwz0 rHAN1jzQRxhcoTHmAqMp47TTE2EMy1ChYSE30bedsQIrVXTbqr90DgyC1xVlnTHuqTtuY+j3NzPz XOKIBXZ2OPWPJj5jc8BN7Qil8HQCtClo1FhFgjBnsnHfxyV2I+z2cuiN7tKgb9CaGnJegdut3RGF Zq1mK9aMQP7nyzEq050tz7+tnCyliEehppDGQZIml6/UD3P54EmkpMHUUiuxxDFKqiK+WanaS/OG MkCksdRwuagNiWbZrpuCdKBxGvwnscyPfb1WpiXAFiGkmFwJMg5/BPjdeJd9/n/wDu2Jizul5s42 oRarAJMEJO7CqmPwDaOWQz2nhog3zHfiAWC7R4FmhWqOqEhAuoeD9Intx03PPoXX0WspRXJ6Z+KW aH93A7tBc7YLZSJ9OQWyjQX1gQyyo04d+2QkDwEHABYpb308CQqcVtyvIwyrLLZJKjgFA4UCynHD B2BalL4Z9rcverJNIOE1ncRBdMzsxMJ6v96KygqwFPagdf1jxoHsI253T/Dp8clfJOYkUh3lok/Y 0+6tiQUXMcgX21Xb41uf+dVGRR8BXCO8XZnmwDTnze67OJDU57c1BwEH/Nrl/td8dbdkuu7oEU9g W+ztZ3Ar4GV+2z1iwvCbGaNulbGqgPv4SEzyKN93bdDE1koPdgQdXeBS6iDVfVeWVgpHuCasqqdr CIcP5warz0J++iJhzNDBx0hPNE1Og4btd0yr5C6HQ/CiaQH+YuvXN9lbp067UgkKL98nl3tjEaum yiJ5/JIlcFSNa5CJVujLT28Jf3jIPJXuajUFcNSDqY2zSa/SyETixoDc2Vihytw60P8530tgvdBM Z3BGvXG8N6h9kxqsSVALjvTSFTjCxLfeuX7hV55KPdoz5NiPgkJAVH6IS5UcvNNWo0f+RUXtyd8y 0AHB9cuRwsN06x4/KACoLZIrePu0mwwbVBnVt3VsbjDdq+SsUmR66lBmeP8JLHcO2BB5rkuuuGEP vzbyAvPvL32IfjU9spelyXoJD+ctonZvBqrTRL7yi51deBEtZUAYHqucVsc8dVwH964GAOGwztwK JkckYdzxzG77Sr0WDgaIupdCCcucylgWki4ngr25kekyO7Zd1X50IkM1ceSNmfq2CdouSSvtSby0 AKbp+9ZRnu7/bX7s42chJbPDH3ux0DemX/j2PInU4WIVf+Jq0keqMkCBCiuahQmm9sgV6KAN1nXR /CyK7I+0s/sov82oZFZKrqOjdqC1qCyjsALYBoUz4MF89dah74erLLeTnHH43wktAqGtfy/0Y+WP ijs09SWdKlSp4KlBA82IXw5KPLH3d0YssS5vAKtjv+cQEwL++GbjGmDBajE0MUwZGFbqS4OzOOnb VElbl4SG0gbEyNbfg5dKyv2rAWk/Kh1MtZbCzCCNnONcBmo6QF5auk/WCQmpkCW7qVqa4Ol7/eia LERi0XBg+/YlhPpnES/nHMK1QQTtxcsFPOOQJQC9gfSxOXhpntCwMUMYG8o+lj4i8bRV0bj3UWki i1hnwsiQBHPRtfXWfb9s23Pa7XzRjKkB7IeZUuOATnYCzCfsFUXLJQSFffm0fRzGfSI6io6YHxdY qJ6JwE6VIuIGgDBt/2DXqXtRGV+eztF+GoyZiOxMc/hG9AwoQ5X1SLrolQ24x1sGZWtPslGck5Uj 1NechOLP1TMGgK16NZZ2yR5JZYIF98CV06lhPEpRWCP7kJ2X/5Ye2eNvE2/oJdvWgwxjwXRoZ0OH TqeISS1Edx5kIjrYPgRyok5uZkYlDu1AHbvmiZsSdKiUcmWQSlM10bTg6h0L+UOGB3u0AHP0uoTz WQoqI2Do6wggAu5UftMEHME6ihAWNDZkDGNGibY37dzJnzFE5H/osighHI6rUjXGPRo4L2IUnsTz 3TA1J+cLVhrQc+0YMcdTTlLrF5Rdvvl5EskEE1XRYnA90YWWmZoN8DHjHHgolSG1UtwhnmJQeJGN qiyj9j80f16H8sdh4EgpS02VdQLRYUDOsH9y5nqaUxyvz0bWg31EFXPVDeVv3HHu0z+fvTTBi0YZ j7mrbPmt7Hya6B/Usv7rndg6vRRtZ3Z7sG2GyT/aARLv3HeDiY8oHRq7SPWBidhbj6jNLmEIo401 TLNmR65Jckhk51wyUuKe6xpb2WxRNah4eL9U1DCbk4EFnYTN7DxMimC6+NcaQ11OYxSA/2BjnWxt TvbO8X4sFXS/UHqwRkHeTg63ZYewaFiOPm73vwgWEJrED4G4byyOOzilwJ+rwaEinwWDgfaeea4e SMx7/zR3E/wJTGYdWxGBEzEY0Vn4enGC+5dns/I4GzQKZAOfSCcJCfEvzSwvO+UNO1Szwg2ri9Vm JkFDCluPwTu/REKdcqUg9HR1FNLB5LnHj4Fj33VAhviaKjd9QP8QOKKJiOQlYHudj3vmdokhCKI8 gsc7g72iASLP22kyt/v01t7pIRybl9bKL5uygOhczZbuMUQLTyzPym0NjcnQQdoFnv1M4yNpuZWA 9WFp7SHKLFYsIAhaTLDVRmWpRwQMLFnsaS2fMW7kTUmmvITeFozk2KJIKZEgl29MQfJRNjm8uJVe 8B0wAG4JCmIFiKFQnnCtGVqSsrlFunIYaUF2fswHMICzGFEfhF3DAwSna77b+56jZbdsmpwk24fc X61Ca2oXgih7y+uT6qHjhLh/TxozKwUqYjvNa+lp1xmfIisn59gJvQ5nnEhAA0c+iXUYKHsMyEbc 7Uu7Q6DCPaU93DUa/BIPOElDFj7Cz4BV8nbHyGqyPzNgCyqB1HNT1QK3K3razHgFSIduo3FIxmDh hV6rkxD0jAXKmmP77loQafPEztmA2hTsh4VRX29sV7dqznl9ONPcz8YE8d5EfiHVVNh2ldPjk766 OQVvgZYGOhwQ6RD64pR/eWM9YBjn050ZJiVSBjTjxIMm1cuwUN7zjBSpmt2r9c5LvF7wupaeddeC pVeWKRyIvnQ3a6Nt6/zEuAQ2wJBEeGTiL7SmgMpRMBKiJfYskXZX1K6R25pTlvyAZnZMgLj9AJ0b slTZpzDm2WDsJk0U1LrWigwPtMzU0ptIZ1DwNvipJ9gt9skI9CK4vZVAoPROXsrXptJM/NI/yyyo 3jDKDtjg2MKDDvqpS0agR5MVQOYyTl93BAbxxznX+pIgAj6pZlPr0eQf62kU87+tABLqoJkGD4qk IOwuh+wDJzudfaOwhPlAHl8eFR+PctOalLfxM5WO2aKxNAY3oF7zbArqqRbnFfDiU7ZvxaT/El6a Mqw9m1Y2kEC0ypDEgaiajAus8yoqMaOMq0W5UxNnMxBhYTig+rYDuICjSmClnfwJyUokKSTzq+Xv zSZSE3F5zsVFP46n6YP+gOCeLJjLNpu0xuiOn/BI5XKhwC9WM44P9yGyDTu7QuRuYqQMLmJnQ9Ia wGNQQBPOBEQdg4+58bhKTj1CxH8B2SLO20mNl9vl22HT0hMV7QMic0QE06EeMS/1ZyY/k/XHA4Nh YZHkiTERfYZgMpT3NVge8Dq3idm8EwKnyz6sKro/SixP7t4/rf5PzBXaxPGpTm8qAX6MWfty3mk8 D4+kZmVNqghObA7Ue4nW+LOFFrlmCeI7jdjO7flLfn6kfMckpoquY92VkHsgq51CrxjT5G9SGvt2 54W97t+kIqOeh1tTvZdn9vhf5jf/PcUAGJBiGmbOyW7UYR75Vm+H4MpNjmmLwQRQOUQxL73Ksyqz vPMirYSfy0ZRekcS8yUKcYlPAGXvW3gdTqvJZXkmPdgrQQAp/94wdIscaksQNL+3KfIsYLAIVNxk fQVAu50OX/RYWzmbnkX9VSyPnDQVoXzFOCWLAUJNCxORP6svYnlwJ3/X4oM0C+UuMxOyA97yvuF0 2ZbXu0st1e/g0k7T1TRxrEbZ+9/c1SvER8ymzrJbkS4tR1EHflmfiGs4TkCa5QhcWRLcoyv03jHs 9ftAzs2cf9xAJganshUm0KWBKiA4v17xgi/fiTs+hu+XvTUOPaIj6/PV8rwuBAzHsd6ECvfwBr3r nBQU2YygwrCueaxjfi4l8/4PCZv04IRW4k6fm0LBeEHUdZHA6eGamFlEk0stj+hKUGkouVHAKZmL qOJMZPzSnTP+yViBjlpCGbnbNVEzQ6olUNdzCdD7PGFdOh/cBX/IeaScaOwdVqc4+0xZA0k6g0r6 W2JKbQ3Q/qWBd9eL/rY3nMqfUYSsPG+42Ce22pYBx05eUFu+1weHw0r025r4TiUJGfbjYDxq1lKq 63Ib1JmUTkSUdFSiiBRoVzR8xtWLAyPv42RhKGJmThCRhMfKkTZhcd3GHM0+8eyIRuudVRyDqGUN LfDuAvjXJQj3m6MCUjGNWZE0p7QzxMEaJOPEbcfmheK6f5TDqROti6lHO0l7IaRP+lwzP/9/Xbyg 4FB0OeSLaRjEhJTIPi/3Uyv1Y19s6qoWUi8R8XMIQmWDVk5As7qF6aRpJes78RG64uspRTrYqtAH obia3RiwL27VBbFQubTvRE1nwpqqvOJUTgBbZLCF4yvye+77l0N5/HvSkkhRfbULJmgaU+EnVHMQ 5k2jbiq+01CU40rsiKSxI32mYLH5cyHYP/xZkPWFuEGP92A6WNup+VOcU2ncVw26NLNMnivFENOb TuY9OxprsbXTUbTaqUNGM9FfXahvAHVF/ZuD4d4hHAvF1I+kbaCu1XXBX9mG72WMaSEMMwhVKedW Fmjo+QP3j8BpglRD/c2NtPjCT/v8rT69NCXjqZFBvdIx+8EJDCdyAFaPkAaHR+lslv29pzQIhsix hxsxkDCt2ZYnR6wqyuFMwfCB0zvMu3kOtsJHrykRhqpiSlNnCCwMFDP0LSL/ZxwPybtyQ1i24MKX Oz04DM0uF3+7BJJXVAV+aBVaujkE0PGtmH+QoIbX97rZM8e4XLRklK9H1antDJCWUZzC16lKoD08 XxD04eZkVt8oS59DH7X81V4SONJqgxrisSqNp3rgvCa9jQcK5GuDGtTN+y2nbvi+S6yYHe6RTzr1 dEacOvMDuE2JOLgr2XDBnfNAw7mnR0zfJfpHAZ4A+WCQORptwTsk8fn6a6IQs2P64OEWt7mRJXcS jepSuPDesoRHtGwf2mzz4w/LtK71XBRv/dkLCW+fZnCsHzPhiUC+5l7wGX+FCXi1vVtPXGnLmara LS8qwUTlo0YP+60KVTl+9LEii2pQ2HNr/aSsJSDWPv2kALvZ5cOZe6wMKyR1u69rNjDIPUoVirI7 jASD6+M7TAJWRPEhfHonTBVRNjo/E2KNFkzvIrK+H23GNrcY9CzghsRwln9Kp2XX0l18GmGPf5y1 9SKSwYV8bF2jOYbmSwwPYAKjm5wbPcQJ5eYZviL4zuuxXKKV4ymn0km0CZ/uQ7eCjNr/ulHmQ1+V dpKPyEFiPNxZtjhZQwKfRcDFYAEopdl8pN/9SfydPZQs8n/0DztEfYhFeIjVSFfUPn3Elurh257A mY0LOAZV/3Fo1Cx/9Mu5XPqF89OxLMLmwY2epnr+uaHqCADctcjVH32P1IP3ROsCHRdkAhMV884X nI9Qziv7PdjC2BlQaTi4IvJEmK+UCJrJKgtv4uLnNE0AS8bLzFkwyT7RIDeXU101oep5DU/woMD1 pF7v48uTSwjZaaunHAQkFa/lGuIjA5iclYIzmFvJ+Je29OvAcyuUbCFmUr4miTXNjbsN9hGXvrXE EJ78xhWb0HbNuW8LBe7J97aIqvzG6QuJHKh8tfsepcoZHonNe7zPGdyHqca+Zgs4ucYr/3e+wn6m 0g1z1WL0esXaHqCJFBn1wJV6N+ZlG6leKlftjbCbEUox/MSvrtKZiM2/SbgPMqHQlxnU/dHvkMB/ z5GWx9HN6PhxaO57EVnuiqS9cUHOQajZuAEsWif2lmqGMFNqFYjAzmdUa21qYAyOh44PiJT5UN0K 1Y5mIQSUH23Y3EXpE+YZETHXvzHGT0R1TCZGpmlaOODgBdu97EVEFTE4s6X2t0OgscE/WJkBPN/5 RZrU+9Ur1RIgN3VmK2MFHBDk2jDJBF+XvkEO1KeKGDtkAuIvLulkaKqoLFsOURnqJjaX91z4SIl+ eFDQr4JIWoXMsNd9lWT9Lda3N3KvXCSHchhWnZsjbdPharpId4QupEkeTcpfPM0WXNyEpZwe9iMU 9offftCnOClXiumszULCUbTKsLzB9RPwxwzwCIkR6uxtZ3wmV9NcCFPxGtwBr3fUEQbS3G+0cJyM eFcPjtoghii619BR3IXM9EQ/xBnjY1QhtgN3c7GJX1Qax9O9lI/ykknemv3SVuARtq6ntKhnrP6p rbpPH8sUsHjFQfrLyT1S0+xrRSRkOIIqRfOP3lcv2MwVe1xs4/BF6KnOPw0Q6nXxjCRtVwifKbu9 Q5FaFJN1HPJEgImtFw/s7Oq8I+x5Ok25nJuJrA4fWhqsO2cm4QqcrFTSOao0ux1KiXuy1muZNI84 gNx8vLH/LP9/oLvB5LOwJhOTCSV+kkgdoGcQFQ5wNZImxPMPJMop4oZi1r6eT12pzIDOC6ss9OCs wcqfPpOKy6zURexBr/Z2D9aGHBFUpSpTHYeTuMxEdoP/wrz2ohapg0BMocLi9/fapg8HJLohuXNY pbJK+TemXfUKZVwPRzgh+8Qpars5e6D8M7eNk1v5D57jXv30/O5hZPYYvjDikb69yF+Uy3vXb/yL +53z4xKbqA6kVnjsurvBqlP49zBS28e+v5VgDmxqOLhGyMX8HPc90ZUoPA2qkh+bF4pxYr19dVjK HZtGdu79L0GlqdynyjO4k18wbmQouu44VIrEfOk/5JR5OVTg99WV0+fnDJVM6+IXRadyvN17eLMD 8T6wb2JpGnE/TEX0IdFUVUmEf4RHa4OQXN9bY83qFpCYSjgBDDQa8JhA0zu2mmdW/v+ShlvG/E7r eVQsne3XB8Hhg/vfcFkqCISTxbqd9s9yjr1kKywN4xzImVL2SN6EVxO1xXWo5ZipDwIV+/RgDAg7 lZOaj759zVWd78SxlfbQx1/YF7724X6f6i81Is+E8ivViGH5RVPfNh8BjVZ2y8+fpjGGOZJSQKBi VX2RoaGfFfcXxZV729RSkV1chxIjIPjEV13r1s6bMhQkJTGaddO9AdhxqyMYNPoDoaqmZGFMzglE Uip7ALDDIsPHoS3QPuwP103vzifrNVq7D0hY1RjoqMj3Lt4bTo5YXb/q0/rd+7bI6O7zUMfDwtbx xg/48YmRQtltZE6MT8J9abQAD3Q4mn+bgo3RggxRFeGk9KGAn/YWgs1AtzAqQFCf2W3Tp38bvXwr 09Xqpt90JPowqIAwYW44BCV38PyrCLiNIvHZofm1dlEs/Cc9dwf/m4nEEJYHfgq2g0SeHbkUDVW8 +cNoku4pN8a5JGq2svW2R5BXcECVEL1DsOnhYtoGOYmGKWA0sHC8sqw/bJO5BVip9GKqalo37bBK kv8ARIj1RHO6t+XBjCiJOOht18oSuDbGe+kPa2OhOY6tTdJCFALjNcy2APgufpuRo5PMFctrhbxd JJ2CGodz5tF8WEHdjcu69a14wxl4JjQVZ1KMarOGoo/k2OeqCGwp3gEERfmJdREhKcF/b/W5fr0X 1vDBOPbI1DRZZH2B52tyfPT8xRfGIfjxwu2ikOCkTUtLrTOGBNu5lQGRzU/ZYGurmLEjTuOyaO6M AeG9nuI979jv10Ifxer+Mv2zRsxymcuArCF6Yky9bosEfJfTxVB48zRvnhhlKZlb/loKJmn1P4as IcZKDL+5+9P/IYcCzp/UqT49TfaGV2kk29uJHrEGHSqo3ewsQ/sRrQe6ID/RcwqDiP5Tz958qXVo Ert6GNYguIG9EPHSSCHJtC8lQRJqyg3AjbBx9b/LRTM+UwEpITVwt1etFhZMcbII6ETUPpFvxn6v 8oVKDhqB9kJ4YJiTAjq1yLxJMZvsVFViamiuqm3AJUc/7uOG1Vyuk3+Bf3kmkvgbiZ8z9FSMaYF5 P5AQedm0LoRZV/11lWS2hUIL1TWRZAxd86CtrVv5vuFrT+D7Hh490qbWB69i0t8/JaTVkNWiZFaw J8FY9xU8n9+KOs3zsJtZQYOsN9/0N6uTFzo8+2atENt9LhYWLwtS3e4PUkyblNkpM6RUahsZJBsV tKXfdLdglq6qLCJwahmPsPMW3HEEkMMqLsVa/7182MgkwfG7Ki8Vcq+Kow42+p7uJm7zpNJgTIhI KRX/Togr9siqYdY2JXMaL51AFFffcH9i57swPt3SYKpr/Qwd320PjoLiza9EOgDScjKZ5D6KDJYR B+lyFZP+ZddkSSdnjcm4DScMTx7TrvLeMwqh4ysOSdNIHntWVIsJk8iOAJG/muKYW6w/t8XErFvg Y1GT+AGozqPRCPysi5xKbFmlheLB5ksbMS1p+AWlPxss9m4b4E1yIQiE5NL5CaYbXDVAbDDP+Kr5 LA/qviqCE07H3RC748wsYIfIif/24F9SMxJvNcESsrXAuKueBH0vFbL0oOn8IlfWrPED0Ho4IkJm lZQ0bR3TGRtWGCCeVJ+VJ8yH01qgCACFz4jvf48+TlIBmgrFElPBrYLc3keHWaCJ8yOVxzS6eo00 uCsAiIXpRqs/yZILuziXsC6IElQAogQ91ZHUcAufAIc9Z+G8Bg+z0N4fKZfV9u3AIsFVz9Ba997L xC96cElMgMQSp/WsII54PaXpKIaEmzYh8RMqF/aa2Tog1szaP+yOQBasryFX11ThRKjQWmdh7Hby BfyMf7g1J4j0i0XHs+6nwLuV3IK5Wxi79AuvCewqhVQ18mXPl7Q23NMOmnVjBtgx4msZbWSfQll/ IY8hB4nDB/bluKKUs2DIYhuXp8XLa3MoNbBpb0W9RLhXjQ7OzVGbNlR52mOpqCKPRXsI9tAOEpjh 7DdosNwxAO+XVk5wy31fEtLX+BKppuA+Ss7YgNKCFlpe53xMFoqLLGKPMStb+EieUwA/d4JhLOsj pDH59sfJfz5eou4dCs9/5kGDCcNGeUYU6LGqZha1Fumlot2IMtrzLlJcu4DihbSVIFQQ6F2LtVfn 7rizZ74QoYMEJc6iFy5DOmXc1oQtPN3oKe6Klo01FX9fSfDWxhahfQDRlR/tSxDe7dn0QFlt6KM5 nTu1iwaHGVn5ZxRegik+VNUr56ZEtX59jvfuLSHYT0mr4G9yOmNze+iVPGpX+sdulpqtosyXUF1P UZKXQGVEiQZqsrMKCNoEohxx6mXQYO9C3YAmjvyv/Nhrdy7Pb+zgm8t2yR6mAO9fLI7tW+b0VTS9 CIeFpyUYK0RbFaVh/iLpUolv2eeHpPWEceMkajo91KUGvAwDlVSZgJMp9/Y3x5+GeUc9Ri79WUoK KzlPbnvzfVuEa4KKmOITsiUDGoMl3fHY3Y/6a2YbIoweMYaAEiN+CoTLKBesHJyO9OyXvWlPbG68 70HpNflvRVy6b9srijbh+bNFKjxoLjFhYj0nhZsA5mlVN9vhAdekwYyne/woFm73TSttE9Y93Iu/ bQZUC3mdFxojUpv+Xm2fqCI8/7ipdAVrUNStfx8AjcN1G83y2+f3vl6FPAdDWi2uHdS9pvlMgOfc I8oi5hf7hgr40BUZ3XcSxPEPc4bAeZOjOzx2IYuvWxIeLucyPowHJ2vrJ8NfB4Qk+rn0hATInKX9 KfBSEMRietGfnU2tjOm6XYOB1TpZ4HxIB5DUAfFa89Am1uSrcYRtf1ITgCDCQmEbcFjQXwPU+dNy dmjFhpYCBV85x7gzDwkkkfcyV/LqTX397dIcRv/T+6K28+ItnZga1rQdLUxQrhPgoLEg1KxXjrJS Bt0XzwW97Jg3XmybCcCzg1qgkJqg8ViPa+pEqqiWaHqAs6BzzpoIc1hMVB8qA27Nc3Bf1jwIKjJi +M9F2z/toVPfJnQZ6qYyN1ndbjzKUx7p31Zxvgx7ezlQnEjz+mdkzt4FqR52pvlf1pm04i5IjlYo ZJM5Z17TLcFIth1ZZ8fYuvB/nNNIDzLKXyaYcsw4ZBh0n/AHBaHJIop/K0TOt+CeQB7fJMqfNpRM WRASjp1OeVcQmwzJh2hlFk7vnXYKykrjvkjnhsbCKCg7an+sz74hKLhTT+Y4sye982gHRjU6YpPL OadI9fDN1srSu0ApEF7jxzrZgJTKYig98x++SpzTgtGgvmdPXdz7t1shnbToo/Ya22Gjilo8ccmV HEv5t3tiDdF20K1hbzEIE8z/K1QYlMqP0cj44noSDPWAk1U5Z3q6tv9k0zR6aWUXL746B6FnnMSk zLNkmYXIS/yOpAEEGCS0DlAAVlly7+3JzAbwv6fqtA9Qe/NgWr7i+r4wEFJE6hBKxsXu0OMvb+H0 sBCT9B5iq06Ud+fKyLpDCuH5PHhwxHX++cH8o58RT6ZqXsf6i9jDkvAL+MVi2uMHu3IhckkC8RZZ xvlrKS3uJNpD+805J17pqbnPQ0StzQZ0f2CIleq7RMYOQ80P9PAwyWxi/+fAJYtcxp0MukiygaDr OH3cFpSVOSlmLz4UhAgmkYzUwJYR689ebNM8BVzTLxLwbxWNr1JLhOaM/E6+ccHxI0J5St7okgEs npQvc3rShZLnSDTe0HtqK65jIc3K0fxjSpyzy8MvUkokqkdXTCar4TYv4DlBL12xsiGg5Zru5qxH Hf8EnW5zDhtIgkQQ38JrJtJUVsOQJ0buiyMvh02S47t1/veuvqNKgOlC64jJ9NV7ds95pMRI70O1 dd7oGJwnF7UuBf7C0MP2UF5lrOH9wnLt6N80YZ+nJ6H75SP/paFwNyIzFzI1vihzYJvRtLJ0wTL8 ky5OHrIUIVuPvYbzkR364EDI7jlkYpTrc2Gov9pxVdnAwuO3qHvqOtBKJxUSpQrzvFtGbf72XsFk oA46k4W5zhID+JL6SqdbpnTkndEHqV9wfmg/hkc9xdqHNpS9NiQwFdzH/1FePnzPQ7bGUmeupswv PYEAp66uFZ5T6cgFe+IhiF8h9Y3977lLCZkULZ8xB1EGoQdzs8dliWVul2pMSibZrE5P9IO9LOb5 X4RV7W5FwLXgO93ZYW5Lyf5OnFDIvLJeHulXWnb2hTTvHVU/B5TM45KV189fgqe+vMSdM86cAjVI ohfkV5UxZ0s7N6ox+f7eyG9oGTFg288Jd9mlwS8uJg6qrgGTMs9ASYeH75bWiWQsWefC+pe+w6Si 1CQ40ZGgaTHj/MZ0ZxPfXIMe++j93tvS7Av1ueH2q/Uw/UoGwg8bpmFGvERE92Kw3a2ZQXY/Kr1h P+DC+g/2qryQNbG4G4QocWReeZV2MQwaC5ivkyeVrap544HBvQYdxth0xHM/HIRzEMqQuXgcxn7y 9wakLt0ZyxD4HdLgazoi/xjqqe12cwdTgChE6YoqeMHxNIwlUHoRhaDNDKdF88ygy2KMecB97YBw ke0DEujFaxdNNV7NrSOO5Djke3BKy05Xe+2E1cNrREm6BnQHvh9dSAqIfIlaYixcVViuPugqa38v h5LSheXajHt9vkoruxalNmSXV7fQhd4YDsIm+oVoTVyTI86g+wjbsMUMhcObWgiQ/6uPmbREX1U/ 24yhtONPMSDLGoCVfqf5GCi43z692XcUdXU2B0gH5G8YBTkYUYtpMu9P8lphP6CjbYT2oB2Xo0le XnGjrnQdRLUUT5kLUXhldKuaa0Zw4N9ztMWlMZx36e/kTUDQYTtYaOv7b+plYXM425NaXnFobRQ4 3tkT3lugxxhvXGnVB/NE//kN0fjzRe5oyx2yQsdcHSgJJN5e8Xh6ja02KHR4ljHX19QB6maFEQHf Q4x3YytPOhRlYoByA68RjXoyDL6rmFDKMYzDgosDgCBxOPZZNrhHJ6rlQX1Hy6gy6o3z3st340np LarXZBpCgkZFuzAB6cnLI6a+s1+JvKNYUfHK8JVBxGukQdTvYQTqjjFk/IaAJc1yH3bSXugtDqy2 YnQYvZJ0e5aO4LbRkce9rtZ5lrIOt2SuFncSEgcqtDfhUHB5amdD3LV4q/U0vdXGpvCHlAbxJZrP SNjzO1/hoUbNDONCtu11buZ49ZydH490JN3jbUlZYQa5hti0w6HGVAiJ+ti1HbtjZ3Pvrt2GRw2k 9b8U7KZQxGUKTflDaxKZZuUqFqhDF/X5OC+4mfNW0FKGIGf5YpX+LS9ZeQSqYZJo9uxiP4YFnxcE 09b4pN1bjp3Cy2Rt3ELkeXkzB2LdwhKK8neYNkDKOsm4TJ8BvsSqsPWBHQS6OUeremlOJ1dpGjI4 wvV2sUuHC2Y1nvHljCKnr3V4b5fN6CzC6e9uaKSRp3T+3WAmgw6XB9WC6XYHSt6IZJ6BQG/WEd7b 4VoadtPfLUrSQFphmz+vqQtejbg/UsTD38CU5wZU+ZhF8ZO3Jjw0QYNfIgSTb6wIEhgQcQ9snVID GBS2Ng9jk5s8tfTMeB3HYAk/0TzIEoBhcVuX6chFGLzqb+Iry2LktF8ktNUJE9Z2YTz4yzNuoQNW 60wMLUpqOoDuTdUBB8GBQuP69ryfnAdcVOffUkLMwVHrGYXu7dE7M1yeoiX688wqaYCq/DLsEPAu qfsexK/Fk3atVgxzgAtTT5CdYGSq6SeBU+io+gv6k22Yj8/2CAiOH+4QIM4b+lamXrNKyKUdzJQE e2CPWFO+I4qHN8NXE3TGj6tAkMC13ajPVI/EAvoqgO8OSzPMncZM0OQx1AQ6VRkWnfORX4MqGEV3 7qbGnLqAEBcYDLYw4ACMnAgyZznZ+Cwa1UyZC/EA55c+wnGGiwDH8HHVrQYhnyTG1TG5OXsFdZdf TSMWe0VXYhzgvgndEfR2lDP8uQ1xT6UvSL61bzlAIJAmPuk4BStZUPmbB5b/zBN2GsyJw0dMU6OS rRuaizp+s1sDfgLU9uq0NskUXtEjFIAoXO9vX7++OQCPn4ZBIQxQ/pOMVj2OkjONsoiyOmGLWfPg B9zliIAUxnl//p7X+xnO0nv/+cZvhtC3HHEKP4y4OmZyP9LQKtr3FNyrQm72nhy2IJy+jZdr57Ia OHgFmFMqCz62J2wqjMaDloMeD5Q38VVeCvTMHddi3pSEci9W8msxQ+RLiuOEPMCBjfg+fGbonvjh WrgRTgHwjKvIB0qtUt2U8hsAfWCigSEUAZvhz3korbPhBk5/zcZpaNG+UgKPxj2wnN7wR2mQK4C4 89BvTeBJCpRbYIRLBmAYwbf+rY6/MTy6QURc1CDPXbqjv6d8NbvKBeHB4eUKt2QcpqyeH/2brUNe 0ue3hBSPFhuoureN44AWbR2EnDjbe12urcatXNIOt4QqKkK4b7LFJDKzMdjRPdxaRssDvaaMQ8bp 8sEVRG2CJy7NbVT22O5A4Bat0UmhhaSHUqPuQVs/s47YSOmzLCSD1eBr9ES6aqzhlau2VscI6MPI UY+ojrwRnfgyuchUlmdrm9FolWw94utlG9oAKOlvJRTnwt2KR8OtvHsN8AnEV2Hsnac54+M98ZOE v5JLJ/YEo9sf7LIFvOxY4IrZ2DQ5LtiH3VQp+XIu00OoBMS4PN1BEwH5AlRqKP3Me9YDfGYgtKwr r5arhhHKntcUTQNzP7kM9P4RWRNp3jBcMaKjJYsMNerF9q4f//9RevnhXzHEMw+s4bCUNfQbWUPU DRIPRdTqnAuYuuqe4FiAW+gtpGhjK9+Rqe0AOsZshHxnEB/WRq37E/ecNgiR3R80HlQK2xN/ZKlU fN21bgsPXdsjt/iF30bRFni0MYnNENqBfWhUS9AfHAeI+geS6U46BN3JI04miB96i8pb0YRKdtT3 8MwJ3o95RbXfIwFkQERZx0iZHxcMrdRTToeRYjM9oqNB15nrTU/2Z/Pfkq//pkB5JM5yMErlACB6 3wk53pYlXuWJo8v3Y8uNDyR2AP3UqCgv9fSjmURr/0Gcr6qkAzE8Ud25z5ogSOtD2LbaEA+bYeZe 8oTVKsDgzx/AmYxcpvSac4StpYJKYduWb650M1i8T9UWu8ghcqWHHzq73Xnnr9idEeIHna1iJzcp 1z7WqK5d548NiZPPMgWDlG/oJ8RsVU+yQqxZkFNsyNVUFjs1MZWAkG+W1WXk8Y1et79zg9clXYkk JsnTdLiDt225VkrbQNJKXM13h9PwD0XrV0TGMqLSlIdPl57AJ1Dj4T7qX+ECQQG1Fqi3Jvbgpqc9 gZl/EffEHKO39vSRTvKxg9IPD93UXB0gag+e84JmK3DyoDoWqNYuqvkOmLJEnDH3k1HsnJw6cBI8 Dh0A4muqGZQnOYS9UvTMNN5zCY6AoBjAvhQ/tTJ+ZSShABBmPuiJdBmJZ+dNzcOzZzXEqWbv+2yc HSUfgCx80p6s6WrwOTIVU81oa10TPBvEujPxk57RPqlQLXhygJKeTxCvPrTM4385vYzXK3zm5fga Tzj/SkEpsgxPxd6oDlgthWF/Uqj1NxlgDIyM0++Yj8kb4dTWmIggy9zFw1sYdD5FTlLbNtiUj18W moCbnvNmEVRLwQOoCtu6LMaf6Bhd2Tx4UBnFelmLzDMK9vzt7k/J9VaaKaCRY/J9UOjqX3F+Htjy GaJiZ4jsRb6wTQcVoQjckHRe0c2Ll6/oTglmkkhjTzsef8SiVoN3e7D73B7DsY9kK2Ij0Ye6g8X4 mhgFqjt9aRGAo5j1xxXfLlA9GHAJbE0iVaGb+XSRNClkHXZMbNnofmyB3q4A6bLQNMuTebRjj4Nh llCOCu/S7YCzLRFOFBnhPeki6KyRVMproIzgMiwcAtA3o2RNKpaMB8JtDQdcV8e+J9EjKfxC191t GL55hEmCSpWMi4ZaQvFWTxlKS4deJ5gJf9bXAekE8OIsXFsTZ7Pyye3uqtBE0ypGj57h4UrpbFtV DWvYVSZt0Rh5eU+57UKGPmpabr4kfwTicQ1F7qtmDun0GjDeIuA/UI+FnHgFfFaIMEyrGJs/kJ0g rVA1NL7aY2lXq/p8fxQwO5jV7gmJeAXlSYFV8NwHm78GRwqyb6ISz7ZIN3MLfxegg06uerZEtV7J jaiZFmjhhx3SqtLDwrEhT+i7C/ZCTijoVPwEoIKWhjjmE6dTR8RGuT+M6Vkno2QXMRErdZ485f+r YeldwRv0WzwplxUqF5gE5a9lAWvGO4fTDcDqmO19lu5bZyUh6suR96axu7PEbgx++N4J2xUkKBFF Nlg0ccYzZq7I6Dt9xsBqfz/Kzu1Y6JdZosD+3CepRiwzxxbRpopLTjFE+/NaixfcjVTJCkod6gkt 9F4B7Slw6CPgXJTQWaXHDIvx3RrMPmmt3Vnh5YWfm5CRozfTiwe9DPBjOUQta7IVV7LFN+4T4Sz8 7t7yuUv70p26a6tBgcPYqvRrw+cglOxzY6VhViPzcX+TL5SrGQOsmMvZGOaGFvW81yEKJdlkFdqv zVbrQQ20JvuWzaZydz2PNs30vHwABzyAX11f03MEYeWHK9lbae7mHg3/3H+f9QVYVeMudtKdsim5 Nsnko4uJPoHpy8mtvSya0R7zKD+njxZ4YzFByREVOV8f6RkduXbhCoRkv5Wt//sp+cfIcWQtXbCH lz3NWRvq1ul6EVqnmlTq5/SqR/MLDxUKzQFtAXWRfxo/77qGMiRrgb6MYRajbSX2mBp2EkARe4hP mMrnk+wjqpLRG/NhGEARAwn4+pOPXDBIiIIGQOb8OM2LZvTc8AwH056NK1NKHKLNW2LBwsnvkS01 frL8vjIutC4B4WV30hOK1o5+2rgc7PM+5nVjrjZiFo790WC5WQrXyy8p5HhLxWVlV73uVKVpwm6T ROOb5b7IGBg0J8SMF1sBewI8rrEr6+q4U5LJwZfwm6yUQykn5de2GYMoYn2S6vg++LaTBsxdUaLf xs7vapEkiGptKxzYLkbB33QsKkL9k81Nbzgaw5lYPFSJjSGQdOk6YGEtAyTX2bdh3VDCCZypcWYV 5XYIUXa8DvDy9S7XBHvCmIUWTWAK/YHLQ/5CLxtM4m4bCSkIA+1F7i8TMmExR+SvgDSs2VL3Z0/g nbUMdXTOm0VJ42N0pcviqMqTW49XN4nk1LGQS1Vd4hNVEUEtbTd8lwMLo97yFi9mc/KESr1+3Fqe tlTHuFQ1fXdW8YlluR63OQI7N4nQMbxfyUJhVn+g5qDr1OV+zmLMLalc6M0KvpaRW/7pBVdBD8yA j0oG+2Iw3lxERaOhUne0XI+c2REIiqrkNikf2w1+BLn9El2kJg0O8H3/ZAMstYoD8ASEKblIB3E3 RBhHWVHt2YuDfUcIXMNejg2gmF3DjYbPx/c+tibsLZN+gf9R0Mt8eyqFTGSFAZwYAU+/CnM1GY0A UpUeLexpbwi96d+Y5jWMvJNcRGeF6XZNHvQ1GXf0Jx/SvuB9mVpGqlnoShuJ0VMaWFh8jC26n7fy 4vYIMr/pElgB5G184SxCRBwHtUzdJAqLvBkpbNt10Mri5Z3dvy6YafymJh4a9JQ8JtUllnzPT7RG A/ZcLRWZh2haIORzTqeCU95Qv+c37gwv2znQEGYIQj7XdAIJA6dRP5tjtnsEnRrNr9ATMoGnr5YN vzJIjBzGZCpV+l/cU5RcrkB2b/VZz0qGGqO6ThYWMSoDODBmZvck/K/uf96kn2mHSAqK2MX1ekDn orJEigh/piSDXYG9DHlf54UkH/EWv2lxhzqNOJEf7ddtnVbugUUg2ls5loMtTIfC7Qa5sD3Fldab oX2CFneSHXuEp2767mtHuRXjOyP0+HjNVqHxPUKl1cxwLUV01DdOQOUpwNwFdSNmqEYF7O76Jk1f g7p8DmflyJVhzE9dgjqM+lc3CiRSlhamqIKqNhZtcCy2/6ccnMBk+fYOIF7P1xwwqgnHEA7YCjmp RYqPEtAa75ZYF+LdjwekO/JW25NbIAB1ZmYbUW8pQgRGbsQ/vr35atmZ75LpCtwjhsdNJP2sA9nu GtMPKYcc+38ZqmOyaL6nVKOlw/7kXeksNo6wBhEjGCj5M4BFwrFdcrDS3HQs7zCQqEy4TwalEywN aw4aCKNZ4z/7xsA8m6fC/6o0c/bq7DndwA8q8CC8QtWKeWtDl+qtNRYPjR8f10xpVqLj+lHEhtfP WyfpwWhwGKm9ZFWz8tRvIm9tjdlpTRU9soEDmizYIlAJ9U4w7vWQDqs7Ew41qLgld+num2zPqSbJ +/GW64suDz1GC4MMtPGQvKl0g6HY6OcEBD3FeOtZ/AfZOGrm1+x3T7hbkAaLSbexxB+SFKKBYJ6E P5sefoIOQ3nfXlg+EBbNJLyv/n9DyMbKedk5OoGbuYrpRNzqjtKwtZamW78xUT2ILoPu8tKc26Un JHitMhIoTsQV7ArRaxSJDkhultiVNrfFR4+7gP7dKsh1fdPdWWbN79alcXjQhBpoyfHnM4N+Z100 H5FPimWFd0OeR+ewqXTsiRBDvwq5+U4gHh5J/0cBYaj5WR9IhY4LL1ZYcszQUaFgA3dSxQuT3G+J LVo88IVLygoqGNaSJDQ8zdlNmKwE+y9UD8jIHvGlgCGLuBU5W7vCsprrgdMGFt9IYh7McvkBfpKe u7MNCgHbxtBC1a6unwSTk1GeodWi19nE0eOsQ29hcKNKX4EiuSq56LiqfRtAfctjJPEzSedt+DAZ 3FiJ80yxq+Wyl7mjbHIojTY58gOJ+xBAhCxa7/hRIOvLAwsHO5klqUyPf3t2uBMGVoONQDJqJftU f060ZI5bGnHgl8kd7y7x+THHJN7DZu7FYxNbCZ5iFCibI08z1DFsg4UvrUKtB2dC1cZDRFHbFdDH 2Qd6RyOtZ8GxkQb02x+8FVAakHT7OWNIsH1jP8MjPEE/tgkCoLaSG9uzedcjvnpOKXQjEThSa5tw SBiRfYzs2VIajC7XZiQBcUdT5xDNly++C1QCVa43YcZ4GrGcwUcm2TRjSF7J8lAudeY/NN0O/8EQ Y8GM4a/yLZyFv6vYAA/cH+zhAdgk2pkZT0lQycKOc8dL9Lx6aKdUjIdX6pbsnV4gSxAVrIxAR5Eu 1eE9646pl+jYH9EQKmPzpy9xwaN1VYziJ9EKm/S0AqXi/Sp7ghKaO4JqAkK55jy1te7l0sMWur+h IWtJiI0bvcX6n2UUhFrDYev0rqWVl7I8hdmpB2EFoq5wrbpz1JozpE9cOBDs2I6/TIbblxH6Qzug ZPvxOse5llYpYJ7A8LXFCaHnVQ3Zpy5YSDFEpu3akkUTAsESxq6kn4vo+EIkxKu4e3dGcWzLCTFt 1FgBEgc6Pl28qg7JafUe59+4wscZYsIbQygKb1s1SxzLNssi/1mwqvDElpWvuEDtkOLrijvvLSwe TaWpYP4RfX3igzkOfkXG/InMGV43unziN17gxkKY9X/52A4ZSqJ9y3E+EB0clfwUDmbACa7GxpKA dsVviVcJNZwqZLCGcRs2Fmp/28ZnLlNxe68HpQis59KrLyeKtr8yU936TH24B6s18dFkPXMD/YdF vktfojDNw4GGCyx8xOmNPl5yh/4zSTd/MHGm1ftDLGxkr7Z5Np8PNPC/YfG2Myixq+A7z76idEYn lBUcvSFWPYslLQKVCIhW7mx1O3nrijQJXwsgsT9p5upZ5Zhg3lI9UxyRWtbu8njnP9g/49ZTvz9F YX1ZS61WXNu2xyCWNQhl67cCY+lYFq08RjKYrs2qBS6rnJSAA2bjYS0GpGu63LDsTcntJBUxLyBB /brXzqQ0bUstSyDE/cU/EkDnrDj1kDfnbtI4T6y2y22zONiRLjVS0xHT/yKjkY0A1M/c4VNIf2MG 1lnL+rdKUVBMxs5vd5k9kgdQJgIzSA5d4sXxYj8/zmcMkIhDo7IOM3JfVUu4rbEEGgIGVNoQrVXT CumNLlxfhVoDoDkt3QviEEfsX+vijV9WmhsUfMKYj5VhhS27G7bKnwBP39D27K3gK3tFJdSxJYLs WQC4IR/clozPrbEGjrLeQ/m2A3129vLHNaRLW55uVL0xV1bUjVyNtHi8zAKidSLHZ4DmybBCVnFd vecziIGmqVIHPGd9d6yOLYlRJ/HDZjjx4M3O8r4tWL6ex3iN6aix2PCZBLkbyD6gOY5t4A4jL9+U rtJBj3HCWO8WLH2RWUNE76hIDyD+QhXmY8pP5k5Vzi3FBM+2FQGIFJ7UD4mpczxPP051Mq+MjQfn GiFMsqvu+Y4EbOi326fKsglooYF0F1u62ibgBjR3IsGhupou/YBzsWUWRLyeg0NcO52G0JW+riw+ SZXJA4vbyzjta94028ND7wmZu7XdzVyqfokAy9h3k7ZwyjsjsrvJYrWMHjROYGlIdM1Xqr8D5G4c +ja9NPYCPcL6Zo1IoKOHHPcWfut6tivFs5YM4ZIw6yLPRVKy11id4uilwfvS+f4R7JTBrp5xpLkX hyySBoKbLobgsFmbbXthyrC193fekl4kK0IH+LYBeyLFOrB6DU5PPvJqjB+h7pDknr3mGaKOtN3d xDaQlYFNd09jsbGfJtnoBqZmnoQd9skaRGPTh2WpG2McPkwsAjw1GURkWmI1i+17PKbowBYnpKUS xSzNyPfEF09ryUEnFDnEON4s7j4B0nO2wBbwI0kvzSNgaYuEu78A1zxE77b4WMM44ocSdfxZ8f7m 4/aI2wrwCGySzKH6Gw6gSlZptdxYz+7M1zgpHZGYjmWTpD3AZLM4iBaZUaY9X1f6asXYW8dg4zRV 6mHK2vSPEpPJ/cGcZtqsMDb6V8eulyopCtHKTeYwucDiHoZtBH4ryWuQkuXhzJPOWI++N9RplALn ttrK4bTXzKLe+toEZxalNPpqFD27yOAAStHUhS+FFKuV/MKw3J77Ummuz9qGoxqCrQ8MT1qlYQUe Tdn2RVvB41KN9SbyGCRIBJNDpBpaifYN2hQMtkZuxu7AKyCopVuECZTP1TcXhXuI15R3YSczzwdc OY5GP1tfKlIOvDnOSHRQadsPufCvomFxRT2Od4KiW2u2h2D4UHb3vKCu7vr1vDaNTqWz/rhk+Xyy 2t4vC27Mo9UEZ9oMyflk+Ok98Rap+KoYlfIDdr6p+30nf+e5eGhDl1iz/1V5qaZQX6ELcCQVSeYu XpdMyTD+1/3kK0f9BWg8RJBSJ1CPAHbKInqsWWZ6AdSOFSe2R9f6muy3O2ljEhgS3olzjYs3qlC+ uTdCGavi5WiW0NAxUlc2sKwpEh2uhEsadxSrlwEnkF+TjOapK3FzpJVT447AuKugfPv/kmXm4bug Tj2eIdcgkNILvQXZ0Pl/p5/cx2HzboOQyymvHmBzbQ4MOCxwxPr7eYQUL5Q4rf6Kj5YD/z0t2qky Phm/l+mm3IIxAYDlGHdpEeFbG4TaU/LjTRckOiWs3VjP4Zw1kSEtKFeHl+RZd3fDKl6eHViD0MJ/ w6NgDxtDeEoWypKUCEuXb4iv6aAdULBUFvLBK6Tv2OCydpzLfvMktQrn1N5Tej1LrDUSShr76gpV YFYG2ib2LwcydVmtVRwg3U2n+feGMQqL5znNeDGxGdE1voa7Xo1h17/2gAnH+RDut5YkmrFm7ziQ EsykgoPyeTIHK1SFKaBe7UzDWb0CITCybOhnJktcmHpqDjtWWJPqIzadELYlst7HI7Zh0ONwFrO2 N173/qtEzuOwj5ClKN/VtHHSj/bK8+uOuG/gZu0tED2FEvD4ojR1rEiLxiRycVf1JGH7ELgzTiqR b2ZtfaF+rrI9hFhqU0p9LelAeqImM7xi3iGkuWiOeu2UBPLOPxYiI4ot8xsBetklftdD7I2dyREd Jn01+fMMI4oFy5GpGiEYuWqJoBgozz7KgHdZwb3Y/fFnR/raXcHPZ1EVN3AnCLyyW9zPzl45mDQ5 at+MEF7iSpHuQ1xKDWaKCKoHi3FIAGuJRqI7T+Yb3b/405RbO11MygyLinsE4TGWGmnFddFWoDyW JisWUeDFnoVGNgfmRsvimh05j38Il7zr3tOb9HvrExzNf9Kov1IEsUWaLOdAjjfwHrlrQVWPKE46 Peqm7jm4SPBzcX4+wt8BmTRAnIzT7OiA44kAKsgM9kwY10p3bOUicJZXYtR7kzOZWjPm4r80zWyv 8e+sjrZ94SpHaTt5rGCPu0ray8jk9QKNy/Hbz3SOj6bVUndT4WQ4VM3GlZXsUWev5qRnkU/M6jbl cIDleWZMf3GmLomuEwEmmuZveuJvxRuu5OaD805qs7/w59vDtrAnNQyhcy+sUuYePxNyXaecKXch UOexIHaMSf8QBkLSmqhZssTSqJ1Tsyrfr52zlS67+a9dlPhPhcVoFFsytZeKV1uere9qCbq31fQM 1+dmTUiS5FhWPYC5rBF94zOOTZSCmLx0kE8fZOb/KFE8emFZWAQiR3PE+BcJnLUUl3NsU4Hu/vCc gdcFC+BUzrecYBr5UstklK3/c/1YCWwJMEBXUzbsR0djMgKpK9UdZsneK9N9FHWV1kXKa1nu1OFA tH6f9zJDC65FNzdVEJoRpcthTB25hYx6Zqz3onJxehpXc136tNynF3YMYdmjFyhcOcfKLM2ybHIM LdL1r4y0enTrVBUVnM3P17uJZf88jarScVSqbbJAyUQQHI3SR9Halvcetn5FIE7V+0PQg5Duc1n5 CvdKvjTN/xwJup6JKJE+bKU/LR5/mhgf8s5YwEETU6pl+vjrAuLEXxFvOQgJMoVKDXAjtwLlv1wh I/M9D5QlDbJIAF/z7kkdc+4FSFdUJKITG3g3XVWoQwYoFKi7Yl6YzXwJ0FxQf6VV5yIb0vt6m6m9 ibj7qU+rZ65Pb31DGhZ1W2Bsy4NBW4vMJHIdLT6B0Mhe8LQrZnQKyk5LDqdI8hrdcFQYjWMpGF9+ dpNXQAZHmMoTeVjCK1zSJkEB/SFatEnPF+LVUuiJEpSfRKdHwTqS2tDZSfVC+fVQMx6/QvWaZ58T LhP4c0whATwnpQX5KkVW12mZC2HePQv7mCQ5VxYJ1sG+lYefHQO13nxrgtBCBEYOcau29Neovzwz P+xXbuVJpIe2H2djYCHZHdbmr1iw+u6Ew14PSKHUWV32YsA8qvKUAXATQjqUv7lFJB/bR5jcSpI2 W+K4YKj0FBv96i5DgVbTf0d2Bf4JqApVEmkT45z2bEXyR1hC17pfWGffb+lQK1UdX84eZXfZGhdR 2uOetNORxGWYkJXAzoRuVPMSY+Vv17GHMt5y6Y9pYA+frtK/GJndu+Vf1Ctbdnoe14WNGN0Gpph1 Od+NQF9+te15k7Z7rXt4RURBT9JOiQCyPRv8M3kqQBt17EVqUB8uorXxtHVDggiCIehFqLJJkPEE v8W1aYm63w90tE5yO0x5kHShfvoKoo9cCkO/YqR2FPJU2vBKxjtC1pPq5J6A8Zf+aEX45PhBK9Q+ gBU5yhOxuiy9Blmhg68pggLITxMP04S3m3LQ7ZDq9g7GZSTUj1cjae0wehLxnM0Xf0g+QvutKOz5 /bYGkgxWapsIT8D2shxPwCtCu5DWN1JoumK201/TRjPUvitaobXi2ZEOhDG4YsT18SOxdZ+nazSs jGNx9ZyB78Nhcc9o+15WqV4cIpxRpXOYPykR+WZaXKly021AheZHGJQHXIz82uOx8+JkSaMq+WQ3 bzhHvzhESFbzqvScT9p/mUVlB/LyLTm/k1cQOC7xgokTydhv2l/qwZPeCHFR3rHBvtPHmfwLLO1p fagNhyOa/OZZlP0DSPeMemeCR9GhuYXZmklCTC2F8773uyk9RBa+MS/KqdMh18FkxSCLUc1BqEUz /pP7uY22kpVprMC3zknCZ+Thd4KAK7rHL9jI/yrVxpMRW02sGhdOeugq4IRnDsLp6yVQ+dVpwsUS yvqubpj1yHlpAO9P2ElHzuzH3vfBI9aWrT4Nj6u56OaEuZUyETK/1E/n1PxWKRfE7fjLHSn3+FlX ryPkdAwM2v+P4bwYqqmyNVEqhyFbihxdil4no17CiI3pXmUMO5dogmSWwvHA5RrbuJqH5bt6w+vV 5arah8Q+e3Z1WdLoA5K95U2jvloMojBZw4ZCArqSKoTYN2o9XlRW050myo5nZ+OJOv9k3ZFvRi2x W83wnLkmSgbU0ecZ3VsnM8l/jqIcRJ0yG4Y/mbmPRYnSLT+QgqYO2wgrlrZ1FBAR0kP6jGUwqooe HT3tCZF3Iezw77tVrH30IIrwSYfaxmb0dqDVx51N2O4D8GJcw09KOYBQL2KDmIpUjMbjf8EZGFYH p7N1zXpKvQPkBERFuORXPTk8G6Kexp3IfWa6WsMd/51SKnOsEx3WXiEBIuJyhm0+ajMGNxfQ8eyB bd6D+uce59kaLp17ux3Q3mWfHw/Zd82HVr0tCjRif6OI+Vg2ym7FZXweK3oh5DucxV+kWHEOg/ho +8e+Yy9AgChlRNzgIM9hJGVHiTjvI7ABhjDG1J0mOuyXYOIN/GUYq7g5jMo9jVyOUfWYMrfUHn2t L/+7TIq3FrKgPFkk1IAJjcJj6A1/KWeGFMscHogpPmClOZMtiGV9TJQ8PBZ0dGwPp0wGO4t65mnH nb0dYOpspOzelHM1AvhiU7RFE3Cg+ouYlO041fg1JKDrWl9/Z4w5pW16VAUEFmHuPrYjJ8UfyAOg N92My7x7tjhrlJjXLdQPsfkkJsM+7yO0sGkSy94C/IQSKgn7LH7j9d9nwOp3KX2phyEVII4IeHmw xYuQZtg/yRNhJ57YDPyJM8u0JYW/jfFlhAIkSfmU5RFUWfSshAD5MZnAgNAO7pFmIoMY/muGRvUG AGzJfGJVYfYVZeRkKfHqo1N1tB17qC45SqsG91ozhQp61NNFJi06M6ml3jlAdc9wMPX+1cfDPpHA WVH5qex5ACwj4vXxngGWgI2FuO88mvXSj3CAnzx9DVlMrzNPN4G7qo45hxOXqJK8J5BMZJy+LXv9 ldGfnjYvo0KXOSFCvnxv7tS1yZpHfGQVKqXE7gfawFQOgVvXqq5TAPk1T70OJe7tzQAj+I2/JYNc /MySCBPAaVSzhoShP/20afcp05oHFxkaUAIu8SixVSFyi+A4hbKLec+wLEbO0p3SsO9mAW+CbbjS YfbMwzW0VDpKNB0BRypYiKby9nN0adJI1laD1MXIOa7MgNdb11gV6FjsJwML+ir9PtVpUFhebLKH MpVrM4xCfFYu4waBdSeNcclMoDEt+PZHGceQewMxZRRoK06NO/twJmnkoyAeziX6FIH50sZwN3qA FLWPvB+PsQuKrJwBAFxizF3oHW+NViSzC7NwW3GmLl3TLwWeBqC4kqW01AHV32bGA3l6/L+QRmTL iCTMzEbS5FOxosN+1I4pHNQdD8UJruK+Ysf+2WtqYIs3jTiGMPbq7sXhYRi5vn2JYDk8hLwT92rY 0lCkpUzhAPqxnnI3Q+XK5IJj4U7KCAxTftLOw11tCS+LHToOGUhcbP5ikz0RT5VkwHPFY8By6x12 ao5c9YbQQb4/SKQ0ZU/4MEzbghfyyZIp7xgvD0+gz5iaLqTEE8RSpheHQCpthPtZhQEG73QPFSA8 W6jm2MsgCpN/TeI1xLbE0ALTPVoFiKy4SukXvtS+310N3LVvFbbwfsrAAhVh9jE8tbB+H2pJZiCQ 49pxnuvEu3CLk1P97rn3DYJQGC3u0cLPPDNOA96TO1nHSI8VCemLiaHwpcVd4oR41Um1daQ1hOYo sHidJlTbTuevFD8hgTi43E7JiixfjZGZv8TsR4lq2FMDfd40L9qMxiuPjJemwuImpZOfwvs2vE4p x5LrJWogj1qf3Bk6V6tYZhih5bhd+3RAXg6OD2j+eFJf9sNwknLuqsStnyzhh1Cqxxm/FZYuJDpx WLiXNhLBxhi86Vm2rMxbwCSOZKtiD2Dso2BzzOaT/ecfChTgWUQ6ns6RRZpuu9lDjL4vL+hsRWLQ Ly2xJapqY7Nn2JzKWc08yyfy/cozWc5AhjJmvw5lF+ZDu6nRuNwhU1cK7lZdcEJnq97oC+mYcrzS QFdYygmeYdm/dAFUN38ty2HiSxFvRCOnR+OH9/xq/P4gLQ6kKqSsqGmFFCpPoDmUP429LeRSNsKE 3xbAJQTVMvcIdwt7OMufAAhGB8YoYyKegkVDmtjFuKobXhUmFzDZKhXXT4F/paUBY992XB9U0tdV ExGiCwuM+vmxCzQ1sigqzrwSOWhf3XzLneqSQzBKLdPZfD6eWMI0SqXZnxZReD/QYsaSrpykHJQB 0ySm4YUUTHmxKXTI9xImRpcpHttzjtOaLjxdrjJwAwvIG2H9Jv2kFTvZ5TWeSHz1qvil/Z08LyEI STLkm0Y4DfGb7KTFHlNw61SStDTL01Ai+H98fqwvWmqbvV+iFcc6JmuFgfXzlFVwP1ER/EtwrJDx WQJHK87lBHlcUPGqnR3KVJ/ky8xrLtbww0o9IOmvk4xRL5aSB4uCX9KRr7Zj51RbsrzaWto2m/GM 5qWNXfuckiWITC7ahLNRahnuLCH8MJueZ5SKUM2NkVxzW9yn4xEW5VeAR4KpOrPIY5TMBKlG5jNt mdf7D2IR9DfkzW1ZWBTELVKFTnSUiEMFr5eLSn3RbO797Pw/Wu6DLVvaNl8ds+WuudutSFDPHY9u rVUbaPE7heUhiPIULbzXzI+/quZz3ZYFvceQe0yNT/QXHUHv73JIu56s2BudO2rNylNi0H6R63VW 42jbKJiHPOazxlvpfK/BjYwBunDX/4hpo1feHDyssL2tQ045/EoiweZxAnq/q1BJx2gD41m+lOEk 9SAOTbZA/sHNXSzLcE3Mbbv3+Azjol9kUwt8jfkaJMk+s3sjZlhrG0dInhVkBOUD53MFQpAmb6A3 KjgyZoqWo+qmaw5GwPhSNOvL3PPzO6Vce8K0fr8JJUeUSqIdUaJ26c2z6W34+g8CAzm84ysmbz63 /LDVat5nSLePClwnnbdDc4rJf8zwgI3GrJsUdWuAQta8B0nKEmtlBBNCOx9w2T+TnQfE/vL2Fi/O bMgBAGVfZqCF5Ok8OYcbQkQC5+P0cMH5BAFJB7+lMqh3LkyIQE5vlbmMHzUly79FDnhMH9EYqJEB W6yd5Ab8PGxsK3va/5c4jsIWEHoNf8vsfZBruUEV+ZpKrAbQDLCo9VTNYaOIpHOX/3x7BsxIv3U7 LtuMeqV0NPQ0h8hODjhW2GpQzG1NJc9Hf3TndvvN5D9D3VqTwWkTocZTEYerWHtWGHixpWz/SGp1 WHYkvSrWimnMpmCP4Gr9d12qrgRp5966TcgFIcBDbxkeTU7HdtmbEC7ia3p3DmViAuJevv1ebj4x wAuU01qLeIKBm9SzPbgLOBjPGLhJ4j1iSB5NdI3xvK6a2Y7DAYBoCXOR1+cKpBdx1E3YL5Bt+Twg WOlWA40rjM9mDa1JQsmkTme0LGYnycvnw/0CooydUregMnIEXdLYCuxxW4k9Bd6hhcl4Xpa99QiJ GuNwR0jRn8xptZdqrdP0besmn5u39ATtNXxgcGtnmKb7wWQ3PbDIyByZqtYHfct0bfuTsNwcedKk zTELUORpE4RH8c7hKLRZmUtkPSfsBCQ9Iezeg+GBuc0Y+CvREOpXz+bTBgsVvOXN4h2jM2/7xhzR +qmM2RzxcElLWQ6517Y5MepqcODOthiNp0PnKPgdtVOkYMJtFkZlGD+x6p4fd9wyQHhlNHXHWgUh VjCMFhnHrOVx4pb7de+eFyFAxHoEn6V5yXvY7wSfyq945MZkE/DMUNKvrpTLVsIL5Pip19VoFnQx 17mkbqm4yZ1kb7UbzKDX5JFjMlmzZJxQ6dHERxWXvtAg6nRGWRMeOI0Yg6xj82KHBwGcGr2LnIhi XtrjOciL2l9p3LsMiIlEchLaWo/oA1E3z7BKo8M838FSp5CHpF6iPmGgdnI0md4YmBleWwVDNjUd mi/YrjXbghcqkCNhnbXrLkK9VzBib97GsGPB/RvCUSZTvSof4T3tHIEoLpWS/HdyCcUGdA2dRWCQ OBpRzfGXAWQ73JG+/IiZhriMxsdXy8L7jnTnm5sN6TmmcT8n02IUvLxdixOUQh8Nm11leJYbg1Pe Rf0diD3gJYis7huFt5sOXGq+MKSwluzcPbDSCqBAwnz7RhTBo8WpsALKG5BzVJuKJ3gqzWuRb75t W2xU24GkQwe5mbAoQIx8P0rF5vdQE3oQXdLAch80x+YicEAzrD6qPUr1VuFK9NHVSdRnIJ6X8n5t pddL4p1C3bFg2gtRsGlbWTAmZBB6YUboF/f90sJWKk/utTEc/zoP9mUkmjNbryNh933sS7B/ZLN3 K/XVxb8qKbivVF0bWfUl52mvW0M6ZDNP3+poqQLv60b9wlDI92Vz24dtG+58xlyYOjDZrhnD9jK8 zE6bIZVcUlmqKxqJOm6tJs08jJyPVLDGFnLhhSFdBfE7X2xXrFGpRSlkD8fRLPlAaXiInhTUdwfi gvwlytSfllq7301M+c5GZ9JYUvO96cssMDQB3tj3bEa2u72gtJ40PrrxQkDBhjiXG39M/C3yf0Yg +i6I+kHkjWOlYFESbqhkB8SYlETY1lrE5K+5fcPabhmk6YOgmt0EV3BMazTPPoPipqL9PMKxoGUV WXVighafOK+wbhpQqc0TK2uZIVRQbd3qa2lxcfE0zZyEQGjzsurIrKYYliyVK86rltg4USeU+5Oi QM9RGodABZeYIAVmvXajXdQh1DG9ak2mdf+zkRWHxsSjuJTIiwAi0ahV65rL3eCOjocOT41wd2Pt LeaQPeZeEFvyascTwiwB7nw8HXBVSbEYskHZM84zBXjaMHOwcRzygUkLh45lFqMCqAPQ+Mz8mhYM FMFIYiGll1lb5ysEX8XOVByLiNYG6aYROsyldeWF0lpz9a9QEzQFZeInGVQ6AU1mmbB5xwfc1jy6 Ucn9htLJqFqoHvvw+Drvq5NpOH1E5qPpklwXCXWzSuahWdaazHNB7R3P3iaW6UrbfMHW+3JZcifw d0bN3c2+hXZYCYxKbaDxTYzIgXiNlH5EtmLj++W0NKN+WZ7L/QD8HTMSrwFDqwMNsfLC6dwcIFCh rZwKNoNcM6mpB2FCTwN3rMK0Sf8JhKgZdFj3FkM6iO71YUQMI63HMMnu9q0EpWmzmW2AvrbPwwL6 dMYqVYc6NxqWUsY4NmotU80UdIJPN4W7mRbv52FGg++eu5w8jzAlmFbq8nAH9oeszaRow3dOv8zp UOp6IEAPIEbCDO+4xyHFQseP+mO8rTtgQ3aABAn3FRKPKY6eq2jbzw4TcuQmFrhqsU4rgEV0pNQk GBBeMjhzzubxuT152MNqTMk38yhKKOhDNw8iGzcYdcF9dKpPkeTcTpqqm7jMAXoGppo7CPxdxo+G sspc72OzEko2HgsadWnKf+dd/OWBPdTPSminBsBar22TCdWvOtw0g026bj6m+ttlBr8H1+ZF6BCN O18rPIyT6dRfN+CXhcOdSJrEYRT0cnfYWbdJW+DlvTqeiId6FHuqebFX6YWZnO65b0oLE3/iI02F sdeO4J+0bEsv9dbJdcMNptMTWw8wpmwatLO7a2mGpXscxnjPKpxeTevWkR22tVPpelWQNpREer7U TWeu+3Pe+8U/esC3V7VjC9kUchDmaTyZU1qFts6SajNuAENaKBocLEyesvAqiiDjQjNM05QIsA9x Ln7D37T6DLyn4QrpH/p3hnsQuFZbiRcCEZVssoFPth6bM4hGqS1N3lAkZSr22HWAGBNMM3y7Rji8 qSQKTx5PSKL07zqzbohRan7kJxAFP/S+HlpROaR+R1WzlthFlrNhd5IyFRlvZ+PV6wZusqxWSqFN Be4yGQQJWGxnUkkOT+umqYXRi7s4asdalHyKTfWbKcozWiQ1Fiytqc0rLdhFKO8/XjceD3Xr7tzZ vMDPinYkox6899u6bKmNxH6O995AaaJsnPLzsb51AMu0n1vVTcNSzasV4Vi9ynN39WU5iEvBQfNq xTxCjnWw03j1vJXRVWjHZENt1IlnkfTwwzdbMKYUapRa3fYBKWgFOyZupAwDnDlvRBgztyiCC7z5 5rCT4GpmKeog6PVRbO6UQYuEf0k05qX9Y4nk76If9BZj6hZ7vfEgiNW8OrUwJ4spkppwvqWAMcqX BMc7rtWN5TAbAYnCcahuHasQ6CRa11ym9yeiuBhmTljOIectV/pvuKmeBbk8+o1W2jyog//ADtVY Iwo5rFR5AXYXHN43u2AI9/y4EX39LmQ8tD53Az/WAWYnfPWKLDpe6KuWMoTOS3Hi5JRcc9hiInqM x12k3J6+WW3YYaZQI8ZgXEUNXh+qAbz1byabTgsn9JbED4hQnPqWLQzSgbpZerI8QSJe9pfrE0sT zB0dHPIiErisxMEmVXk7h5TDHYDLkH+WmLSPYE+IJ1nRoAl7nJgu2/ipgnw49K414zvQxZtsoS/T 3/kURneJW2pu4zH/AOFctr3ESB3DbSRt5aZFPepjb69BJMg/ymH8KyEScBFLZEaFiDJ7kZ+OV4JA I9dIUYN3pnSysweYxVdyK3nJYAxg2vmZdf/coAb2OzdA3wuc7FnxYsIY0iDw72iKcM1c/N0Qt1/V RcChLtnwPnfjl+dTdOoR88zqV/DQiNcWB4cCmXY5SEe1oRljVTsuWoPwaBmOHg6uV/kGi5ho8VgZ d19EYOzIJ7XE+r2GK0R911K1FoMIUOSymt6kEZtpUvU8oP+3cx2fCCKPYlZKPN+pgEHOFkNrlsc+ AQcpgl04iFxx0rRiY1IGgADVbYluM+HFvhUxhsksq8/wJ58ziL/qHcnmoWinZksFGtnfn0ZatEbz JN0iFWkQUFd8wa6nLqRP/P97bVaWOImMgJ4F3MBOCrxZQF5RhYOL05z39yI3WGxYyBxFB0lnW8g5 Xt3UVERigEK43Tb2xTzOka+T6pk2nOd+JSzD9OoGfa1XGanZqyPx3mtyJSMCmagBQvJ0RxF0t9hs rRvolkeklItFmUWJDZzeT49GmMKlsQwDQ61gzWp30DGF0Sak8+wssNncroM0LeKcfMIViDSWDle+ GBBYnTSQ1hX8XGJl0D53EJjmHObp/kycGX6r3ybnGEt32L1WkhxerMlHcb6pNHjessjDRf2cHxta FryV2Qa5xcxnFnSNKn0QKl34RSRtxEh4jMD+qppgWB8LdBYFE5nhenqsSkJ8gqMDJx774EMsd2Fe qC9gDuHQUmJ5X0/pC9yKW5nS7iX+Gt4F06CgqafpqzkNAHJ2k+FnkzoWGKJX0QJXKBCjaYtlNXQ9 4cdXbBz3Si/uS69NeEegnji5PRSaCzbGZgAYvCcSfaQ8cHLCHsTo/Lcb5roswRUPW7yqpaBGKW/Y SLoZ7nlq4zQYZ9dT+kMHb4ekbj5gq7efVUGDQ/OhHHBS4Scp9SbXDidWQDswzxj/sVLEpkyEGlt7 KGym12qXX5dRPdo1TwiK7Z2ICeQnEN6H5oJhBuUGpR4CXF6np3TqMaZDS5SuevccrBFZ9CFBwWnP +oXqR2Bv7eNh1Z+hy0rGxHKv49SSWSZ8yR07zjAYeMfB97a6skVKSdrQFk9PDzp4XxE4mJPRYb9T QEc+ezzh79vdgyya78DeqJLuVaOkmhkPg08hh1ImntngtlQRFXolLQak49Opg8d7W8/XXF8H8D+v OYSObuXcUFrn8zVbL2LVVgqbAc+oQJyFEN66+XERymcM7HowS4EPFSTs2OTRZffaJJ5uL5i0++LJ SiuN9/lRtRErldmPnmmdVqZUUAucBNt+q6bAEnvN0nAPlCKTuyN5qxXbAcn0v/Z6DFGNhanj+E4b IBJ018JRn03wPYmBo27SvnpTSkMhw7mu6zjammNs26Dg3zvuUyLCMsS+4IkNAyh39E7B7EsRhhn8 6vyVRRmdpgZMICpI211rM4ibCHEvp8mJ6V2UihQt080GKjchfPyWPOGwVpt4kzKD1qjko9otqqv0 CfkP7l18bW2z+S8oFIlXEkEsv8AYfcgVtvHfvBsyjGx0xKvb4gV+MYwKqLEHZ2pqc2O6zrc8Xkzh wkMtjATBnwIk0ViKBPMLkNSasyM1fxrj1xMpCNeX95ENkdXiwnnxhoQEuqaRyLq44902jHG9W1cM q4dR+smslixZw2dT93fmw3ZBflXuJSaDWeUm/Jp0S44mVrDojvSs/02+nMtu7CCwmbC/Z5oHjU5I CwLXeYeXYkXpe/+6Jtzz3YTP4/bSyBRcJIV885Qugxc/ERzDzC7sr3JMHCLTs0cG8Ej2Lhw76lEH y12J790/YhurmWwk6JyBBFuTygBndBXojn2dktJYakNKaw6NeyYXZ6YoxJWqcDhwUQdMY+6TqLt8 6+AuI9P+w3eT34Yy/0QzjfY778tfvSiIME93bIg8K+Nm70B57XPtMqE8LzMZhtEn/Ma9WxBZBiiY 7kI1pAtfriaQCG+SVj/oB3Q++pz3atGLVvSFcbvOOI++DUyuM7961dJYn8z4Nh7oDw+GFNiB7ItW OjqzALUaAL9JMShPk9G+yk8jyn5fV7ZjB0OF2UuhaLKVLggl0IYydl/Tr96kgS3CBXFSOqSC3SJc k9SPnTcRvvF11GUUQAhdkQNdYtwXP8i1wQvGb1ouwxEGSebJ25Tl1TU1SgIuapxb9fsLFTz4lWka VFe4CuA6DQi6VAm+n9aiGqjwqnlVLy5lpC6tIF3m3PKkft2a/qeK8+P8awqbz6VhcBE5kY6+M80H yqHTWcHoeaa1B+Q1hQwOuucxqV3JAlgWvGXkGD5FXkTdgDG0Ftfm9D/gP3U71SCeq8JFwR1eWMIn 4CTtjwYmEZUPavzZUXnQ2dIawP7+nhyeH3FUYWdM2uaaxwGnSCbwZQJDH9qX4lxmMkByyPVLCbAF EHn5UHeilAedbzLZza3+8O1tA4XYcFHhiVld7t9BgYoprO8qoem2b5f75wfJPj4IQGBo7hiJxl3O k55liNftoHPYEzaXvBIbRCXNsh1l292WR42IjhIvyD6XAe/RZ2d3WZpEO02t7es7mTwHI7YiJUya oTylDcb+PXFBTm2AkmWxVB17mWfEO6uAbXAQ0RBeap1vbsoFQikk9AvGbr8/tpXuVZ5KetsyC/33 ADMHbPTcaZHhAAXGRCybd80GX7XcIDj4l/Ddzpy/T9MW0/3C+JUb54bhQ9WFnbWreaH8LO0C0aHQ xFmfvxq8mn4CURvpkQIcSvvQrWUFc0ZQ7NQVUv2/idC2jgRK8GSqY5fS9lqoTrrYqKLFDruM4Hlb AJSrffAkKc0j8gAm46S2DnCB6QcctnvkVWJZupczegywVu9T8uy9lJ+u66K62I/IMJsAJnXUUL7w gOD0k043zMhE87KgAabRugsGwOK/AExcUr+/xtKsd8KVp8zMo+rfIu36j+cpqMwSCxVGjum2L5V+ uPsYmGn8g8q/UmbnZneqnR0Mv6mKCswy1lX3fc3ml5trR56jmxPI5ZK0LdOCjlBOLQ1G/zIOiK3x Ufa1UacC6rjAerWZcUPHiU+EjqrdiBPZYQ+lDivLkjIezuHbxvMDDc+xmLO8f97C3kkaUmzQmMZZ eTomsUtTEOZoVbIJVxUFKXxDzxzxrKPS9IcYsw2GfJEv9DI5j9tdJy7hcIMVwhapXjnQi3Hw90HF Ke7LySA0hQuvEs1JLjtYkC+yYNU9xRFUBk/dJtzZ7VwNUitw/wAh8zBbQoQxsgd4DI0AZjAErA+P MwbEh5jKL366cjcdmo8Fkw2TX6uOj+k/eHPNK82VyE+dWxirQ+uDzic0veHxpVoMSEC64UgHl6Jp +Sw8Y0X4xXpz3xHP3s1QTNFwJcA1FcMZMJ9IxPE3T83vOlcMYwv1vjikoU9IZ2nn8p0EJ4kWIu5k k6sr3P9AyWW4GVeJm8y6f3dfsB0W+6xa1w7v79T0F5/d1fJYf9cd4FmIC/Ftm7j+TkK+4qaCHm1J LifSCB8MCcf27TA+vpjYD/UYtxh9c8iN/eSv0b9X0csxGHBh+b6+3/q1g6vIY9TwglVMElGwY9PW brC4dSTWqjBL378ch6vVOStwtJe61CORZLVLWUWLe5NzoGlhoUQeAiyINk0zN2qpjJh30+zd+S4k rbSWCnn2eAi4nestIlhuQtotJBvIaGEtANlNcU/dZGU6T9BgIFIwypD4SbQaUs+DNZGfl9SJ1V2U RBEWPZg9BfZcbS43wQ1KeWjXuZBG0XFjN2+03fsqmHvkMwV7LOG84ct4fKJ6LMM3QbVDsR2tMHFT X/aiRdS3V3fr6+pRxZh5oKdEnT4QL2eVn3gZEhdYIOv0ZsOgH7yJZcqrBMYC6aTsUMhCeTpiORrk xhFpjMvoZpOzj0TP1xq9Pkgt7M7Esw0P/hBLji3DhjAenpfXLo8R4gySg1OJOvRRHrSLiD/+nCYZ cfy4yllYYdID494Y+DX5GHNrvZosRZW+zNgCdtJvnYRXU66rp1qbXYgAhgOvXNU4sdPiRXNGC8nO VMMbdbEfQCqZKSg/3HEgUS9NYZLhbkudedAZ2Z/jgShVl4ckKxWrN9neHylMZBFA2hAt0mgNoH1j AwUHw9tMDcY6nNvvssP2xcRqVHUNTxni6jam3gCvbNhvIchEFHPXadKQCo2cbhfE/msLlU65nNHq dwueRjXNPEW7Y6IEdWp0aCPT9562HdM4eJ9ws6EQwXTPrTmztOy1vY5psJKoRBeAviso4LABX6Fb tO8FJUJ0SWGi6GP/bmiCVmS6ouhkEW1gTtXRgFz9gQ77URDQyOIx6DbT9DyC+DHtg6xjNzo3zRXo McoWVtypeoGrSRrmzlosKRKiB9Eb2SbC7+uyb6WjHjt9dYJHLHi37iX27s9ylhLLC1V/Ub3nUQmK xtc9JG27jnJJhmZCgNwK517qoWmYI/MXFoRjCj8cqpjQSfNEYR5Tz/dMFY+gdLZJpxyBBYIIwf1z nzmboFu8lm5ryb38lvienFkoXlHw1LSg24FOi0CZBI5xzT3qaLDXlqxjo+LJJ8fV/cL2XcHN1575 3Z08+YXw6kZNpGr9hCKvFiRhzygiTiT79hmM6m0kuCOqIEVedND4+jZcBJT8uDNFszTEx88Jkb6N GRiUjCsXWBMbBQqqgIK5gtpd2EkFeo0XIAX/gf+stt2xSb6ID2gEZ4Q8sQZwOqJDsJO0P1V1RaA3 d4cvvE8MsbXwd8dyDpoTX5iE6PBQSAlHYHmASX842A8sbJimtH9AQbok+E72oT6NFFeUKEwLgNis B8cUDM7+O1UeJDwuyvPBQGrk4wRBk5fkXy7aVmrB4WeuwqKl5V2iPameOEKiBuQwK/5bVe/5XFHI GGYVW2PkFxUJGdDKSYRoVAQyzDnEG86bYbOZ++q3V+OK2ALlkquWaM7OwAGbkWtAHFhm0bTE/nw6 JO5N+GXjaHw56GKhKRPSJGIArHC4zpiFUxxzUUhLjG+nMSAQxdemHFDgBFmTvmaIVTUITk4BjqBd 8CQY5cGKd4mBCBWZN2K5Zx3MHJ3FLeNlcuhGK9OkSKYMQneftG34e+IvquMaNSKVDTbZK5ULUGWg SFNisSbOF9irOd1tUuXcHTTmjbD6yWV+o4GTjpTyDh7EJWLe27VTLfIJDocTNtDXeFrWOHWrOP+Y CBAAlgdBUKde8z7m5DfcQ0iyE4YEEOn4A6naJcSF993hCYVApfDQBNAVw/s2h9BCfxEdLUBYTpsC 1BjyOM4jjddZ/dgh9JKvNZTjKxAHtXIBx4pUmv4ADwA2n0EYYwEJCQEYWuPeaxJzIfEtkPMhREN6 QHSBHDkTBykYBfwWx48O1lDXQUjLoSXQpic29u1R0p5s5XtuRaY1GKz1z+RGe/5Hsph4ZMsTcMX2 gDetoR+RGeqS2p3F9PJRMnM/lcM3O6FGTMrKXELB7wSgpCNhIOCsUVlARMsC8wENUTFYddWPiE+O lsYuYbdHLWfg2e37OQneZDfoF0rxUqF9JxH/AokIAUvymNv9uG7Rn+6MkIt0plcSziUP30GBeJFq vfLLvwcwESq/KDw+4O3TPK3y2Ck46RRLXAKVgFd/GvFktOhuwCcBl5uPkD9c80SLXO/ZNqmnPYdi BLSRxXpeis7eQdqnoRuaL5ht0Ra++8BrGlR+iV8qfFvSlhjuoHoWrvLmr7EiEV9JV30zomlFVfqv W5du48r/uKSCNTHjSzYmaRDgM4poD1BhIbBPyn1I6SfmTHf/x8YU0qf4OXNJCXIFU4GGBi5dNrnl 6j+sajjlWbJ2kKy2C6lMthpsYtoNNvupcfrIQ4mBrbSn7KZ7KPBswx4VvvSNGHqsPJRr/uvFq4W2 lhv2sB69xrY7CAAllxpN+jUb7k+HWMaIMcptDrhP2aHbPlHU638PYKkneYGzz5hB1xvh7anEBMkG yBlaBjvrDAvpShuxrqvpwNAXSe0695whtvrTdfgvIIX/aJD1xKUo6RRcOZMlkGS8lL7NUHve9O8b IFc2Wyr7Ho+7lZ5llNoAaZWeMvRXN7nPylxk4lbpthkvqz/SLpIQDKszCzFOTa4lg49eyYqNLtBa W6mlEyPOSiUcypCXbOjP/cl14HJ/+ee0oncD8aAmH1M75C8S+MHlX77ilpzMrVWu13+lZ5YEHo0S psyLKtCKC5hpg6k71QRpsKYxy6A/8gvZih4qXIeP3jMJesEZp6csK9TmfY2gXBHtAk8OSRE6sp8c RzBk492qMa2aGYt0LXic7g2c1Jtv1D0utwnFu+p6iPpMWNfS0VHvwQXmhtvL25pFFXXjB7H6tmEn aH7yZ2sugw13/cdyH0OOKWPzC0OhW5X3e2sg3ZFH0ww8xG8vzJe8yp4u5oaN7e8XiRcZgZyHryid kzJRxCYJZ909+WwufUqnqsjnbzdfHdk8s4rfDxxbMfVJz87A2ylOxe/RLaCsT8eZULoEAcvgAFjb p/XHbS4IV1OK89N5PnNGWmvT9drB1VpuJtKDrAI0rVTlTu3dsfO8yEPfC6nWZdEwnTpxlX21IYGI Kxl2Y6GE87Y+GodfxGOCcgAkzSbYAkFPg/IxRVCQFgbp33lEumOfldFeHqKSWuuxGEPmgKY6wGm5 pzK30NNycv1drwvDcgBKjAfuZwJXZi96yfuI3NcevZNmDELa9EVfPmAI+Co3FWzZIPXyWukggPJP pGjcKLliKAlD2csDoqwFp3flSqVkVR8fF702ISpTuuVuL5a02TMas6rLHWvtyIxYLNwQJM8FBhme 89OaxGLtnwG1qc0CKGlk9CiS1VKFED2akW1Ku4RG24IroXTqUrlnPfziSar9AK2IuA1+U7RLXMyU wsv7pSM4QI8SJqJN+/NIYogE/S193DNI322LYI52FI5RWhrjxUvTP713Zwot5VjjiyPOU3TxVCiW 96mjYyp3nH6iSu67uBHqVvm/09ah9DARlzRIt+MPGCani8ADFrQHH6uiAn/ld4uAL13UEyhPf/ZQ 7d0EFL4hqQgChlzxs2TBHhDyJc56gde2zecZS5qJTj7iMeqKl3OYSIL6c03KCgqlKKMUCRMX1PKr l0ROnMXhCGvX2hMjBdyfxoAlSL0nWetOW64bI5X+gCdrjQTggdnjLhDpTxqOFJ5MnsX4Pdjx3RsQ 0F1jhNZITz3WrG0MiVXrt5oB+Hl3Gza1KvZK6CtJ17R7Mcka+bJg4S2NLkTnIFDaq3FLGNO2nYVv 2ZjHpu8aNV/yA3ROB4fBVDj3sLssDEPS0Y9O3EZCXakQcAwZNWd8mHzL1W11hzZ6N2woOLStIOny ANQB4+FZxsdJouGe2dUJsHDbaQsilrbC0Za4ippbs6qW4qmigfbIE0+fiNT7CL5PRyzZR6p6s54p 1GICET+FsVYaSrPwsOe9BJOGgOULZxeSeD/E24Pw4X/sKi4iC/8cQAaF8/KYgAhKB7WMaysJ9Hdq wpqzBRxU5Jb6LRm+l9c0V4QAbJ0bEVNzek8Ng/kb5KdMKWDVKfvXl5YrxA9Aeap5oEYzT8zP5gHg I+kVDnd7Ki22IyiBGE4LOCvGF747Eqd2X3NQ84LumJ6Mx6dpWGF3adwVpkFONgxhIEzv/zuFB+N5 pqLBRGBVVsJtmAmZhOmm+iKrcEK7D7zi96KbtYMVwyquONHFKqfzvV4ZU1UbJtlz8etyDVh1P6m+ NYNK/AjyTjKJPXK1eFkI7jsto950o7AHMOuXPAYh9KynuWhfw/wBf2sGw2n1uv/QRwYOXld5PHq6 txmmMnSRPOXiMVkYuNZXI0e0aXVmB4TQGbn7TBzUKfq/TEzdoCykB4RkxodhpHQOTGVnFkBJFQEy q8Y3cSxmrCotsdCwQDdhVZCngFMfSkNPgZM9tBFnlnlN++hggKpjA83KCr6X0I6ItqWpZMQflbPb bWSgQExs1Z8EmwDq/1EQVWvlG26b8IQEl4m/dvxsQg7LMK3ojQlgF3f4OCFfCOu8UElMEem35ORk JNsk6UGFbGF+WwbN8dsGK27ETYau8gCN4teC/urMka5XSNCaOEmKdENeUmX395hVO8kAGO4kR+ez 6sGOTnPznXP1KrbpIhXv+GhBXtI84pJ2E7xSghEtwY5vxQezmYxuV/8RjF19XhiH65spAqvlBEqS JhuY48/q7GJkACv/eY5x0xGNdGlMp2YkXT9616DISLDacEvjMASmd1Cu5etKMiEtddX4mTgmSyUs 243xU8W3WGWOi5H3fBxQfHnPLqejtJ4qWXtlziVVH+O2zw8TasfwblvzGNa0Ja6aFA7+ymcXZxIt d3XtYvWViv0UepxRNzFuxchOSEE8gcULFmR9h6/T6pCw6MXP088FMQM5Mo0NDn5K7exTccuyD2qI CplqxMgRL3LXlJFODN/eyplGBEQFKsvopNcJdxmXxFgC3Vb30HPqUfPPwGtpB/w4suFaR0bb/Nti POm2ALHdu+HXek2KT6LptNTl7T9YgOroQPFp5/hN4VWWFARoNVl32ATukjSapvAsUuVlh/LXe7Gy gvtTX/5pkIuQbJlzLDepI1mG4f/QL7xd4aIhdksSYe+zKVmhthGAKKGc9unuD0WSLyVECVG09IXu fZl9QDl61r5jq2rFTpkKOmtuAukIwHKjRMrP1rm8Ci97TNxX7ltiHaE86H7xLJxfT09IHPUDEQSp mE8kauI/k2evxAa8iooSJMRy3AVvBIGNta6UWAv9I7oAUgfX5ZIj7pkaZ2L+LA5peq5tR7EunTwu nlUOcRlVSUgW7U27BgOzrEr6EvXQXbjc/ELXnFhPzI0hjHqOK1ysORpWN6edhvpG6uCRWuYeLoNu MFEDiJWtB+AyF/WuceTocq659X+W7892ogo6YXoKYdD0OHq7eIVIkz1N1JRAZEtB+6SSv+7CFzz6 kQ74UwfrOYqfurs5+wQ9sxkqfwM2PIbc95/XXxAblWyBwAUkqLHZi17Ngu1dK2UdoTxZPIBDzSwk 5SXng3uyMrXQQ/YCUS6P46a+kntZrJD/NmYyia13crxKKKlvZD5bw0kcFbZZOLHh4J6QaqbNadUM wTZJYPGgCTGB+bgaeACoSkWSq9xg8thMBXtZi0e5rXukTyzmOposoqALIve/ygHX8I1ddCU7bzYj I6mnlwj4hxeFN8yHnc5Ndmt0KJINsw5XRMuRA8S+PCB3SO2k6Hvy8uOj5nAYjWG3rwci7blljITn v2TaCGimTDosaCYkqDuyt65HFOEsMHzzoKCWPvqxVFhLr1EjfgZCb3okEGEiq95X4jM7JXV2p7Bi jpEbs177s0jvOQDV6WEiX+Bhfu8tJcRiNoH67jU078nQgGXs29fjAtWw2G3ee0LxxLPc/cmjTOK7 aaY1grtcZ2X1LRtlfa94PbRZJ7dQDcNjQJ8A5F2z3nZYSpsvxhZ+uA9m4ZO25K+gDdD9tT/Lc/JK qaOHv2R5/awXom5HPHnZLPYn2sLcKXyOtfcv/QuMr4UCBSUNPXrQ0e2do2ZUONHyO98m8Mpf3Na7 zt1ulsoLCFuHwpt2Mme+iPL7vDkaJzyN/YRRth2U6845vOg/edv9x3YF9jW6H9uiz1G4yf30sI+j BfcFuG1cFmO92zpjNlsKFsQaSW2zrVkBoYz8sReOHGp4Cid+5AeOsRmfM4Rn4vZVVAnJ02r3bXWL WWFlp8Z1aAWDY5e0KVhhqN3aJF9UCSiaTjZafsGC+38YP6peqcDwPc1a0s5PV98zmS2CIYv3xFGp iHTne93iNeZqb5/AyEn+S0laa/c3J0Sr9NXHLiwM4aAPaTSlmlXc7ifnrFp6DUSrDlZN5+KJOZFf zbmW5q//Iu3PrBjeurs7BCP9L8RdE/mJQE6SARPLxpC47fsNoPXilT2yb9vBAzBs6cDDY7K/jY27 cR1ZNXkc1YF00vJbwTE93G+gRHRh6Hs6jAuGIZHenSwP91Y9OiDDvC/3kDjQP9X5HVrMBhIpvO4I H0ZQb11RWH35DH1yfhrpwZ+xarg3wFYhu9Dxo6hYtgCVKHXPvTS1oYy1tqgttycQN69Ib8Exv3nu ntjzng8nNb2ru9mNKfldcQ1eKqz8C2FW9BcF2Mluhnx2FahobDjJzPUdmSsQcnk25C4RQLTFRamt kkV/wNqXpj+3toiof4ASP+lr8bhPzaAT0QGLRiIjZu5EEdMDT7XFecBLMnzQb5gx4C/I9rzqlci1 S8cjLSEELoz35khV4AVxGlzjFrmzQHkGy+WKiYznXMA1dtrf5UvnvBCjKArfSE1wg28NDXWc2yNM Dj/YATAaTKTmWpoeQHqD+Tml+PPinxV8XRs2b9db5j3YhIPxsEp6GA2aj6p/KiMsJ0rqsFOJ2HxK fyD8Z70z7eF0MeDJBoEzBCtQDbjS5Ph1OJQ+lQNoch9zLCSv15yuqzA17gj6Y9qivkgWWOK/C5sG 9F0vDzWKbAaIAYNG99Q14LOT+XkxWGT8KxpR316/XMomqxGvoL+jjSuNH5tBcZTCNgB1H0CYLFRP oQJZEy53XQIYIw7jwgHurWdhablw0ojN+3M/Arngp/6zml5qc2vIKmakxYa6seF9r2uU5ojtenq3 3xQegzzqYD/LOyRxdw0nGwNcrVGXO/PcdEzwPhnujeGaRbfq1RYFmZGtbm7f3n+agWMizVWN719r nsdYnFS6JUuTxidPqON3GOUBLCv+fpP6lE0Oo6HDkKTUmugUyFh1jQU4G1WGT/24bhatg7ssdI1B M4IEIAmMIBdKD1P6AGGlt/zbQo+2e4cWHvZ/TJZCMJqx24haXSZ9HMWqm6fOaDN3CW0S4QTfA86X vQeZWz1oDQHPJhVS0pLojFcB66azxP1PtMkyjYwA3Uk/ExzVDLzG3rIYPmdtzR2xGJVJ5iGssLD8 n4yiVMie/A/G/5Qy0ivv9y1LQ8BzruE05nAe+wjsLmYxkNZU/3ygKa3eo6pSwltuB+RFAXy16kxs KeWPtjC5QYubOrxnQUVNO0f6zYOVdSLipdaUfd/BOLKF9b7EC1JBr4fVjKPxRj5VXYgk1+Pvu1Zl 9leJFOkwjNTyeD5/rAaIZhKMtzNTsU/VedvpjjVo1ptMDALJ5FpX4HsViAtp/gE8gsBDEAIK9Woh 2Lk0+7495PoqNOGDSDjmv52k/9diwmG+SdswxS6pJ/+e4YKdfGh67UX/PyYo7zRFIAOd2t6Ke4uu hK60LiVkgo5a63bdOxlihT8OwMK1TH/I24SwSP6YkRtkpmOnQ969sqHxQwD6sV3JwLVOt2NG0829 OgJwGKB3yxNbba06gqxboU9M8D10RjUH7XT4KNwv9ZtXlZ9ZsdwwlDwTUvu9+Y20FkFFvQCs/D7v qruzvAEz7HviiRkca6LSd8saWZEcgnKt9u8VgyCmIu+Fpk03xUVTCV0JmK6K05plpeIbAgV53Xj3 +1IofPE4VnR+Gcyed9OPrPVgFqsutvgm5zFp2y/l+76E0XBAvmqEBpg83xkfjAfFljisSsyYB9tz 00D+myrljpq6bfY1FOo1iUosrbOF7Povw5Nxdicyd9EexZ8vkoUFnM9s8NSwwWDYQfBoGOGr1aU7 uYNNEHOXCV0aSzAbm078VGD8KTjsK7XkOFA3LoTfLkK7X9BeJempfW1oVGZJy8HPXCgQvecqna96 pwYPaF4nkNvo74DNP/O6lBiU9BQ/LrV9pPqeb1jX1ibZos2pGDf0LfqiyIBRpfAlq3pOeWki0Es+ a8fYDMCS8gnAJcA11xZ4Tf8eqZkEOXrI0BOPOGeRA+jk34CpK+sSSCH+AvrewBkmCN5V+nqxBe98 YHRgAJsieHMuQ1CfIUQDuBWzAAAA5+pXX6vOKiQ87qoI37kQZ6QCJOCP+rfaoUznSdjhfBxtvv6f 4C248EJ3yCqKUR25wYoXP7kEAfjvfxMC/Q04q+JjqJEVKf+IorjsyiEXD5+T9e4nHiAEiTHMKb16 gbmEoqQ6icKiOyCvZOtGLgfLtK27DvgfzlTt/bSB49f46y4G73nBiBqvMFTqnnLKuGKnfyH+ht1B aHVlXN9gYPO5VYOfqJKImxJA3GmDwNStZJTsGJiZmGaj+cHwDh2AAwQ1hvbHp8ouWd9RPjUAhGiw 314Yv93HZ6KuJpxpVDZTBAhXguW/IAeqN7rHQ/5sF4dAy6ds5H3zKRvwsmBZN7OJwBqVYMQgwWLz pwFt3n050m5CGeAeVIjdWDZT+Tm3dfcN4HNP5Rw+ud9NZaGOo1PcuZZ+UPU7RgNv2Bla3tUlTRHB fPuYxBOyAIoTrmxYEVHLawoTgsP5MjjlUAkGzC4J8pqxZ3cvnyzZyaY45hD6PqHubBKybGUFyzup rmpq5wqFyAh6pAqbC2eIYL/vNEyHha1yMK7uiRbPCeeoT1UUkqKmHYqGKnRlY0Wpvc9eRSIrqFnO iKrHweRCvPFVlusvDjYtH6XE1xtf3ZCQSR0/5lgh5fpZt+dJhcswybVLl+ESFup68PRJbxYeTr71 K/iocd1/Nwq5YIesGJ6pt8SuCnB5Gh08SePrd2piZCfbh6bwzouvrpz8feovTzBIydIQTynZXHs8 /kURBzmT6s3J1HNDpCUJbGcMMCm8RiRg8WgZ7wl4elmDkEQeSw1Yku9/TnQeAreutnGWhCLnTT3o 9zFcjBsiPwe06mhqwymUen4MlvL6Fjxi1aKIUOVyzoF0MZj7AE7/lLyqs77oJ/7WIC1t/zXcCd2N uBbB7pGjDxnMwwYR3EIR2AAYUmVAqSy6F3LKXVY9GcHT09EyneEtFB/DgvKOKSlxgkx8jV2nkqNH GhMPG4dbWYZ50PCyCmP0AEBmv+Xhqyo0nvH3sHF5h7zcjRDNL7pzdxaHhN+U+bP1qNxUQA+EtDco MeUGjq2i+lLIpgsw3pokzQ0uX6B3qgqHcibSZszbzlG6Zwhkn5EF/wdJc7991RMS5XhjV3MoKTi8 ypB6zzn3TSHKJ1ntIgVyNpPgmeoFwtDmUHr0t9OOzJeI6tkNF8pH3CXT2+KVVDlVvSfpYZ80Uin9 PAoJi5fYsYQdF/7xD875ex/4OIY48dqIfISXMaSzDlC/PQJ/bfbE+en4rNK3N9ePNop7XDxX64Oh v3WQDeWyj+OBxmAAChXiwho4dx6cEMgMm+oGIGjB4mfYhy+wl0rK9Fa2NJ484qmaN6cZK363ZqwG OsRC07wips9XsyONP7ORy4o2/S4EY/+KrHQ4M5skUuvP7NW/MZ8zAZKeMO98fpbABZ2gVG0X2AIg v/lvaRdOB8uZphNm4P1aiEE0urLBIE1/tTJc8t2pr2/joc67/MtZ1nmMJurbyroBIwBfHslYkeH+ 3Ndre0bC+4aIB+ZPcjOhnjOuEFCpjUowoaJiBAikfvysOiYEcoHG0wGVeKFlQp8MmZX1p9/RkqT2 9gQ4BUeLPjt+sPb5kpR6zrYuxpd6rhuUrhEnMlZrrHEAc/cvLSpFHpwa6o9XlwsE8Zpnlo1IAFxJ ovBKPBAEjjpbtAtO3S9vkTqwErphQ6wXGZyd4Y37dheGHATV29NS8EaXNTB+RMIgjln3ipbapjlb Rackb/eCbQlVhe/dkNxj5DzjnlgjeLPB0hfem0CVkEM+UIJ773m7ZgZc4cgMIUbtaDT6u9vWFMaW gglwEKdrI7c86n7WeWTksMpHu89LZCjN+CZpNRj/mRkBAATgSgCiONck1Cwwlb2kxsFzJjJnmYcn u1lUD7+ffmf7AakTVqzH4AYxcxAQtAgQpbvdWK8Tfxw+iTu5wqWnO5f5/DA6uxe0j+0I5hUMC2it jdH1yvKyI2W0TwikmBb90LNSE1AqHojw2cf+GoUWVGk+ECSScq0mCL9vy0EglWFMJtC9z5otili7 UwlwtLRoJJO2NTrFe25SBybKgZw52s7ntH5/Hw4LutkK7DWMdE6Kw7JA+MnLIFcVrk0WqrrzjN2Y 12f27FrZZfHc/kvXP/j888rAFBHoLMmNNfn5EyTtDivtcBYQH3nWRX1cW1V9NRWSBHKsJEagAvtT EWvMqh6iluW7px/Ry1ftcAlqhGZSYFrECoArMjCrMmILo0XQd9xQeIv7N2WakeZfhyhgd3d2HOJe 7BUjM5QLo9kYUlFz13cOq44lolsXacAH/GzRxrbHCOBbmTcp/I0Gb8kwSjVHPWh8L8efnVsP2Mec QDt55nv4n7bho5UCGKrh6Ev/PdrpjNODOTtEjxio0DeJR2xlcUlIZsA6D79SLMDkwrH4APB0posP AJZY1tnMJM7z/16o3AU1p+Z9yYmrJAdcahr86tLaxo8/IXqaQOg/55YGAadCC4Q41kFBO4xw4CJk Nn/goxrZZCBo9AlCc9Ncux4naGqlN1Py1uvCK0yupHLUh4GGgVPEcSHSIzcAhKBxdU+0Rjr1QwFH UTaTwonBA6HjOEdn8ZCVpFvtSzTlOuvBkaA9S3N6yQVSphRcjbppuxjoIVNuWXOaIszVy6XzbxDR qqGN2Kgnv/dpqkcB0VM7ZHOCNvpym9sxw7OMXqK5n4nQXAPIIrLPIPplRh8NsivDUfmrlrLQ4ycq zIRBdodQW3SwG+5dOES5htYy8se1Ukog35JudLOX3cNorkA8BPG3oVk04c5LEtPeVWnWt6ABQRGz cxyFVLNe8J2nHS3WJ1pooBJHA743+LI9DngLpue77q5mIpHFgswz7tLYhfVWWpq0H4BIGkTVCx8f Nzm2DugxR3OQJ/kChOEGA93iD+TjKtml//Nyc5KtWEoTXND0K8gmwgux5w2RWFz9s9Ds+mNKgKbR qnpEDQ0jmybJNeLvoHGqxhXAVWMCctLL3BicN8bkdyi91zmc6yuCvvtQRibaerIbPlznl1+Me3vr aAOhjuS2w8pCF6bnAFYF3GzIjdeqcWlUKh0cOK5jqsa1f1hUmP3/CLm/lh5MVg56Mec3i40EtFy4 FzCFBXFjounTrH/Ee9q9PIgTdzRkIAOHgprBuSBvAvcJmAWj+bjc5Fyh/gVRlxqTaEumlOCxG+3+ 5tKC3NyeLuNnrLac9K0NRSQSN+ODKGmbREbAbdx6AZamz+VNY/1b0hSUd2Lk8+GK37jM7CphBbcl jjFF2LWqM8wySbKzuktWr6WSPhmiUOTZvTRUTrJ6mCefghYB1/erGHRUZwmaayEIH0c7AR54o3q4 gAiBb84TqTI4V2O7W7IGHmw0hBss0l09EQBkBb+ZhiQG37SLEuuEzxKk9pqzhvTdUqzfA0YZiKzk cDND1Db+8s85SzEkwZ6nphB9Ogf5o7segAOwWLpNrohQl8luBuztlR3m7ciV1N8B+GMIcp6m3z6y xR5j/0PeoIg8xciCFYBtvRG16ac4nBuhhKzXpRdbaxrzbj0I5r8B0RHIGwkFM398WHzv0aEMkU84 Q6fjzMoFLfvao6Qyi2UBNCj5HM8IzP9h6+rIKGHhHvx4Vn/nr6CYIy71VzDj72Ezb2dXNfcCNhcA ypmJkpx0rMf5eu18N59q4EEIDnozJlL/4C1C99meQ9ULmmZR5q/TbfnawB5YFz6+C01krVnRXXcV cdcUQl1WM50tL5knS1FH9BhJUd84/v5+ghMULuNdGtOoo88l8THk+REXS67EJTKfSWLOY1JNL+Lj BT80GBNAxEROqfN0Lfwfyun5LoDB07YpPp6dGHiSohdFJM2hBCFwVJJTBxBPrjb7+H8GS9uAujYI /96bz2o5pUpA6H04c3SFmpjuee7MRfMdZCxyBVuRKZbwk6xbybDabkwf1Pkc4LK1rV+nH4UlECPf FwnO6li1peuoKh+fZfk6sj7NQPOy4xM9JQl1KtLmYUyHpkoYL47b6cvSY7pgUYz4C999/x35F11/ 2OIGiSqlLkC+oh7JYybqHLFyXUqitJuYJObIYF75UL+do02/2Yic+1aDt0j7GDY1Ioe+c6BWQEHq HwV/C14JzGC2UjOBPsWICki7NaZ/hyjQ2m9KArNanUUfOYxZb2OKEt6aQjZ5TB7G31CaWujbFzmb lehqmANsJaYSZn4voxjrvHKChuWpvmXeqIKb0qYKBwbahcgu67EaNPnSRCTHNWIFOnn2u9aAR59w NnT4Hzj3qSh9IQHVA/4jn2X0OrugfqDbxOSuQQPMlsxGgpW/fLcNOb8FNXv7KdU6CtkxtArb6toY lu20qAXi5yRDFVt4YqcbccTnlNkTPGibpGhlCQxM9J6qE+Bbm6ZgD4UsE0nv+UosVbw/7iuSobrZ WuX9UFsy4uJNi0F9/r7CzSvzkUpLJJNovB/2qFQc2UkJBfx7le0jxIyRYibG+U+wMIPPB7q50RsR 8Vr83cz8/bxHYqc8LtAbHtyuUGsZkJbOry6xPV2DsZuT/iv24k1h6Rvf5Ii5mxEV40SDnEhMFPF0 AhDADZXNZ2QZo61Pr7NGfJlAS7Z485l+QYSp0/xEgk/diquQCuU5UUhkdhHIekmrI3rDMlBXjboa oEapUgAJqP+72kAIiNKuG/TpAaYuRDLZ/i4MKRz7wJKn5RYNknPGR213K+T1Pss4eHDrsIjINXU7 mawJ6Cb0d1T6HqbI8rpptC+iTTxJRjro/9WNQNNmV5+p850NPiev7xIk47OilXlQZIfWWSBlUuSo x0q3bda8zCFWvYy3uuuJ5SvLtg/mDG5QjrRoUYMOsPPxLiEoRs+jzZSxCfR7MQUfMBnhfEcL5ro6 TRpw3QGYEw7ANrYzKD86ZP8AS0lyZGm/ErD8QKrI3P+bg+bI6sGri6RU34+QX1HUiSvDJ9i+lO/H EMTapK8r3ImoUmTUNsm4irAjiQTjL6pNpKGahMwBd+cW9V4AZF+uMOp2TFbo342lV6fG1LABD/30 RoZEt/bNZm8F08qqJXQR8My+JI+aALR3AiZcWLnfsUMkj2MbTPK5kLglsI/1SdXjkIUJEmRmXNkG YhWNYU1zETx8UgabMy0Q8r9gat0cEkjY5zsh4k8UCDmiprtZbf4aoL3XcihvPJM09RMFtftHzyHd rnuPWWa3Op/OhKLAqqRUyoyMOcheHWnw7p76+M2pixNLee6zYRHgDGFZaIQMisdr4IdaqvK4pl9s SFDaQ7V1t2YM7npXadrd5FRFkqp8kivbBpiyDNVRaNC7YFkwbEk/A7wJrkuwCFCd+pENfJTASbuy Vw/w+V9vB2nqOcqpSjzdn7ZDKWuju0Q3w2444zDJdo8MrgJa3HyUnMi5vaUxXh5P65IlWuwdy7oe EywQyD1eDOFhQV96xHcYTmeU/5CaRpmLcdcEZmGAixnQI2FsLhdCL/Fvmq+PVeiEU3ab5rDRwxEG F66TeysyIisaEBMYh2bhV+GgqJOjLg2j+pqvL7lBl7GQKMbXY6xXk3l5pXVv3ISjaZd7T70rZGF6 fcBnOMZIpcXj0MMuHVI6Q4hKtLe5b5LaG3oBCQfZvyoKT/UnRzk/JikHI2+JHr8RWA17ZdelDCJO NjzkwYPMp+XNXkspvIvdyZsKdDsIh6DdN1Z6pDl+JMhWM1O1BOVx8/wXvJfgYaqLaIE35rdL66WL ht3ux1u3jE07GxIoGGrMDZOaUOTyT7dG7zYs77HOS91fbhnns9c8yPnGyJbYB8W77rMwcIbVBeFL 4l0kFRfVRNxTZ2salNru7AhI9+Z7FCRRHwPmfxtf4mQlp3HOWwGhyS2SSGC28wd7AA57Ys1x2tXc A7o+WcuyOd3rvDiYc2X+YDDkBIomSJRmmEopexdz3IY+b4E3rXeyq89wjVRBh2v25Ga162Mz0Sf4 qDLcme5ITppbI+2d8W6Dj/Em6dYW+Sqv5Br95gp06OPfiQtyTL3fqcynrHywkL4r3VrsYlnk9egH orzceEDdg/z1aa9WPpMyflkQ/yghlCMn5fFBXytfjaww8EiwlGLuUflDhkfAL7L3Fr+xykMyJfI6 hYmvLdAv8DcjSYS+h2zEmlsRYQUqk89MxGLDmw4tv+2GZN/4Oa6wsAy1UpgIgY1vt+ePmALexQ48 wepzKxIH/IVAxW8nu31lmZtwKrDYS9ZJoI5tmZ8MlH+R2BJJfRAkaZoh4G7sPzkKO36/YfAJWf/v Hf6Or8pk6jvyNc54YkK+eEy64r5NKhajgY3U2F32DTopKapTuE3cVmKAcp6zDB220z4vs8E79O/W eq4Yz/MhTj7wfapYoH4MQv7H66eNf+UhhQoJz0xrDi3MDsPQNe+ZfZBCF5G4Zr7F/fGC1rXSXPnm t/dhK7+OME817fN4610GpblduMpQRqPHLB0a4GDqha499715msQ7tLapn7RHyd42fiqoD0CWe67T sQJgRrlt0qZ44aVSuSzYX2hODcowdP/Ng3OAfVUaIuDCrgLuwTjzy8v6T/RlGqKcKRy/a8rhEERs Tv96cioam/s8XrgF5DfS0wx8GZgEIAy1DHVumuscfQeo7VlNwsyHh7H+iJX0z3zzWQ4JGRIKf75Z tucOIW943pWYTpsHD+OpRu/yF019IAp6DXabCAvW5GXKtgyEAjjkqfX/4oyWeJWRKHl8a1BkpzlY 6H3dz6OH7LvKJJn8lb0LU2In6jJRNwC+U+q44TkhCJuJm8i5E6ITYMV6jTj0WTlLb0+XxwCyfsN7 R9d8Ue5c9dMx18AUQu4sQLLtk71e5BN9esC4byazCu4JP9zyOLGm0spyEIso+6gc64GUoGupcT4k wrBFpUHokpfD8EpVXudIJV+Or8X70ewryBOgpIaFMu/JpuQQjd81zznul2YHnb+j7pv9i/sGo4Jn q4yrbtUF574fbfW7+EUezaeymIz/z+bObw3/O/t4c69EiUss7jdA8rw61O0U/LkAdp9PgL4vF6aX M1ujA4jwC0G42kuq+Phz0+XGb4NqKDGJfqLWdW0UHiH8WpFbOUnLOR6+f6djSivcOMCObYOHFaM+ +2gdvYayitNmF9e/A75VGeczCOOk98pF/51ev28oftCU8cdGSdCbPg59h7RhIIfBuy/Rqvqqx0QH uWa9D7i0gaAm4uGPX12wwscdMztrJZ3wVy85HfxCmeUZJSRAdHFAyLT1LYi7ZaagpmRfifqfxWK7 TFuDmi3FhpW1l7gaTMRC30HEYYBhks0ra9TM5UWEPRrj3k5rQDWvNJVZY05MO3YUNSm7fKomfwlM ZAuVYhK2kjKITNRutp0MGOTqL7fCW8+Aj06fMU6Xt+czxfME4gwHkUpWwtYlBpbOrm4cJvCCS3FN 9jcyzzjuaB2CJfHbhbcW7nzyCMUjF+L5aAIPH360QLtBssHz9iK1mx0ngCDKF1Ug7laW8zL8e95b UsSHvwF/26bclhny8FZC9JZzK/8Ng8weNzuCybGoU+ij6Ygo+rPT8br5mZa4e+btpvGRk5ce9dPG LGq3xtXqQdfomXHcEmfPYjKdaYEKkmrgE/dWrcWAxHM4S3chWGydtdErGyeo4o6Gb2VW/9xDjZWs W2PWZQ9qVvrOGFiyLhRyif8uI/wK6Tt9jG20DZ4/us4pbC3Djc+wlBYTGp7MAEGD2K2uNBQwj67M +49O2thheqVgGOrc8MnWs0X1A4eBH4O+909u0LlwoBLnYEGGxQIwiuqMdX0oP1txgYEjALPQf+D/ YY6969+75E/C2x8Ezlvi/if/Mb1wRpmvRpgLFm8WYwAPusob814E3kHsShEldY8KWwP/oP10B4aD DmxXFWcQ4xIPsgiOHdzweoo8ySXRXnXYCj11W0w7k+XPBdlrnhMR63cgpWZD0L2RLehXjFWJVv5R ezafYJqeOWxuSAFSyvlmFb0MtSPoeGVJOwr7DNRusBUQgKtucetzIHXC+gHnUDef5Gjfr7IRJM5K IkiTyWPmeofoGpeQlYS/mYDqnL4Niu2AM9hP1LqpWlAUvNqMyhwhJer2zpzKhchCbpPF6vkrfv4W AZZSDPdXu/BQ0wTiFnjo0LEiyx2j/9lujsJHtfjnL7p3qypJbD9BmPG2i1jz7+My0v+dGjHnqkzk sHKETnSD6IoBMQ8tUc4HjZP7wDUS9RdHu8h4y4gJbyqniqBPiJxP10091pebxLHVRROtrJDiHBgH Hf6S5tcsvr6T0UzjgbepVHp1OdNPiS9nbBJBkuBRr/Y9Ykm8HBFrMlHMQbUy64K3Bssf3XL9uvI0 b83KV0j3Pjq4FydFwILDD4BW4ieJTUptI7rQ1O/lx1D/AD4lVAElLGrjOKrVBF0ZgW5D3iyt+XqR YgRIbjM49/Xz+ecmkO3SxMVtmWNvCJ4G5hUNTxe2JBU7x9a6GO3bH6uLQBfPL0ZHn4A9Vc8Ni6M1 fpPdKFJ0EUSsJ8R0aWlVCn9+qOxEK/Zg+chac7bgJz/0TaWlAamTyol7nhHFGvl2YWNsUlD6bj5T tanGlja3IpO6+FJdrbrcl0jJGGaH8dd0TynvYxv5RHV4h88D/+r+Bx6DynrM7f7Ya6X1ta0QUg0z NFNm4LRJ7XxMTA3y9MNLJWC4JtF6v643zgJ+eGNs5W2P8VAldlveDgWH7pTrsM7ed5CKtvi5WDo0 R1y3uQka9hdTseNx1puaqBndi2IYQFB5va/BZOsgsD0Z29pFN70bISseubsqL04DHSJQizplO2Fh xMbI+wLsBO1Bu+h7dntzI59qwKQVisKOSREzyDTrhPjfEWlaRTdL5t/oyi5oFqtHEVSB8klYTEUJ C/g79Au206Li83wUtrXOub4GoepY6cpez284aVtxGNE/jsjsoxSXzwB0gU8X2VNEhtOTp3bMq730 KgKkO7jAym7YIY3SI0yd4A+8x8dHWOdMlcDCRrZF0nIz/6YsuX0SPR/r0kxGtQY/5+Jiu9txDSPS oWs22eJHLD369k1XGZFPjlWaeDfQ4P72AeAcEbvgLSZ+Skx9uGgqhGECFJ8IAd5RMLCy0UhMLXXt DN5/wkwwRGN+cBYUIT1WTBqRaWZVWzLKCcgV7YmOTshuy59+KQExZwSQVBj703AnFClHhj1NcYiv 0bUKtupsvfSdGH7HVgLx40iIKGg/eQ+34cX16z0eXQbnnz0xVauihyN1uurOJg8OjowLX9oCi+mZ dNpxRdHZ8Mv8e8jybozk/rb/d/c0hsgtdTZF5OW8KG2rF2JeVVQs5qcKk7I7aJiKr1XZFh1bypvv ylbwIuzkTSlKC9ZRC/f1X1Tx/T7Yf56rtU05bAWDt1pi36qo726rSI5eTHvqGAkGzPUPBzk9H3e0 0Zknwa3jPObI1i+SMaHgo+j+pIpbDEjiurkFKl+xSETBzYqpnqb8E1BNKmj6tpPa2ukI30QedH7f 2CBm/Mbbch/nxK8EYRojLQ+g2yLgVwjyu+jLD74BC4+HDcoMmscB4Yxojv3BJjBe2fdJHU36HxJx iVkqiencCZWLbMMKw+4XbmDJmDhSKXrpvWtFviaHXgAIUmsZPPhsOEkS9yeChyoHN67eLjPpcxBr zB4Fc/68EpbPP3ADlqpAOJLK4J8wLrsU9prAjsT40nFoIVJbXUGXD1RLPXosbxxa2TU0QyJNFtIO 4Bzh72h9+jMY/hO+12UQs8b2OLA3kjkNo1qwMJDMlsTmAgK1L7LlG6rI8rf6+mfM+7R+NcB9wdxo 4xBusvISPZCmxjOrJ5XA+/7MuWeNYKA2Ye4jarSS5Df093EAEAxYoQRG6bV4rr3o4zUWibxksYuh PD6XaS1B/pmrxmbdtxmG9PoIfrPHZNxKXGJN3inOWq/wMPSl3QO5hFX+2YwF4/B5Zu0vz41z3EBs 317D/t3P+MtrobHSkgxj+b/UyH1MAPnBgZqJeufMNGWBoWV7n06cLzF0h6qSBsMIGs0AZfzqlBxs AZs3CGELyXeMdTTlhPpl3j2M1mHEtnY/Y5yS29f1aC+MWqPCVvbvTRhHWF4SpZnyH7PPuruRpWF1 8/RB1OHDjWIOAdNLfIYu6qchttlI305ABjApgKKe+/um5onNUHzK0rtbc9jvIdl1UZPwPu+DT+9m 7BWDo+I6MRV+78y2ycyX0nKNFcfCA3Uw4JyRrgSHnhpXSjbtMB8Ul1eikO2CMiKu06PIAQJlErCb IBgIRZTFSJwCXHHcbjYorp7LrTDxksgwYXZg83VzBHntpIWIXUtmHln+bWA+TqexL/I932qEfSl1 0kiOBRfyGyHmCv1eCaHjx+8GDSAOVrusJMhnUjL4gzqZR7M/9K0wmtu0MFjop59yhY+R6ypqRA1S oHGhfYtFFZwO0ecGJPcAebW0liPbAaYog58jnSfiRSBGsRhBOOfXNcVgEDrFnVK+O2n9nivVWT4b dGVcRUaFoL2/8ovYcsEVuh59eO0kuZ3yvu3dMF+UqumA1yU8CjFSkaatz50EStmataFTAw4se6xk 0uNTl5qmZWxKq+L6JO7HlmKlTTpAfuiXnetoXek6jjX8T6Uj07RVXjPhNUZKCFFlcxzoc337TLDH 1D/9MPml8/a5XjPc3eVh5DvDnAtCHiv/SB78v9ywLNhE6NnrEXdwtpCyCZZt2uzpvVR4fsYAsiFt Gfpi7078jlfi2uE0e8q3A+umt6weKMgGWH8C/Pgb1uvenmg2o95fv/XiyGecMtGKVheEgimDS3de rJ5XlxmDjF9S2uqAp7GpwONPvLRy8IlYfIaSXx1l3/av7vUtafLjveU5CIMvzM+3/XjXnKThtTA/ /OeSEOu5mBSb9Esqoku8pzN74SRUYRmAk0msDsKEJD23+jUCgZCBtJEplSPF3qBy7XPavpce7YyX vOCK1SCf+T7cNJqSgN3RhWDqrOklm4KRDC9vg/oQUehtkO8M1GCdU7XN2aePy/xXzwRWW9pzH9ti Sk1YBS27bO0S+BrI3vtWNpboBoYFEg0nnYkkXliaqpjMHEbXlakTokopY4QspEhSsKBRCnjLhRiK lTo00nybfosB65iz5NSP8mrY/m20cMQjFQu6g5mbhh1Goh6FRF28GKlmGCiNpf+V3avH1XNH8vcp bmbufY6xC5iNcO/Fgdm/0FUzj+FQC7S0DtjoSgxVLD717y9WJytULjq9+9tmRhjrsPAgsPzjeR9B eNbm8Ts4O+Anw4SbhhahKsA/xV5VjGgkS/X856KDHBidTR6i25TeS5IlHSOABT52si36WygISFAi sZxMDFehz2bBgPeOVdOR7/y4D8SHk4VUUIEPHB/EOYKMCrTDMAYb8OyYvtrMo9oSSWlZXASlHD0J 965AFKCHH3MHAOK9fmGBWm+hFHz4nl8i8AdS0CqMuAUBOrczn+CZH9nQe1Nx0SxVo7XxWfM0Wbt0 nPF5JA/xqE0x9cLbT/okW5Lw8ksRvPz+XIeqXwPMN7Vlm9S7bPl+dEuQuKXraTZob810Vn73Il3+ ZaUtsU1+o6eGvIRLW+ACPqcFW35owsLp6gz9wwwvUUooRM8KTdQePhf5OQQCw/22PjE09MaRBxYH Sg54hxr4EyQVyNTyL3DD+GVE+xRKVHTyG5vG/6jx79nCvhn+zbPNT0PwYw2QdXTiQ84gg97INElF UBhCajDfi/aeHIUagr1KzKDdcg+l9EPszsMVeMIUc5QPF2qSZN8jIIEBFiER/p4G0o7iWiqFTdz+ fwiuYpQqf2+JsgcOeouC2lTm3d/Q+Q/u7QFk704UszV8+le2kBKDLw0D6uOKHV/kWZlJ0x7CQ4w/ Q+SGcrkBO7XX2QepxWEHGII5xm4hxrWB4dAzhVXwrcdsLZ+YNf7oLtEZHscRbQcG0S36c0vOPxCz 3UjG3SCSpTMTcNKbwSthV60IMuBeHo75a52Urb3pLu16cB0eD7DuJgTrUzAclWVseS1CebI8sDte 4mygvizRzN0KEqo02I4NvUKsgAXFwBhgif1SACig9nMDge7H8jXKsrQCn/2ucDQTw+/d0u94U0/s aVDHk1g68FmN2qg8Sje/0mPBOYQLyRU4+/WkTVpXZTWYWkLsiNWSL1T/wd5O6oSU/KmF1x2TLojp MwHYIx6zkwOHp4zwelHAhQUeLG9m/VfUU1B6Nx2h9YrX+gvc3DkTkZ/1d6kKQv0ThvviFD/+LpHQ g7ncsvDS/336c4LZyxodIDeM/7+OdvK43JoHTVjTCM8zn3Hdqlkir1sKHBY7b6MyTzDS87wGGdRu gOW/sHgInyKbTF/8oWF2HBmakuLasm1yWR0tQsDF2ILsPHjneww9gn76ctB9SAalv7dE9nUQ1Lal 69QacZVIsSrrceQFuDN4d+BGHSVpDKDBb7FEoOjY9Liz4bUAzZotcnUy3vJSOCkPo34LJKmUncm/ G/P+LVk+l8NeDv8sKg82mPdENk6JEc54QMXxL1OOKrBViyeo14sJIn8UBkXvVNEDq8EWniREpja6 OjZihLlqzlGoTMe9XSwDFkjpZbH0d+DT/GPZNethdEGKaS86ZzvulFNQW1ava/08jqZcJZnQzaB+ edJzdJimctUVDeoBK506RrBzMbwGxG4l8xo69nER405ibDq9xoYHuGupngWlkcJxZIZXG+6zboA4 er2T9Vtx5QSvUMZPxohhd3xk0czdLNnm8ahC821sIkcXjOdu/1TSVok8wjol9ALz8+fXZyjZ3jHp sy+mxspEX8SZRuUrQ60XOqRpOnHvma7foyHkndXDoqAGzKERFyOkIf7E5JDM3zbyIFjB8LxVttUK w1v1OtpgrICyX0QIBDus92OgQ2s6GLyyk6htJDeyJqJZXdQUN1UwOn7rQ19zXD7G6aN3WFOs7h12 DBVC5vZTT7UVuqYsogSX5yMrEsbg9IZNnqU6nuzLDSLMPz7R7BENrgMpTSJJWXSSsp5R1SkREsWH zQfFPplZleXJtLan9eTEgUw+AxywKgMxG0aiDQ5dSR363TxBtTfyGE6h6GQbpHeC7APfUriWwJ6a 0qVflYnN5CwFIEjDYAYSQKiI6PoEpT79Hlq5A9AoF4h2PjjNErLfMsViLoa00jayzvNATUY5P5/P 8cMLh0cpWfJjiqnKS35xwZ3oCt44OsnhDgSk45qYbyrs0x6rkPszI2rTcrJ0ek3WqnggLK5RAsbo GQ5tzUkKodj4Tn8AYfAvI4G3+XRTWdlJdAugUEH12+1m8cKxNofUkE4IMbzCgD/tYWDet2dC6hId 0k91c8xvNSTARyByLXb2CTerYie5vwQ73ZRsT/YnlBhvtOLPGa6rDVMLDqNGjtpcFtkecr4b1UCR ZJXW5sa3Aakh8Wa24wfocJRE/Ppuic3jGbySPomV2GNi9PLOlEHAWTUixUTYxrhUfEUCMwOU+Uxo Twy8ekIVgLUcG2p29uxr7YsMyeTdhRASVcxlWq7/epH1Xa+QJRSDraafl2x1yWRTxgpxnyEEs5xD QuaMh2MYtDdXP8ZXbsfCSaXWI+BiLDHsNjXzBx51IP3ndj/p0QjxXJHw3QrIxnyNrO3z6tOsPLdg bQJ3YcvPi9G6P9stjA5pjX3QTPpnQ3YtpudQyvzVKcINE6cUwpXqamvoU/MmskXnVg9cAverjifh thMoe4qjMosup1fkpENFolq7KtpTwd/jyzK4eqmh3FHLls46oryWM+zQM21UnsdhFbBPoF4biaCI qzeuXYgN+YdCtODPdhTzs98UboSKWUEPa3OeXBpQrmRrLNpTYn0Bv9nxENyDSKTQcTBMO5svJbtj f61URGC70iCEVB2Tysrc4L/eON75ZvXxuPBOMqxdh+ObJbdj+Qujk0hoawXvZWX4bEaKRv+t/DwN I5QMqjRsRpf/inbS0ccfcnodKeBshAPzQmXb0bMVfaLUOmCZqneLL1rvWoWacn3XlQcrsXS1+OHQ 1FrbyD4J4yRm2dzZXum+nR6Q8kfnnvJ0IzbcoqtbTrmVgdPpURXMeY+m10SIUJCFFTTrez6DZNIl Z1KuNwx2XJGqMFmYxIxzarenTML9HUhSwe2EJ0SbnFWyFOTrMI+Bk1fzh2Qyqsu3cHe3wc7ggdtF s2nZmo8Wr5LOptiptROMT7zaRZvq0absEOEBkh+4roCSompVD65JMu0Ygw5b7FqJ5P9iG46wxT4m TJGsleHtu6Z6rRV1NetAjmNYxLJwWFzM037ArJ3Kf88n+4ok6ZKqseDAAgLNo3uOYMVFEfVWFNKc /mg7D/UVyawVawjY8JSDA48xo+HF1dgkVNZSIYF11lP5FxXApGpymRby5PMjT3Nv9nO2RP0urQXL hOPEgMkKuVZ5N1DiDztWCxQZLCJ0h9lV2MgO98a8t8gHa40PkH4EhOI1E/so/SE12tXp1TSWQeiB JZlXCI6lnARTmOl8YLdzRZ3HRgun2Il8yAqZBy9W7Na0mQLJyl7MXzjXzDIQX0D3ShQvr2NTsyeb b4SdX7nd8rQWjQsPQ05WT8XY4T80R5wu37NpvzH/rQwPu9QiAnomdBoQ+grF/vJiKtghPEjkQ/K0 0B0eexFIXZfDdBizcdgpEzxaPGU9RJs/E195/dMaNLky7t9LWiPdq2jvo+wh7WBNiSe8v0CYzuim GN/OUik/G5FNr2rV+rZzluGDKbpSkxaSycxeF4U9ZTxB58/Oj9MVcIOEeBbQhvUuMbAVsY9DtgmX WM9CoaiEzqxpzdj/1S/9um5S2MNaCa6QxGAKfssvwMpr98mTC0uJKIawk7M9u6ui1ftR+Dur0aiy Kv02XetgwVl6ZcthT77uAszrwKivvhHXAOFLAxhwJ4bpfiigBwaJUrvqMQDJfZaamgjhkFc0CuVP FNf+oUGMSP0MhTg/IeWPUMxT2Omf/IQ8ivgPzM6MWCEYBES4pcP0NU1QEnhtKKIYjq9hl2u4BmLG /+6HLByX7n95t0uR5AlLhx/T8GEWRnDnA4SvV/DwDaNGpddFISCy5aiApdXQ2eDoCUGYhFe36eDH 9biq9hcw7K8RYpWhsNx22XHBIuXSHWX5eLTCFeuMSRHI9yJeWa+icj4ziSA4NdrCSfPQTUaV4NM8 QvXHgZXyt6mXpTXSU7BcXC5M2VkGVygIN94JWu/9QDfX8bZKbEFhfhRMdcfIrQO4Hf5ZqRQmmrAO eGc7AjUTGP+XPc05yB5nDXiMWJCCM4KWC5nkJNBAD2c6n/j3zDg90OnxcmrhK6ArmpW+D9PZrTy2 /q+mEHdYWi8ve0f3FOXQ0ENUX+MYw2OUQWejogdnt8/FjnZdRjgaRQd2Td1sf+VzhYX+hXX8rjT/ BEjT2FiWwHfuCpaZuvkhklo5ANh4cVVf1QCi/CHb6jDtj5kkt1K2IYWasjeDUebDe9Ap7QyBceg7 huv6YB12p8Acy25SZWH4zXDvfGIiYS7q6k4eio8frZwgR/DP+eCbZUGPni0dXA9IA6nmtsWzsN28 Qfd18wUL1G7eChgGgkNni2KbXluc1ZyLNUOJUD8MW+a14pH0iePiERl1m1D4EJqmXQMjNKbDpKAy oDIa4O6No2fRIqtP0PebU+JR/DxK2a4thjVN80aXD755OhnrUDj9NmSjc6YESglvAhzRLIn9QLsc xhWfAss+O1X3GCpoWXwNuNElC3tTnEbiTbPZaDwrdpkDXms/5Lc8KXDLXsAYaGQbZp4z5WGQW4Ne t5POwfKQ4Ma+O/K2iI6eJ/raAE6jdovDNF+JwEC3uIHvqARA9MmhbMoPQFJT9BA45gvlwV1rihek q9VHLRrEw2y4TC72NwKjTyfI2nXUKleeNJ0g5SUDkIG1r2xOlnsKVgVCQLCcDpnY2DKvqOAcL3GO PUEaf47C2P6QXETT7oXXcbTJJ+cM/1IrOLWr2A9QvwE/Zq9DuGn2+GpVTFX8ZOGlqUslSRUUu+ot Tep7hsIQTISzGYjMT2J3WTSguU/6FvuGzxTm3+SP7WcvaCDZH7rfPxwFYHOoFYl+NPJpy/WmroMp 2WQROnmhOiahxhI9BNpQOa8fBzgr41Cx2XlUsYnQUIgX+1J8suDU/ro+5NEENA2uWJjOFQMyu3pP Cf7oUUs/dtQv6A1bIUn29PcBmuFxA+Sr4ay9+8g6h8crgUmFlhFeOKJzkTaeHwThZR++bifkjo37 3KVl21sp8G977kd7KI8zcNqaj9triszGIjP6ccEFva3rbrXM81ml8VqCbzsdq3zcGkaS9cDj0MkY GlWf9DHd9hcNwAX+h6fzVPzeUCtcNacsbwFM2vMZCUU/HhQ9PX3gjwLIObkSeAb0HXohlpjb6eNC 9IY0BR2B2Iy19eP3oLbIo97P7hO9FLuERqVO/y93zPOZ/Id5JowA2DLxBEV1axvXRgwLN8rj+Y+0 4M0nxhkC8kbi7jklStUFXbLyJGVrIVQ2B6V94nX9CmU8pb3R/xn9sa21XocmpAr75rLuZXJbp3Wf 5LfXCdCYG4NxTzPWC5wGYwh94+YbLJYfxjCokm3+2y5NzLSGGqUmnTDE4/eQpFHtyTzU1rGDDTvy cq+XjpEvJI77ekWymILsf+pqGMg4HOwAjuBRFJS5NzQUV8A11okB8VHhd5hIpmkhfZIQRhKVEuSc WJ49IkzTvNHmsjky5qq7duDp/Bp5ctWSKteu+Jrw2neMgv++Ty3AfgZCFjanDSeq5kWRQ+HGGAJM RuxO9FRTTXyYakINDXp1qnS10ZcOHEi8KOvBOWfKlxJ6WqpdM9296Rblhpc6kdOIA9+6Mrwu2hDZ c49O4TPuTN6EJ79NJXLtjn+YzzvTOpoj3GC2FeT7sQhEV128msHRo8+yJDaBW9oqAhBeIB4HpN36 zOo2c2qUh5PKjJ+J4+S507uEMya7FGyyl4y+8xklo/OfEZz4SqdzaysZjeuDFj7tLy7depcU0X1b W69BYIXxahHMtZt+R7FbexGPjquP114q0fgNmNBLZBr2qP8nanD3ohrnsl8gDkSslxSLBDatIheS HLW0OQkBZ5wgzuDXEfpV8Dtjkl9Pb7zPr6Y9AZGLq1U8yyK8dKnEKr1nDtPUPUKeuJRIFCm8IDxF Pk2Ts2viYMADP9pGzlI5Z4KQga5pmYi2M8VblsLmtPZJ5lxxI2GulgA9OE147pAvBuIIjUU6hW+X hbaj5sJCPl/AN6XryJ/0+yIYjcYjJY9rzP8v+MQn6CuObx6pHA0L7uTdGufrWg669vyr/bfI0Ods h0KrlbG19wchOWSzmdfFDhyM13ItXOmFaUhEXnrLxZMowMOMc0ICfojsy+LRN2YCXtdwM0OjNq4m ikGQ59Ik/G1Mf8VWneex0Mtzyd8t8YqQu4HNOTzcRcGt0pUnDFBUIGwzC7r2Lsi5QsAOP5e/k+ES WlyHlbQCESzqPDU/a+WlQeCr/1f9lJsiKjgqk3BTVOAeu9ew8uqrDZOD1cmvrIBhfbbzByOQ280Q ZUuuR5Ud0OBYyZJbBBAvctAfQmPXQkOkGhBIhnrcX9GtkmSisHt4YGA408LFjIcXjyISjvLmqTki i+iRRd+jXby1+fXOTM1Q4eNDzIKZzeRiYS8m4/7TxllY956M9Nkzm0ju832rAc3BHyOaXRBlvUnT G/JiH2f0Mz0mLQVwkjUguZWyQMQtz4ME4Kl9sYlvdBxRsPENwqBZdHAtcqLOdctC7i4kyR/MX5+b VTJSxxjPJrqheLD/LLpQTt2JsY+Be44171jcJnwFEqIURBJRLDVIbzlock/BB3cRyj5sfVWbA3m/ P0SkFLLZzdi+AlDREyJ7P8CGfk2lx082XAa7YUGXV6rbJrI9W5MN7QNFovnlUIatXdBEOF6nomnX SqEAyNSVEMiwhDCuJYeL4XDnU20wSLuiv4GANhj/N0yrgKPE9PR6dH+Chrq330MyTE3pnK50TA+g 8PaL49FT50Wj/QDz9KSEMlKmsYYGHM4rxFYWn5j1wh5RBsJSfSZfXuxBXAeZPAED/pbotbx7Hujj nVVECK05/1KVmMF6OX0gi8kJHZa+jowY6adirFjRKBbzWnJFnFzg20CQf6MIEV7PwF4n9xmgbJyl uEz9eSx5VCV3HvBqNIJcV3VFLvA9kyuyE+iqEQlf9k4ca9UeTcMhS6cVT3NqQt5HSjXbFvoYriNd TrcJluKuQ6blhokRk5w1/5lzDeqtAlzJ2voWPjW6XHBIRuu3AzALbauzeSqgHqjHJrpG9Xn+yD1G i/y6IOAoWUW+KevCUVcDIlLNldqqtFyDQACWdSiULZ7H32gexmanpUpfQ8OF2njIbnkbOT4Xxhor t7PDlz2NLD6lgPWeGBKyaVpkMeIB7Wz7WTjznOw/s/qJzOtJgDtjW4NnWft5syU9IJxIK7Lo+bqV mCEHbtUAEoS+0lLFNam05PypgW3yH+h6wjgDxzhSWW8NCKZHybDYHhudd/nqQ8DCjfb6lkrvzDJV SoKrQw7pYZyyXMWg2u+KpMK8RqxaOmM6truukxdGKr24C/u6AqgP0z5uH/dqrPECsPGkrvP1p9ec DCV+C9TEhvm5wLYASQNY9CvLxAKwiUJ9kNc6SlbYtGGNGAPVDqpA/wxb6Kay45txVDUFffJo1V7z mY6x6cKF5FKWHMgFJbf9vCKqA06ra708Jm9t5i7fFGBRF1Gz/BfaJ4nXsiCsS/OSVNTs7PS2sHat bvsefoSKHJnpIfm862BJpRS788w0owkUNRPapvN2tPk+orj40LXWppIU1rsnKarWyio7sB+zcEht XEu073JULunEYy82VXnba/bc22u70V+FUBGu0v46B9Mztx7lCLwQ0xIGQJd2n3SbGF2nrXEF+wnp Pzy4b5DL0lgHsE2ySCjEe1+s7sPhAfu3tCQSBj+eeTLGgxL/3yNELGZrZw/kyqD3qoDqKXGKTHEz NGqxQImuaoosLix9cpeeukXbxo8dELMT8jWrQp9/3ce/ELEqLzdZFYTaEvnWtvGZhGWMO1idlAw8 v/k+2lah+5wLO+G8VDvO5ddz0gZwT7necdR2lM9/9UesPhVxOta1kkquy5b4GFUS+tlN4n1JffSO xKpbGjI/LYwOwL25S2sHxNsa1VZmDhM0BV4c3NwQysD/4wqayHJRzHpzhVBazjDZlMLVnJib57gl oZQIO7jg4OpnQFsVr28lqJj2usDw7IshK5RyujC/qb7DU9sBWnfvqzLcrU38BBeHLuNEC4aBd0r7 t+r4H8V+Fgz6VB0K+bg8Jn1v3MrlHvukHi256TVFhCHbhrbZdao5zXQ92fKUQZnfJcQWceRRNa2m hVla1uKu46qNC1/fnSpeioOUEBWoUzKVRpsMW9Muy8UreWOgEdI0EEqZy9uHtoJPq5t3mAn0KDkO 96vhm//zC41Hv8TpRbpJZekHNc//q+zt/CII5Rps2MMMgMYozQHAwayD8UxVVUZc6WaXUEtIOhI5 cfH6FU39XZym9mOeGOZKOw0LTaJfw1WkQiy6/yw8Pey/EYGggoyh5ADMvRCoU8wJD+Pi2MRrpnkR X6/MVO0jQ0HjJ/aR2m1QixYwEPWUD1Qs66H1YogxIrZrFbCptw3BDoh4aTXZBck0CsNwdHkEoRby /aSlw/E/xBSIQUTFDKQg1++Tc2bN4CQWi6uUiy5AAqRzqu8MiJo1txQ0awow0/HAa7m6xctYYvEc UVCtrWsE7dyBsL0TQOP2VVslIJAX0mLPQ8S0vEt0eQCW4IEdHKosQBmoHRDOXveocF9v9qMfls6N 6Zf2j9lfSrnu4A4LwwpH923myeTeAgnHAt15iy9jAWX7ht/vbf/QWS3zKYqXdw2UUwTKn2kQ9irS sHIJUkOWTJ0ERvaUbMw82EHa/Qug3OlOTM4JG0BSR5XCk2Jav5yNLSp86ADRazGFnmn/wi6XJUrL xhM10YoszHTO7OUmfmiAh9Se/DQxFFW67N5nOnhTFtVIX8FDuBtfJknq6P/ukiQHLDggZeLd4b2P mZCnhVldjg0prs4rUKO0nuYM0f+26iGTFPgKmoEzOsF6zwGUvdDzg3ubZR/woH465N0T4Cgt5d/N NLx0jFK5fq60iNIBeSoN5pIBPBMFqJBDxrAW9I0Vcq60Sb4lbTNX9/Zv1UJguXYSlaP3kZ/1KOGU lg== `protect end_protected
bsd-2-clause
a0ca8fe40ebbaa1e1fe21b181f373902
0.951778
1.819943
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_input_block.vhd
27
45,404
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
bsd-2-clause
15fb47ced0ed723e9efbc0cf0b8920e0
0.948903
1.82492
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/commonlib/types_util.vhd
1
5,905
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Package for common testbenches implementation. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; package types_util is function strlen(s: in string) return integer; function StringToUVector(inStr: string) return std_ulogic_vector; function StringToSVector(inStr: string) return std_logic_vector; function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector; function SignalFromString(inStr: string; ind : integer ) return std_logic; function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector; function tost(v:std_logic_vector) return string; function tost(v:std_logic) return string; function tost(i : integer) return string; procedure print(s : string); end; package body types_util is function strlen(s: in string) return integer is variable n: integer:=0; variable sj: integer:=s'left; begin loop if sj>s'right then exit; elsif s(sj)=NUL then exit; --sequential if protects sj > length else sj:=sj+1; n:=n+1; end if; end loop; return n; end strlen; function SignalFromString(inStr: string; ind : integer ) return std_logic is variable temp: std_logic := 'X'; begin if(inStr(inStr'high-ind)='1') then temp := '1'; elsif(inStr(inStr'high-ind)='0') then temp := '0'; end if; return temp; end function SignalFromString; function StringToUVector(inStr: string) return std_ulogic_vector is variable temp: std_ulogic_vector(inStr'range) := (others => 'X'); begin for i in inStr'range loop -- if(inStr(inStr'high-i+1)='1') then temp(i) := '1'; elsif(inStr(inStr'high-i+1)='0') then temp(i) := '0'; end if; end loop; return temp(inStr'high downto 1); end function StringToUVector; -- conversion function function StringToSVector(inStr: string) return std_logic_vector is variable temp: std_logic_vector(inStr'range) := (others => 'X'); begin for i in inStr'range loop -- if(inStr(inStr'high-i+1)='1') then temp(i) := '1'; elsif(inStr(inStr'high-i+1)='0') then temp(i) := '0'; end if; end loop; return temp(inStr'high downto 1); end function StringToSVector; function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector is constant ss: string(1 to inStr'length) := inStr; variable c : integer; variable temp: std_logic_vector(7 downto 0) := (others => 'X'); begin c := character'pos(ss(idx+1)); for i in 0 to 7 loop -- temp(i) := to_unsigned(c,8)(i); end loop; return temp; end function SymbolToSVector; function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector is variable temp: std_logic_vector(inUnsigned'length-1 downto 0) := (others => 'X'); variable i: integer:=0; begin while i < inUnsigned'length loop if(inUnsigned(i)='1') then temp(i) := '1'; elsif(inUnsigned(i)='0') then temp(i) := '0'; end if; i := i+1; end loop; return temp; end function UnsignedToSigned; subtype nibble is std_logic_vector(3 downto 0); function todec(i:integer) return character is begin case i is when 0 => return('0'); when 1 => return('1'); when 2 => return('2'); when 3 => return('3'); when 4 => return('4'); when 5 => return('5'); when 6 => return('6'); when 7 => return('7'); when 8 => return('8'); when 9 => return('9'); when others => return('0'); end case; end; function tohex(n:nibble) return character is begin case n is when "0000" => return('0'); when "0001" => return('1'); when "0010" => return('2'); when "0011" => return('3'); when "0100" => return('4'); when "0101" => return('5'); when "0110" => return('6'); when "0111" => return('7'); when "1000" => return('8'); when "1001" => return('9'); when "1010" => return('a'); when "1011" => return('b'); when "1100" => return('c'); when "1101" => return('d'); when "1110" => return('e'); when "1111" => return('f'); when others => return('X'); end case; end; function tost(v:std_logic_vector) return string is constant vlen : natural := v'length; --' constant slen : natural := (vlen+3)/4; variable vv : std_logic_vector(0 to slen*4-1) := (others => '0'); variable s : string(1 to slen); variable nz : boolean := false; variable index : integer := -1; begin vv(slen*4-vlen to slen*4-1) := v; for i in 0 to slen-1 loop if (vv(i*4 to i*4+3) = "0000") and nz and (i /= (slen-1)) then index := i; else nz := false; s(i+1) := tohex(vv(i*4 to i*4+3)); end if; end loop; if ((index +2) = slen) then return(s(slen to slen)); else return(string'("0x") & s(index+2 to slen)); end if; --' end; function tost(v:std_logic) return string is begin if to_x01(v) = '1' then return("1"); else return("0"); end if; end; function tost(i : integer) return string is variable L : line; variable s, x : string(1 to 128); variable n, tmp : integer := 0; begin tmp := i; if i < 0 then tmp := -i; end if; loop s(128-n) := todec(tmp mod 10); tmp := tmp / 10; n := n+1; if tmp = 0 then exit; end if; end loop; x(1 to n) := s(129-n to 128); if i < 0 then return "-" & x(1 to n); end if; return(x(1 to n)); end; procedure print(s : string) is variable L : line; begin L := new string'(s); writeline(output, L); end; end;
apache-2.0
6857ec6305a94ccacf92b2bf0e3bda37
0.588992
3.43314
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/reset_blk_ramfifo.vhd
19
38,101
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kNSODHF2BA8phv8L5aZNyOOK56HCcQ5lgKBxF8hcTzwkWRF6WnOKZaH0cAk+oZsvi02J9SlLLySq oKFSyBG2Dw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block df+BuhfNWqGLyuHwX48C4kdWet0FAm6osy35ZO6nvLm9LeYvgiC7d+QWQpEp/leK8jaqvimQleVB qNUNsNTBZzVm+VZnT/+N9fzr+Kn5brl7DACKZQsJ/J0EK++GrIymGQB1+7LWFg6RjvqxHctXSERU pIxXjKUtzcqAwrR0kd8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j4klfuw/RrSoDKuTiN/Si4GPF3r+1zWV61wAeT879HAyso4ajbQGVJETjBzL4XBayVtdsViewbVc n3EWjppKn7DU95ziVUsafFQrG5PCVJ8TPZUJisZwRf1u8N8ojLSjd7Gi7vpDvGySyTXx9aoOQ69U XzJmTqPAeaivz/FLFyjHWzMuc078i+06EYa3j0uxrNsDH6/IL5syM3QcJV3812LlPGSBhRN9Wynk J5AcITSvkzy/dqcKICGyxp5ubBr16BEoG7l6F/VEXvTJm/kJnHW75YZ8OAQ3I6icKjHkLZysnDlK KEU2K5X/pkwYnpID2ogdwsEuEQr/xxo42oEmKw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AG9C2Ti5ZMi5neBsWpJ1qwXbrUaWpaRO8Qn1fL70JVZk4SiqmPlFkL5Hz8GrFfE4eBlngUFZoung TTZ2IeyMWjxhdHHDVda6+BqJtPiX+FBQnaCzRd4VBLDnB8KUn52eheU5F9XtqqkHq+oJV3U19TRZ Rq+NhUtknFhYrHlVXfM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TuUXpu2xk+duDJnZONHfYiEzeCuzIA9y6Ut5Y0LAE72Cfiq+aIEHs4lmSaypPxj5+E8SKfd42Iqd iKQPBy7GWczcAr4hdHMLEortigKfhxQvyiAB00CsQyuj949i0l26Eh+7iirhYh907kSXNLc4JeDy uXkHZzsX9mKBsIZLMO2TtO0R4ECsHQbqo/hSpi0B8kY4ucdqtZfLpEsAJ7G3XH1L+CD4o7on7UAz BPPpoVV+VIZR6heT9EgSZTHhg3uYl38G0Ezv8g8s1cbXnSuowx0B9mx89vkctBzRxFOLnzsFdBr8 DIKQCrHZfdOhrNHz4ZkgOrKjCDpwEkMA4ATVfA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26464) `protect data_block OCPU0CjwpVRIw8iUkWHkN6hMl6sOK/wg4415fQiRXIkKYuFNYrsrZgkVL6r1uDg/LR/3tCHoHNuN yQw8SGtfENLA5YZOfo8SXPAA1d1NGQCd6H+9rxLhKbUj5YtSrKSEhCU/F7wHukL+LgL+uN77coZ3 Kp5jwEBJfAKdv1OYfmZLI0d0llxosL45gxzGG8P1jd6oSbuLQH/phRyYwg7o/NoY+XjEHOAIreMi kMBkF0gXTwMCDvBlSpKQOil1Xv/TGW4mVRVEnSTE7pm5QEEfqPWEsMCAt4clyCGjzoLLZUtTx0BS ulp4UBdG3rYzjn2K0DWCNd+su4c469YmJI7lxM2YUTl9/bLr+TyesgJ0g3TN232UgbNS4PZzcOd/ +NcRqHoIVZFdJTVuVqCPFYZoFYnpH1Y55qXHVlqGHiL42n3k6CVGvz9kYLpS3jxIs31qzMSLeLc2 TeHh/fBzIvn9/qkuyLTCIFeMOwliy4c4YWFSPv8balzqmoxEz0WtWiMkkD/jNXx7/gklP80r+ixo 9GMmosND/t6P61T05XEWrR6uiJVXFWu7XMKpECM/wU+09UMipJ25bx33DndM6v4pjYQCZvxFhznn 5ZVxAlwCgkVX3Uw51Dbtpj2T0D65TfDr6R0lsc+baH/KFHn/JONfb4+9iYshTd5yT9LsdTB/pHmp 8o8/04jYC7UKFJreHkN9Ov2qvW7eBOfiZRyQGusOmnBwUGp0pJW6qEhYW65gLDL4v9uFgeo8IpGv iZr2wcUD221g1a/wNYWnKz1IC7IrcZjfC+GsDOEHOYOLrY/O5rtErjzvUfpMUC98Wnlf4oaIfEtJ 7ZHZiD1qQspZMVrDKJGGu6fU6ruMMKt14yW61CqQwaTrpvoF16ohzbHITmFhJGKTwLz4Ssy0EPbo k1qtTzStM98suDOcLJ36N8lkUb7LCJuoZ+DoqaA8XIkAYHUufrLLz29JAP7Ta/7fvBtAy1Fw45un 1edNM6fVSbmV4eFki7fnWOAeursoQCbsH5/sN3N7Kpzui0oXDzxbqiWdSV3eujUrEkaXlEsESAXN l0ZlLNDn19jY1kzXaBqaLTPHxW+Q3qYfH1todZuHX2Bx3wxICoUE+L/2exoFAyQlbkvcgCxSkwzq 36nZEySiFnQ7WHPHqoePI/roGWkQrGVZcDQjUeYHG4c5TwsKAcctCgsuoJsrvIUejnncDqAiLN4K ueOU4Eztne2SBAKEHYjD9b0hUzGyIpKviKKuUsXYaJCmlYEEzVlfzfw7hSJSFgJL8SlWuXemHhyG j2FmnBHskwGyJKKR6GhjEhul9+6nTbqIiKfUJuUWBGQW9ahlmROPax0XOuT0QQKi0PrH3PQLHmhu 32EVX4BxVqYNg2o6+uagL2U1px/W3tDw/N5pAFm3rHvsqoN2cIkVgXHuM6fyKlx7rnET1669Vr4U TGtq8EAPueZVOzen7iP1zJpXtpBEKn4hqdaiv1DzGfXi4IDnnbcFczeITOP2EWKA3S0HOz3MTnvW iJU7y+l5aqkSxKjxPOAi00oUflDRneRsgSyG71bNCEjriLLgrjhw2lpBIU3fITWwLotGhn1whblE WdUBSdjD9/COZNdwuqo2Z+23v8cieP+KN6xhONoZA2KgvW2sWyTIExjjpW5wajYXYgsr7y2swQ+6 cJhklaJcAqg1A/9oi5BMW9HQUmGtsJjwzJppM3OYbWgUZv85VuKKO8i/br4xMBsoxtuQMDpnPN/p DbRKuCJ/VUdKHnQXOBROpPjoziiku2dOmP8uZkfa400J7XLOmt5w60pUse95Q5gyNrbLa8FFPMJM qZEdGzjAEeUt58hdeXJaaVPOfOvPsaavo7uhk6yxuBrAJ4CLthksIyCyMKlAk4UvBq8t199BN18F xX8caP7Qjb5LinlLhvs32/i2MqCcLJ6aZUcfNkbVfA3d7ZMbuOICvZ1UWhboDRXMm+aw5JPO+wt4 vtixYkLErMzPIdiW6edkYZY0+90kPsyy2f6L8zriIlbM/ZO2yxGh8gUma3M7qOTfsgiXI7v/KuyP se5ugQuQB6sarFOGyDThfc0OPH4RT0RDWrjYse1L2guw6Ve2zWzqXah0yfrqusZIsLWQAZINIeK8 c4lB2CJ8xRUVQStQm7YZjtv9NXrDaoo5oJgGC7pO4gbTFvoC6H8toIh4S+tm+43aHDiXgNIT4Pzk jASPpbq3lnJXYdq1J/YH0+R29+z/PeZ7SRea2SILid4IGcdaW/NM5yl5e7wn353StY0XpzwkoXoz cGOpv+Q5gSLiu48qxPGA6zarnkZtJtOTTUMP5GtBygcL7GoMX7zl13wNWMRkmZLUenrRZXR7zi5T S9KbFtksua7HMsH+AvXbjV8tcMwZIwClRJrbN8pUPmxj7UfZ/U2hAX5g2AVABZIpGz0HsNy9n6jW W7zNUUm6THLT1IUj+BDQL041o0wqBRiErGb3lg5zCzkhRKpiXRf0iRlWMH22O25L+m9hlHMxKj/I nl4XPTQVHO02Q5pHfwP2pre4XqvFuv8bo+QTiEePG35opq7wlDWTAenh5i6REqsAnU4EpWxKKWtP PJYos/kJV3xbeubo8BaUW0iKmL5u1iMJZ3qhcjmfzwyE1NMjcZ6G/uAU8lB03HF3A7ndKFd+v3Sf 3T0/ru+2bSGnGsRvprXTDOoN/xUj2jzCt0XDAtu/nZUGIif/vF2GhsHPCcuZDiGPuPmnsr9C3rMU x3Yn8dyH05sxcI3MvgT+LooAnPE9BiDy5rFKe7Fvw+Nnq5oIaU7QT0delIOw8bg0hzxiTdNA6gJY pMCpMXCCObFpZXotuvVkeM620uISU5zCY9dymb+DbNqcPl0ZZK9U89Dd+t5dQ7lI0OKA9iFV1i5v PrCi7quyw1QD7pLfCAeaQptNNlvAb3B7VxW0kCyBGqW9ExGqmNYScbhEOVCbYC8hzIEw1nlkSit7 M2qMqx2mnJaYijOhsBcWlrGzYP3gn8SouDIa95O38N6618gb+RlrAS9V/pxQ8sTWYYom/k0El/Ze ubCCIBpGP4WCTD/v5GXo68E/FHpp7rdXeBbVFssYti1GaBoPjMpw2rqNzNETZgoUf7VeNUAua6JO +0geh3o3Z2GRg3UEo2QO/Cqn2gfWST+oI5vva9GAl/TCOfLqtzdCjQWGqTFFbBTLFrA/xvq7xIGy g71CTdF4Xu1KGTCXy/hjUELs4Efc+CCelhFRSKP564mpLasayqhqBOj8bGc8attrCj+U5womKeOp Av9TdBosRE2zacohrmge1hHjmSbT9dCHSb1R7vQcO7FSFtphvwODpbfTr9JfWTwJEdxtbFrp52nM CatbEFeWXhMAtZPv8sIx+UmCaWPK1JY4g4c0Hg2Et3M4j+DIR8pSrOxCaGblPwL+GYA8h1lS2+JB poPgRzTAiyZs28FzAfuJ3MIrx+A0SioB9WH+sbyOqx/sVyTEwWjtitEFEm18E4XTLKTggmbLbv/N nU+cLyNsNcoYo9H1VjYGSvO2wQGCJ7x7Tpfsafq/VTUdFFCGnwI7dZ37ZDv+DqlGCDAHCBuuajHr tnl4oQUC9BMx5bg0zf/sb+6Cbjd8P9fL7evVMhM2g3EaXfY6aMpsZ4hqmfQxqNOu4b9pzk/4GCM8 BKBziejlXZoQc1s7WiOfShaLY41gjDg3pH482n+Aw3Pa1QDDlayF5LZvh7Q9x8UDbAXuJHzftuyu tdp6Jld+2rwJzdiiLbhg2Lay3pQ3ettx9+lg2QAug7GVsWAXmHRt6cs8AbiHf6PlnXjMVC8ow3Ey eZ2wh0M648iawTtNiKCqUs0k9VudVQzuypJfDQZH00Q3lSCe9u7miD6Gy7WNyxya3mfWD3G2/SW1 Hrn2jsCYUf1wb7vZUmeOFZ6kSz7V42YLQuOsBItcpxYnV3PSpZw3e3daIdwZtXC84HNi00A1O3Ei Z0EvltQKFblraPUNa2V36+CUfHOMxvP1rt7mcMq930basf9i685Gz9qxF6WuXtWNEfAQhL9jGHt5 VWEniUs3yOecd30NwCPRxFOIl3EE2wei/Tu+z3M/T1jU/vkwOU4LRxDLUgCq9OhTNZPAdBtyBZAb ftGhG1LIlRlnXumSU4mOtBKE8nmI1Duuf1KmG52C83MyF7axg/wxBaUvmT8rr+TbjCoRkk0jigoN EsbFZnHB0IWAkQOGY5zj+kbRMOQcUg5hNdXcaDvrYphmYhx7NMbWg34LNfdCP4opyJjCToK/24Fv CaCcITto5OZnsrwyG4aWDXvWdHSNYLqxhRskAMKcF1mi+YnzJUTY+N5bxkDcHzQl4uDXHlklYE49 YWj9zwas3E3sDG+HaqL8ha4ACzXTrwymUs7J0+F0PgBH3I5a8uWqMuQkIv0mGmNZlyqUq97P5f6R 5+cYn+0sSNnQWqves1ltQ/czaNGklpx3xbdUiW7pig0Ss4cJwWvvfwcaSdzBUydTjBcaKTk8zJyy Ni3/735saghuYazGGJpQknFpnilE7TakAVBqJbclR9wgVtX6JU2umbbZO1qUOBwOBd97YWWckVCz cuzKVJMBHEqmQaNI4mtX//OPk428+SzGrPkISYbMrXOZKPyynHW8OJz6iO3YgAy/F25t9Isky1sY Yvs8So4oTY23eTXFa+pTlRe1XIhOe01Z0p4WGeS8ucQukp8akjr8JroSjg9WcQaC4UA7MylFwaYD UUyy/WXA/EXnimHjpThP52Ln+l+pp1QLAyWovf0zGcrBhrAZCUw3iaAlbx5nmi/FbMtfQ+KwsxkK imOp1Zs/jaMp8tzkSa6jBfqnjMbH2+7vwpk4LS+vKo1+SbS4bWB3lEg9+HOqFenzy7ZCnZmCD09W wNQG1Oqv7ore8Fv62sKlbOClt3Bwi54i1VFY4SPqu9MDm+FUbZ2YRA6U+zhtyPGbqBMdIXHKL64p jSmZcgAXlpB6iK2ZGbcZvHpyX4nYN/0H4G7gf5rgD8AQnZVa3pY3yrQgvDCWN3x67+E/9niIca2v Exq5nCgDS/n+uvMtkDkbivxRQ+C5GFPzERSicWx0sV+mtv1CKcg4DJxBueFcKn0EA4jriADVsUsA zgBB9YS01tfDCK1ShbvO9yRZN7DL7DHeDxBlhYu1fhtFoc2pzlkAIhcbTOa3+kOaMXUffeBj8rv2 jOOwOkD1CyWGQHboR13B9TYDzPZRkC45C03QZMBgpzGyANZRwKYlQY19qMkjTYnUw+S4ps8tqxDo ZgcBmG15a6GSS2X5O3eXZiF/mRDIgYX3VPUhsAgHN3DyWmWL1o59oPDLEFCVy2VxnDitpKN+UfgW nHqErdrouzlsxNdujYxThv3ZbQbZwpzuFHJ2wIB6rHvTOjY4I9T5NmrPKdDdKnVIa0hSrrxqwVJ5 VahlHWp+YaiJDVLgumqJ7g0fyTt8cagNapY7kGqMGupi1nSw/FgbZG5uBtYZXsA+mlwOv0/X5igE wKzO0qmH1YrAKVsDtg+EblBxoYfeOgMkehAxd1R7OQ1YEc0mdeC/XVJnZ6y6tgVglJarx5HadEoM oS3ywYUvQleBj1HZhx/3nWpvVggPtxNYDoXbOUGBHo538OOzUpPtUX+tmHXye3IkdbpqadFc1jXj 9r419Xf6BRBkQSCOVtV08qknGuWauoOcUNEWfz8RG6VZXNTTcfJ4yL3o4w0CWAZ0OJfrLNMZPGvG 4nBgkvvzvzQQon0diVQE7/cNW5y+QNMC8PdkuQgc5MGrRkVPmNmnV6nUsNPGQsbv1VUB1wu7MYid 9NItg5b5NMGIMLKd0P/KrRuH63LLr/jIGQX72sFEZPQ2JZxJEUuhD1fzYTUxwoikIo3N2EpuymdI HSBfLeLeAPOqMHkv9wOCNPw7jud2eF3l5ki5SD4Yf9JHQCu9tDf4uvtVmX/CrFZezrVovqRZ1qXu +mdk9+fTrOQT5oJa/2xteHx0Rj/PS6S04jiTceTh54HneW3Iyaurc2Vww99mhFXAZX6pjUXXVbtx sDYNrZ+k2BPM3/wkVMO+YUIj6FQCrDpyuc00SQVequdCZNJrUUaiu/4oxM21kV54ffGQTseCm7GS Sii09bNFlVloV5i1QQE+3wrNMi09ROXJco8VpfqLNg2FMgQxniYTdG8jAHVals4eapbGfBmFiDGm 079aAfNLANO191hjMrIirl/4xpTJo48Yi9iTwnUMkF8VJx4yihI4y7B8Utl8YnPk7GtmhzhDPf3A Ubah7/udL1yrGBzCcoRcOnKgHaO4dAyzom7cucUDMUVFJExi+zKFZtub8PlU2okFaU0Tp8yaRUAw HLw9CEwPi7UsUdFp9DNqFcjE0io/7GRrXhE1110ziRVewsBII8Jw6GkXaNzUtFGheEuhQYD/t8Oc fVI/KpA2MF2H1ClgL1FGAuTZFiOLJkOmsAeJQMhk55vrocPwMvEFMRtOWVOObwsT0l0XmAGTJOpL yBF4GBo6BpVVw5iEYBNH4fmaiy4Qq4GCx/SKGzwuI4A5kMCze0vWm6t3CtpT7GG/uYPqE2PDQo8D hVXz52j10eBYL6HN1Xvz3Mhs8OVd4ayqpNa+Is65rFjqA6NWFZ8+Dj59TXuTr0KIbrlaQakHOEK9 SHkNRafl3RKKMOmRxDhZmjLfT9J+dCI/fV3dSHY7QWHLbAxu2vDDXdXsJHSURp/GAcUHyBj2LgRv HJEiHEAVqbQeGx6ktWgMfJ5wgQ2oYDX6mbUJGuUGSNfqN/3Ic6I6NCydEDlYcP2u5xOFekqCCY2M OKWWOvIz9SCobcZC0ydQ8PZUOK+PSzobIQNhsUZ9Bcl+Jdjr30SI4gSdXSBkWNH+DGKyceqx1IjA xwhz4VIhlfwK9L12HTbdRoAUkw6H7GaaccbEt1glco9s3fM+zVfK1MdW7F7JcqG28zGApTr1Mz+B jXCJ11EFfYTfllU0mt+pNOJwzdlISfvsdQaCfUFvfxtCdEcckUCe3tQxCOnefmrLAcs1NIIyRxdv vJslfeFK5iIN5dze0qfaTi3JLv38xdBimoK/dpLDpJdZF7lhpSKghwXCs6tx9gL6XBwPrx76TKHR DfQhTEHLaDW7GdZDWjrDkP0Y50CboNm1uDnkSj9EZdibyBYa+OQwfUfrYbmtgDLUjQ6gxPKZMT5A /ugE1zJlUR82oRtfwoFt4+9RGOjRZZPBVgG0Kwu1DnX+0mCeVWwBEwb9dTY+HevVSlnGEi2ea/JE DSEmm63Nl6+cO7Sg8FcqzewI8OAhNxwPPEhh/D88abH0sioUFbYTJm2lZnTOcNUSwFn0inzceySt zqnlrhOchg/WF62my201UglazwPXLuOOJVTPELuEDHgjSosCMTK+XBBJ7v3pOmvvb8Q3ZmUkVT7V yxGCkFFKxNJ3GEkELeTDQnEXbcCAj+rSUZbDqvYD8PVqSlHt86L2M1yK234/wkh03xkNjVzXJcTT ssUKooGuJgXvrTNy7ja+Tud6yNmEdeaOFLPuP/gC0GqvYghp4q76KyVuO9qS/fNs/x1tc7oY1j68 h6XInGC1XShVMbKDhAdEdnNlIP4E6UdI/bNY/inytVyaYbwzFsrZqKh6gFm9Sc9CJFekgw/YkkQ9 7ILFOb75pDmIDY1aiJzyof+H0oAFksAD4aLsz1ABiJTfubHxUlYgIhUj6pWGafCK+srTEWBeN01c DXD73bcWd6XLK2SBiHqLivPrwO2rm7aBb0+AgmlAYlllk9FByY89VNfNjUb5mJLb+rMqRRWCfhGw j+qNWvIKprzU2NkxWjg/D4boHUWV0mmQ9p/P4defnu4JCz8k+dX1OYaOxW3qUtqu0oxMx7ePEGja o5z6PXI78on8KW50iWLGfzPajGrPysnwNAuug1brfztXiboBVsxc15OKeqW/9lh9J6OA4RHlNDlo pIybBBsU+pNoHS/8NTHsWJ30O0T/g0hVYFZO5pMRzDUs1BD3U4r87ixHAEfEWg+ehOhE0ISU2lMl gMgmb4zfnWpouI2V5zkvjaEO7FBX+69irv7x9Nv1scdWcxYHOj16dHloo48gWwrUpwcIuzehHhmo k6+7zKR1N2PpN0hJQ4TqV/BTb1vmEBOUtAmDGR+qQTM0mYuMeepbOnZd+smK+Fz3kOf5AJ8Qm3bh IW6pjWxiNqQ6Nl9fpVTS+Z8HWsxMRRO0RrNMn6SJID+0RmeRmTTkbqQlLX+2kXJvQ/tKl9SKU/Sy hGCyte+Z4OWdAjKicyb8ugc+5PDy7b2fs60rYec6ohy28b3L7ssmI0E81MtmcW2SDOQwxTvLQZkT fSezn8IyUKJvkj8iUYz7I+Nz2Wl9VwskrqGRQH/cpN1kg4DyB2LViZikEBo5/Xj7PB+icISMlGbY Ea54kO5Louosla6N1fnll1KeN3LG9DnOnhxF/LrTz02s41wRpDsSWO4HiZldLxDbTWXnH0nY1bva 5Wuu2yqdDO/ixAmsSXPTJChuAkNMy28d37qH8oQifv9nmoTzVsZ1S2sBmqoZJy6ztk+81pRUHI6v m5l6IL0H7CokxokToY/w0GujB8w4nQ5SJQVIKLVQAZqSJ7edFWtfDP7nwTfk88+6nFXZQe37Zs6c ZrgWCgI/wbR8YgXyXmsqgxOz1mg0W0oeQdEl9o/EzsuQ08RMvx2kga6zCCqVFR5WxGa08wreylnx S0c6GyGfyZS9wQF0UFpCQTTKtblDQA/tVJOex3LKO8D1ewBhDYjXPJ5NyItMAHeOUhyqqN3EVNsX I71WUbeAZXyCl4mVQP+MLRCwYSE8ndrzOCFZofEhNFWfHE4tjO50oX6KUyu9omVnOKPn8lEnu6oh GTLeEyd7YTFCWrFsy/ovtx4d80dGYkF734sCFfD5g3Pl9WvaGTpdaoHV/Ud1Uya/WSz8LEB0G6K7 Qwy0sNLkvkCBiugVydInRRQPhBSwb3Geh8TLCF9pBDDpLC9vIAuot373BEN3MqSFr62IyDt2/X8M o2tm0Gi2DJt42ucAh96bpPvetBc2XKKKRY3noLSwbENc4BZnrUkOWUKApDe/yT4Lw0HezjsrPJv5 XY+UinPk2+cqkOSesYudcS65qgX+tU9V9p5OnlZ0zvpIvVt7vIF/LLT7PaoWYPUkcNzW1bL07wui e2wE9gWFHZcG6mP1PQaL9OIout1VsrsCNE0kTm3eE2R8+n6w2fydCIWbNM9XLRaYcERANrQCEIyB gwsAh8YuL4V3HIvf9Y0bUT3Coxy2NHRcyS/i8FrhtypKTAQG2ynctNJLYzlETWnKC4G4zhie3td2 9hYKtjHK/mfHdzewB9I2DZsxPGG/D+aw+ASqn7jLn3/u9v1EhhmlZS1531EessRgU5mYeiOMj1tg oELkOzPUeCRi7aeu/lQpXLDjCA9ZpBAx2zwsClqMyOyqHG0IJIxO3lQ64trLi7ZBiutv8HxPg9Pg /49VyYoc9U2J2+o+WdXwDihWz27stjkZDFWXXcc/tlKkWrNjvCvNqpp2HxBpoRer64I6oaDLJWSC YRcB871Xe8vZS28ae6OvOhDw35RvIVx8K8CxzyliY1ATNNADlgnJSCII07UR0ifxIh1JRDMuY1mT V0GO1gCAkfbNRj08p2T2CXTH7d1vihz7ud2oEtijH3B/pL7izkxIQVKgiU2ulnACN4EMoCVn/IBg tzqaL0PWlrJlmDXZJV7Gcs/fylKpl31UPHE6KFWBwPrOtAF5KSNM6CqRfgkHaVwlaMdcnTT6R4Z0 Cr84U0ZD1fDmkrIZfkr9BuRnfrltD32xK5JzjpaX6pk1BSJrp9kb7ddOzvvoXEatge4Z+oBffUV0 L9mOvmqp4kSIRNt007Yggi9CiwYFJYzzYgN59jy7A2El/gUe8rc+RDLRsSUUCgyFrju8mav7UlVb cWHneCGqOl9YJ0HTQKWDk1vMJknVIQWz1Xpqr6YXBjFkKjO/p1yPpazN0tbMG+TKWoenLKBX4Woh jzJApqMylR6mva1pjRaghwrKow5kp5m1nkaGUx65rCMXRn1PlwEwtmhmJr2AiJGoFIfesHI54qnP PKkvHaXfPNK4JsEC+iqE2aK3duoE4QOzdBPwC8DEPJgaj1ASWHiJCHZwT/MRUFd+unmr8ileWVq1 C6ZBWKSK0IInY4bCxTeU9lQd6/eBWKPqn2IVpm1d0MgCxK6hARmvwWTBTpO2rsXj5pQyQZjrZ79k 1IoPZYS70qU8kaAVM9pZpKH6yiHrl2fSSdnNkCRoLIpK6JAQREBdk9oHL5n8wSYLnJNjfT00Qxu+ V1+nrxR0ac7044THDESdCAQ7Nbo/dJ18Odi+3JAw67njNVd0K9e56oWln4mHrGoN8mPj/ag+LEGI 5d8rMAiT+q46JCzFVC22SzO/tANwszVB5dUG5Sm5GM7/h8MrWe5itrzvlHPApWs1dNOOxI0Re1B6 QvNaIav1exmUu2GSXy99ZT9LUqY++e4v9MyCFhebBjIQgTw8tCT05ZgVjViJqvgG1HH59PN0UIW+ bElexjKTJUa978smqlRrSLYeNAkiDlLD747XTgMb1kacSaknD3ZOs6PiubVVH3IEcERKNxZqdxSO bNljXQwarbk3zqPe/JqAdo2IM07lEWTadPbDdLFRlmztbBgyakzllD2eFdeLVT+fPho3EC/7l7di cfRHTzF3Q/bfhZNDwG5jq1OrDSxqZseY1zwEvGzZQy19cvmmTna0IiuxM7eeIpglxd/pxS8UdVoZ KlpaEeziI1M5qf1LCI6ctc6Op0zEeLcRnmBXuHxGMnYprfYhJJrhm7B0a20Bp4OlFqszXiwYaUsL UiVu16p/h9bQpHk2SIJPX+zmjig8k1inEoOgO6Uhyt+2Iv5A8Kqr4Vpf3rwEN2h1/BO9sMzgthpq huJMwWc20tHBPEfDDYmYt3nT1tEypmZBo1qb/H8+1dGqHTp5o/0QI6piIQuy9QGph2sR8YdRSrzT BT8Il8f4JLkys55ITobn6yE1Nk8mOaJzDInx7pR6YqYPc0iJPW33EQgI/yRPQe/un0nCSzd2nlhX o4w2mELGYJR4liZ6xrUGoqKcdw+Op2j63d4ibC/hbK1ro8JAX+poql5R9KWeJKx7tCQghfoK92n8 Pip/M+DRG8ClJehVtCXQQnEny/+ul/PVGo1qto3Zj50peaDUS29FyodgZBMnzMRmyaTC9JC5dKA1 Grh11BpTSSSdk3gyBAtLnjXwa35xW4YAj2bBD9F6MJS3NyYsh80DpexZi5iNIgaiFJ0fXrvu05z6 U/Lt86iD24rWR51hQfdQhwP5cya7dwLU9VHiqwa4br7CeYVYANRUe55M57lQgRzD8mJILWg/bX/4 FZG3p/A0YJPDgbyHijL6Tk3AdFemkSlXuNH3qFzPKifiS45ke6f1aT8qxTQlAGkaUGRG8Pm23pln R6h1DpSaRjO3ue0bh9Ce4kO+MVIVqgxpRjuZTfkmF0SS40sg5nCRxm23yHNiJ9IvwpFNEgDuGbPg TBdJCQ8r7BOXe5+IcYw0zkJR5Lz0VZyPWI+6AGEXRPSSz3WWKURNtwNDy6LWH6d6Jhx6RiQDVfTk RkjEpzjoUnEZXG50ZaAFt+lVKN6ZWK7r3OTImgre4zWhGHROyfyIdvr3TCOCx9Yy4H+seTc+wzK2 VQMLMLwO6BwZJFScpBIzOsk+PJJ/s+fTAXoeYVNl6tk7asv1Hwo0hqk2IDZcSi/g5CShFMOdj7Qm O5EfLZ2dqnZ+bDflgftymw5+3SGdjan19BwxupAfj8PJJ9cJy9OXY33QpZ4YWbJOYkBlpLbfU+ni xXH65MJweN6JqI/FiIHMmxT4vcO8Xfp5hMJ0s2gqz+TDWwQR2jIfzb8TE/v+WH746nr//1+X2wy8 UKa7vwBaakxRIm4qPmN7tjv0FR+ajHRcRUDs86vfP5ZrP2r+wHc7PqmstLVOLVD34BQD+wG+6QLl m7aU41BCB6+iBuONG/AGKqsDfBqHrS52EaN2AeKLrPdRh+UOGZwPpRoHdBGKVSBxBf59uGPgZ9vA BNFId/ERG6+0A2yEXMkkjaHeQ8Ux9KfccW3rRM5tGcdVjPV7sU/J0ShnrOL9m4Nyw5kzqi677t5d JJfP2VlY8OVKGpOIUwOfqht3LHs5cTzrcz6+2GvWXnLcKVpjZ3zwDR7C3X+y6wq+044a0rjfuOxW eXSixsCGiGcA1Li3mEdr654EyVYobZXF7VQLUxurQ6Ga5WBLhWkPM/Q5rh01gwJfnC6Uw2uGic8z u65Ku25o3NjoDieqEZQBlI2fUqHmrXNRaAF2wjxz/psrrqdMHizKU5B81S+43xvRd6oZq9xFxM1S 2yHk+zfM2/5DrWqpzvZ7oFICkWs11ooa76QhS5qXC05fMerLSqaLWMQaZddAVXFClw2+Txqk4sbj +vMefG6fa+sU4GVsvsOdYKa7wPbzS27SiW8t7njeVaeCJ5K6lWL6I3Wo63qmEjMgSeVjPhkt5epF Hn5aw7vSPO+mQB3fLEI4rhl9lVfnI/1RRO+qe1/oQB2jVnLAB0wlJWn62TyNDZbDZslxo1ONjFoD g5XxPoDtAmhIdLMkgbJFc9UKz3Wg48L+kwYqDe/+ZksNSTX98y7ImVvSgSutjuBb3L3B5OL0VHYE VOItLkmql/Sv8sY0m47MqdVXba2G1LwGRTN5QAkG4G6x0yQh2sLCmRTf4d1oZPmiWJFxfoZU0ByQ pGpBaV0lqLA+poX7GngxONmS1nWuIasRRdpydCycEJ+bZl/mElk5n+WZA9n3Psnqz7/2sW25WcY5 0nl3cZqxQ3ocLYK1RcCMHb59M1zeLTofW6x6OiWoP/kThIg4PoNEoU5CAYleLDgexELkF2JfOL4b a8tZkkvBIbZvUcEdzBnz6cyBO+k61YakaJN5I5yQfXXH3DOxzoaz60tNEeWZD3iRrq8xky18CrLZ 2h220O7+kPLlUiImZnSszTMHqxi02yjWhz0Mw+BOxcE7Vc5+596gn0MHahfZbWwtbm0113GTPGKc t1U5ncWNdXqfYWdnss62PBu/2bHlTB7UQy+RNGVfbNruFboTNsYD4VBYZBNdo+WO6yHg2UyZBeUX g2bYqWEcAsNq5g37MVMwyd8P6Y+YjRlVmAn7oH57WrTu9+Wui9etQk2wvEthoqVS16Ni5u66jjCC sZRbFlkY5DAfM5L0rfB7pJokQ9v22lq1EnEM5ANECNN7jBnuJjsOTsvhCciYZ1NVxrBW/3ia5Bfq iu3nqf3FnlbC0d18kBJUIiefEgAs3Ye755Tdqmx7sygQVF8RMArrDjEZMEKQIjRhKziSRWlzfAFT iYShHgG8+Lpnd5a/rlTwCV5iPLqulbNoxGRC+44ZWs1297GZjKVOEWve87CX5C3BhOHLzHdI1YuD VngVbQW7RwBxR4MC7oWPxxMT+Gj+Dy7CqXQbq4S209IZoCE3P9yC94FJbVp/IbJ9HGwU4SW6y5Hi 9Y6U7AkBN9gjgAALZD4Z+SQIQdDcC/r6QmDFBO3QedVpXkUrmnm4XGVmbGVZuBh4A1dexgCrIOUR 5IpGtx1ZIqhXKbw5ffgoQGI4ppPmGGfLG1S4JkWy92jAuow1D+7Vouu2kww53ZaPZ/c4EqdNqb7C DNiqoMlXEkrpGSl5DE0rbIXHPJQpEjyLX+EFgcQ/ePo8X+9z0V+qofZsLpK4sMSJORCCrOoF07t6 wlK+2aDSji4inWciTmQ73tY8cf9OVcRiHBf8zMeQXnPyXDjUgwr6edNI3dOggzcD0lDXuo6b8v37 LUuvDWOhbcYcXjTN7e6JZuwunXJlPQOQeKk17iCqpzgEetqdZZSIup3vi3YzljNrorT49vK9tDiS +1O8MRyR78jwO/soNBLsKcGqshfu+dPHbnqzq/MwkcdcYK+4TYK4rsz6WIyDLf8aUj9kSguRPbOX tORJLvilqpdUisLgCRni7i7tSJHLnbz+CIYO/qFOJ8G88Gp4s8kUIFdVtFzgBgCzqMVyLUo48+Pg GKbCNRmGCHoPnv4W2BSE7Unaqnd2otjIx2FMRWnPIhULIbJVCjjZlcUXOcnfYqd1Xb7uS5ubfaJL YLACEjlYmjAZeyywhwCJkijdaJbx114FvAbd86wB6c+20JtLFqAHNl7vZ7W1KuU4hr42fYFxUGt7 LQWv+DYRkRaZu2Fm1/DezvpfLAFNpLUF9B3FwQ70NQaaX0KsLImVcpmLTVUCPuQFaTTtjq7xynpn NAOgflUj4vMq+jJWAhEGJ/6OJfKg0ZTTUWRm1eABwH1PgHp3qLdUCxVFrrfVlt36JABPQjrnL8f2 DItF/7au475MARlW4LeXT/4VQwzG3aqctOL4nA2tajo6cfOy/19jNGzWr/+2WzshEBsuapAm2mON O4Qcd/hLMVpy8LezLdbWaN1XNhBXwgoZltKA7JQdJiSd4xsz1OpxGm9HmLesnf1ekwKJ8nDy+KEC Kr9F2XSXM5M/vnoyhFIGYhXSznIP/T1JruGMGoaZeUL8TyT+OGGZ2ySGFdAL6G9UiJ9CM+3WW8m/ RkH56TPgRAU4P2dQjXx9e4uW4dTsSYYrRoGsnAVqx0vEDK/5MSwbMKL9SDiTMgpgZ1gxFDJjkE6K eniRGEnPgK5W8cfXLg6VBsfNcDPBvF6TpSscsuHz5n9DrLjxfolIsbMmKDmHXcLWo6XhZ4RP50zD Z/nBo6LBA/sKjVWC13Fs2bLY6g23YcBCdGVkOBMg9Iq9u3L962PkV0JJN9ZAmbInxk88rIPk3Usv e67A/gStbs4hQ1uOUx40z3I/wJKfxd+o4ao9rHjOSw4FubfjMb6SrnVW6Xb03MhfXjpUsdBwt2Nm 47oWcShB4dS+0RgPM2R6AE1DHRfcSrItIZpV/AA7Q+5THb+K2lSSAR6vlYhoUeRaPAVNd4glYvm5 sO2ug8Pbsi0RBpOSCGqhWpAmUzI4rsVl494dY+y4ba6lQlJW3mWlLXPHxMOU2xDLOrS7bv0KtJ1H g3x1k2CavDoa8Ypg/3xqWWadowO1XN66klf4dQEtNf9L0fL770hTFVCb+ugSL+raKIB8K0AZgtrx VxhiWijHPSC0pPC1x51uIUjG0cWMwkWeGRfbRTJ8haztkArR+649ziP5WpNuqv6TEdxsO6gjCS/O 9uW069hYF0YuQMWjMxUV6lnx0KtRhg78t+vJubVf4gMMxg0TAamgQ7pAtMhhMlQDYlDDojO+1jUQ J3WEgVMO/pbyXGu3LyU4cvdIAD1KK1vF073er77SV0iE7ztNmxh7TRpX/00mSKRrY5iXOM/V5IYZ tMXTYcV8+3XvBtLES756BAgEpiTWiPS9G0oCg5gHSRBey82wRGHYOT9HwGo8GT12CYLPSMsBr07y 1W0Iwff4UxhSkt4mq8UCqzWIJ7qDaih7FAlaQg9eMErI3wcFUFKb9cWkzIGztGHV79QxPQ5HPD4E oVR3DA22lLFqFxOdNZpJVoDHsrTelf8ghl55hTVTH83xExAFTkxs8KXxL7hFBsd+sJMn3/3TNt4c FRDD/bUMfrmVtrxT/TjwlgxWb2OuKSo7r0dPEUw7Sv+NGqynqlAlcm1pUZ3+tLFepdNsXUoQk3Bt O6xXEJf8bW8JV7QcKcLU0QniNs4VJcDxGwReRvzJnO9dQrKwwCsZX3HlwaTQr6gTISBuqshWKyi7 McMFaOTy9U/W4lnlaezzHAS9og3yVAZs9mpt09M3fyMDfBfoy9gZGU/+9wIpBh0F+PXgz+pya8UM YryM1sbWjr2Scx6ISAV1hc4tHn0qxjlCTZ9Rq5wj2xqODjeoKL4K1CIUQFHXCmyzXxteQlDMJYZo O/XNsFMjDEVgwue48Bxv+OjePFhz40Le4Cy9cKD50F3OGcG3u9p5seu8zhThGZvAcLtOu7v4NUyd dpN4iR2xt+rrvgU+IaTIQWoe/RXtV74mP5oLTZ03taVji7zUzj28JmGqdD+/j7H/Rc0oQ/9g/KUZ BnEr/f/GVP7LBn7nHIbbxzuHv7M1bx9XuFQ86k7kKBM4MdBNnbsgjCC5HmGQ9n405Ap3DWbgVoff 1Lzh21/IVJR+RMqiH0X4g1Mlp0vzT6XJ/QapviyJvu4jQJfbtg1sMzx9k9DOC0qa+61kOPy7XAC0 kAY/FWM4A86OvUQmXb49C8Zn2/voITDYOEzFjxYsNlef27aQvWzfaCGFtGCoFG9gYb+TSu3olSoA AIPyu8oKINGsagbjYMbLu6/TW8NoOtXbBj285aKfz6LLg3K0MU3HBbh+9W1CxWCQp0fiPC07RHE9 HbijVo8lWB8t6jptGuoLvkSs0GyVfR2c9T1aebDUHnloEOR7pUGcgFWMvOyqWkinOmgotWZ29bgF ItB/gWttRtPr4iVgZabJeYdSULNMKpVlHf+02Qv+J8P87x7vrhe/u4gg4JtiRcrjii4T2onjgciL B3aRcdXTd9X8Lyy8WcT8vSNJmTWK48DaEQgNcMZybemaZJql1cq3D/xIwZ0nyRRLIqGJ+S+EgfHU nMSelc7qW+5c1QqVu3X6jntg37071f6ssbUw/R3xP9RwWjB/Mjk7j/mrshgnfe0fvAy1mH49b6Kb 1uDJ9pn/tQb46hkxQTswb0dHruTL1acKlmtQRh1oAsY0OlVAaZxpoDpbBwEp63a3n2xmanaGBKl8 zSFZaktojZlX9zpgKqtQgXuyRGd9MDiOaN8LStcpKH8vw/vb1QkA/5YnZK3lRiJpV1MFXbCRCJ6o RxNJq9SNuL674tcDfHr4o+ttVpDaifK5etVgkFkqUQe+KkkIiI2z4J1Hclx5wHqe6uHeUdeuBrqt 4ftJadJbDne/XuDQudk1PmODE0QpfY//hhvTCq70GLdSa6C6U5i+tVXaE9nhklTIlR1mZ3Jih5mH MlR1k/AtxDKi9y6f7eLc/Ww4HH09bR5jeBtdAlF1Yf6Uw/zKTgD6cgOCPIe3xYasP5tjtRX6IIa0 4eC6sSt26CyNnBuocOEKJo/bhykO1iuuoL03v/tZzesTf7B/XH/qeOiKG1HWAIspYWoXFCVV15Qf +QFn01emcUF9PfIVKJRDiCOjnvVscwdIf5gWHdCxWQGYJAFT/r9/I3SMIoqt+eW3/e7mo54zVKZY YubifLfjv8QoV7sdu8KqayiSsgOy8rQ4cler9r7G9ramgFTB2LgoHzrtLpckL4xCvjpNzDcLiwOk lTjll5s2flZZKAEEVMkIt/DTie3+FpFG206XY5Vcgn51S6PT4xCPLfe5Qxz57pbBD7C5vTfruzYg 5bbvQaNDpwWrMRxchl6O7UkH6PM4wV4B88iwp8rwF+XErRx8o2jYzGO43rZwABfDWH+phahHBKng XOIQ5TmNy3S2/q4r+LXL4/lxpHMAl6OnLfKQX0JiPdUaH1daLi49WhUqaoQmCK455yyyZJVosP3s 4rYhiQBGbXSoHyt+f4mwpNXOticf1WO6okc150Psq+0wcGQtp9zL6JTHIprVVkiT+trlrPaYw+rl xenqBpRAS1WDB17dCY5WWLlDlXQ9Tsxvwy7VniJ6nnaY5LrMPLLIi9sfhYnYWhyJK7IhHvgdZ1nO KAG9mBAXkfhsXD3kq15ToGGLZZPfGOIOqRmExj625IgXhzyXKSlwEIlAiXaDDvcWtpVVrLQHzlYT H+oXxZG5uvewNyEOVfd+jd3Q4AOqNlvecTGqZ4EJnJIwBHxfikj8KqLcdYg3+kSZ2NfiylL6ZOeV C6K4kU0V9ErYhgNiQ2IxoR9+brbNeaus4G9nHB453cNNrmoCwAKqvWgvQUz2TPc1sCQbY8oja67s CCCOzr3cNe4TZG5+Itcwx2m00SvsUDDvniv8uliYnuV9LNvqwHs+0Sf9Nxur4OnJ9BPgymdOKGFD jwDxVdaAKtq9U+Qlnmq+xJCFi+YPeArsyO/kK0//IfShuODvk002Q429apy2X0IuwORZb3ac7x9l MWzIK377zyFWmb/MCDOYNq84P5YAd8CDl5OC1Tc82iWV/LtYoSM4UYBh8S1hsL/BxQM6Ilp9zaGL 6CM2FWsmMMhXMlIAgEi6I7cXP/IyKc84GpntJLTZM5b59mWjTtQbGa7E4TKSJ5iW8+AqXC/M9aw1 dET7GlmfjYzgE99dd9wPZEMTDU25gXm1NsP8bw/Ho3fjlLyy6YUe17m2AbgRYCMdmX4mcdVda9++ gNotKgd2YPEG7/zJeNGRWO8ph2Ha3xUic40kcpXR1Qc3NKxVWeuFjaRoTu8mCWJ9P1itO6V4nkno bzJ2eHqz9FhX+TFWh+W05wltS8FuOWP4TVSVAaP+37A6VtpPEnFSaVbCkw77zd62lOP4sFBCCnRH KBytfOVlYtFW6cpVCd5bJdFH8TWBgT1pJcEr8yY2d99mva6ueauAFUHnY/UcOSyX0o69pG1saL4v jAbC9k9LB5Sbjv5Xt+0o2FdL+DPHFixPUowBgmEwzIyIwYnk3Xfm599d9q71Nm/5te7jUr5be7M6 790CaC+XOvfMgQ6u3rRFhNcS4MJaNm4bpnljaI3nMVfOv1p/PrJNaH+NQ72ZGa3WwIDgYoPdwj0S BaW7rVZXO8RQ6NG/gclsRcrjtcGMj16iQ8YIatqlAly10Rp0J7kaGtS+rOfEhtfUqVJeGReBMDFT rd5Bs60hZleNVBMr0QHpGZHVk5nmb2Qa/LifEvh83Qs5RxGInMovTDICVvgz/O7wUNhPmu/g7EmU C10K8WWr5KLm9Ar5MFNVxTi2VByYwlnZTvvwGuQXVyh/ZFZHiHnKa4X2KC253MEel05WEomDNNaa cKD3jj2rlERwbnY6h6bPpyzv8XdpWeaqu68F0bUkzd24tmYixT7RFuYOXCEP4sWJKtDpZ4ZMQazN L5X+zITmne5XpX+b/DJdABeYfZGDhPftQD9N5inMezmrKx2TiiJcYQPJj83ANKezUS4tIs/kVRup 8iHhji74CpChD+jC6EyIFP3qzBb6du5hxV0PSWGd+DW6D8viDihQABASHzt4gFc3d9dIIm4S6eVk ixDlmBwD0Unj9xhNJbcPIX+OpOf3VSbgjz8O/KTyPfJJbvh5ufzkGt45F50kfKQyI7dQn6VTyO7a PP2K5fcZITQW+K8T4qbyqCHtu7SnjCHck864FbT7Omyt0nBLReRmLhFB1NRl2McbdzhIb1zAqIY5 1OHUhhDM9zsLCxfpfQ216AkYHrDqHBQvmTHmmrUQmz+nOlT+QCLo1yE+naccM8a9rmovQwInVHzv pgSR1KK+ZQAi1gmtFcbRkEWtpcbD2EqSGejWo5QmpUnSdwU4rLmLgJhqmiyE6sbfm/bbKjsoNBBi EnM6AWtb6HMTSkrkd3gyRi8+UIcr4dZTQk8RuZW8+xEDknO1gCXTK8XnTOV9jwdYSHrOyESu1wOG LegMU4Q4Ea36aWNjeMF6svg8Drary21FNC2YNpK1KuNpT7c5vbBeb2V6W1RnZAVr/OWiFfaMsY+b 6LSJVo/fbBlFuYT07+LEPZ/l5o53DIWLpUqdhbJHoPITU95gAWRDrOumhu3NknO3pm0EVsZYlagt PA7KFifTwiZ3VCMkYLmC63HRmt3Fb7FeJEHxHQdCEqtBzFBV8E+nDw5lqRVVII2KpmN3lDc8pEH1 aZwq6HRwtomJoMkEFnnbw83Qrd1vsk+PfW+UxksOmkuCL4Y64OJOhrLu45y6q0Aic2UddZqPmgUJ gqfjKka24SeNGp8KZBE+jRuCt35trq2wj4p/1Y5avAklBaB3AmYHRN42LoXDgp+x5FhKzjSK6lVA RD0J//m/ldOLzicJ6OcDBMI7uNPCogWma6LqhUF8itLqnzPhAxNxIa7+Qwx1OcD/tdwBbCSZoboS Md8zqJcjLJdqQ4YTZ7b6i8UPaJx5RdUsqDqV98DI9dumE94xnmUa/oH9MJIALv4OLWav1voktZRy sZfh+bC8veZx/A225g+B7diYkvSFnOT992Ozbb9TyJtnvd43qscfkqDMJgYC+OXWJyRAHkRomcSX hPyoYxnYqdwfcDPrqVpsVXIhSP8nfnG/E38botzkYLNq4pmTbxFBUX2eGFIsbhdiz+Qr9VNU2fy/ qMQ6rNeEOe5ml9JVTh6JuwmPGv7r7re6amo17G4817sJCirbU0tFOnLA8R/BHoihpdsou9z3LISC sWsg8yETvm2DOOLTUP+s8MKxeKJvzsxYgDPHtz/c+nvkInGhHydu27EFVkyw2MW9ZxokPDrovBv5 NfkVMakJl3AJGlpWY9rnBIdc/jor1T7dTjwDnG6AuiMeThCwrhryU5lQTBA0UTOAf5r3ZGh9Y3eK ApJGUFJYFy55pMVnSLGUGpJsb8g0o7TVEZCjF/ZD+AaHYVzH9XVqpfDUYJDgKlD86+NJ+Uu5xd8T B22uE7aIhhwXP00GMxPDVnKqoUXudjuqrVuFT6s9vNgzPuUGQV5gP47ZbcSCpj+BDvvdEvIBbBBv Ptm+DH7m4+zwdh1oEk/AeWtkt5pRTufZ5HgsIWhIBklQvut1lvGR1OH9L94RTPe5a1mH8jfEr58P Ciz/kYwfcUpinsRZ7dxxHKXQgRxBwmcEXpZl55wuX83YMhXyw5R/UVoctDJ7iC66fWPoWfa5h2ZR vQsIyVb7pVukDHSjRkWLBxTRgXtOVMjoHmyuKYWslafo+to2TAjKaBgP6wXpSBOQitGcL61/XsJg KNR7uGVIZP/7puulQ5cCRPUVKNhzXtkOYOoUJgjzNngfaqGrUfrEwY/P/nc5TfqSDwAau+TOMt/F qL/eei7WEu5Icv009BuEuebMYyv9JNCTFg+yhekwEMCeUqc6t3yokAmbAheeHL9MwobKtLnv7x9w 4j57KbASmejQp6cuZ4AOAtnZLHI5o9I948P783AoOdQMRAi9KokdqQ017ORPeV+ZYfvdrllgXpfc SYpPEmis5fQe2b9oKyngiAN97GWtre9kenpZihKbIVfpBkd4ukFKk7hoW8HIkjtH8sDNxJlr67nI GFb9+lrM9b/nv2ydH8mKJnygGZf6SqCluC57lgJa1ySU4lf4NbdUVKvx18N1adQxSTtYUMyNzqL1 +8G4EFdxOp8wyzyxw07I7GZaSD7imE6mW8VQvJMmP4UcpHmOMLIzXrLgML8OwB8F7AbYA5d/C7rK oxnRe/fmE52JcP1uihhNneMbYQG+UjFip3RA9zMqEq8VgMK/Q8ftN3Pzd5FX/FU7ndsjsuMpDHMo Y70pR+aGK9k/dkKDNw2C8OhH975t7gm7Vwf0qmcYxMa/ZPMTbTp4X4aq8IuBHaPSwVHP/RZQaTmC 6qNh5/LgMeqUwWZzfV633Cd3Zp2TM7gYWRQEw6JzovWa5qCW3Nq6QqdZ+sxMyf+f0zFWwO9Wvn0y 4YjkJdN8DRA+1O2MvTCK0+uecI6LxKvy1HPsaHwsNW/jvr0IzkzttgejfXGYZ/te/oKP6Z2CbLpj K8xG0NnCzqP3srFW1lrZP8WniugDggvDATVyrimcXZ9x345EGsRmS/hm58LKlV0uZjpgFxHPzADO wJcWFe6vK6fGV7krtm5CEoIbkdN3woo7kPamszClaQ/K0Jb2QylIoDlWjbHhIu9+llxcijQ4AhuJ Q1ULHlISLNwuuhF0DRJdkFhX65uhrFzRVRCV+DBHZWC/RQezTC7lxhfQ4Y1x6yH5VKqzHhacaTDK GALZfT+ZgClE5H/CI0ND/45z7MNqvgrMy/64kd6Q+i3xZmLNkrYAc0joevN5Zkdg59xYMbVU2Uhw 0BgmBrW9mqWUZ+MuSMh9py9jQmugI5qL1Duugvvk+TqKpID/149XDwRMdi/cbE/8zX9982P6SjJC Vn0XkvfdRmoJ053GYejV+rlP+cjIxz/xcujeAcZZvZrsidIQPRY9dDLvo05WdGioWWZwhEV+Ka5h aZlK7uu8qW2fL6rs0pCXGpve2NatKV2j9MnB+ySM09m70ntsBgfK0/cXkKErf/uUSdHns+1fDl59 9KNTCpyHcm3WtmTlQ8ktO6KBTjefhBSfVmH3kOE2oqKCRjSQacoex29j0wt3tqIxMy4Thtx8krQT d2MZjgrigDjkyEV7YETb7sZUjSECE3KNgtx6t59G0c2HJFJKnSzRMtTwCo/gPpNNRMwOitJu/Tux yPz/UO1IpfffNQxl7LtxwccnOcFqyIulFc9fgsb3yvy0qNCmfzvhs95zWW+/O6zGbj3FC5ilEWbA gwh56xeIsFm46IBnMyIHm+Vy1swn/xxUBRP+xf55EYHAtGuNicHChA4mMgztJWHFSAB57yBzpw1f f/pt5Vxnbk/Fux4+79WW6KpcgmxWz2QHQ5VG9sbyXKl7xz2phS3yoncqStX1ZjTPPyc8sgSEAgkW rXvRkOhe4rH8+UOgiySaiyBBmFlfFuuas/98+Mvawdbb2qKGMzb2BjrhJHvnAWOpB+YYP+4CeyFA Rw/a1XSYgyN+0XOLtBBSqkVjb7tZiSkfZXIYwPm7kyY7CL+QzmBKBJXod8dTkMEOdPczmPgx8oa+ qQYDzQbdk2uzqXP4oFobWGD64WqZmatZXLUfATUYFjx9J/gITozOj2+lnPctETPrQU7cxiE+GMsg jyqwPHZX1ZJqsYOprS5J/L2EfV2z2htC5Lcg+pSgJU+cWLrocAuCSicWew6msBRNcltOQfw7niWX xs2y+lrMdN4Ll7Q47Czyx6hEl23o861YV932Y8Fj9f63rkq1YRXB7FLtJEd0xHOtQ68bJ0K7lAsK eTurjgpRiEn6hLJjgWJWoOqDSAFh/c0kr60gvWEIwogIjl9r7f2upx5hOnCYiQ80cFoMrUotSFT/ 44PRPnzWE8M85ojmB39JrUGzqyMDR8mBbk2dItew1FtTyqUeF0kaMh8a/w6dijiripGpGQIyPlfR ZUi+nW39bj4iTZEKJVHProsJzl1FrVLAgISLIDjG1xV8Q5sDAOM5dFmBKKgOEf6kB9GknhctlOJW +apu31NbICWD7evs5PsarWFFzB21z2ycpOExfMxrgKOMscKZXvcGdlzbgqtWWJ3ayf/tETltPamt LiEG3+0rW4LNE1THxzcw1RGMGAVPds14/74LhfIOxnBELcaEftEeoVRgHbOPWg4+O4DkU3Bc4pp5 DQd7JTVrn8NgFRsNbUrjg3xdwUO3o9hJr2OFmi17of240RfyWAxyMz74ZuKQBVte6YdB6UwYuezZ uQw6DHotFcNO5nzAAlAncYBwVjJcPKSE1SR/L8o4HFVu4ffC+tbfan8d1VvPcFiZkP/66+GgQMx0 ic3hdzc4pHmtsudTE0ULL9Gq0lOApOsDGRhJk1j8d4ES13nwWUBOL2iA85XC3dLgLmwZoBuXDttR zxAkvySGWLQJRb/JZta5VPH1On9pd2MtsMjzpEOLZRMAn94+bzGJzjA1BZqYx+EmYJhpdZ+tz9YP rIuiXqsHpYhlZbCDuBxkRTLRt14YqflDUOY/gggHJhzSd9gNCW7fV5+K75uIKvn5YWTJLNKDJTrv m9671aw8ktLYu6sjqVEY30aiBSe+P32PyitvERHO/T4aWRjUEgNh5QHBY2QPrdK623QaLqXl+jYe 1saqYyhNKrH2EiCSvI5Lm6SsmVJgS5nFeNYgqccabITXVc/+Vv8bDUDR47EI3dHt/+FuXHlVdykk X+gnFiqsOeTYcjcogWq+CC9LydO3LYl3oZn2oQjD/06MkoGeJ4SApP5LzukPv+PcuAMk+zY9p9dk WrYkIIIXEtnXnWFX1erWn86OE5prwJ49gFXLfzkEdlqIrosdnbZFMZIKgte+NlsSZSbEER1IwwDr tDmqgkmddgPfDzz7L6/VfvcEJaYpTKeqIibZixWxoGWtxpuqi8LNiEXQmiNpdj5VDrl9jX7aiVwk rAEQAvxRu8V90RUtyMSFSELSGTq06drot2y/XyOFeGFlaRN7phIxdde7I4/XdoE9iWObf8RA2d/b WC2QojmZG9NxmyeVQgKHminSA6+oULAmyvhfZ81RqqVBKsmuamIwFFOeAytFFplrxezcUmEv0iRH BUsmv9Af+imp+XHZSlBNd1QbIfTwlfi6p4CKP94i+j2P+DMWQ7wPm5xXBq5+rH6nyM1C+7tmwpUk 1NV9Kt6cyQZWKa8dwCZgrdRSK0vLEWP3nWSrtBSqdkxrble3OjgXck4wFh1seT2BL5ag2a+5Zf6O zOv7O/kSnpTGQtUw1KHRgMQG6hPHCVeZjyo78x8Q0Zhe99NYCMVBUITJ3e21nt6uFZo17TZQelid H6xtLqISrdoRLyQeasQDsoGRr01YnD8OJmjIC1dOgjSm5I4u1JKG0dZjD3PtdIdf54TZHwTLUhk0 TxnuB+/0NHkHF1M5hfeZoVLBbBPe8h4rCjJjrax3YNFF4+DvNsGnhLrtTWkgZz7vsxh04El/KFmA GcwDxzEzee/0AX9RcDdAZWQQrxIKtb90rh2etnGDmOwgpK+gWs0702aaxMN72OIKIg5C2xyyXcqx aNgO0CuvfqaRYyhUaD0YE9WevDJOrGcT+0c6kH6pi0ZCph2WtN4/ZzOAPpBj64/lQSITA5EBMFgt 0F4L8zuoaEgElFK57Z9o8TVnNc+l4Y0UTF79UENOfNUFNYiaQ+CXIdF2pcbxOfDdApZT/C89YN2V E6BMG+YvGOdls8FWLp04QznVDJzMY3+paL9SJAFKFjt7WI2L5Oe2SZLy6tmuJ7vr5Q5CrmXSNYLY 6XKqxe+sF0b2+Y6hI/KpD82GOQglHJmoCXzgyeDP6MsFL7C+fcioNnYXdg0XKPVCLO6R0pBl/9aw h7GHi16c2q46jdwJGBibwkQLCK08f1dINU5eqGpXWiGiBSmW2SOstimFEwyKi/K8QFYu7PV4zqm5 mNj1DLDlCDYc/9tw7ho49n/WV4UrwWXHmivZgkq+rRk9wmnvO3YENzVKzOMGzeiY3LRQ2zU757pg A37cMQOQun4is2Rr/lDFG9fT9ZS34QoKgN/YfAiuxjvqo1MG86TqjHkphBUOWT7OVJcV5G87dQLH RhHrCMBAPEpOs0yBVMp9Tt1TGnV2yHUgl+CuiNHFYMe95Yl0ZSuClhrfSuUOAp7U6zj/g9OizqMo a2uXpOK1s+qInwxScpsHYKsOyZq7tu4ZXWFBN81+xYCNdKCdrqU/npJzjEwx4W/00AvC511BCkX+ b26Bh2ND0+OuXALPx0y1ajhkYkD8pFVGH2kW1FIa9l8dJf9wAzqeLZrzn+JPkFG1iod6QRboTWEO 9mPDOqbskwSqbPjjw8oU9f6yNSJsvZZq4edAgEOh1K1vWcdccjh/vlbnLDP/EuvucL1hr7HeagxT jfWvnW0i141S4lcTRC5cjhh1EBaddXusUdNAQcwWm649AyBjBzuRc1J77WLfbXBY68NuykovQYnh lg3ZjB5CSyBgSnTYe12slstzLRNSrevM9ebqH0E+ZUmytuTorQ05OFDy+EJiuiBNFNBVB534EIgW KlcCyA1J85sHuz6f8hmPYjYGpOrXLYZluVNZxqr7bB52r5wJyeJaRU53sxfTIkOU426YFuoyaQwG 5ld+M7Fon6B1nw3GFun38m/nSbwTXMwtlbM4ZJHazt02Q6DXF2NMSUlchYicNEma2rWSim37nkcp 2G4BkTUE+bQCzhGyPaS05tvELVJvyFO6lqDugQU3PYKM/gHoLVvOD2+jtk9cWrLS3XaKSE5wI9wh yg0Ws1MgMcmKtOVw0oCWwYxgPR6hqJo4aeamGf5SowmLlDgwjcZrTeKqsIWl588QMYBG26ll45Q+ 8caA3+tkVVq+mnh05DydhWO7x44Y/n09dohPSF22/1lhtNqobmaYPI9P/A7kHT34hxvPPHqzP3Ck MIMp9Qi9US+rgynOTpJEPcWiFaMRjEi5ywHPT5dKJ/it0o8ysMjZfv4g5sUIXDlENAuJlIc1I24w No4IrvNH0sM8LJPEBmSmd9HMjMosmrdAI7X+I9u+KmCtWPuMR6fG6eDUbYXMMbCz/PsmzhcdeIgg ZAyERsdn5AoPN/v+fE7rrtuf1nE7oWOALgu6Sbb8Q0wWowaUxDrrGI+rE2xZmAc+1CHOrxPRa/Zc eG4Z27FESq9EGspU1DUWMsqgMBnQknhZVyRwIchOodMx2dvOMn1yyeWI5Sf3uDdibbS2bOW3UXK9 woMl5Wr7JsjlEvLmeJT/szvBaSRnCSsGUIIQQ8UzKKZhF2ydmS8IPkhYqa8HEFJjmF9o4oVTJK01 ucBj5qTq2WY5Xx7bTG2nbG9ZWipzfiJHXM2JjGuewbN8gonEpjNaZrpjgX787cVNQMUHI2LM9FxV hw6HDLVXWT4ecWeIoOFEyCD57hUwh5OA7K46Ulx4XJjVKgKRmxctqFGlUaXeJM0P3Nz3cqAX5gG7 FGvQJ7wTcyXry8a3YM5ANthFUawM2uVNdIIAZmtEB6JWQR2xNluqk4aGyVuz8vvJTYaaYHlL/9m4 DdVOc4PrRz/lp/TnBy9gQGSGr57tn0MDW+/GCalKMnZM+siPN8H6Ka27wkyhW8SuAKGSTM7RKujd cWKPqH5Ere7T8lsGf4PuMpy0kAG3fLQZZHyWpWWV8Wml3v/MgNgrkXfP8PCLW5LNl8elnr6Egfb5 VzKrCLRf8KPqg4oF7B1dPfz+NH3XPmzyZPcrFjmQuuJXcCc6y9BU5aEwG7qRS/BOQqpq8KuQPYjW +Tg1OvaeW7TkfxZeJuCdX0g2EgLlefcuBXzmRevvmCeMaP4MX7m9k2/MN9iKyctQ891pEVeMchsO 2aV9R2+QQfF9Nx5gS3lwt9JUk//RI3YfSr4iDjol21I+j9V9xXuMXSjLhbAZCd5N0B4VLpIqbxGq 42eaJu68efMZzrbZOuVtDEI2aVGgfTj+YdlRc1la2r7ITcSFPofHM1Us7SXrXvPomT0RaTSd9a0C NWBKfFxBiVWCQ6Oxquqs5FwwzN6Lmu+Qz90OriIVexB2Nk15/mqls2o5cg3TcqPRfNyULGLObJsv Do+Us/sQOz+EcQoCjTtsE65HglBJdYYcH5t67ElAoeu0mNu3ixOnOqctFxKM7PqiHLHZSIBFymG4 l6mhQa6e8lJITR6UK1xr0sQTocKpmEkJsS0bvhOGqvpDCx37KB+IFR0k1Fapj9A+SvnjpIT5HQEh RYMMS9HJZ8FXs+OB8MqQPRt/W0w9u+8HE8nRDDadluLbSl1a95Cj11uu7zcNZCmeek3sTh8K1iSb wHc6wX8Lhw4mbWGCxjUt9blNiH3wnAVNRIO42wTEn/MNL7yaNJUq4zquM+gEx33q46ejsZ4i0J2c PpHuzk2nqfMnDx9lYPhiwvNbDcsD+2WWw89QQmVtIQwZtmaZtc6cErtc99RpaCzBI0mGAiZ1atlh +EZ3OHWL+Cv1ORwDem/4+Q4OuaE5VutNdm+g1IXhGqymYNs/O/G0FqKZAYUa5zWnLKM7A9vLHOYl HgokTN7HsfyKU/MOZfH0iBo895nNGAeHzmy+Vz5iBAr8ysH/nLgdHjXbDc3ge0ufJ2IcBPYlBjgW ZWTWpuZyP9aRKYO0R9vKdEiAusxJNtdCv0WsgvuuJrpZSJX3Vl3gcRCPJCdmGwYTuiluk2wXs+ke /LQ4uTBWKsbrmGpU+CxaJBKIFs6yy233ifQ1cCJi4kmNtLP3yGur94JrYIkufzKh+cqAR6EBaUW8 VYYb84YqWB1UQpdaBXwqeShJkzCcCDOpurXjUdDtxsKTTtOhcfkx9qNW0R14zag5cXjupz8XsxcC ngNCxVIV8c8l251COpowiZKQOcgtnteh6syqZrTfutFZ7KyqhpJPo1enn69CfFBWVRb5ETnxrZGs q+SNYZwnAGirvH/0loGh/O6L9f4HXSdiwYQi0aBltGur0blbZGBVtKujXU85rEO1E6RxkggBxy8G KCy+OsUZEwXnk+d4ivCrvdbNRnQjo+Qs4gSPbTctBCbJfE6J4Cq9iMuHk7KVBDqNXJh7lEKgOOnD ESyCfoaygDuZ3UlSGHtlzZXHRhHDVzpbuNGKMHJY7L/oCjMqZg+87FZyaHBKqtl8oFREVwOe79So BBuY6t0kVPXhlnSBVoiXU2afCgSKtG77gISCs7hEnip6UfdnZzFby4NhatTLa6++JUq+1qt7UQRj dTTsgc6VSRJbxR8DLqjsz71kSJLx4fZPBX465TW1CLcYbLyMcniZaYmzsyvrmxs27aRH0KILhbEf nt5GnK02ZuodbplZ5FU9GNXrwzRZ9LXnbxevN7fOY1BJzyFGBcTVrPPXrjckSaclr2L6G0wKs8Ht 9ykZQEBl6r1FtiVQQ7SLoQHyjWsyT6WeTZd9Lu0puJ/mrqDdGMnMAqBg1ZvDeyQxoz6MH9LlgHcL suxjcLS8RgbIqKg8iCSd+GWUUi4e+PsbRN1oGOzksP/iO1pIRBgccbeaFXuktQHckvnlIavVgYl9 mFKLyZ891N93owYOewQY9palmBMLJzzsniu6ID9oYoc7L9g7U+57uYmctgNHQVbyg6h+zpTDhek3 8r1yRU4B6maocwU4y8IkouANtxskcvolElbdfOZAGbSxs+RJY5uefGV/WXMq8WO6BXzAVVPkxI/T OnjdlR68sJ8r+pElaCVJ6OEdSFT0mkkdAAT//vx3bMMixIuwgbJKlg2wCN/m2CUUgUaGqkhkCkoc BaV82UyC5mKiuqc6HQu1dSRSGN3Ik8idmFpk7dicGqLPQ67++Y/YQmAVoyfifU+k/OFWgrUEeOLZ d6vXw2g6FDOhsHKZDOByJ+qyAUu0qGPqyZ0EbQioxL6s3X1iHHMuuavwYc4dp/RXbeD/OUFamZgC ckqs9yJwqzXUQlJy3b/ZYwF2bAqMW8OovEg3AUaY0eLMyUKTaQkJS6385fHujzbBuO4FscgYqXdb lJ76npQKIHPurYnrqwHTl/p99Hd1+QJFApjKlk3Gmijn3zR5C8DRRDAVlzK6fOFOTYYWDrysSlkD BgLmTC8MFjOMTsLpa/CyjRC+opmkRi11XrYMOZtobhAhW7nMr6WTorxizXzY6k7tyQtZVWU4gIlb dXDHE/LJKJBNkVOYbjRfXzBuEk/eqLv1QZ3Xxi8ZPQYr71ZpzeHHBU0IxIFD5UcYLPQ+NyLZQE9J Cgy6wJsvj8qP6yqwKOSCOH/hQ0LoVZKwHGS3X4WnZb29ycX6ccdffcUth6z9ut9C3/4oAtojctgj if9MppD3IkVK62vBSwgjSSxCqC5M79KPK3+MD/pXv8U28ARb66Xs/iaMx2I8GOTJ3Gs+lmk0mX/I 0LkZg/p9gnD9t7SuVy7GZMj6owRClr/wAF71Q4+72aiVWpUmXjBVLZApLv0eQBNm7eJM8tFTdvjw BW1/xlCe7d5XI7kwi6oYgrtvWd6yLF0NYA5y+coHAw9pS7hJYOYkes46FVjOzoloXyDHS2Ltx3pc ioKrtwNbLV+ADftMGjd2Y7kXDWky85flFif28IIR52SmhirZWhFvo9YcplrmO8dL6vIL1rvvCRBd 5Yta+wmkIQwSyirT97mkkJkLRSw7hy0/9GXQdlKote0J4Gd0vpLZXddxA0MEdnMAF83nAAiMEUUw 8bwc3nrMx0htuSobZ4NvtjsygLYklYuqoVQvbYcDvu4PBfbTGr9Q8HTAU2zWj6mHqLU95c+EMQxn WWTIaG+G9+lJi9y82W/ZXN4VkxMzMfLAhRdlwbTwU3LMWBGfdmwAYc25xdcrCIsfjNLjj1+lVUAT maUy9ttCDLjauZJPlbnehpN3ZiuzIBZRBXbVUHKyCKbtfvvzkgLjcYMhBQxwIT7Yemha0qfGiIve kITS2I5CAzbhIiXPmPiikMKC6VutAVfWlMB8SOTSNKX9zC593+FauCtUqFU1tJDE0MAhWKsAEq4y /tTVI59tUSsb11F0wfV5UNG1vJFVLDul2VmrZtF1kZ9bmK/iUOwpPyF22MEcs9mDgmVawhZA8xF7 dfZ8EXN1EtjHgkVJdmduuIh+Uy2xh+oWsltuycdqN+3bajhFiiiEPMolO5+2YuHMJr7FG4+VpMN9 l2J8db+6wNZICBZ/n0JmhLIPuTEl+OGSNFjk8IpPf35XJrtfd0/MTZKBwRSV7pbwlT9tZ7xQYuvF BcozPcFPFsjESDs791CeyOeUv7NRomYenzRPE3edm2dcB3jgbB55QdHElt6a1OIYHEtr1H+JznyJ zvH/oasrpsWUOyprn4I0YXpRo63kMsIsp62apgpZQo9+9IBcStmoeFcksmBbXtcgANLcThOoslfR DEbm4t/XEypuidgoxYf/HQRvZCwnC+a4mIHMA15H+fZubdasSqbRsGiKNnIhIpLWx7ETVL3o5W+L sYgzeMo/CEK66LhpQpJzoOuJJ2ar+PMbUqe1heKpo2/sc2D13XgEHDsRFLVdCXQW0m6P7BSLHt26 6p6zg0MLSQK9J4ozcHvMnp2aJRmnbgpEZ0u1qsWr88k3JelwjNSw7KGDSylfaMPYz9nBwe24/HYr YlBYHzPHbNjYKogR6FSi3IZMvpmWNscbNr5UtiTaz0JaOMuRJUQdKAP8n0PvP002AtPMzJCQfxuY zZsxJ6SqKvvVbvz1t5jyRgOlpsVisnnF/aKA6PdIc58zosir98a5ZzbDxeRvbb4DYQkVl/eAm660 FhYpnKqKpa1S3/g5UkSmdvtinX0xiEkPMkMT0x39cUPn9vVcZjiNCTRGczMbN96Lx3byWhuK2QA8 rpjellWLcF/aq+FiqgktP35McJxNGSLN512SGyavL0T8DSnpA/augY7KrIulX8Ou/UBMsZYf2u0F /DRc52NuaTViMyXCFnBcZPS9AsRSh6BAZnwGgj1LXGlWasYqQ1c/D7ox5i/S5m3a18G1ochjLgf4 RQ+B0IM547QYPO9lsuTAws0eisqrIWNkxKc3Fk7MkkRH0HCHeBgLIqd5YVaWZQ+QDJW0mpFymouL OIvjeouHVecBiafc0XMJN0tSWl5UeliA0k6d2uN5ut5wbWcPRoMbByMvo30H1o+chdPtAfZaAG7Y zRm3eS2CrFECA6noIqIQIP6ZuxqEn4Ogp2SCjUXTRvWJTtfYZcFEZiQRpfCKFvGot/fmgozi48AE E8V6b/sJcR7ez3sYd66WVRu/9J3prEWQ12cdlE+dlgT97uNdnEeBbS1ErK1fP4L9IIKGWk88cR0S w/fFXTJ/hNcNllUrJCtxoSKLl5N+H9eOUDnuHUtNkczpbe6AoPZgM7svWFak23IZ/gkqlle58wQ9 GBulxrarMOOrkfBfNUYOTfnwY1IWNXBekSukcQvGUnMDjVLNiVQeftjB8Z35giVk2C51g1u+86BD n/+Rj/SQM9K2ZQ2MAwAjJ49BrUsnyirdt7v3/tZdMiyC0GltDeE+99T05PKs6va5nFH2Z54qycdl xUWy66kHwgWHFG4IrbPVEMyC5zzLdVAd140VWFTd2t2JVkwJ/hybBAql6y1O06Cmybjy8AtmQNHk MzxgElWAKci6Lzbx1PZ94glmBcoAOWve1jXr809s3sl1O0qGQ4VR4doKHl5LhvwQr5rRxxOxlaLs qLP9bCT2jEe6QK3vOEIdwfeZWwv2mhJz5J9EEhSyucgi5dwUXxXv9FfZ7/eQqL9xJ6uQPM4LT3yo vDup9OARLsjsS0lqo0WDq96FPnuDHQyMwNVuI+bL+IYK44rgnPnCCx+FZ3mPMqk73T/hEC3dF30s IMKTUz5pdMh1/qCGbRv4QWsqfYhuXh19J6fliGZUDuImgN/1pcDWUYgckFSXnq0xFrGa6sCLXE6E dSLcIcQSmWlgs0LeKs1HGRxXOW69uvTj6xz8HM/pYPfQVt/kl/qUm746Lzf9+cY7rCQAQww22dU8 HkJlTKHkbIvz1m2HJyQfAWzC1ZY5+RC9KbBKCNnokb3qj2vFVhWYHNsMlxiIFKGSVYMWKzt4d91j efPm1M+H4YepfHnRofl4lZ6OKv9cCQUNyvHt9nq8VVgQovb7TvjnqHNOjCZRaUA2mUD4cpsSlD13 7zOTSD4py0EU85Xx2mlew5Af2jrP4XWSwdNnW/xn7DqyjP9LFGaojQ8aqlhVGEfy/6vB52fR2NrB wuALNOAxPH0AxPVRQQCW4f+wSPjNFqu2TT2+qzcyUymHEBCrTOeKJ/ETZngcYN8+W76zOvVo00Bu aPC2Wfc2mODf+aep0jSGFpUzY2ea9pCE6pzfHEDz6K2QnFBx5AgWG2UqNyVuIL8JtQacivk6OnsW Z2ZdWAoDTMq44ZD34Qua6UyoykowuncSfEJD9mAxH4CpGCZxiXOHe0jaXyaCs3jCFGGJHHISduO8 qgOAGDUNrK44VJweLNfLM5Utz98RmjX1IxHcg9m019RPFRSHEAu9i8n01xrAMy7xdQBp4SdRZYU3 DDpxwT2YcHKbwdskURxGKw5fdIxCHGhOIWIvIq2GC9hBiEakeQ/h6Hlo1VLQH7T2H5l4vez4HXZZ BbGqpv8OyVPozDVs+1r53El/b75OjiV09ntpESqWK2Rj835VZ2wKrfmOKao2aAOdXnGGm57Tt2Ry 7m7VlKW7hoSBQ6KL/bvkZfmC3gFj0yM3Z5/c2WDloaUkRBgKv6zU7Jk5cpXiJHzS1NT5jVxgnIXA oS4wN8OZyP0QAo6HFtuKsaJ5dpA/VaDCbl1gTxC5EO1d1LnccWFKN8cddWDgk2VRZFSV6EDuk6ze ssmMFqVOYLH9DmFdGQ3JEvOqFXXbRMCCvC0/xTZ/G8qC3odK/Pz5B9iwE9/1JWIfXEQvXvlzcJZE YgJ3FCwE52d+OCSRaoHfvFHooY5ITydfuA9AsLRDvi0Hm7/jyklB83gKGfvuIb3+r9MQcne9o4Sz dT7dhHyCrUbY6IOdewaDYRb0UKXSTCN5xMQlfoWBsl7YeAf/xF9gVFPHtGsW/8c3hyGf0cLXyysX /GfLsuhpGAL8/U/k+zSnX3/2UCNlBE6kyEMywi3zwcYdezmlsKWlhU0sR3g3W5wytaIWVeevt7u/ /4PE3UulcSjsU3FSspkSVhknMtGj/i/XoJwEZ4ZAFgoschovEmZJGv/t6MYgkV6BGUNAm1CIv+sL /rOjeGIVgfpVRBTeKXxKg9i9C6wBA8+/UwR/Bm6QVJjzgnNaOnuXwi2cdWylyDO0HZ0+yUtcfqzg d5eVPM9wS9JBo1JXYbFn8KE5W0aDAy7xbRy2eArE6OY7410gtm8EbDkK+5W0NDUOJeIGtf3e2kXH uNFJHPX/K+sSozmo5U3QpdFEEGa8nxix01pZ+9N9P8v6RbN+Uk4aGlHBKD4KoBVuD7TT0jj6VlL+ DaGQRJ0UHBRZ1OjfvCmKkRC/ZRH5KYi3VUMD2hgP968aW0hL401KIUmkXE6scOwX/Jcw3od4s/T8 kYCIPU12in5AJmBVoS8JBCMM5wIdhf9zLhpv4FLACWc5CZhjmtXKFueNajFTGQQZVmOJIvYKjeaZ WqkojijZmIUN7soBYLFKHbZcv3siqNqUoXYwSzhN/RZeqqGLWcxVbSTFhplVsypv8wwVt85sAhPl Q/x3hQzcaxiRCJi4C8cbvkZgMsb/ZZONU1v2x4xBTDlXrIRarlkqS1gROOY+aeDjIkEVsjpxxFG4 jTOLOrzOHenBtcew5wInXPHnLVJMKIyYRaeWNfPVMzl+dfGJ7+EEQtUQ5VCYjaxwoZt4Ofv+llaN wf2vO0JhtptGLMWsQScHczINj+qcz3Td9gaIDS7KCOgvl32+/MEGhK9ZaE3/wb9QxT/CMxZKZ/QU PFayyIJ6iisxPbJAs2md8kKPqOuiwaGUuin7IEAUohSZYLkQYgx8mctLoEiWCOfTvNtvvsnfZkOp KRotGU9+Y/vaiU74Oa659hzOSD5wxmqq8TjtbOnH+aZfyq9w1D/l3DOkx8SkkZSi1eSv2jQLOrP1 YxmqO0T7d1CvOmxaia51xdGtDXmJb94K5TbaO4p2U/pO2G/F/2W3t4Ajs0Gn7gPbhrFnKlgXA1rQ oZMHdNuUrbsP/KJKfeIXiOXfq8XqvqfIVzNDMiQRV/NGx/Wl6JMcp5xUyhs7ajHaS1a0Rp4bp9uc UpPFwA8EEnn9P/QffD7nn0GPDMTSRFKGgzPED3w+/I9CbeMgvsTocBzVsOV+fD6BjvKmSONE6Zp+ 3FbetbILicaB6fevzH9/YeyDgiPGmaHS3+QuLsA3OGnn7poyXiTAp1wyuss/dk3yBiQgWCbByVOU TMyafiGkMi8re2iHwDB9TYkpYIOExoAaFlhddU1kKxuLRBOHUHoaBKpf7J0rwOdN3vgTnpzVLaAo 1suPotti81cjf5mOxAa05vAI5FVFtBntnmQi0Rq80wxo+D7v1NogIKkNjpJ4LO7vHkevnI5/ZvBz kkulR+tywnTzagY5+i99eWY7Rax2zcp/Ui3on6aRkAuqgqY66DtTxV8tZ6LT3qs3a0bxhmJNkzSX J0mSki7We/nqm1uKM/16NTZlk0HqWfbgnt5am5OPANzG/l1k8Zvd5my67k4dp00Tkq/IgQ82oAZ/ jh1o6XtjvPZe1mIdgFNE9xIC2x0ECPioFvLcXmZlerMLbAr+I4b0M21O5eGTHLz49n56PPexyFbj 5XvtfOlvY5AYsq2uWU4l+A05e4hJVaE9etOyjfoJNofJSqW50DG6722QAhGq1JTXlG/n1RKCziRN W5M2e84UvZFWPhShWkTRcbNoUrRGSdxmf7Rj4sNKzAewm5bwpeN9pvzBkIxmSCOzkjEBfhnmoead Uw+Kvpfv0XeZkFX4k1JhuqbMHA2bljsPe8gUpJAezlPgFhoSiG8H/dnVx6xyokUasJ/qeER+7fXE vjacmZ2WfTxSg65gFubHhlCLhOl7xShr3M13JnPxDOxGBJNfZKKctnWK4hOsz4ZxzB+NBhHVk3X7 e6OFcy6VEyWAQGhd4BImYKIgSXZBD8bQgintizx+coT/sLfdAXgOzMT+tkkedTgNtsDpMCe3Mw0O TkDTiiyMf1PK0gCH9CK1t1wzU6yA109ORl3DWMWtzCdZLkT1rvsAHM0u5cMEUTCRPrvwcZ2Yze5Z /TTG/z9G8nUBI8QIBW9q2NaU9SLE+HVG5WRKLjuCvWcWYGZzVWR7oPr0TI1ibd2SfZoyNTiuStUJ yosHw1IvXam3GL3IKoMGbbvX9S5jhXpCBxrZNFSkXYfnoSoj8uDYN08Hf4I7gCeRLVwSP1QJAdfn oUrrWMMuMCrIbl4/swi20RCWRF+IJovDtiJyLnhzGX8LRHENv35uOGoma3UuCdUowhRTR56IqWNZ BABObNw4mfB8eWEd3E+AQA== `protect end_protected
bsd-2-clause
4c2d80ef972d49e3acd93dda1251cfae
0.948269
1.833189
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/builtin_top.vhd
19
47,568
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dpj1rsbRiC2XtvMMkZeaWceey8TRzfvuZghjsYUFfvEbx0wxaUtNO2KtH3hQvHr5R05ZRpFvbxnS y9eflHJ+fw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RxF4+BsurVIN9R6VPOZY6IjRgF7yOLOJFH+DEaCvilnRUUfGXWquiAJNpzEAXSnsWuptbwUxy5M0 I2FA4+Rh4icthIWWJqsNOFS1K2ZEpNoHe2hVsMzmtRpnsPL9VGvgfvA4do7AYV7YhTUgoQfClGAQ vFYxy/RbXBzM3PrDcTk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OvIp9LkjFoctqOSaxZyP7bYL7KElD3vYsFbzOXm+yqBzueGP4aoe0+732BJK3cSRYLmSREwKo0o0 Rv3hIBpxf0Y7nOdTTISL4pJ3qn/Q9Div9rDMzGaVxIOMLNLxqjT1ZbqCGU0LBxVzmDxHhBalP4V2 XUBBBCK3eeYn9YA+pujel3BBQ67ibuZRmgjKTwyT9B3SaGu2w8ce0O/YfSF/l+ncmV9cvUhjGdBV Dsus1J4qhNTtraXR3S8daDpX289UCjsNh8krOgCnmBNlKeEFeTxbhmhnNPIAjDgfW1fdIgrmAH+S tzDecIht4fghpU24F+FmCjpRFfArF8+d7uvxlA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4ZEqShxRoOQpy+XtDUXlHHAe5v38IR2wWpAtAq2KeZ3f4UCuk5LQw2Oc5c9xFXi1a9SsCAzYO6Rg 6iBcvyh5jboOYApBCjz/4VZfMAndhqby+l7lpAzkB6TqAqvqUfdVhSRn9DQMcQZ2fMALj61IBeLk rnvtNe9XfB9vaA3zmlE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CxLbTp2UMBa44c/UwixvnmtRjPsy2Xb+fkOsP/coXETbFAb6XdUuKlopddrCIslByXBY8SiCzN9B XnnZENqObWvYgo2VDZVlPu9SL8ZNuOrh2v/bJ7ztAhTSojfY2dBi8ojKva7J9JwGsRtKubJGASjY RHw8CGw4rdc0A5dMEVmmoAymqmzBjExIxX3UWjtVz457DADxQ6UUgPgr7ysxQXkHN2eTr8eKtbK1 R8VALM11jq0MxZUpiiq5xDX4POkxGrs4QQL6Repo1WUK5V648ZRUZDaWyRJbcIm/J5ref1gzTZWX h3koqZ0X3HGeO0DTx9nnC43UDVfA3fgk+YpVGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33472) `protect data_block 5bZ7kSSCidLr3jSD5JBW0RPv7cRb3VOGMWb5QaLcvB1A2zKZN0EIx3lTZPq8F/4hApM43HJ8E5wJ QaLMeQzwTGh0ED+qkSTxFoBU6UL5a8vQ9qCn2MikotVHy3xjrq13idvtxFmHqjjro5Zp9WLIUECZ K3bEVaGL0AG96gvef8fycJV+od0vTLsubbhuuCm92L6EM0j9G/f65X1suXMtbrnQ+Rj/aceOFABu j3uSEv8dgCjWKGlGZMiSLX1xK8lmlu66RFg46IkRLTntiBmgl+8PzaDj4MvRNgvtvdJmrMaViw1z EY7kQZMJ7rc/FQGEo64krikKv/y360HfUov6tP6fSTok5EitLcPyPDXcv3USpB1lS5cG7nI6FClG nxysQwGIDmWThWThpjb+lFDTGpRTXeiHHYl5ajJzERAJPiL3ObUR58MRdlSGKLf+Snyvy1xrg6GP k1/iFsVrVPDHORjkrVvQ5T2hl6Sfjf1cj8m+NW2SS9wTLCTZYa80oomzdS2xutuQhgACBxLJ/v2f l0hCCODIgtNnNMDKXBHhf4c48mXaGUgwWXzRf2SXRwdAcHzcyAxdWUXa3fr/zbGdRrTV8F3TyEih 8Lh0BgOXEGXthM9eCyTpv5emzCHWQGLGGVOuAHiNiiuaiP3AUJPbqkH9j0rrdPiBAXyz+oLM0adq C7uDn/DChjW9uBIZc0unNlRAFB9jEjZv2aaQyqhRew6ivUHUMr0fn4djlIHQJBtS29LdG4AUUNzu 05KfhKqaK76v6eu17+CjQwD3D4CXrFquDMv3ydihez9evVcGcoZ2Zxs5x9a7NzC49x5oFu6K8/4f XwOPpjeEPpN12mYzo1Bu1iRJFPUHWCIeslH8qK4kWsvuBJJ5uNcbq6nikL4L1VYSmb2Q7/0ggDb2 827m0j++39HjByHGB65yyh+l6DXOBt4oNe4uaKNoTtukMZaYw1QD+074UCycwbk0kgVDWNQ2MP74 MLb2+feMGdAoVT2bcDVsI3jFK0wv6Thfig/Ywh4MLLr7TFyComPtaaYX+ZGy6QEGNWjWgV0L6bkb xYMnHedBqiVeFzJO4tG7eYh5rt6FOCyA/r560n7xhMmD4Mzv8LpQeA/nU9F85Kx4AmXztGwSCS+I bnbkhL3S75cHoJSONatLxwtvgdHZIxoP7EDWvHowNVSsAbxuWmeQ8RQHBDJ3vEQrvECaFSuRMvdi ZMjaReLaMtFYl1xGkwA551H55vN81zVDQvzUKCcPliMJ+1zt8AAngLclpcrR5Vwbnteli4F3bIEF yJEgIyN0vCiDdR4/8wYmH+olE+kj13I/zdkzKa/olmQXu4HcR7dgUttJkqHFTIX1S/22NfsuVmQm flRQigT7emvZQDzeJEfT9EOKitjKUKvr+ADPTTuLB/k0M2q+SyZrgYQQgPWFjPrVymE+d822pjzN LDPHx2Xkb00A0m13UTuk4gAMpXwl58V0qqmyE/ZXoXWptyZPekENrSET5W2zbsYv92z1zdlyMhT8 2KGWmJagvkKhVt3VkYyY/ReZJF0pkJa2DazoSl51koIaPKh+zeicykYXoPjhkzN/Q0uZYZ/j9z79 ofbOz47XCpAZQiW2hEOjWFnwV9D9QOBnzYGI60aH6IrtgpXC1oyjZQpKzz9LOAZT+TUqjkCW1+w3 QudwtCIsi2EGjUep6vfLd0ddB3v/HjFHyVxwcl7UYvkBa6G5Sb307mh/ESu3lYujS/7bCnx6M+gQ zT5GjaGPo1jLiFwTcIXaNXrFZVHL20Thr9VfhRDKt9vR3NGQMbq5VmCYjlL8wpeX/PXfLNbA3JJK wvYzqtsqtFnzuI0qPLZ57vL+XNxkJsw6y1b/XEtH/GB95L9/fbDri2NN39xzMcmXJJacyhk2UsJE cUg18b4+KeTJd9xgftS147V6FLivDZVtwljkWrHc0B+ClElW/AaclTZZwUmitP0z+4BmLKJBBDnn 493FwcFNlWAZsTpcvTHw3t0FI0WHoV3C90/20kJUUf9uUOjBOYPYC4utZtfmsvvemaXZjueCLYyu SXiNQwC61u+AblPUrkHDiQvjRLsgan8tI6WsqWXeUXwqaiDHNGm43a9O/8QAnxXscUaAJAck030C ju3dFUr+lXGi/rf8XgiZPc3YbNc5qED2nkAMsfgazA9b7+dboEo0eAyPp5FBX4lOiM7nSlNV3K98 gJZK+ppFufBNT67kV17q/yRLw/2LSc09Lcs8F03HPWYMkK8BYxSrGZmTcpipLI9lR6g4mRdXrwsL PmmBMdjOXFKkDlrKUe7V0Ga19F3bQkbg6MYbsQSsZRUH0BzvJlNtktX5zYLfQR69+0v9eU+5m1BW w4lmiKCfI+W/QpNVtPeUhgX5cQenoESBef+78toeFe1Eh5GX1YxObONGPEudlUrY97XytDUq7gvP 6l7igrCyOLefG7PdoFwFI4q27ErV1OZidpV1qxE5D9W6/5CfAVO0eSeC/LsWeAzRSXqhoyh5/XVk 724zurdmjpliDY2lDeZcm6V7RdccLUWxC5Z164hQ7UPB2bhxga17pERwSHx5zFA+kQifi00RylS9 Her1BtLoWugaYeEOXsQXDiobjQi5pksnXru9upGr2umenwZpqeJN6v2H6tq9ThNkCPGTb0pYj1Ki 7I+yoKb61nura6p2+8T3P37XoncXBWu2hWYeBccxoGPzeb/O3FCvw4Xcwp1pqxjr7JCkVsVPr1OD bQXRwE4EcC9lrFnb/QtGz72IDVXnETYdvhhlhHGfS7JfjPbesqIKyLfVeW26mR5VFPM88ZT/LTnB xz8R394jNUCu7esUJ2keyBNFtwsw0gO3YKadSJYYuSawvn+aTottEA7P17Bj7MzFDM+CmuzlGS4y OalnqPBDXwLPNoYesEm7gvdCN3giq/BfxLyG4XzVYPDIwKTergsEF1UxlywHGMZ188wjiCh1DeDp lY5wlc0f+iqgDBxj2dNCGEbVyQ+14golLYjLBZQHBTFF7ZlwfQLkFSkUjOzIKa4QRAvy+D12dsSr NSCjSv7oh6s5I8gexOtKN/0I+NAZ70/yIoD2CUBbSwNy3iN3Z49PSfZLoWwtEChWajiedQDMkPx5 FTZtlc2FqLIgL3VIzH/gW7QcWj1Tlm7s10nX+WxaAtcfLT96p1ViAwRB4AlyH8/ytqKjYHXaoz47 L2wzzKJTR9MIAIh7E3YVe6tHQFblXFWd+G1SBF6CyM7gZvsQnfKGIQubNLi/dG95BnQCdezv/tAA 6oxaqwvL4lrp6usijARTvLbq6UZUP9Lul3P+BIQA7pZ9iDM50jQ8cp7y9TI6tuBZDIkYv6Bn9UF5 5E0fb9wvSOkvODCbn6etdp5QWWL6/x7FokVHLkgKJEvjk0/lxOMKh0jAKVy5/9u3noZjcqsvfYq2 yAqAfYNSRiG0uCINEv30UVMqWl69z6dvty2HINnNTJ6pQ6odWeK9MJPgVy7PZaIc0BEzGEcxDXIw GFyhQQfyIMcaB5e119lZLiO470Or4nUw7T6oek0SCOh31xy2rYzjqqV5HqwEv89IuOxxEKr5MkNr NzsbmcpMZ7qk8JdPqh8SM9oSie/67/wsNToJxe0dmdduRjakEJ3T6Ju0qfdmavvv+ZA0J+rgLPi1 Bs8xUHuXmLVDiFy4Irp+sXckFvQVpdhkHnPZhwPae0N0jTQhDAPo+UWo6/MkfrabBvmkRrDSj2fl plFp31e+48hrrwMSvoutlbXMHKGyUk4IKsOjH0MzJBiu3AwFADYsNxVhFKPvF6iI5EnX0TtgeWvp i8tvSIrx7015wCGcLWKkKImAUr+cmPAqhF0heh/I+dobcSFrT9/jJI0VwXffVMozItNbWY1jnFZ+ 9FRoHnQZL6kRK7iQYHe0WU0yoSAxkoF9DGV+oAVYzCj6kQyxrlLL8BoxJTzUIYjz1U5XV718j1xM PNKhjRDD48uq4ZXgmiQ2P7D0HrgOd6pmRpx66/hPODZhO3ggpQ3tIsrUd/boo0rLfIHd4kykEhue 7ijUvHnNgARtgaC1GuWXpsi6mDDdvjUqjz5gZFJW+vqcKzbbYEYYRq+o23Fobh+QnY8quhGZWrd1 ppn7uHipmR2+cK3k1ddzqhfH79p2MaaGG1jgXuwI2OI1s2Oo3M9paJFeQ75m4PAm7OmnFqI08riS uyQrnTi+sQygaDANd+fsKMQG2hjzJq7hvI2btmn2jkh/kkEcgJKPZkhzU+C4f2BnZBdQc51hgUEz v6YhFF9Q/ZVwDoDqqgkvmE9S5JunTOnU+6D1Oj15mpcbGXjOjIqft/CoVUzO+QDt0Pjs2I0ls26C McJmLK4CFouYVtl6ghy4tr3zydLE5Jrjd/1CXQ3rnFLdVuoIGZR7wRwljD67B/QCil9hEvoBfx3S Ui2svHC3q1FXREQTtX32ph2EQQGsv0WHcx58cLVGzLQ3At54P5FOg1+qtwBQ5WQNZMSnJU/VzScO irdJ1WreLx/zrcvm7dxz3+5JRAmuK9J4/2PlQo1XZ0ahixj8hYsf5+/FvXNKuqp7w4Vwgh36X32D yCr/uGJ1uHAFZGwUhTEZghH5nq0C6Vg7bYYRm2ages1jAbobh+loPnxoEUgmFjwk8ZQmBkDCEPwu 7DLXvUymPiBsouVwu4CMc9cxZavJeFWQoV329ROEO/dRO6nHHFiAqgIvXcxFtN7VXsttvqNp7URH 7rf3EcPM4XNqxeNA+fK2q21Gzas5yYpeEH5ZT9NomFEIbIp422dwFGEF62XfwW1E97PJlSpYI/nM 4wsT3PCPVVmaso/XCaYLonNXkjifIe5ATv/c3dVcPvVIRXXuc9eGPFDLbqc48E68ZDjhZH2vfT94 zoAMZgL/2w4BaT4hde5CEztDPYMT2NfJuPDhMRpOMehe72wxxAQhjXya53mBLVldH+djvB/ij6I8 Vz+TQ5io764TV+Zp31w3SoTi3G9V7zNb/IGPD8dDkNBREzr1Lz07qnrnHE2/fkUNnxquI+PO3l82 BIhl2nwL3cs6fUb6muHmbSHQ9AZZTgbwafm27sjfjGAzcZguzh1OKy2rrcRFDXhC73EH+UA4oTeO d8hPfjRC746wBl54xwrbm80y/xXlmQwlXY2bA+rzfecXnUidi/9EKrDa4sQ3XR9xfxPOC1HK0W3L 4gpEuANdIROCkp0Xq6ovNv5OQvrFJYswyUjpZ7IB8A1ufYBkShPahD5Vzgg60ba0VyNXndUqyGfm yyP1efDKKb6kqu790hAtqR3NYSIA32M7khEduP5Yr2jmHrdw7iZLEsLDQSZOz46peK0UXcWhuR+W oPSUsWxHnG8KKQCC5OGMRWvCXdc0j/tYRxW5CQT+Eqg2dswAImAo1rZ2jypQowPF7uYHj5Qf2lWy O3WJmL3pDBN9lkYk/IFtX26LOaVZCrQeQpWYg+Nt+UKWlo56XHDbtiXRgN2GnaFEk9/liOxte9pc UEnNHxHWefxsfl5xdK9XRRfb5tkdJBeKCTWe12fmCY2H182mvAJgroxFPrH7Z0IYYdDgazQq3Sv6 fEPaCEgeITwq9NC4qG4uBwNVDjli3PMNgazNYGtVUG4GL2H5JeSQLPMKkNICHd9mcbfSoqGLu0sy 4qxqhXgUuUJ24t2OwHHOABdqJYBqmNzWYhVbGvfofEbuTtpSTIheYihDGR3jJyBxgcZnCqpQVbNj omQJ2pvzeW0Fg3hvRVNTuiQEa8XGAZvcTj6g4TaqBk7oWoJ4xlFePCmGO3938xtDspT6C6F95laf 4PN6o4u3CJT1qy0fnmMCH0wNx7sgZF2/R69WE5iGBnd3i1t8wS2D8/Poh/rhYEX1YvFMjCbmsa5c HUMIap3LfcLWcGMHs9mn7Q/xDJpD+OoqR3v8FYVI+4kgS6ByL70VIwcuOBoTJYVPSHc1Rk+KFpnc VaXHKhWv9pbO4AsVm66cfZrFuaLLUXk7YLiSHy4cNAkdKAM7qZX4iPFI1Op068n4RFgvBMSkLvfR BRkoX3eikGTXI16fC/DH36N/qBHYtEOz4BUZveQDkwal+ShRwt3gi8pMajGtcTfH08M6I+UzkUN/ gGZHir9xFM/u3ZslGGPK0RLdmHgQKb4MfpfDSn+vGrcxqaek0C5UsPdRq28loaBMCbN8agV2YLge +U7RKV8Bkn0Ij/tpY6GRdW6ozNYZ1Ih01QzdwiXcdwNPA+q4/xOhI52LHs/pg+4mdMMjXV1/Z+G1 oPzR7Bo848TGbnjg0WBG0dbKftt4vvoOe6+gMX5NQNcnJAqY5dDjGOONhrZaaQrIeeh2l8V9cn2P +JXgtkx7T1XNNHLkildBx8aKCjZMMsecnTFpOQV7D1c5T52Xjjsxi6JTNy17plVX0YS39B9o8jv7 EqF1BBvLiQ6m30SHJUDZpSr1SmtP0NDkRwWiXAYADpdcBZjUy3HTXCqLVper3v+2iJwLfLcF9dlv l5Wh6ypJPxed1B1Gomp1+5r8TzGA1A771NMoRXLSjHw5sp+SBj4oz49fI4RWWjfTxHKogVJD01WC znwDqawIe+PlcD02n/GtwnY5qgnTi5VQraP0+kv0VJhTK/s8W1kKa80nf+sNy8VxsqNhabr6tsAF XihrDZZQZCg8xyWvVCbBhRKtEDVykyFwzYwK+GjexHNaAL6il9IsR8PvEz65tqnlgXsHJ423s/Gc DXzBzzpvc6Qde/BzcxRHXEAxR4qXWDK5wxGsc6yEnnZlkiJLlWZPL3cTBZSmrfDXMvo2KU0CNSvd gDTcd+AvPyrEIlfYMIxdfQPVfjUOx5IjcWxZHakVAonJQrjfLfzmi9c9wmNEsY8WeLBCsbIlreiE gBmSo1RDlZm083VYbh/47EIlT7i91u51QFKVp6oP3c679mdwa0e4FzNOnckaOvYURwoUw48ULk5V ao/lXuKluuI33EagccETPW0KWSz2AhsBffE4+LN7ft4S61NzcA8ksCooMyx4tDGFiTpofvHRqq7N ZOjN64ncAXvZGwLs4v0EpUE1nFbyivsUtA3jzRt4XBVSl4xha7IYpSozubSEQDWrj0UcZJbd3eDB C6aQfJwAK4xGeITzL3pWWs0ylwZEgZl+EWyusdnCbtjfFRsQ5H9G3VYb63igC3djAN1b7CtYV6O3 NU1+WqOYuf+zrB7kG/YrimwJ893VtvfMIIxzsTEWaai6zLtRmyjPQkVO6X3e9gkQ0zYK0YIAHi5c P6yOBnNX6DE/W/5Xl0xhueFYuDE3JgbOI58nzVBuGGrAyRez8PRrKJ2ulFx20jixBVEUgAHtdGIV dC25zo6PDSDE1W39dL5l24YacFkDvrE+Cn5IbvIaJb5dOi4Z8PXNHfLRa9w2hM1cgrgGCmjXIN9f +GFzyfNUWLPtBXuAhnR6NZK42pyhqupDBG+ZJ40dZZROw7UPDnYqascn1X76IHCFmADExNdwonz3 KwFvTqOG5EH1tHyphNxv3PO+Cctz4F6hWUVcMhE0V/PLGByImewbMkPgfH6Y9B164idVioMVdDaI Z13iuZDDuyn88HyoJWQrhmJTeJoZ20340+Fnxb/1uFlPoKPBsDDkt5EQFSk19O2T1YzIgyvJ3GTq T4rlCZesij1fjngmc7tBxqV4hU3JUts8TG2WFtHI/KEJD3Q5jraQISatr5mPtRB5fiF8KqjUUOxe R9pS9eREMFf+skOGtX+k+F2VQqrUsn5Eawe+UrCYQQih18i6JTkgA5yPeLOPjH4d0wFt4GY1w/e8 pc6nrkDfipNxkBcAqyr9rkVRk3geYQictraHz5KKyg91Mf98VBtT8L2elZaBcuHW8MZK16wRSZVK e6o9WJCs/dGSroBscAvPMgWLkNF2w1Zw9P52WcYVTY3TVPnub2VuEzkMRmW8Mqbgaub2B2LBM1OT l/mmlmWkL87eQXnAJOIwG5KfKtX2kSa+dj3qIUVWT/7zA4lHftyFVLadF2BEAcRWfXikLPXA6jn5 YuW09Qphx1joqOOxUAr7TIIvsOHgW5BtAb1eJo8MD55j7VUPFaY5cJi4Ro1a1p9iVlzLoV4uvZ3r iYoykOaAYHaORJJG86nj9QFOixsXk89El/s0D4Ecu9KxuKTAYrYkYGn6loq1GCOBsy4/69fwysFj PXN/I8Fyka/xS3Ta7bU40me957sOuMSOTuJveOmUoYa0c5sVeb/N1oChMISsbaQBaTwKwnb7JeDJ 36LmBGEyZPzeL44fMBEF00/pWi5VGhdmM4O60f5YYYaQagFaJStRIOH2sDBNiNiW3masmA/RA29L ZIrYRxRflUa4sWk649aR8mz6wdwUVZZ1oQ2aL9/SCvTUuhvri8R4p+piDMmPnEuSaRxbY7kR/llD Off3kg2UFhDdq5GvMiBCF+8mun9z8SOxhYN6TyC19Skon7Q31fQdN5P+theNmK1hJjYuPMNNljIq ojYNnD50PUeugFvABU34aNzXGiQ1NC2QpXnNoelrVEVWuxPM/RIySiG5Y4WWKy1X4Gi1ojiIu74E gz4s4/vQ2LnS7AifoUjwY5S/B0hS1NiWJsFP99I5wbmbd1WmZK6LJwyopR14m+BC6Mal9nANr6fr azbykpJUeXF6Lpd3WsrHIg5TxoFKe726YVgSNJsdrdJtxrEQhfMXJWEWxDqC/Z4riQ+FNhX2YkSe Iae1zvYUhM4swO8uME1ZEMtWLqu3E9HYj+R9ifsxTIZE0qA/ui+/rKjjVMSzXc4dVZX56ybJIPXC Gof8BvtXfdLgoUvIqQ4T8hoAcm2AKxdzPGABBuRGuj9wgFs9T+ET8wR5UIGEIFGdWceC/z37yOzb pHy7fo1Q+NZzBHHlAYrXg6bT1LEuYORx27zKYdDv4SxEdC+MtbOc80XrOPHT1UavTJ12BKWuhTyL vNQnDns/HC8EI9Fm3+cG0q3UplSWmRFw5m0v9KgHNZDbBC3v+xXF2u7LpewyDH11G7rjntotZe9/ 0R0cb9FgDDbBOacVEJDarzttnFW5yzH3sYd6buo8KaG09ffIfpVfJzY7AZl64e9YcRBy++H3UEab 0YSGxxFN6wHg7H9iHD4nwXa/r1rDKEPmV55p45w3JcW2dzasayGedhdl0bMI0+nfLTVHMKWGbf1K IpJKFf8bkduU7A2HA93alUJLsBc6WBbXL3GAjIndeJnmhqieqsS07i2JOTDYg90Tk7GaLt8Y8TXO h+tGXdMumPuYop/G8iDthUVQw+aIGaMDE/BuOl+et0IJILMdaW1Xjt6kLrUVSWVJKLKCp+X7eU+i KSOOQie4KEDq0+sMJreNAAcghSZpuFXRQHAKURa+3bvWS6M6+DA0KLInjucZFuQgeqJ9ju3Hw0b5 77x3eTfCBrtK7UWv+u/E8fcDdg87L0YorS1CygI1QsC7R7GhES1NHwwhdVUfFJ1d43g30MgjBW3L HZVydEK+UUWDg0skWdOqdkiVbksBIkjTOAvbFpiQmJnUnNaviktDw7v7IBUmKew2I7CAebGOmPac GTqjcBqU2voJQGu+IbL4VBdRHRPH9HAORxMd8QVeGmIuYkz4aYHxJWDdt1iOVpWTzL6N8RGwinkw ziZoSj4bfhh0cJwqB1dGAQhcTI1tWtnboDZK76flDzjcchWKzb1Jqa1a1e1DHex5My+m/Fxp0gkH oU+9xlr7j9D4O9LOgpCEDzOsPY/6RAm9O4Xb8aMTat1qfkIU7D7ZHvDsOKqvvMwxJiSG7jtzEmI1 17MtZXZVsVa3CrnUm4So442GFkPqiWmYSrhZ4hq0/IPhZPWWo5LJMqUo6solbuuGOC0XgUEsVpzk tbv4tRCVNnZovY4ikqqH8PRa+7JJZSIY5b4nEsnyuZtq/o171+tJlIho1r3Mjdm7uhyqshYfkzON Ju/cgVEwoji+Gce9CJxQS05VZI/fjRrC2ASt7YI6v7Uvjzv4GCvGxBhiPFjwQ+0YY3CU5TSocFuu /FLxo+IENX5t/SfoaIF0sd3SAZ27/g+ShAolRwg5DMZAg7dy/e2FlpOX4r2zeq4UXl/jmk1oFlXJ Pajey0w0bFlz9BvYcDcQUSd+oLgOIMunR/79BMR+Jz8RegMqVkEXGrZRxBpg5uecJO9y0SHmnMUJ KXhErAATKR4xst/V6JHtUihBw4JjRxXewbSkFp5x38xjCjIE2AtnTxKEDbI7vrgLcPRmpbM63U4w 1pqhCMOLNIYfNLUMaAashf4DsFMuoiAf0YJCnw4kiUGIgwM+Kj7lmN8rwxGFW8/zshw72/lQF7qa 3ELsN2HHARiC+AdfjJch4xywe0dyCbXz5aT+ER+Y/QOTPAKx9at1d9641QXSbixvYOzDkwADl8/d UylCeZw0IU/dYQnpSusOAZDm9amIrFAwz90Rrxf5/JKApUf3YDshz0KroZrfzZg45xbyIOX5zvHv pq3Kfk87FTux1Yb+GNzHuvBwkIx3IS5OjQtDoIt5gqGUKoxVG5MticJZN21ssiEoEiowtqJacHZa 6m9hkcrL7xpQo5aVM2Zm62LYA5Oa6hgF10vI2liyERG61d/PWTNu3XztyIbCrBX7vBfDahJiTHjD 3j1KVJQE2/OPDi3sSFcRtIeJCr59o7MtSZgnetIeGj5pBowY398HHDccajo4dEav3hSthYACRG6z VJTkmPlgSbPxVdNoAUeYwxkMs9nj4MY3H9HCzWTQh1Oqie6msPVubVPCkbRWXPC5RDzVcNOn6onY dME3ECf0Tz/vMwxXyMlW7O5W8D0kWDoxz2NaS/pHWxf9AIW0GxnNfgCgQaHk9+lCobhqwMEzBlFc Q2c9Rf31RHZyCTIVKpShLmtsUZzBXdttbxsWpkUtT2RYHnXfUoB45KbTL0EEFdMwj6kPv2s6L5PJ +DXIqOqL6vHF1Ppe/1/lh5WESNGC8cYrTZ9ehyipuU+fYvi1E/MVayTYMvcW6DgX4d/HyBZZnN/v RQZq/d6+RRTdQPYUYd/nCNMMsF7n/peFmBzeJvoSf+1D8xSdWab2t5oEof5HyFAYOOfyx5ObSJ02 5MBMw/6MYOREfIsBHYmD12nKtJl7wJglb4Y1RtI6Tp8ul5RiM2dGvDht/Cc2sVdDurt8L12nKfAX jyKjq2YbLr4tpzzVHBmnUJXzfbIRpBCeFKsoo79WK45EpOEuBkLRR0t9OiEqdv7ozw+JLHaZApBH TgpcNGmljrUtMtOgubl5flB3RKSZST4Podi5HiLb/QJYhBGX1m3qE6OLugV5a5V3UjDikEM4QTUw ux9T2KzKvdyEdFXm2c/NlGZaSZ3klcse3b+OIs0SjJHJjDt+LT1V5zBgNncG2jiGZxR77guRAFKy QOr7Z3w2ia7cHwjCErTZPDbOyoTBfq4ljpMmCDbfqqnrELJmmeeu9OSNUJ9yDgdYs2nfcMB+2/w9 vVMAo4YFgidrcYRfgsTxfLA0Rv7bDVTPwdGhIDcco1xJpD6+paTbeV13K4FOUIkP9/nBiqPDJiPg z2BG/YgsXWq9RAEeuctYy9QafsK5QXQVnoirRTBy8r2LzEI1KgjrqiDMurrciYN0JNJ9B2xijQcL qwM8Mdq83M1o8gfcfhlkYs/Yg4AUdjwC2IDqmIRuA9PIMBxoTUh5BsgNXiDL8v9DrOZe9W/QC4UW ObrOlMttuT50cWkKcJAbwQ0Wu7JK+X4ChbF6maJ1b4kflU46kXxbU6qfaoqX7+tvEg9w96pb4bmH 6KFXpcRC28RD9Z2wfTdxLWO/q2ART+oiT7ZeywnUw4VTFe7QxJWvHpD++ETfg/zfIJuvZgmTmLP5 QbTzsidUSK5UT2vjLIHXDQpCWtj5Kp9HPFBVRN50Q2CW8E394urrrs5Vc2pxiH7xfY1v5O7TI2FM gkLr3yG+yXNacu7bsHT+6Y/ryJrkkRor11gGLdLLFuSffe//smAjid4Gp91BRA8LJ3q6DX+2+pjL dc1GaDNRhDkExNxDlXv43CerW5n/IbeOiReCWRT2kf4hQeYE5uqrNHcI0G7e7hBTnpi/QtMj3l/D gi9X+rJkPx+07OPff/XI9EPGs/HPLRCezEG5ysjJQZZRlgR2VyjzPsCaOg4Z7NmmbLv6uuPTeTqP HkJe5ru5xeAn4crRsnuwpOFhA6+Ase3VrMQpv04dq10NSn4nS9WJ7Elk4nbbfegYkG/iwJYEXY+v w3bfqO719AV4LuMbmBo7HkxFrt649U8d29yiAxhIlU0NdM6DoWTwAw9glvVXd0PMWDD05l5ypqSw EscbumI7zVzYWEh7pJUhmG3WBkiHu3Sn4DiJzPItrHeD0f7v91y4xnmhLoGvmfevBQVzCVyZ2P22 iTEBwDlBi3fSTHRfFH4qtnsYOQzTz582F/eC1/UjGtBbemuazjGtphZz3xjpTLuqN8zW2R+JiCOL 9sBZgRXLZXD+T4YU+k4AZBkWVCrtrp3VM2+Re/fFuFXuVm3Hl7s7gBiC8KOEF5jYuqYu+/xeMrIx vv0vkSLF7EhG9ArIQwRSxRBrQGKxSLRCP9tCpVd0ir0Cu2fJxbWT//iF48CP8uqYKGuu+Xd8OX8P 94TgT0MOcww5EdTAo52kLAioS2imN4Bgzfrvd8uUu02iAk3MDHm1i2CD6XdDwGqoYqEtRi1hzClC DngdCnDFXA229yjsjd3nHMB1t56zqG4FsAbAN0KERInqbGmEf6HWfnVqTDMdsgvJp2oaNiNWJgOC qEIq/6qk6/TVjII2jkGVl05i1cr0dyBggABFTKaEeUqiIduW2v8kQEkdkWeEzmSdz6tEdmBs0pc7 n1fOtEyeBzVP3YxNGmRekVEDeK7Qy+PH6cotZiUcXpc+W59IWA8olus110BmjrEHUGA2ZzHyDnxC J9cQB83wOuKogkXcekTafTppOQBK5qm17RDlG/AbGkpXtxa2Ek0RqIfzIEteBT5MYX7mA0loJiuQ sguriBfvFfL4MUIi0GZOpJQ1qW8X87HXlSlcgYJT9c5xw/R1ZWpvNkIolKKTJ5JjK1gTaX0wJXL5 FyYE1XLcNzLOdTpVPfUXBqpxiCeMQx9WorIaC0sZJXlex4UPjJNFLgUVThVuI+h/xi+18x67fw52 Wsn0iGKg/k4//EK+Ja2CktgQPXIo7DtwEegnUJCH0hhL67mDL2qXVv1EvhioaNZ8ZOeN6rfkG8c4 KaQZXZeiTnfvFzQ7NAcRZnNVKnlONuyqlGUZYdWaG4I407Js773xMn3rdQg3fjj6UFZS6kZeOzHu EROvr7hLwW4Zm/lyrXkVEmIsvCO/j8/MdYitzd2A/sUfp1pEYZzHFRDZ90BJZG+Wc3BzYszh+uGP zHbHkTGn5CVBgHU9CCkME7shX9jxLBRYycYEDYg1zeHx9RQha0Swbu4UsiupGCyYhkZ7EsJtJ/vi 7gtNVajSeNcxNnfLV9mQGqgN66XXW9k1YjWTA9GH8C9jfZA57yoU+cbFJh57l721HM8dYBo6jMsU 1xZLx5YrLzJDfqiopZ7ZIDa4y7JKcc3FbUpqn2/l/dxPxWg6cbCEMlSwxd4KTvreS+d4iSuA5BB0 nM3jrQwnMyYHeXye4YD0oTHL7ligLz2MxSacN+EnflR80RDwZzbeCMhA6yclZtK7QQnZKArNFFO8 /Rh9/HVYGpegyv4U3lOmW5UDlC+sli61bIdZ4Wf5YZizEkHsaUCJqdHNILPfxDKGwPBGg7jzU7L5 C3tIoGelnTyHvWkxHksSuiLoGyS7lejelqE10ufOoaa6kJd9XqjzFMwHsSZsH/BJH7qg+hirpxBw ZslmmFtbHW96soEtFkjmDeMeHrgJ8Vmkzz5NSkvauCy6PeXKs1Z7c/adngBMnr3+Ot3JfJo7kq8j 7hm4/sXodo9ClIGm8/TrWhkfXrCBs7g+4ZAPVp9imZKMiDw+5bLvUPwOHfglRQ2V32+CG3FCfF18 h8LbUbPVcFP2iMGFJbG+zSerbZX5soFEUfteCXON3DQbdsL1LiZNbtKuXMij1Xig1v/8zX1sHVCM rImXxhjFVVK0rrDkgIMMoVAevmxeWfQHvOi9DhQNSi/ggY6+ctwSA+D2dyus5/k+Ci6tjWNujNiQ mXmsqtkhVpii6ZwhmT5DGAvyI0dfOsUwGWWxuqFlcr+75Y40KzK5yZ667xj2GXwvgf0c1B5IjUtM Nms/BiKZAqBc2+M0pxgeimQJO2KhCbR7sM8hqK0ce1gLnBXuY9i4UjdV+VbCQgv8kcKRLVIjoGjX P26ZPK+C4X4d3RefLV4nOTZ/cWD6nw52nxqqlmGmi/OveQHG59RgtErS0ZNYKExA4/WUzjbcvOmE wQTQ+r2gFBG6ImgKj1rOO/TRhjLJarZwaYdKgM00GdF68ROBAOl10W2kfvg4JJ6Y17z9Wf9cf9hu miHE3lHV9Q9v6TCIMzUuGwDtEh4eX3l0xR712tmOaDVXCX75S579a3WtP9f2/bLZmQQ/x/2ZxXOF dP9kJtb9QJoFRFznTeAz9+OGp4tp8hS3rGz9sn3XAmXJ6oRwZ2dYwwRzATrB71PlRiCdJKUuQ9fo tRuD57VDHR/Zrhx7Lf0POpUkLDQIGeMvh+Wx1ntUCbMZLw5l022gaaJLflcoIh9bxqhcVI0ef7R+ ZYKQBT4SluyzXoaztuqeesVXHcpqMjdv8cFk7TD5Aal300+boSaB37xb8C/mgQt/Ar/06iDQN0lv IBKpnTF0b3voYN4KxCbRGomBB1ErtLC9sUn+V/xMEn1eTAJVqqYJYbLhCSQWaY/BY5ges67CLz22 N3VzIJPdWIzp2eN63h9LmUc7XTkv7GXscWaYBrirYgjBcTGawaJXLBGzVOxy/y6cA77105kS81Df N09FjSFBGNWEaRBGqHZtd9lg7EvGHAx9AzRugtat8Ibm+YLBRDBNrd2xIniOcMJMPk87UbvyI3uP UD9O8x50B+egO12VVZrz2+j10baOa0OuptQ+DYr4Ss/Wtt3hY6PbmTiBWmd47eUyYYSqwiG464FX OL/dM1p2C4DvmThShJOnAo8OT5TaDGt9m634EOVqK91s8eiEjUo1Kni83DKP5pE9+dqq1X+QfLqA E8LJ3vAuXHBq7HXXMa8t9HGobf9x5arD69j7xqfuTn1EWmn0CvXwZSLSbphQJ8mA/KFHd7cuZZ1E Rw+gQj6UD+RhqdF29NfWmE8LIC6IyKO8RSdnhKOxi7LblwHb4eJZFbEFakEH/m4cG7C0DaT2rx/0 /umeLmgxI9JCb5Y5966HXNfnNvXKhWUeQlV5GSg7uePxS+/k9t7lDOmfuHjTW65UXzyTfuLShqxi WxohIhXWOJ1IJlWlxrr5PzujrePS8wTVo7gDNG5I6+DlU32HMf9HjRn+17Wryn9Z3m5BlSOgJ4Uu GW7k+mvJh2AAFruVC7+7Dk7uz6FFlY0V+hyNtvbA0CrUx4kmRyqkOMAr+B7rE38zccNHaodmZFyQ RY4CWTJDukJtcF9Z2zYEKEphwTJw4IXIkPK4FzBwu85ddUh6qmnzDCbWVaEa6sAHQycixwBKqDE+ Ecn0zhk74mKl9k6yyraxG8RtgJOEQ+A0JXaz6bWeyJ5nWnkq4yI8cZIOotpDRz6nXyBtb2bk6akt 6xoRnrF9vN8AjTpFqDyCHqf2SqIwEPcwu9/WALUGjj0QUt0/637WN8AFI214JG9LAhRrzNlclmLR bCqm7kPHNv1etnKRj3LH251YkdKLFbVMk7FQW8N9zfBUPuq4MyjcQiEnP2s5CgUM9QKucRM8rzpc JEzyp/vqmAu7WKwAvJEniyMYi9NKftGos5tzHJoyhQAZL7Rqx9JVKruN8glzf2n68BaJLCr44Tov KtfNVl9aL9UlmU+nwrBqF9DJC3B/GUYrt/hodY7cXgtUYvGKXuMVfoWo/oQ/Du4tTm34X8VCXOfK mrxI76t45cCHG0HW3KeXwsPWIIkwHQqPEFa9Wfyk2K7M1WA09WsCna7CdJbBsY0h2j0A/q2/wpON xFdsk/9WT3nZoo+8dG51GhWIy3alcHS7uoDqBiGx+m9oU5OZtA2NH0kgYhKSJga2YWow7hpfojas Vjt466ppc24425rn75goelFBcztbAGo99jjUDweJ3j/6B2bJSAYRLao06vS0lBrEqBHS21/E1unk qEv3dfa9iQFvUY8shdv2ApSpy676mq594tafzx82FYLiLvCICT67uv+KPLFxLc4zKZ09GdlKI7Oq RTjwo+OMcU6YFauGv02bvO3OYQ5dpGrrGbaI1bc+upxItLENa2fzmyRxFl7enimet4qtPSgBOG6c qAfMIBY0/M9klxvlmHnYFLbOHNC3XNqOdTqcy6gC+5Zqx4iac8qFoUauFmWSI14Peeh/DgZVFe28 VfK7FeVEv2wtiReS1moA5PZa9gLqkAJ4iZMnatVv0Fx5xwfBkbBt73/vEzoOZMfAYT2jVWU7j9th G3elKtqDMSzEZKV5qa3O8Lw7RTQXCGylqMa4vcKZjr8deuWJr3d0Prx1MBcJyO84eT0s//gLMSpr v7aw3NbscK1KZ991nr20DUgS5sQysx0/sadxqLCjyUAwUe9mUf/a1sysm1kXuWFBhTfyrgQ7IZHA hXGKMvEKl17wTq//0KcyzQtOu0nHzLUkN/f1Vdh7F+Ti5cJ+iaPj023RuNhAQzLv+61658Et6JZE blfZPdrlbrW6JT9t1z8NB+dW5D5QIFgqixD7817HSmpJ+WlbCYVFEpZXrGg5XAJWHVQToLGQgacV xSE74NS7ZCXlcYYApMBqjw2KOkQUSTcNkyUnkPZxHi25Zhwavh57sVscGOPmYBAOTxZV2HTE0+dQ BL4UJzdHaVqs13kSiy2Jc9xmUSvwHWwvIg9dx0RVGbZxlwQLCmOgCfmj4NNVH3+JepWakSdJ+vJ5 C8hFgmqWkLgkTWl65CQGSxhbx9NUNk+NdiaLTHb+Kzi7TTq9zoETzsmd043R5tZ+3r+dxhE5OWjL FGwy3pSI3PXUSvTxYmQni8lsjS3XBUjdPUpPKpifTBIF3JormGcJUAxzz9MdrjRosYFxHz6wp2Dp +jHCANgYZTXdNaNKekrdVf7292aHyp5DsMG0+MVRT6tjQB/2aiZXZQeV2aLzBfquw1ZRvjC4Cfb5 4yz/6RLvsMRDb5faiZGD1LFA/XHklt5Klz4H+IFETVcogjhBp+BY2zYXSWDMwoTzXaQOAjp9e7E+ iOYOCo9tLymgFgieRprRZjL4/jCxlofPGXcv5W11yEsoP8P+9OnkpdB+xWdFy7aKAiufsxvI+orm 8x3rLBRRsKyMLwKSjHp3Ty3xd72r11v2WzBKLCFRauTJzh5cH2scl18RM0joT7+vz3Zf2JNoW1vD TCED5PdQMTfEtqW4UFhl4gVgrG/2nnrEJAgxZcscJOXeCyU4iujeDBqO4rfjv5S2x5tpJXJwlyuk NNo51AQ8Ij78HcwTzPAqxQDGea576rdGBKIBkfFoPB8EM8AbZyhLk9joieGuMlLxH0vw123+8wZf z8BswBBnITRa+m8mady0+pnaNPe6ATapKuquBjxZR0F6fOkUgJhfqa/R9cuiGULQPL+lmJ8CcIvA If5uuDTaM6FRnicB1wfNaqHl1AvojnrLIJ0qnBxdEWGP5lKV8STv1zH1MKR/7g9r8dmMqwTTefBO 3cAftgbIBNxpGMIbwq1cs41FTkwzrsRiH5vxWg4Gux63Ni6sXkk4wEepfXQ4NraFxulhIfWb0uka g142u/7oUNQDhnPMoSzk7UdeyZfT8JOBFF5DJTJG/bVFABJEO/DGRs4FAooi+44iyr/0NKw7GOFw eNTuZjMQtyzxWB/XGaDfYwNhgvMkincw3ck84EHqolFOMOKf2G8neLPdPCETA+aBap7DIf4YaTDH oi6p7upYBl8y8/GQlr5ffzDpXf7TcXfZNxagz3RTsGLXfiqi3ueI0OgksittcNqchBqcknjZnIJm 5Bd7TZfMig6IfMMUXEVs+OaSvwWk3PQviaywL37rtjmUoahqQ4/GWg65GYHDgqZOeHZDzihtkJzf DQ3qSADd3JrgZbG2XkejoKlAU9r/81OQnS4OAGJ3GeeeeOM4OmL/WXp5UtW3zlWeXtl27aab2DGo vO6tsKt5k4Ck/gk+oua15b2CCWTZzpEPZXKApDj4+Eku2dpp5LXl2/qO+r/I/+Atg06USSNVXWzO JJFTnyCFk2q/CElzU4eCeH+LjCcC/duHJnCUoqJQXKqfJXt02q01wWiY/870GjW6QuNlr97zBHPP zlsnwIKV4hRpldnUMwh2nK61uDv3fro7H1tuvR957eCIyagVww/Oueqy95B/x00Uu0Nv/cKB6K9e 9mXd7xPgICNkTz/+8VkWbljn/WHc4EAyqIs+9OIb7Ypniv2dKxe4k/RU2ebEMfAvZzxUSyz7K/wP anQQvZyhmb4zE8xuoW9u0y0vRZQ6v/niljW6wU709idXrMInZ8ekhxc81+n65l/3UHOmT6CDV4b7 QWi/IMSIK70qmI64MDACljaBEB65F5OIeMwzJ5zUkTyVWtk3bOko0erh0G0aPILcfuWITsoB9NK1 o8ry96ydgvB2r+m6ZSn6lE+WBMp170nZ5Ne3g/8ahHgV1FW7Q/UBfzbqHCFHC4cYZENkt1J8NYHU yE3pace7+nNFCU46p9YHMblWHF/nvUaDPveiRR0au3nH+C2cwA4fC+GdcPEVGz7/WYbw0V0SGvNS beV9+Qe6UCLoAyAH4sk3fj61o5J95MNzDh1z9RZOdzY4PLAZHrnCQOvESSHZCA8QQIWwfkwJpmIV u9gaGGbS37IiAHzyv1m4g3ka03DCdVpS1KPThwEtc5gyc8b4Irrvu0vUivnnkz8gXCq3HskjboH9 X0I95bhnEaQpjfYvuU9xdNuytz2EbvwXMHjQYmrHs28skodJleCytwuW/CjUbeSvLCRUOhwk/gBu tmJqFEFiXOOHTwAhPIUJCU1IDT26uWZEoO4TiwXeJ6kTdZaRwRWgFX1MeokaZVX/oPPQUqAcgwMs kFUlqSX7Z/9iUagzTukhTzRFFLUNacllZ4bi4rtdpkfqeXMfwokhaLSLWi4f79WjJsy1jd94gl5D lzjc9da4pBYqwPFPz2jIQohXblMkNlvl0cEH0sn4+KWTiGEPuJOkffzaBVIeTs3BJhJZ2x2G2Tfe xL5slx1DGuA0h1DYBnW83qGZOptKrdpramXs1jlwldh0Kzr51Wv+nTO9Bjt8QstDTZlFuVVvE0xU rmhlHItt1LEt+u9mQXCPBB/2HVCCEJ29hNVjq3cKJAmEqPtcGSUuk+SoUjj6eFQDcGaGfP6KlMRR xZFTB/F6hk8MEqh96F0zip/O2EMhlv/ymBap1/YQcYkZg5lEQJwo4LfnjIAlBH0E1NH3MKJ17YNL JeWChmAabnW+W0U43bgbby3x5d3jUNYQX0yXPXPCa7VimspkgyPZATIOGgU7OSreP+hB8dLzivSw /cBWPOz+j6M32J/moyMcGveTnShb5U5JVIHbi7QtEvUtzw5op2Y0mO4usLyw076z6Ug8whtTrDW2 7XVl+a3qPW1FD33GC2nYsVXRLLwLANfUtzaI1aQBDZrSXKqHYmukwgU4qrN2JtFziFLx4WU8Opll g2PWfQaxuSfFVJl3e+wdSVcd/A1siUFttjiwv1obRmpLwbYrMkrogasogptKCMx4zh1ZHsJ0iIE9 W5ZIYZxRP2W574M5MSMIQbv+rW7+v2tKb4kqBhcVFMHy4CtWgyBhT5T7LenOFUCnQkFTBV/pm3x0 2yVIjQSLei9MPP0TeTFt9DcjYX6d9KoX7/ZfMQt2DOHgVwarZ5DPznJxzeA5z2M7N0LCwiHeHfgV 6g/mmEMOslTmFw3dYSFH4PGzWqgSyP0AfT7RqZJkWhtxgAkaIPz4oRfWGaILFKsclm6RdJBIpm2c AfhwtJLBEf5nMFHEHKJ8utIxp3WtiMxEHoJnuZ/670xhbsUF7vJ0kcESZOgrcguEI9wBF35dhLEg 0m1Z26FAtOAE4s9q7vCv9fR4EZpCGMFh0yVevLVnztKSkuzbRFyPex5lWle3Jimk+7dpbihxg4br zdvhTJu5CdrgTLty/6g+UAvhEwlbYkUnixWZnv5SJbIVplNiMfD71BNM44B0Y9A9pR9E3F4RIu6W DBW3a9pI1DfTfJxqvdhHCQNbBYsXPwcUeQnpWJpgQyNDETINTDlKtcDh/rdQJ4TkRyY2uqj5kGFx yBK1tmzVCOVD1nTpZf+HsQd196t82kJai8zvpjPyRABLEg3b7rh6Td0yWgYHqcAR5UYk3U39LEp7 VI4tKugMp7OHqFhteICTSfSXQ9FxMIDpsWbZ44IKDhOJXFnmhzJmN3CTakREGjkNQrEKOsA34Baw tYqe+dJSegXLNHF/XlBhB8ax/dBj5xdJAQcA+50T+Fr71i2PLOb8Gbh6NNiL+KGGvDK1EJBW1lOe /TjhYokrGG41D0X8F+/0GFY6O2R5JxTBd79sXujiC2asA2pPo+fbMl0oqraznNUlo81yeaHB6FWx 1LPcHZZPgd21mCWFfzzK+s29VUEimU9KeBszsVFGsaWA/3gPhmGqJwKrQBq8e8h5Kph4QvRplDFw lVu1KN740jbKwICOnC9lLFmnthkAQ29PN/PgJ3Y2csYN/HCoR1By+hqmccSxhrpJO8EF2iosldVr w4+QiGd9IyQykukvYW0OwlNZovC/25YHnJF9tZwt6+Vp7/tDv+BUtfgPP9xCZzTCqDBh6IbrK5zY 6R8+FQXljX+YlOLqfOCjvR3or9l4ROWg+DYJAz73YPHE+jwjGb45/WgI6hol91+7YdVxbFZzL4tb /s15LYHDumrphNndyZ/uBrnRFsWfiatzI45CT7BfKWniYqDHOxgxchjYQRvEjrav8BjglR5KyJ4c 6LoD4vqwYN/BtKdCT2VxQCnE0RIRDLyZQEoWLN3CMlI+b79Iw9onHwZ7GmtBjuqpT8lHSWDVwVxb isI4m+THw9qosrG1u+huv/HFYhHsIAGm0j8eBD1bErbgOWGW9yupupDgkVY7CM3nWTAf6RqUDfJt yQUWdnfGQemUC+pO35l7HQGdxb+ZKgKmCW5pVlSREHI+mm5hio8C9wGeHMZruMb0LMeW4qdLmUvT 7VUylrmnh0mLAMD1guvBioNwUEhCvrgAQP5orTN0buxPAiLrt45lUsLZP7Af2taj96LHXSyaoRb+ 5g3ZM2evVNqOXHRwQ5MIlJGVFYg+k9A+5xL5HRS1F2ONQXHnVRZzNOWE3FYEXcOOO8OMFSgzeUVX yxRKkYjlAiOSAFpXmpQ9nCfSc4fvajrIvv0l5pBID+gZd7+hZoK1b87rVCkN0ccuKzRtbYeT3wOR 3HQpwgAhXgMps4C/LMfcckaErhmt7/yc8vBjDDMCarEmTcZE+14Z83xJEraGv+cV5Lyo/ae/UFVj YjJJvyIwTpXJAp6CXJqZ96sKppXfE/2c8Rxl68MRSDKbfKbRFraRvSNx/AiU2aY6nxm7PKYSsBmK ownXjQCDvXfEuqi0iLxc++J1YIe+mGcctV3Apa6/dT0G/5QOhjI8WtgKQJ+qppOLvU1NfC6gj/5H O5efn4C/rFxmkKdAXyToYH9vn7O1FQAZsMszrg7E7IcNmFaF9mla6G3tmt8r85N/waB2xxrPUgX8 syFN49mwx+K4wEBvqwY7MBkgQlyqSuRxot70qfrqyQBz3C7//EM5mpIkVeMXgahrkj7Ng8batYVl Ca0Va147ceQYpYv3uLYYOg93aaA9BmOHuL0RNstn44FqUHa6GgLw2B4k7WeV6X9hHCDgJNFA1HX+ 4bJOs8KzBc0YuV1aUSqlDXfx7KsBSE7u3mFqB5xYHWtK69bQj4SdPrChSq2oF+jRI5/ItiWFTqxR g0y6PYvDgl/symxcoAMEKiI0/RQL5orLUz/W4bYmvOb6Jyj8BYEGSYcVtq7ChdZ4T1iBIUD4itaw HgNBAntrPDbkE9yE7Moa+mfa0s2U8LELpZ5PFN30kWLpNZvP23fCvhuFBVU7XX3fTGbO3YPClRvx A3+RnNkYq9Dw2ax6a2hP06nLx0u9qFfZGKmiDMNX0TkhXv8h0ArkRo4Byroh8lK3lfprDaiQrpae ic58/V37kX9TVSRQyewxnZsIxpEe9UtRKKOVbM1VQ4D/U+7+1sAsc3wua04T/YotpuLhmcEzNi/5 NC8rhHzct9S30pfsRyAbyZr7e9RvZa64ycU/ruLaS01nZlCulDDM7lwkeqtMgSrZmGhKmTzZH6cF qwS7E1vashqEeTPQwurn0Tvoq7o7xVIx74w9zojkXPvCc0ZDl12L9Zx/jCaj7PSsEeoc6jMsoTBc /EDj5rI7L/1wRtD4KAEl2401iQ6sjkDhZYnj9csgulbWXgsJFbMNRu3DydJ7WNj2sOQHuISEOApW kMJsmygVVEWTJiD1OK4bQSQ/LypX9OVTrXPLfaws1gHlR3M5TKmvuz6XIKb5BZtjveu0iKVvPtm+ hsPf71hPIKDWaBOfN0mRIwaMRiJ4x5VSS8Gp54plgm5aiRgW49Eb2jk56a+b1PCulXxGkUMM5PrI uaB0CRBVh+ggGClev9TVe/j7EbKLSGjcTfDAZ42UvRjsEAq48cI4JXHcTJveAkYs4bIj2WL7waYM ZxaqzIf1lsq2PR11Cu2DtSSGzwIm94SnYskDvuqAWX7HMg6jn8KttsMPKvtJFV/R/aapXOE5y4J9 Cv8MueT/hM//8xtBH69+1X32RUTYOM01J1uFjiCHUrR25vBtGCfwHlr+24ZTfdf2qkV7+Ig6e2uX glAGdJ+/rjYU1sjZbWJXmLQSim14k9Ac8RnOBQpK+VZPVNYttctDbo24bG163jPpApEGuHoSvgZz EoKfyeaUyeENhQY06/rXxq0Kd67ZvfN4hlmfDH02yn/9wjhD+tiyr0hcYByAhaY7zLUq1Otm4qYZ J9BdeE+WMRpQXTDFaB+W+lFmG7iuQtCRvNwOcC52kDZ+SHZD4bwWU8OEGFyRmQ5otpxxoFoQVSQH Xvnk7z0Wcm96OhYpJcHoUbiJyL7KCXsdSUxigM5xauf2vcVfRroctBj+H/NGULgk4QhxO7PDgGFn JJoAoxxgyDKRfEHUINs6L1WyHVIMCbCMAaw7OhjXJZU5oBgfuj4E5nRTbvKuqSRtEYsgKntwbEgU p+QdpzklOi/0alsLwFUuPbW/su2tW6lkyYcC/JbR53F+oYJen48zFJSJNSEykLt7YmppMhHF/Vcd 7ZiiDscCl1JhSJ7DIlagK9/9kZ/WDzimi7oVrWgms2G23/iX0jwKBxdbh+E9v4Ntj+/4/srp3ogP QNDgy0EOE9kUqBaBYDBrSFQOfyhIR6qj7ISYSRueBa1bLnLzojKqOd6nbXW27XUZ5W9JWQ8eU6Ki E6QEUeH39LlEcRqkNb1ADBwmnnxK0QyndBC9mouRztOZlHzjBm8uRGkRtMx/5y4ZFaUOQJ8JQFxA 7HThw1GTcIjxTgncUIvWb86VELwW9GPtEK+zsH5QvkLzQbhRqexqwlzLzxVxtPdGfPwbJIU8ZJp9 pInqWehRyTlmUEpcbli35b+xbaxBD8ACAlMSc4y3gw5vgGN4IzvNHj4X87aolZlb1SbIbLy5aSou rFtSN4HS51U5pt9iuEbQ9DwEfKCPzj+GWPRome2Oe+z6FcioB96BalswZ5almjdROQG4FLcG1A0A 5BIViz3pqd2nKrRbi8HOkTq+XVh/K1WKavJuQi74HtAXJ9Lm05FPubK86AwzFtJ0BvbaK12CIU16 aEqrdIkzPDQbO8BgzRjervT+iVSTLfEysgQS4t70pluev1xrpqI6WyR+ZtJdkeD47kcESTmmALg7 ufUu56gwIJQhbv4RQk/jeMXAXbxKCn9q/+HE+/LAo4wtIrFCiHYDKuR886dZ+h7YXB9B/E2waOPR jEH2KMOjNWwTdlFugaAp9xJjxII4a+kjpflk3NoVjrgo603fN3X2UXYeZZ+guSYvPPV3wz/Re6mS LY9e37OwBEAJXBLWmqiGJYXwSXsP1MyUkpJwqenXEGxWAcJ9ROJaqbBz2l0u6wkR0kYaVtfpY2vv D6i5USCDlc2IWlRoykJxEi6ysvxSvJCSNJZYWLVemFg3OMJjTVmgfqFxVvgZTHX+bCJKPdjUK0Cz T07ePYasFtaE4B0Kfv70zF/up5C7j2MpMWl37r2prZo6FieUR2PonI+th3m4ssQxr/owHpdnKwx5 HP/17B73/T4xtu0RIeeBM6zIclgIwIXn7xHiqbnGxGHvrATTqtLTYf69yCrqB0Ol9gEnd4E/6Qcl V4IdNgzmkxUVpdZmX0R6JnBJ/gWoysIBrSxmB1xyY1sqVEPeNJUZT85Lc8GhmuLDwBt2IXZnfia7 o3mfPWAGnb569XqCkYuWJYUK1fucCnDIJW3aGH39jDtroSOXZsxZhn3cj2Pnjqo8jTRn1aJdU6Af /QG+9eSidQEpxleCAuhqb8qP5BN0ZBxJvPvohXSGxz3387xPAR70Xd05Bri5+zGdFCVdKWhc33Dh ogszdt8+3YX/qffCUhJUnvSiAjcPO2SDskxkIfVGlTxR9IkkdnVibXIZ5mAC6aE06HXdjjQAmLU8 8yuwQL5i7b8BuLb8ig2L/5Fy3wYMOnRzzc5+XrJxBUNgcAljxX+wxuZkil3gxfJvQoHbQE7LkIxF OufsAzpdUopYFOvv+/aYmyFOg3YKx16ajUh2JooBHZroGwuEQLFLgcDBZmDhc+43URte9/+98Wwk POY3fLnPs7kCiSnRedQ3ej+SAdajxjOk/E8/SfLrAqxxQnBYB7KADw/seCozYL91FraZU2xCGvBf ioA6uI/1IToOw1fjIa12eTiOLOGGF16mWqPzLsVZvBadZRdvGpwwnl4EYz5ZAueUxfINrNueAK3G NThxKYiHoBcgQofvJY5O5w74VxzevhVRnFQDvtLs1UNBPgUt0bR5rppOgCbmzyN5RrTYWGxFpJ8X FzuAW1hfQr35Vm2e8GZVEYf77oB/l3+VnF/OxON13OcY1Kf1mHMJYGGJiMDVW7ICZdYMIR+Zp776 ssPMNXzmDP8H/rnE/sKEQlwcyERqMgrqh7Rr4TeeQ/FrmulRHH7McgdQA/JaUi6g1p/QCqsNSqGk 6DYb7YF0GaT0IFvJdvWFLfjL65xwNaKELCcelfqYI8tM+AFaErRsIoHG359/9LRj5i5ARz0PcJcy 8TydnKS2T7GQm0AJ3jixvMUtwd4KMTaHPysgQsGeTtT9vu5ZQHxaowhSJSPea4kyKRPRCEAGyvVB M7ejyqaT6+HWA/oelyidYJKNm75Kyp9MKWt63y0s1Oic0bv5ciKIP1URqj/EPxFy9TwQ8tVvEdjK Rt1sBKuXXTxJlF+5SF/QQf+zXgxn4h+tvWsMOs0lBaJut7XCM8uS7yNxCFZd1sZC4EC2m85Di93K UNa8+YSpLVzpL2QrZRSFDhaip/5n9SRiFmtv6hsAMNbyg5KdqlEeMGzOEJ5EsexncSO8EpWTqWfF 8AsmkdM+pEXlNzyCYBW9Akn/JwZ2OtJJrPJyB0g9PYygiKuq2OKKTTtUFGgGlQP1bYo4dSKb9IEH tcyCI9MAZZsAMRKs1aSOqjo8E8b9vexx0TN8CikMXkqTmB9e2qasc80S7kw2Ya6+rXdgt9RBWr9Q pq+fhEZcv9AZ6dlKi0UABNfXCQB8o5JGzHrkeqTGq4wJmEWZX9W/hhURnxMoralPWcK4Ma9wejc3 rfFFgWGyh8o/wAD0MjNvSz1E2AtD9++wvaZ4oh3fHXElyyBLd1YDcjWYuLzq2pHR4FIdnE4fqKiN MV8IoD3xtzkiuV0SwyjGXU6OmypT4EKYGQ5mOcPznixYNs2rg8bZXDKFKJRMqRwe0zREioKw9Ula lvG0npzEe8XIipIXSMmgtKgc1TrmVjgPq5ikLcHymkC9V06Xl59bfYSg7Qo8UCqxV0vyD7a/AR5e wtX7kE++adIlV2AnXi7egpY7iF791A5jSpQ3gQ0JOut4DA7DsBPwmWNuDkPee4Afeximb3Igxdi7 rwf+bs2QGh6aWMUVSSv72vNPi3O8h9C+kLZKnLPDCrVHvcASyFZiqqLepM/RFSbPkUZvpSkYeF61 ZTvAZxA4efOArSbsb7+l1Jwa6Tct4QjmE3LF5nGeZARkyLh0OLXcQ2Hpwcn0iBrj67xvONuU0jW5 CwYjzibsxHhFgibH9Fp+li52ND0dfglsSMLX6MT1wE3x3xqL6ZLxgo2hj8nWqGLbna1lho2IBp87 GbLhLiFdk3nif7oLqkXdwiXzTRNUOhTixxYR68QgbF8G3wL+R89eQgZUZs19Ta98mhDtgg+mzb2Q 9tbLqiBeuTKS5exzr7AWnxwl+w92NpMBx7Kfe62PwtK0UbHES7Y9ZhtYjGAaKIlgCG6aXKfEOtWK gGQtm3oVD9dH9oOD9KfJIMhjceiOoFOBYEBVgXLDAMoJ6IvECNXQ9B2rYfohANzVP7SOuAzjy2Lc W09ub/y4ANRMVB5bBtEGSfZxAqcwz1oPbLVaRpv7wuq+ioL+WuQ4XmSj+1BDIhNIcBk0L6bsiKlb 7REBM26xPeJN3WINxd2nPB4IHNXwMpTWL6xkNyLiY6dBjmx5wLC8ejwkkTPBralmjzYhZD6Q6OUF 8ORdbj+TA+z1y2gGAVHJyUg1SAfAaAZ5YhqFDPx252ItIrJG2KSIziwIXhHgAlyZGPqEAyGSlFoO ME82yr+FZmek5uPSirRggxdivryPoQ+0sXDpNWYyqtHqqLWynnbHoUfc1OgfrsduJcSF/HytyBkz UV0k7qNKTj9ZOqkui6WaLYtZM0/k7MfL9R0Katjm3LTUqiSlAGzEYUTZQfLRDeR0ZU9vXu/w3zh4 iV+0EZjk5ZUpKwPJftG9hyP1yIL6nw9/24RsP+Ht6gfqhlb2YrEbSFgse4pgBIaGFppIY38Iu9hS CbQdSyuaeetw5CRIbRYgIv//YzOK+GVQwDaXii8hCwCGtCLswT/DyTbP6YvUyIyjB3B3qiDb+ycC /4P7s8f7XEtQnveoJK20dLd7d7gKGfIyb1x1SRFTi1MYo2Bo8XwzmlChbSegn1QniSLoFrt0+yoU ID7p4bMMdaTQsF3w0qdSlCRab5lKeufPwL7ODaI9e72+mm2Pzn/qlmgs4y+UygT2+PDoXf4oXbQE a6bjoLUGBBDFHHdA1A6XHtBDZQwzjWdztJ4PCGa/k0CAC68FE4fYrZHTJCO34guqQDkN3ZmXm+rV at3XrDYmDbPFavQrKRX7f2tQB4+tPdrfIHikQ0Qa7xX483F82ccLybT1mGycYq1pO5aFKwiU7Pn1 p22EqKi8FRR5Mr/091e0yUZOdcGhHmtHa5OAgKJSWew+M05Ygod9+HcHSMkawgLl2xQ+Czc7qfHy AE7zh+VwPUF8wc5eo+Ua5Ph1uhQI2qkx1BWKrjGzyJXalPI9biPrAHauJsmLvrbWC1y0ET6v3GJo /U2MEA2dDgbQetLEpsQUN0ruvbHHuUwOKIXhntxSMalvSjSZuDmtCRW3dFfAaZKWF1IewZbHXWIQ W85NVkwUdrBJ8HiaYVX1dcBEp+7m8KjppIIaP8sl31K2MGo3OkOkxv2PdZMYGowTHnPV3Bem+PfX alC23JMH/V7VrO1+mysxrsvo6xGs+xbACJ7CcCY6KMHot3BLMVvm3AfdqnZhkfcZTRCKj+XCzpUY IFFXu8Se7XyZu5s/81xa9jIM0PkyN80TkzhDRk5H0UuY8ZDPIHAzZUC6aTiqm4PxKIFvFKIkTT2z J/8czI3olmyTTyo1CofE42rMZyoPkoJm9Y8NqYofSjoYXS1bcs49TlehXRKjfWHzc6+n1zz2MU6t K4xCvmbHj6mfMBLtsauxMUSzQtmgb5QE8aTr163yBq2EKlMnzmzRpidEuwYegIZQw9IHpWwlUUSQ sduONZKzh/ridNle5vjPBYAwoMzrjwLkTl1Jb78OSDoxOIwZd+NDwPW+UI/O5dOGYAOkPM4s9nRU mL/4fzUgkkF3q0CkT4tky+c1ZO7wfdl1tnA2hJTgUU0sIHdkEPsmIl0bN+W9lFuAf5tJ/2VP1TEh gxc3OfametApAQzPvqHXjTMtWuTgKimlgqaoIsvAPPa3jTVpV8rIsi0/5ZOx/m8nr7A9b7ANmiXY 4DLOyP4efUYillvr+qxe2gL/b9NMIkVW5Jg7U3cnYCH3oB2zl+KZWtGYjuZysFqAMy05VzOarxkm jU+3a/AmKaU5BPzsJOtJHWBzgQRFWZ8qBbWLa20hcBuIYc64usF1mSmW1Fju03LrDjpv2jW6ShXm /pqz3QRiQD/bP0uZ/JaOBBpnRYGTQInrgQLI7m2BoXBTf2R8sNlhVs2cRKGsc3An2ltprO6v765H CRzzguW23VJB/l6KMhvnBMmsObOusxYF2olz7++e3jsSNLRZ9tfIpmMjf9eWdiSG2tWwVa+m708t Lvlv5xSKiwlrj/8kkFbsWlMEHfj5dFydqmviBXVOvyQoW99vX20r52xZp+fnt5XUOaOtdVP9PsQM +TlZOIETCBIHukw/bj47zhVxBMxDmMXV6snwcBWd1WXZ6EL1Q4AasxqVV2YeSrbpv/nP9nl3PPCy /EjryGTwbO782POyFqWqfA/xw1Y5UpcGKFxCZ2o2hjydEFVSzScKdmPPwUFG7Hw90IeDNmJZZzqF 5FrW11yf14y44haUlGY5wfWfby1UulM4ziziEytB5S3R9N+mSnRlmcUt2m0+un7IJ0ltdkjyyVuy sNR/I4TCBmUsJIfrmsSXWnw7KGbPDfiyNY8qsHEYrrC1H7CZ3nN6F7DvEJdz7RCD8vmk7gFTK2Ez +8/tNxNWLaUFD7jsd75bByYXVraaxgs+RGDRdSaRsg9cy6Vo/MKsL8Kk/PQZaaT4HdkAqx7Vu4gD Hfc+lheSIFGVd2znySe8+eMQYKgZQYPx+RvnTDYtTq9OwK0bBQlfaUXbY20NQplfjeFEcprzV7aF uR2NZsMUeGXbbmbTXVgGQ0sy4VMRwhAtCZFf3TO/OcEA23CanzErOK3OFXRvJed6uD56gzyVSF4j y7GqUgJX0LTrsW2Lpk8lXH+CpdaKqgOAWq+h75Q3oYUus+2q6cCHoTfXu9XpfPr9STH1qqlJDPsd dFepyRrUk0ZUw8v+3zamU1wkT9mGjOt0R0swX3OX8xHBr6Nh4ZDgsGSEofNPE+uu3yGDurtVWYUa ZsncSTLtzAMzY8YYQjOKJpLErlAd6Ul2SeONUMwEzIhik8p1lyKEM7T8qpWhxFzwwKa9THSIS+qw ARVEppoNng9k0PHScJKdvl6gTn57XR60JDZsWHVxC7M/xDRXyE2MmlhJbdv1oDo7NQ8DgTVfXU9c JtdAkKRiY+OouLzxellV/hermD0hZeJR3wNct2VFZMMO0hVskAArJ0HkvjInPd81tuDGtiqm+5Ta 02bgkzmBRlX7ZGN96Im6BO0OJHKrC4nZ871jgG/eyNEma7jdNT0cs8XXl5RAI7VoLNbHUV5XPVjx J0bhiEQKgYrtKijf7dOrtfe/hFGtneF7LkUdQvu+7QLyacabaWTzMyMFmfPoE561s82WNKH2kq9v 6oMRRcavX/rZQegcUvXkFo8Z2ql3dhIfoK9R7EpWyUzLnm5T3nQ3yAhgIfhD5l4K2eh4nlAyco6v Q5s38zrfOOYxFaim/GmPRCbOPmZV0V6rvmuh4nbp950pTPksfInUX1zpLa6KMCmDWOC7YADwn9KY SHs5qm1HzGBA63Mj043LOYUn926P/tjWCEY/WPgDgDA90W5XeQyWwvOpcqgyGVxBPMs2RbuCPue7 ARQazk7wWGyD7qjGGFgpJPOCc2y1IH7CYz0ttxBgTPs9+3Z+xS57H+JsxMUXxps2Enxy4xPhvEjw e4y9NX7jPDnO/BjQZJc3JIIsYGYHHtJx66LZTcsm5EiRvyHmgdYO/jAadRkkTZVmD1UUOlikbJBL tGPFWVGzCa0AIRTky5e6R4VlDmW+GNknxVWysJkvdO5ECESWOOt9pGuy5/ZpkV3/RszbpdIvO3UG OgUycT8f+B+UhL3jeTmkd2xVrHaXqaF/x2chhFuRTMEYyeQrpMQmgg86t72iMWIrX9ItqyfJ9eOJ 1iuOiP361Z28rqqIA0uQhSglYaMNaIiWwuJ04xIQdZECZHc7Jo0jjVzZFAysegk7vQcbd/7HtACX p1AbqgTtgJ9RsqTK7j4DgW2fXsV7HgzG4HYlb/HrFM1K13EI/x0zOQ+EuyuC4a+TL8LxpG07nE0U 67aSsufN57bkXlhNzNpuE7b59F0t1rbZd/KJygSK9Q+yzgzldbwuo4PHoqzBzL9RkQtsZKqKL8Ct XHIV6M3eMWYh6o/4YEK00o3A3aUPHsoI54fVQKPRp+7crQPf59rDNpWwCXGROzZePXZzzN06ojvh 9eBS4b8LE/RyBqcGL5XoVcP4hi6oAVig39G83E7LU36oncLe3JMQ1gAgB68GHChU3hRGza5ckRNj SkiJYkl45tAsNqZ731BKTNPokK7KD/EgP2Vp96k8TuTGpHcnyoIoqkY8GtD4qvO1BfhukKHwLqnz BaxMVJN7zmBQ2Kf4a7lXdcsE9vKaUgjhDnFaWUc59Qk5iXQR7JhMR8TEPRL0MzXB21dTwVj3PD4Q ISjeD0q8ewv/0chZ5QkOtP06oqGtwhYBO8P0DAUAkYupTHAe6DKpTyPk5CAk2Guvi0/cFCbYQPqu YHDbj3QLmXRviQk7uN4hPpbHOi+bV+glVWOGLReRYaJ/yGkHtnpFAD6CHfEHnBTA7KpWrffFjPGG PcCsVL9PqgWGMvEbSiSawyP00mN4Ge3wY9ipKTO5EcOe4q5/jTUADxKjwKfCqF08w3U7QMwy01tv 4TK3WL1krMd83dKICmZmHnPJyljkJsIx+eDjuEnC0TQiMnRGa/Q6KKutt+PFPOJSsmZztvIMcDRf UqmFINaGtiES4i8vAMwmtDkoCHb+nNO88CI5QK/YMEiuc/rDztje2rRGEE5TWh7JqK0p60VnqbZo M1zSPYgtvAYMnsww/d52hVrgjkPPsoP7iXLOIyYWE6X9odW76uzY7kxJ8sZetXMV4bhbYkD2rbJ+ 2gqa0e/DHJCG1KFDnTmxYL3mDvAr0lca1wdCt7EWfOpOB3RlmQ74U5p9yEjJ7tMGt9uLScH0+iDc G9nDn0XPKdnVC6dKtW1wcR+q9KzeiDSKc15Vs9iAVzfZwPvB6jNh+vonMUiJZLwZW034IJbnCQHA cFR9c+MUVZes4vYEiv2cJupqIaru/E8awqtvP48Nn+KCLzRSohmidQ9g9PF7ofr9T9A5VVb2lwwq oMtDAZCrZwIplNzpjUKD9Hg5BwEoCnuvExtW5/3QSw/lfOXAls2g/3K0eu909w8soW7kyQtJI29P KFkLaeNuR/CAB8xF+VwpGHzG4ZfX8DJEtP1hvVIbV27vPMgO1FP1fF6J1tszJWAosKpMDZ8vbKTi WS0/EVVmZGKcNF1aUm7izjbwZnGj5o29OdTBgNfMG+D74UiGqrGg89+M5JQf2DF7dp4FXuSxJ/F0 3NThxwB6OmCbNvkTqNamyi7epkWIe40a8aGxTPaJSdeSRdpuE5W2shm4AA2vxVwQNR+oAGgOEbtA iBWJZT6mZ6tmGl8jCncU5+c5b+EnocnJRLGi1mFOFEQvULGan+BU7uiy/+f++V0u/6egmp21Jpmh n3kHU9L8A9NxVq8Pzaez653QYJvuRRVyhC2teAQ3CiCbTzKk38pOvej9FCc/RAuA7VKEOHaXOdFe ZxyZFxcSwvPxXa+jmZC+bnqevSZkCw8opE3B4I6M6VnAbruLDm5MTnZVE75Cb4+GDV1IDZmwIqes z4yGVrX/SaiOXKpUCirRGqCC5jaq+Oh/2xv7IdyUDT5c5XG7F9ENCa7a4kPvej6Iz23MmN7ndeUP JGE1rp9S0fHGsX0x3n6yEfCiVdgnN95swj3f9SnEvR+gJEaNvcojxnU9ZhmJ2cxr4wb7XIERCKQ/ 3szZW4iK37fS0i9ycZmW445HpOZHhOCvcDsORhb8DoCq/DFSqzz9R6iu8L2GTgRvIrW3Y2PURNqU yxLyjLAcErbkuXJo0m7Aawcdwb2hH5oiAKsnMTrrwsn7GOGW1YnO2dK83GSZKUhr0qUDJQmUafLS LC61YIbJ0zmCU6WUa3HTr1O+T4zLSlXl6sTsmobQu4z5bZwdytTkvdV/TiQfiNqn4pQpRHAGaUBt HFLsNDc8T0kwpB7uRqiMLfqgv9kt59vSjegK7POJbWrBqGfmWVpRVZ8XHQSXKKCZCaDURBl923D7 21F+/HcrMtaBPHJWiDSidN5XxylEJAFB30qKzvgRgqkNAIyHX3MsrqSfQ0h611nTV3r22hMuEN33 6LFJrjIYh/NEkClSCiRUJ+GlhJ5XVWjQWXzX+s+ZJc8e3TePvDI6+r8H1Rv1iZMNLAJl5X+JoGc1 uHFW+gpkK1yTaVJQ5OMfhQ4Sull5aPm9/J1jp6GZUxyu2gT5JoJEGduj/SPDusS5QEiFt/UTxv5D iEGNXT5E4K2/nEmQX//PZ5hZ8mc+lxT1Gar1WPoaDmXMqGwzTq7pGfhARDZJ0ysopzO7GGCpNwpE 9sFLbRcqSvVlRonLtsZ9EwrDcSE+f1UQsJyEGtea/MlRGAVuwCN2TeRpOAPFo2t+SlDdpiUE47rl oSp+Q2jih5WJpncaVaxoaLeqdt1QIMbzRU+sVBZzGjW/twCb2i1f2TdyjnL017OuQnCdhUsj/94O U3jK1kyXSlIakIqrXWtRK2VZQrTNRa4GqWcmtJ/G5ay9kPolmlbwCRBkV1dDeqBMeO2S03srdmih f6XukTXmUbo/l2CaL9Wb9uhVADLhvs+fNJNViUn5+ERKKsaWmSwruwcMGJuwLtk1IBvqNkPxgUVl CLTBwsDdVQrbpD1071Ye7v4x430B7LTh+JfcdKy5mcDHqE3tJ991jxeTeL5nUdkiMCxwdnTYeCqe nrvjEnJsiVa+YPomc4xoLAKNo24zULd/mqh2Iqh9u/ztQx0YmZXYGk0WoG+QaQZt2+y0bIISCfKn u7nR40hV9fGcGmCmphjODLFZPdbE0vsG61jFz8gMQ1zBN0n4G8ALWoeB51dTeUGM7QjjFJVIYbHg scbpIppm2BhV8E/z/ns+dyoAzD3W84uIe7EeYyaRbJ8dxjZzeWG3jxpNiDgkvecBeYowYx0qsLPI oCJxZuKXoMtpcLCusYxEPZs4ppHKmx0Jg36vnEHZuES71LJJzNfuJVHHGdcZCympCNTt8Qw/vahd Kmcw38P6j832PVLCWn7eSm01KCjlLv1dd2T4DnY66lJwb5W5lVaIh8d5S+qrR7ezDRzUw/AN9tIF A1SVfui1coSEtAuvSWzFoA/8RHaKQXqWl3zvS8shXDQhUDyjwR+VGY8z5I74zGLUnBkejf8gsB2u JDSiOClLNrbgGvwJGYVBfz+HmqM8q82xOPhoYq9AbCTpl7X9R90JGAg4JjcYkzcOMMaSqDrdKd7n Wg1XUz8GwrcgpuXzx1X8Jih7S/eZm6Etpl6WRG0XpB2zMShdQVUVbEpnn/rMOYYUrWwI7K+0xdMj k47L8i0xKQ2sO+welD0GIlW3vJmjsWmwFlNA9ocIMw4wJw8newLZrSzx6/tJFTSiaKHDvRsa/QBi NTYoNAXo7HYrjpx1hukPw5rFa90iQWq/iC2p6kSIfzTrOqRAWsTfz4dbjDEQ6pX6eHM5H2it08lM LgadhmGD6Kb3o1yW2KuwCmJByQgFGx84WWB9sl2XHodqjGBYBy+zIzKY0EDzowCVJ3Baxq1G1lcr Wf+Uy1WvodWHzI9UtElE6VwAuBOHOZ0GjbydjS92Lj1opJK8snNiyv+6ZJl+EjtlFQTycxT9443v wBm0YDZNw2DhVTaO51d1bxEbbjQKrMlHgCYPgRak5QY2zQQJOqn7r3zyNaCIznvUwRYoRJwRmbYU e8uEs09FKNF7qbKZ8Rd19LGXBNZy8LLIzX/eGyLHM6liD+p7A1DetLBOyJ/PUor/3r6aLfMbtiM6 b5SO39sr2b2wMgZP2UK9AX1kp9ZWBaJYp9JmB7ayjknzLBV15fo+bSN3/2RKVS1nDzqymHEkfiC8 oE0giywyZwh9w2Hquf/RhmyoOozktEeYq6+Qyg/+o/NJK4Xmixx6UPYHxbqJHpfqOSrriE34EIkV L1pMygA/+C6U3NG3bgTnmV3hA8FublTDh4ERKDxzM3rdSH2rh1RnXcHHEqLLja+DI+eo4FIs+SN5 lp2qJnEyNeOm0nBsT0oHfNdiTolewVIYx3K50xHkQm+UfIDtiOZfzuMZzxT0M0ORJHveOiWeTvWl TkEOk9l36FwJBbWBTbgQ9E5Gh1pVy6WbYlp4wzlITCjGaH1lpH4D2wHmwXWKlV368+4lhZcdymkM HoG0vebnIYq4avk1OTpP4V7WH2TGBZpWGhtNS9Cf5wOLPxxwLa/sj98tQrbzWlvjDzwxd90g4AmR PxO3GF5iwG63if5f5hqrq/+1gYmuoCsAXprnrXe6e+v73vhF32FnzK5dTRc7z8z/QShYT6OMRq36 +vgYOO2C/7iSA70CO6eIot1/EWh6GceR0ZYrGY41KgKJrDcWjjBM1ic466SdZ47rhJyrywUt5ZgY 7lnYMCQeuibvop2yG5HK3QlHk1Mri3UlpfD7V1kj5e5g0eD0/UZCWfxTMf9HtyTR9rsEp2YfWUOa hw9ItRJgNAevOyijhXOL0xZEV8g8yAzN3DaTrOjNF1+6MPk7HZRiwlkGVmj+Z5lrqO0kGiZnN6pn IujpFk2EjLhWEgFIOHKzE0LbKCf+fOtva5LlYs50i9Mm5YjlOKDxQAeJWKUL1RfuAE5GJA9aq3dc 3H/DabLSDXFAC8TpIe3r7i92REoAbL7IJcKpHiaWfmqcoXOJOiNBglOcJ1ELACasX0BvF+HEC9hh jSP+hZfw5o0uR5pZ6KTr7FAcNjSSlGbvDf4MsSNyAoIijCHTc1WAYSqGQiDl60x1N2rNWoSZNa6e zOsTSdESBzunvimxFxgeGYMJaTDMWX4Dp3SLKT4EqRtCIYVVbLytizH9fZmLjER+sjulzVN7VRcL rPL0dYeo85O1kSN0GNpkhNxxaid8vjiI9CwoyNuxUkP4mCGPKWggXN4/RhxWFv+ktVB8UTLzA0GZ k5Xy+XkyvpDHGVWjFcoegqJuTqQhvY9G4Fv3AR5S2XmcSJ3QVYhs+HY466aTyyfsRaC6KpSTJEcW nWRCuCeaYPVk5eV7Kyw1yVoNn4SRHOEIdXPZx85DAQdoQNXGL9XzUvPI0K5EiHy1dUZLg6HHKNby wFs3Ou0lKZKXYf/1pBmZIhP0goBuiGKjapTnW0AV9pay40ATvNSTlAJc7pbeo6qvdrXqrLgJaUPC 7Pj2j3FtU+Wa5rb23ihsFPqwMfhV0AOZJ+xln9MkdxYoXMPzVrdCj4SMVQoLwBZ33xUZ8BvKiGZW Gnr8EaqSI13poTjuiKMus3EUTmMuzVXaAG8Q//40oSx3MbsTeMNe0uqZe+vk05/6Ebpa0LcII3lC sTzSTXOVb3lfd+hFh62FagWQjwyZ0xEVP1GVDAQn9GRF3fekx9J/SI6O65geQ99C/nEif6CVIxZf SswdCBTBw0G/NGU+qz7UGcxX1Eynks01/QHBuJ+/HF+/uEFQJSelhIXR8e9Lsz+VDHIw6PEBFizE Z5mZ+ADbXj6Vei2QVm+9f88d+GwT96yD9ZZoe+uhNejQ2Au+CtMTuj5Dp067NVsBtwlLXWbVgj5u CEAA49wEpUEvrXOfBLMEWwOS4N5/iqC2x92usfKblpVDstG5hZlR4QQ+Rc4KyZulvE4Yts2iFzx6 DSajJb37ztE4AxI9buOHAjCe/OmuF8PbkRE6kcdX4mFSIxmg8jpDkePf65Yl1SiOZzsvFzBelxkL PmYN+L2BxQHzl/PnItn+hEydgJIN3s09RunxK3uNPkF08lyLYMvdEB3lwIxhH0YpgsRPsJTSMOHi 3mYl/28Qqj7QnbLuiXcbK2FSH0zMvbalUNItKnYdmk991kT57Gby1v54R7UsIo6ESe6OVgupWqJp mfwnd94cegU1CjzOr7YRJaWjbaBqNh0K75lXNmrUJZy1S34+WSt6VP94amHZRU+F2sV7mKDbYUnE PkK+0VLPhJ4utSuJGfAnDObTyeeVoWacqj/NPA/nQYqnP31BsYHiI+f7w3WAQkGLaSQi5xuauFoD qn/eLGWhL1bGZ3nMTxOU4kKdX/RCFwll6tWI/spoJGvzUko3PRz1GydlUwbVlkv0beGnqTtzqRGZ eyYNX5jZyEC6v7TGahGYinn2DWVUSKaJJ01leY6G+vN1KiElTw7PIrk1FJZVfkfmRrvKaB9QXvPG 7Vcvm9KOZwit8hSSHXdf244299bgLbS244pfkr4ASNgp8uQMAWnVssN5n6+EDWu7/RxlthxVNhHJ OhWRUMs4M+3RQ7nWE/qnvc53vhYeXZSutIBYHe9HQCgNc3WqvoKplRFBAhdYRUbNGvubIxgK8FK8 Xv0Z07VWsxaJ3Pj4/ke/SXhg4gEoOgT5DfYtw5CQ+SENgffOVN3IPu5ZCzjUBoBAe035agvkEVju J2FiC46COOe4ITGLdhVd8D66Kq+6ZpmhQN0SPM/6MVU58y8PqQHzrrndPLdj+dBj3oOrJDxXr4FA EZagxYg+6mBZf50D+HyWRMSmlobEd2HiLbajumgadT2nY6v9/gWdN15mmNrfg2uCbIf3s4DRqTsQ gNxq8tBo2MMK92IIFrL8BzTv0lVkdRf8Wt5gV80WKbV2qbbPPJ4RptbI1KJWEbkqCLVNSu9/qw2j 0MqsQFrAku1dU8nD1kEYiakancu0Zd62063crnD+YbwnGsIzQ/HSiL4j1errUqB77X6ULfZU7hjM 3GeLUpFPpq9V30YZRfIsxRE2LtUaADg5GwzivuxFqEURXS1bbGCbm8AhamNhI9idwstvqJhmCLXV k6hKuc3bH1Txrl8ocLEgbhaliESVWZRQ0E2l3h1rRS0otjcej0+6FdgHZHfn297/i8KPutcu1hSP bfVEd7HUOpY3fGUqUDzQrWuwQmEdryvYqcLVuV3QCAnxkL1s4mfGZur+CHW1DFsoEwa+3ouzZ9SD yix8ArbnKD+EHU0mC3gX+Js8B1s7antZwThK/og4Ye5WabYmCjQQ6gneIdfcUdKx0XEnOFtltbmC MfLmOXncv/G5p+0fuP+dFMpMu+fdzHdGy/zHz8MG0SutAnlj4pLmNIhvw6ew6krhC7oUGLvLbNgK MrbEIvYpMQa3VlFW0QOr8wji7UleDd+Ia1NRMd436jIDYQrXOwnaulwmhOYv6QGcAmEl8ReWDgsu /6DNBw2CE7l2k4EfkcxGUNaSRjofr+fAJsFJPVmXDAtmxil/iYIhzaq1tahadAURieHrZtkNrBHU WwXdbBx3/aGIpoDFIYPFOYcWKxWUlGyyBIxFCeCbYm0NKrG5/xjTsuxAtMP/w8r/b1GDhzZb76f2 88c3AyMtDO/JEy3j1F5qw3VWiDi8isgbjmXxAqEonKGvDmAsvKTgIteeXupSwBkptkq0TKLh6TLf cPq8pcLoj4yqbqJHQqdzRWeJF03YhkccK5Ml6TsiEZFhxoxkDI6RWJ6BJvk2Kz5DgkVb+cNVXrkf JwXahm3p6L13rLrOXc/xlJHKkg2eRxihIhwK1mpzQmVRCS4bh//NCyEM9W+ozy+uNJdL6i9fC6Qd XzsNxDBQx36iAHzT1mj+b7SbWnjBjBw3meW5c3WclrqXdceirpekzKhyXOYddeEE3/jIg9MEzSX4 S1DIkhDdnLQ0T4FmuXmTpNHp5W/veIs47jNmKzeRUUYg0MLkPe3KLt4EJI0FXSOSAwNwv/wZoXiU /xbI1pbZR5iUCx4eO6OZ8w7EOuM4RkTnmb8KkE32bW83Ca1ybwQVEgsbGK5K9cDYAu2PQgzQGRMa avxr5Q9uWyoBp7+bCozwbXF292fYlgXvzdtMFH1FmfXCbMAKDcMIuxFW6sZZqOdbl+wfZLkkuUPW mvUmqkV/7jLC3TrsekUZU2SnQglxWkeJQLESmaH0M9G9Go651xYc/iAAUo44Wdwqn19PHTeSFpXV vtjWABYoSP5r398iG+xCc4oomRbuknNktXnb9UAOlsKqf8j/5iMuPL2EEwRX6vKzdSCAG9YJBx0L V7D2iwlko15ESZkwRF1XOxVfAMiqIlUN0zaXKl0SKjJWbCyOQ4JlAgf9iG92jWzO0Cg78iy1vs/k wv4NbGR0Vo+BLPXzpndf5uezPFyRnKSn287uykpbyJhrTCUVyDxgVuMCZoFkK8cEvucaNdpQA1aN iGeHr/I9sTvKBTIZvuGe+rdUqOHYX5ERfpcilpGLwZ8tKCqW/NXKZnOagVYsmBGaCxO+ziYSqFmb ifmB5r8ybluhxOWK7oCmIYA69WeEGV4oGSJZEi/XG2KUhvPdlqI5SQTn2sNdSeNgoJICQIYQOQPd B53FzsUxZiDhjBXUrH9vqzNxKKm+CoI8OX7QQ0FciwJH31LJt59F9KgZDPWV0NRrWjzAP1+PGV8y QES6mLKCjCCXKgIEAvaNF85D+RWUJVELebUiUeP1SRRB9DChzjNbdgzwHyy/S2/+hY9w+9+QtCmH 99UfFg8mGvhwxfmuINu3+YT3uQsu9Rmki4ql9OFn8FsPjjgCQB1SyVDDIJBQ5RQNSVfXKBxjAxls OO2+LUBXqFRj+1oc0sWgkUJT6gGQIiJue8OTROP84g4cYd7DlQKwoL0zXRJwe0a3uPEzmXu+GFBT 9VcFuwqKoBR+dNFBzQe1hdn3V+haLE7RybrMcHGgVDDlUxaSdxeWK4BHA1haGtAnZT2yn2b+1IOb UD/BahREga/s7RoACC3kwPm9Gs2Y/DlUnHec07wmtJJG33U7iiLBn+jzn2UkLXxmh6o8sWRBfsze MVrLvRZnGtt0vao1jtaKw00XXGu51JbPOTwZSwdHzHZfitc8S0Mypcf/ieshsbPoh11DBYJA8Vss Kns6r8qhZNe3RilF3JVLMu17JnD8/qX0nMdHXcU7D3FTGt7Dn/+u+HXAWXJXN2VxtToBUBqE6QSa VXnALNR0ewqhHnrQR6E1nrbqDblXjf0K+DpjIRW5IWeYMrtgRX6bRbl0eie9TcrGN9FFZsFIdMRi j63Nn5rDdBIzGPhbniiXsRNb41/HNCyVZqgn4xnOBuCnDRj/zZs7OI39bB8fgiwU7jEs3xg+mm6q obb0Lhh1CuipJrD5xLZg5zfYG/+DBTtwUeQ6MAE2DvF2NpOPGBzFSmPLIG4nwQHI+BqAktxJmFsJ B3ba2jp0g4EtozZszprIXsIBcNd4ufDLZQON2DZzOKLYdSA21RBI7+onRepi6XtF4gZkC3Woj4mU Xdz1u83itPSAnMrSvI3OgoisVMlK7gMlwk1ogfFw2uXt0ca28gj5ISgKNoOjbtEsTHw6muLmoApl kHtiNdz87Y3y57q6CEBpjZy2XBtgvuANZaCXXl8jbSMaZi7H9rW7+TILKx7yp/bVSAVetLxMW9xv /D67v4vdipXMtitN5w4x5G6zs6HyGlo2soreeSujXSB8lfkEQRFc3Bt+/hqTZxH29dU2KdnppBL2 oppvGyLOLUqDZe8anmb8oIzznlcQJzyxDxmvsB6L7LaWR5iSZLz6SAAdASuFtdMvR+Iz9ehNlWfm oWsfzfesAx+s6ZTO1LUF9c5U0kiPhR0BbcaQie6c2Q5yGzqAW8t1DnTO+8L6j8QX5oONZ4/3sNao up9DWHaViTKlvlJNZ9rm0wJKLR+wBNo/oFVs1zsKbu4Ii7QyQZqTu0iE88Ay9ERiae7fD6wmq8AW xJmUvdujNIL32KnOyZtqRl4r2Gng8kkiS3uWbznK+QXJt++2d4fgZPAx2/0TDO6N4t07RwfmEaeV rzaGIUn4Bz1vyfOmtckNP1Q3evarPI5iKN/dove0h5JnETDnnMhk2g5I22jXNYooO3I3Q+XvP1+/ wDGGkHRCmxBp1oOOX3mrne8s5UZnHVogyssVKhaBfR5cREGkcUVhsVA7lmrxJMXYFGOcvGikEcmU p1jC7MJTb7qGSe23GhO/orExexU8fiDmQP3e9Yl1y1SQzMcQXF2ZrbHyBJ9P+jX7mIOlkq2qAvu/ 1nSINH0cw6qsBM7rWgAbMByqNb9Eu6y+H55tWxqhVCbyo7Pm54C0af4d94ok9El/fn5MnLIEI4ye XD0ygRmAvdHBRLYJ73rkI0hI2zBMnNWvaRkTc3A5YKZ2wL9Qd54tQUwjHK508nqlexcy0Yj34rmp MxNbaDCDAOHxl21dlIzcoC9M8eLye27Evsenj8ed6vI+3YZ7QBldlLJJT1g7teV1+7qwV6o801BG lYMYjZEXMCj1k2EFU1S3oeos8Cy03e+qk18nYKKv6aAP0eS/C/53A41O0gNYhy/gFZBsObP51QT1 1JG/oa04fuwQZdVq6caC9Qd5nJnKk7fEAoi5J3e/wcIhQC9ia0riLpfnmPAmEUC65SHkHTLtvEqB jzE5NAttnAM1gkytyQKy1dW6mRlsyxa+Hz5COIUMubBvIM4Z8vlFMJCtgVEzj8fTMkX2CG5XMEkP AzmNFes5RQk4VSizI3Pi5y7f9QnjY7cjgwWq73AI0hZVoSGjDZPAppFq4wvmtpYWGOGCLLmFrlg2 K1fbTUXe3eiAYBXA9vZEaxoqR8VLTG3Cy2BCbE+pCrE46lHjPmpMSWh9ICA9Z+bfSVaMdmi9yGDe wS7ZzjCIkTb4bxwsz3yigelTHyXDTnXC+5RUUa16R9LmPyji8ouXmiqbt9CZklluJkQzgDCHVcDg jA5V7dPWdOfIpSmCsVzh5eSJLcC8zgSS6Eibiy0byHxJ6kJvHBaKZJkQ+g0B3DyMlslzwzW4MOSx D5tlDD9o5En4DbFkbTW/wjP7/mb5iOOh2HIfOVW/TYPT9aV7uMm4TqlGJmIau01VSGHV1SEYMDO8 Z/wQPRYqATcYAk1QQ6i7Tr24fNpoANm1jEfHtOoHcnyv/5WlCun1KQbfJfDnpquWP2ySbZ9TUCEY ZOyl4/BN6KAJPvjGzCJVObyBYtAvXIT1M3MLAm/0iZKFUP9vnXoCm9G/F7uK1jJY+IXgMLN0dBZb 8+fMTmYuS7Al+Di/xfKafaAJm5CNyhWQ6eyGQu2k7lR29inLjUGBmO2CeiAur6wMp9KzswBlVL06 LL/w37G9E92AoYxvId+OhIJ2w2nWRX8RRCpjlqM188RvY77+bn90pNrtp5ymJ0fK0zRR0mvDTKj7 1ACNA5bQvaQUn87VdmRF4k/Fym173M2LKWLFeDFT4qwxZka/iJQHECP9XmNRcVU3g1zOCE4ewkln sTEe7QZTQaZbtfQ5Zf/3jDmQDMgsNnLc9GJ5VnLJdtH+NbOkeOmNv6p5maAzn07NNbYurg+pV/Ga GwLcvfO/QsPY/Kf85WbOIOUsiNiojbyKwOEuEL2szhHs/c1POk0weq/HmgOs3/C7DoUx0uGIOXra itVwzDx0sUzyBLUvU8DepmrOFGfok7uvrUgeDjbz9jhu40x+X1jfyl6Dtx3D9I46UARPs6ouE924 /r0HUXT1MuBPNXBPtn4wymngWZ7oXWrGg8836OubAwCfOgcOyy/YrYtTRHLSbeL7nYTUEcIEI+MT uQTs88sYlDPsyMOXb+MMYUpngtxPFB6TuDgisfi8OE8Wa9DDDlekkzuhMsBvEpgwnpMbM67J8sM2 luBtjKD9rmHkDHENSp5E+oSkw4ADYdqWSHcMXhtpsILpEDtXeZx/TEzqyoNAUUVCaV5SXoKmOFTP BtVRluz0FTy6wqhPy/wwykJV5unZ4ZfKTE5GHdzZZwSWNFlJ+4Lwe7O1JXPyJM8TK/9dLWUyDYyd oljIUQHPJgsIbNW/6aM787E0/ajyV0WaiiTYogS0j3YKhC80STHNlaarXYmIf4dg9XNEDHRNxyX6 b6kWS/gzMa/E9MP3cvfOElA/NiONhXfXGeB056Phrgg01OB04Wiet7Hn4WqY8v9/ZfkV8t6+aHah oKLUs7OBKXYP6DKEkQT+ucgknHsiV4eNoLiX/xGIxu2p9vFe+JQ3NMrM8Lkh83cyliNTCxavib2U jQl7Rf0hz9oezx57TK97doD7rI9yFrPrNaOZmRVDoWIGsQvvDtoIwPY1AFkYv/qpbnrMM23KQM04 OMx94OpV34Q1mi2LMnzMrq/3dMB+l4jiI1+3hEUUqlUejowXbGYr0F80dInUoCZVTh3RGwpPdoeA R94vSxRtVev8e/Uzuhd8+0kADnbuDH3Guy2OB9OKeHHbkc6tFU/X1C4ikN6h6xXVTqaLMz+bOlik 4r4X6i81Li/sk8+KSkAkXScUvozw8AzZvtz32cemQXFrAo1cGmaPR3NqYgxTMJVEOXOT85EDzT3n VO7HYzjCnFzaFjTi0xqq8asntrQhPmT66Iu20f0bK7GVESXw4/GB76wmP0m2dlxDZ9G/l5Zx2KrL /6Y4h+PfA8oHOavj8IzJQsvll3U0IvukZfoIBBEHFuCX1yAU+7dHx6fIzHy8tjM3+iE4vM6dDLvj JH0TUSjWyL/0WKvuLQgvoD54bSwwX5wH/od011ZEeJgarUta5eGvAdor3eEvCTXmQRqnLTy6z8r9 E/5rVWLSSQdKBKKqWVBzmEzQFTim1Xg2mpjYkBRr1wBNoA85RBNMvo31My5UxY6VJn12xifHE4ko XNu/fIh9rtZMuy7L2fCOavLcKNy7ONPCE98crd1oINGsQhDOlv/8PNMjcrZYYlSX+A8+ZFxdQaOr RPnzNbOpnj23pBiMIn6GMxRftxte/F6WwIoWebRyEEwg3sgKy9sby+H3XxmI2t/RNpSMIegPYD6L r261wepZJBXai74089kvsCr53qEWkaboeyFS1sCYDLrSyRQH5A3cIhpVPb+WBBmLSFq7muekcNBb ygHAEWiS0AbEacpdjrBRZ7dmcw8OH9SKpe3nrggQdfrzffmsU4jl6bJ2jW23CP9JiXtj3vP2CrIs dH/Mdva+2chqOfXz7jKm13RTTEKo3CcpLMaKe1EaXVZr2HbfA3GFb8LjW02uIKPPKsS2WbC5bAkp Z37uyz0SaX+D1somzR0yG2gCgqzqyNqntwE2VDnT6qBdL6Dyhgs7qesJ98WrPVmWi8zolq9bbDeS RpW61jf3y8LIBvzFz+z4n8krnwEBZVtAowhaR25+XnlGhTk/TlmUGestQT18fSQw2s35JIy/Fwf7 Mxkel/ligh7NW6wbx8SfhE72oY3c2xUlhHlXYnzQXyOlE5C2rK93xa1koix594lqofVr1/sHrFK/ 4r9mSx050+FvksaWBnbyrgPQq3FbvkidS2Mcc9IAk6KbjdFzmM7AF3IQVLkNizi/6YqntmfIDnHQ 6cFJcy+S10cj2igpWmEKN8o68yjWoX3UrlBDdofSEv/EtsiWtqa1bz3FJeSDlIjFcH3uw0tEEeDq aNiaGXzdT3V655vVdNOc4WjOMvcO+cfhrKxq0rmTWmLLtqqtqcn2HHILY1bngMzNbTPVSrgx3aRS AOJTjACRaCKLsoj31iaXVg0Vc/Tw5emnxDAo32adPHRXBSfxV1znA9pKtS48v05QYxbZ3Moe4cxz KqWrtaQiNqFt6C4uurWKGJSdP3AzO/uuy7aZDfPHdgTTM4Scfayp86yzTlc3KgL2i9vwa70+3Itx 7awaigBmpZLg5EfaSow76ThAn6DfpQODVAqvvMFuQkw8dltWAustRzJ5mIIVPV4A75pBZEfrzr5E wCbeYwbskc0zwH7ac1vzyzDDcUG9bTH13Df7GywKMo37GX0RKyCxJ1qjVRmRC+viuIXDq5HYp8oC yKfFrWC3zqPpyQNEkx5LKS81UoULjwPWU0L/BLXEq/g+FM9c4B2LWZ6Mk5KvZemKVbUbP0RU2s+L UqCerJqv74jekrgDXSM2x0nAcZWapgNUYI/NEjmSfOqGe+iOiWjKyHc7c5OXWyaGyvD7n/I/mgVj DB9mQbCsx1xKgavI9hUx2Klw3Z/NcI046pWqxXF3KwM0p11/uHJbkXVWVEDODT4DcmSebdgunoVx l4mBIc8PGCuXj8iL9LeCC3yIQGzcjc/hfjHdLKoW1BE1aGVQTy9HmDSJRxNg2gQAxxjxKO8kpLEF OSdiA9wox3Wvtngot2SO2ncvtj8tEEnHJMtcb1XfDL28DrChvmJsFvlOjbcJznkLgHDrVVgqwBPK XXPZzA3K5iyQ5tdHG3NYsSmxX6ekdCFWXs155WLLoMMygyd6BP4Cm5Yd7nWtkIFM9+BIDLrbFGRu izWgk6BW+S9gFEm981dVc8Re/hzXj++V+O6xH75Js3WeplFlhJaOit2t/Rl8+p9vv6Wch65RT7qZ hzD3pWPnuL/n1hxh060gwl3dg/z3PzTTinoSKSN3sifqKV5G8i4aS2DuIs5qNaxim/yHZsgIfsYR X/O/ij6Ukcn4GPpv1w== `protect end_protected
bsd-2-clause
0a517125c6a650d729097fef1d1a9039
0.950408
1.82743
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/reset_builtin.vhd
19
19,078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block E82wkdGPZb/+6GZoDi5HpckkoDtuL8TGRb/JCIEDYKunG0ehlHY7rWSAl7AxBVkDytYXn4VY0NY3 tD816aZ/Tg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aN+8nTYiRF19Ga2xgugxmmkjykOIKDSAJe8CuGlE1RsIGMA/TeZJn/LIOmkC0L4RXBBy5zkZr6mC 39gWvg+KhH324/pLiKCLqvJkIObctxdk1QghQFlwGyR5AgwumO5V8XR0wkFrGx5lcmF5I1Ic7QCL 4FCmeVtU3m0TggWFC7E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aDXP5DZMSmAZ37R6bG0c2an3UXXBQ9f2UcCbZO9jybJiEbg3jaEsz9OP8BILMEuM2Gg6zqGospJo IL0GjwnUkhmqiXNrUyuU2ZA9j5Qfpqi0cT39WDwUPJ8gireHKMW3Lk2XSOOhzAT2gL6kjlBz97a9 e5WZk5XJ4JpzHsyykVOoT9yBzVvTvBYrbMxRFsaT4GZ3NCp2/bL7FcAdHRGbG5cNEc+P//C3rwO8 4GNkm0wKVMVQq/2HclGOKJAykNBN7fGuG7zIF27nKqnI3IBVFzw28uEsxwVFMpLMQ1Amv9lQcw/X S+F0+1sbjSvaH4de4WOv3cOUzYKQ/wzN6fSahQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c+SPO+b2cpVqItr9nAdAKH8LRjqZZjyv88QHjXDKD8kCd5SL0IXE6XqQ/EIjme3B6XJax0d6vBvr 92G/L1QzXOo8P82zgbpcUFM1hqtYFVROwwLTcIHV5QmMcqgWTv/CxjwYFY9l1w/ADUzzHakm7vO5 G+sQHpPE4aud4403sjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T8GeY0or01NdwqMo6UKJMUTsmtP7APuN0oCIY7KzFu+PsK+FyNTk9rSPzJS4j6dAZuNV0qTymCiX Xbb3asOZtqkbmx9Ts0TBudlU37PFSlhj9aboLv0+uBJsltC8lWgypATvI3dldUNiHT8HwKeBDDaM ge1f8g9YSSRm9Jao06pgbL/b6i2WQcOEh+n+/rJDy+mhlYh4b7sJni6U+KkkIH+Nz+FTmo2KpEia kiQmZaPY0KLlWtwgAmS9D9WXDnBy7lDRle2NygR7a23rjPwxBp5MqpWylPuquQQaCFWvB6BJrqSH TxLzvd+PYmz3XQMRs1MJrzzaNEb2P8EXhMkKPA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block xi6bIXHrJ5UHNBNM8QzSfDcOo31OPvVYplYqoOlGhoyl8zjKoPwy6vBgTGkFTKvw38pNn+75l+ol jYDe5z/sRIAtBsWhRvjW4aNOPqqSVngKLPRlRyiFjQ9o3WLkF67bHlyWFn/ceqSzgUIko2Nz/6uK Vx5VX/eaY6F5a2PW9nlKgSmUVqH6GkjYRWKbJt2U+prx4jdgnMQdLOcz7ektObz56smIDdmgmVje IYspPniGZtHXZ+Vozy7o0TFyZVN22fpHRXjEHV03DKKpOfhqsjdUfzl1E2cGTr9MGbXsz4g0e8n3 wGUpY9ms+I+KYwiQq4+bDOSxdXK7rbcK0PvZK6hNNkQuwITBQli8v0YR/Lf1DO9Lom6jMnSvx4uz lMYFNvotcptNaqfMT40K/L4q6dacR/8TIw0R4671DB0CNY2CGp/g4kgWvW2l36/QI2c75CMH+cjC oNO5jYbTdpyRZxGZAJJubvBNA1D/dWX20o2t180aGH4OjkhFt6x8vEjG3YstQXzCCsrxLdFnlSq5 4Mq4r2BpEyOy/k0Vg9zizqakU2UBkC4eNr0HLBZgpv3qZjCBinYG0CUwpnayXJp9pDuqaD4kONwL IAHY5axDqBNuty0scmk+EsC97S0JilcGs6IvtrQMnAb922iV9qqk75kihbMbfVSarIoCqWaqWLGl ehaJbmA3M/BX/LsPSYpsazXFZP5FTjskN0j3s90Xdv8VUfTzLbZfMki/XWF4REiWtKTI54Fdp1QI GP/ReOp4K83nKUQJCpULqxQsc2KyHQhKOAa2Ulv+rUTieTtYfKo0xUP8jI/1NtxlUJk+ZAiqjQxy CZ8kO72mhu6I91AsuVCVtqIR//ZuWelI4kCRh/q93vB8hnb/tzkvQ54E40ZQFXlV2gyWyNN7D+DI k3rUVrOI3u0+eeHJuZ4Vmtyqw9u4eiLrZU/AoqVcn3lY8H30tn6/D3PAwi5bQVCzD1BIhNWWBSk9 Z2g/VILFn/ordNAxoGhAVZeT5Vth1Bj+lKB3RxKW9n32OhpbXofm5J574bi6ND/SOkYIQJ786kNO lvGJn3TVoeFwJg/HcENV6+w5+zsFoh/cw8uWuuIhjUKq6WshF/juxLeq35RsDSLl1vfRZ5D9G8d4 WJAU7nCb/ZF6crdsAs1JnkwMM8lLxsU0cIP/050Q7AFzChT2QZlQ5buRqLO81NlaJZwGAoWwyAgK VKUAXSomne3XQPHbPlBbpKcGpiUFm3pTuAZsiV5TWMidGJvD7k0ZNeyNfNdxdzbmZFiS8RxCnf6M 0OGgD2pFXZXIGbjdu69K1SZJKPdg/M9ZrGc6VUibFjDlawKOPmEIDKcufbQxYH5S0pSyVY2u8B6N Hxd5gBy9MNmWlDH3XbP2aOLXhfY4HWnDx/GXH7k+lnpIE9L1EAdQmH9Bb4b7R3c5nzzj0kwKWzQs c/i/LYHolOpT005wnF+4ehbou+3SbYUyMcOJqYyzzuXKqw9QQf6rrWFrt75jsObNOkHfQpJw+jcI G51ZLh9h7btQBU+ZpRsCi1wOpn6+7qColPtqkgctmZad6r8DFqXwgyGBLqDnHVi0EqOdYBtbMG7j Leiic60okZ0xesPXz3OlpsYKxX20E6Kd5/OLhqYaDiYKnoT+P/V0FYVR4+VJsZeuxzIrgWRgQ68G FaOUTZ0mSbf460p9UjbDhMGn7zZwLmlrVaoTpjzLJd/HX3rdmefsiIOcP6t0s3ZI+aFHHLWJ5MKv On7/he3LS94tG0u5tC0H6vzsUmwobM08QO3LnCOikiSQlCmiP3u1WFV5W/EqX9O0gX7JyRQzhPBx tClJS4BmW/RwAVmumBw1cGPG2Uq9WAA3JFICkpmyy7W4v0c5lQ579uI5KGY+MrzygBd06tp6OmM5 EImj0ymMXrN1VStLwiK+kJ7YdkV9+C1KPXQwoMJXgNZtVIHvhJ9+7QITE2h/avJag/J/h/5H6fS+ jVKb+XhvyqrwDupY4lE0duCZLHtZPNrDR124zea3QX3Ri14jEgkaSZdhtrUPQa6kziKss0944kZo kLbQ6EGaBQIEjzoxNRXCCz3L24G3bkgjSBYktItM9Ew6eN4cSpHcSa8/4iIM2t+K8rMPH81QkWb1 5nLw+s9OJy2TmiS4XZOfaWzJ49vtSVntExw5cO8qbpgoTfbz8mjq36+Y02OfgGrqPpW+sIAC4djl rhKW+ywGmJR24rymbHAl17hzXyk2WPRb9TWtTrJ/a687OAgQdGsR1OM/kF6AldEIZzFdhebW1Fw2 rXPDMf4MmT7NTRBeAQBxHxB/2p+fV2njjEsisNPRms0rQDpnr1kGHJ0FhOtUr230aIPOG24w+sik 4402hBwKsyVpvQ9j28CUEWRomMblVITOZhebUREIWfTSIwz+VWz+qmE+Lv7WDxOIl1QHSKXU2+1a 1OW8mFqmSAy1rp/kmvkzcx3ZcIcHGBIjzbpI1wage9ojxg0iLr2FegC0oY+x2ZYnK30rTRHk1bxl 6vQQ1IiTVUzPAQ6yDQJ0otWgUalNApu+IihWTJ49T33UrWMoENZpscqAuTeNahlI141E8udLnZMt HK5769pBbnpJ31q9z4ZBRfb+dXK8y6Xp7iQlxiJC0q3v7K1Z5tN6YnGA4mdImgRXTEvcmE/Ct+4O xDs8ruqW2ipVAth+mmsB11mme+8pJ2tQo1aK3LnqGN8GZvAvnrHysfkxd/rHPwAK6ydghklhUmG+ PJjNM39YqR5xPOjML5OI0AQHcUVA7W19Q35cLp76UvIUBEpsL9TO0R8CJtcz7QyY2rHF4a7saNQz YhlCPG/ChTYzcLfWkkVdlj8J7NO/0qFldtJC9GboUixnBEV87B41IdxSHDUOlc9b/Leq4wihr0H7 WuRdu0q+PBuTHK5EAjYFNJyxvJHRM0ZetcdQ4x2VL+m1VSoN5uFEhNd0zpM3zyRuuEYIvQttqI2E qflsJ3h54yQUqrAhaIrUmC8I9SsyOMyBF9sRPVLilpUHzg67la12FuUrrSR4BSs7Fw6tXRXuKC5M PccdA3w0YAzglp+RREU2X/rMxSB68ow8blgMjgpqC0PC2nPPmlarkgJV4w3pE6NgdTZbrBW1mwdD e83IPLF+iBVa/olKeowncsHOxnykAofeUFOQUELbnusiikfEpFnn6ktShY3PIaWQ6AyKboBf61ER n5nDpDRjqLQfEbwr63NEpzVy6vPuQv08ic0iipi10sRy0uduRLRjcNABLD/01OnEGXIsjNo7Wkb8 4BPsjA/Hm5BHqbV0WUzwRXiGVLQoWWOfLUBNDkTSS1v0SBaUoWi0dB3VTnN9xCR9fDyKaM63+OEG a7MFMRf/SQdm91Gf2xfFmKZyeb3T8AVz5QcF5hDLCp2IeXrDL9DD34jJBeCb5rT6UP9zYxLPS/ix DgV+JWbVkBgj9bC/Euhu+l29niKp/vSrJm3EBgi/tH4YxqgS+f5TymdJGoAKdpP2snPsxM0iGnzF 1LPbythKhrQKhWiZn3wNcZZvv88bOO4VdO0BKmmHCoNrJ9a9g7fIGK73HaHYzzc8x3slG20C7r8m Hd/Kq6u7j0KjFVZztpsU2Uo1TmKV9RSXHSNyVGl3MDe9hYT8NGNr9/Hop3gSjDPt4xLPV8+FHUTK Cquy0tUvZmCjRth07n3GCrvpxKYP/ZiYJvenXTpg4j+OlkGq5UJaN00iUyXB1Q+1PPKwtOv6u73V p7pRCE19NRbI579SjbZXKReMfy5HPfE+VZUO2yGAO8bqOqr7XhUpLRgWMwaDQgWgsfz/WWQ3kZRI 8QpVNyaJOll173r5T7GHWzA5mT7iVwxbbLM8Tdew7M5/EcjEhaYJ4enOp1qLnfnANwyIFtBKRObL BNqj3wteF5K+Zo+fAdJl/j49d7i0EsG0S8f65eM+cvzjECAUF8uEs/6Io/IFQJluk0IROeV+Vs7c CRTBOhQ9eqNh5eA6EoNpGkByafz/YUDE27Hp9iDIUdSw/+BcaGLp4hOfbVb5G2VDJvUYxH4hqABC W1IgXMfgo5Ubtt4AU+BH5uDwyU+/QUfZesE9mXZk79WFqbbGtZZ/BICJuLMA+K/O6gWSOzGwBx9g 70BZk+9fdgcjFCAAqLorGCeW+GtSrcU7F6GpXa9sQ631T2/koZcrd2TjGv3tyNykpmfXRflkGYFC FiSiq3QJzpujSCtKd9sb0bkInWSeNgjt4nKEQ9Fqog6gCJ2EndhBtbd2Ra6y0HO68lNJ8v+CFp8a qey5H5/gY38CbtsszTqH5qwZqYHWlOTzxtkitMcbKpltumpagFVPkdu6R/iRsPkxPdL8mRlpt1bK YWJokxccoUhTEqo1bhp8KlVQCrsdAyOmPiFjUNDN0L51TsUABreC8GMBRhahT0be08hpUq/98v5Q 56u8hPXCNzhcRKXWEXZib1xrvUDG52m3Tz0u/tFvaWX6JKRW2fEPCguStCI3TdlcnPBY/NtakGkE LRnRkhmbsR+JdphWCIT60LDVcyZQ4cQmDv7uJf7M/Ho7JFkTpPRiDtE7uxpWrLWwLuVSd128jMVl 6tFYZlHT9zWrlq/chCNbJZcCNBtPoDsjFHqcd0P0SbEriG403vKS2XykknoYznBGIzrqPVEqnn/u EOrmOFjv+/J4cKNGKBzWXzHxdwm4QBYz4hDBthTtQ0D3DHOP1Z6I88RTYUYE/vTIzUFOhIWhm+1q JA4FQJakmZ4nvqDn4a53M5Qs7sho2WBVwf1UOFnwd0Gf0/Wwl6dudum7ypJAbqGL1j8QhuCUPAI2 j6744MhCBAnNIATFW5qVReETjwFNv9cTmDFgd5l3jvtiyBvzLS6dEKJDOfUC3NVjosjWA/Kmxzkx Qau/ezxZspwuObs/hSBQvW4uH1jPyxs6+u/VlZaMAXFva4GJJF33R3QMkQ30hYDbjFaoN2PTE65O S1pURx9bE5G7m+SwFTqUDiVz0xstSDkVAEZgt1M3iNSfwmrutWhuIaMKaTKu/2bL0//n1tERhz2D wrAMKQs9qCfOT9pPZidELukohhGuwknejvZaOPFURm8Q2q3DGXaDjQ6Cm4IeJv7qze3U9Jg6/38B 8H5Z+tQbDYrakYwGk4bCPVNc/KRiCHMpPziufnJ+EPQlVoUljo3MalEfpZLv3MHKKvoyQdUpHFfT TgedhfrQXl53yP7Qq+3nLrpJlDtV9w2aGTkvsqY7LJqakYMdQdln+2Igaec7O4ztOLOEin7QtLqA kmUZyb4a64GsIqj3E/nWXXkDSur88x9Ij12omC/L3G9DMrQREt/XaUliWK/fLZs9ZRIr6HFQv+8L JYbPcnpU9NouhsMJ1AmQT9LHBE84fN/+zTnNCN5o/faCU+v2Imp8d6bhtEkDViPwbEusHTKkCRMZ h/PijzIbk71I5roX04bWH33+nk0nLANpL6a0gClB6aopmcO7yIswrbSfTymx0nuuec1wxwZMUQpv 6xlnxSHpwv2X8cKCOO2DHURfLeqMVbDK7bKF6g1IoPgIOPReXvrNYLcpdb/OqqJmT1MTswjruU0H mzncOxWgmr9mCWiki233ujER83DqQgI/BhVtilRSFGn3goi5SHJme0KFEVXuQW4UjaYku5+IvIwf CAtP8ltAuGP5G/BIhLrc8cC8nOIuU7jzDOGlUJOszn5MVxZ4LqMiva5Tjx3dbDotT5MwyDZl6qqW azEspltYYDxY/lX2lziVuBl74qML+TmS8Z9pd5c7qhyEEwNDRPWqgHsFuGNglfMz3kYDHGxp3Wey muqYnhQjbmyQUgEVWPm4xscCgvyY2lcSdJ68SzYDUGKZ3vw0JBAew430nxl1vTZX11n5GdQKsjrZ +cTnslr5mT+eicRY1KykgP7/EwbD2uYiD5ovAYaWWP6CfeefHB3SGl0J7akbS4cMp+ieh/B4DFUU DF3PKsye9VQYwJzItq5EfUnzZtFQ/D3ME+C0Ww3yVCYlEjm/U16DRS15vu5y/1YRdRvTaSNqGgt7 ecF2o1wjYwjv8z9ufPjWV5FfWUxYfkRySjmIU+1rhzT7XATBQouqDZ/QSCer+qXXqayZolF8r8b1 2sIAz0uRt8iM6tiwGcwaMWYkqteqXKRb2anG+N1EQrPj3VTl9Q2TdkkZKI1lEnzzpC4PC/mCz+6D DMy606XjUXz8bvgVf7DXZOUtN98I5vh7P88MNhxY7X6L/yEePg5M799hvhcMhvB83nWiVgiqduHX nmtU3lZ5JJLQ788SH5WdVETCKlfyENaF2UsckCfR15ME7rSTsgHtMJRiug3vkNiuKQJORlZhYKAD DU20cO15sQa0ggP6Yun/47qquV0BsXLwgiXKVXKznoUjcsSWyHWZ6AtZG+nulxCtiRohakGUVGMZ bPNOYPIJJB4rpcl2g6BEBkcTgzbkIhNDv9NXlVmFqpvH49tFu+QJbc9TsWnIebo+7wqdkTLj39Cn SD3U0XD4HRKgpsM0kbCx9i73VyCfARyGIPq5P8zJwKJC5cfgxS7DXnx/7CUP3srasHg+BXxVpqMN V2Jlks63Kf5wcW599R2c4EQ5fAuD3poC+gffxLQJpdWK5frLuVpv6JfzAko0EozyHulTTZrYwH1Q 845segHRYdB7V20BWuoNG+FtodN5F4gG6LH6TsfnmWc44k5jg/pgIBdpJbG8GpokDMLUcQOpwlhG 0Y2TidA/n+8WY15Me2nSFRtN2O+tdWrFrGla0KsahDzUSO/j57HCgDUEmka2041EhHXZHL2IAuCl G5eQqDXmryJiUNbVS2sELcozvHke5RWgyJKtF9nhM5z55yS5P/cf8X7f3eBlJoTEufr97olDyXf/ 1zj4S8DvtACay9OxZRJFBmS8bmlTFLH8KEPemzjqq12Q+QHb7zzUH/FkykTJIm8o2iMel3n9TRYN kFWqsU5ekfKOQlpddo3fRVwgSFpR4SGTi626VIiGOUggsx7mAb6MllbXDRxwZ/uK1b/yffkIJWe9 pFFC77QFZQ+ygnVaeWyzDTk+ILr4Akc2kq+n2JzzXAJXPWXBZUUPiDlBgW4MI6O0pt0o10RomatI G5n6zHrHeZd7JwTgpR/bxsDYD6BvGfNpMekk2zk9ewja78iN9sS5s4yxyVcWBLt591ptONtz3/da QE0W8aPVyoztp8Xptoa8BIW208b/grChxyNdh+ACCQPiX2TzMzwLUuk5VVLCKNLlBnsQjUBvvuBf wCt6lt2GOX3Xg2FtIVCi9IvHunXko3PaIz6KX4YFP7EgvjarL+ok5stUFsxSPGFttKcVh8e6/2hK +xnBjM1ODVkgUbUelbKboI5sW3JhuznArD9uu7bJ+Akv2Kk0V9gvRhSvaUI9LZ191kCm06v8HIP0 tF0nHvqitkz1t8SXPdSTCiFhMsUdilWvTRvfObT4gfw81FtZzQ48jqX8kCeiWdYt3JayQGZoCqNh Twjfx0WmDUL0Jtk8FN0c+UxGC1GU4BCIpOlw7R/dqOiqIwGpkpZwo12/KezbLaDKP8QKK8q9jmef hobf9GDcAs6Ep9UkoV2SxwhbEW9AlA4tLsegw6j0/UlB9QhXX4h81K3vTpq+PObQrHcQo0BpjG8Q UmFMwmGhM0OdKu3hagHa0JJqRq/X5cURIY66uOHTeR6aRVZ1bPFUAAzhO7P+pHByArAUu7YCFuM4 dr26Rp4lWKjyL8ZqQlgNlemOWsNjfGvzzvoG5RG552iNEPJxemNNOE1etz/FGV7HkB34QwJImwZR pvUHKbQe0TkbR6JO6D2eKeuq8SJP19W6orU/daIB04J9DwyrNPjq7BR5M8MDMznSc6u0zBtRZsIv 8lDSHgU/duws11h35pjPWL6ZxEdBZ3wsY3KcmcgqDp+4BgF6/9wsCyMmsjWbVj957a3VJlt2L9vL 4kpe69FcNgfZP11yC6JdpG8wP9EY7gb1ghqriTkcoSq7+B4zJ6VveeWQKq37uv0UiBflZXfCGQ8E vhtll38DiUVj/3RMwlFhKAl7TsAVK6Pds01s2ZVbdFrhaqmlIv2lYHjf8UDrEVpnI4RKBKyL7jij lB0DAJ/zqYUoTK7OrsL7ECPNfxi9fPpQTK1NpLcl7khEbRqDEZpspybXS4L9QA8mevJfGkwZv+9H Qr5xAhxdDlW5iXHlD/mhvR2qzdOfZEhujsDBm4rmiSEYmjgvBBDJ70/QTuC7ybeEOm+pySKyEkU6 k8Bzokl0PR67Z4DTmKoYHQGhjpx30NIXgrkzD1urgaW1J7fpXLVv+sc4WGdYQRMrjNribEyKnMo9 raXA5HjVmFvcy3NUM9EMqRWYCkcl3YUg/4WStgDIdc9OJ7oWEvPpFtIFCdqOfSEikd47yvNLqTV3 A1SjDiEV3PYu3FPA+De7ITOS+aOkabCSkiDAoZAYhlJ+Oc2vNi7gJuYZaCU+Ws0xGC7PUl82ki0R rEzapZBsYFaFfcoQ4CjdfLVr4rYQeAYDY50eV5kMTCpw1FTTpUh3BDELwWA/44NbWOSs3S+Ch3W+ Ry8MfPlA6GiuCJ1NYSM9RDfSWxiNqPfJhwF5T5SCUrwnJnLiemKDQBvrBRD8QF3LO7Rcnjbs1CXX YyaPBCf5qK48yKCeQxjN28PraczT/5LIunKdydSS8jIfa1rYPVntTYl3fDXavXnjyu5fKIdqEEPM yVYiuoCSzTah8oYfmtwJSDj10G7hmZSfGHZ1lCHfz+8HTgaqTobNIOK0VVkwPBhxj2eE6z4IuKrC YSqD21HIHbidLoTOIEeZRqsOYZFV4lw+I9p7JR2OhBAIFuRLLJ4MBH5TurKKUqc9gA1pIeW/uv/G sGDmy2CIR8EpaOurR3zsf/cBNCNOAWIG7Nge5NVT6rw0y1XH9BmVZJEDXP7GUJfcQhX9VFduDlHZ yJu9+LiAQlLJacv1SNxtcA7PJ2XC8/JlNc9lKHrBGc4PhJGYFYL287OJa6xQPxjC7dyp0etmzohi DJKWa2ovI6T4WZxsIfp77bNgkGL5HhPUTXjuB7m6LsC28Fr2OMDnpPaXnxag1XUKcmLi0jJMdnTj aHJq0itoyGo5qODcidZ1BKn5TVTdxPBaBmcp2lxmXFaQN5s2rzcN2Tbqapaq1HYpU+dmFqyzIjXF CKCKWiLa0/OwHsexXaW70kEXqFtpE73azWXx2t/V42dH1jkSXcBRIbMp/a/Sx+OsOKGTd+SiExcI al2ojsCt9+HLmBFZmY+B0nbIyZCWGwCPiL9y+flsZ/R8DShJ05kUY31oF3Xck20u9J1Pr1bvGYST GLMeMm1chJSf+/W2WsMGJHrw0SYgeLuac1kricZjjbkYN/AVamuYFK1cIVjOIQl6GuYXa9M4NC8y /nguL/Fc+PVsh32muidOrgGcY+v84iEATIjawa/Sml5FR8EAIa6d1Dt0cp3VDkni13D3ULSadtII x43QXa01vh6hZKQEQ9jyDTiJo6Zd6GvItN+jHhvXg8icnLeZ5JXPr/7ZWHGar9EV/QxpJsyDpGZC EMAQi/uF8bt3Z7fFxL4FOVaZR7aHJVg8jl8LtTeUpTn9si6Kh2CkBvC/8/+b5zJZtQmmIFrH18qP 8fNd0cjWc5GUrUpxZEN2Iji1/MVmIT3/5q55iT5jxI7UCst/2jIGNRP4YXgS7Fm9KA8zwOvjF92H 8LuiJBu7nD6O8isZp3DQw3JQg7kbWc19nAHLP6OX/qKWT3RYCyTs6pDu+4nQsbNsjioslLX71Sa5 N3jl+Az1c2ZxGjhVmcVHGLXosyymQElngnHhLuHFFcvZxa6mO/NaugNoobuVIE2rh4eK+2Rsl8Kj MhyPlk935zFX18071nGqKMMn3snLgYcTOChRIXnbrHDLBLMy6YXoQadINolnXpY0bcH/tDvhKp2B iibjAmNtvp8Btr1mZmiCJgq/ZzsX3z3F4kjHz5feESKvJXDlgfq/CD4c4VQ4AOXNqUXXnrtiWN4B Pm+7A+sRnRBub3NxS3iQ7YOBXZ3vo4oTP6b0HPEP53xq7zwWavHJHQQnAaOI5ZU1PktZSNT2kL5X /SX7ViAqungO6qKoSfmAJgYd9DMh0NqSDcWUZnD54EbweY2fR1Grc2gh1D3sZlmjiz/8mJitltkc p+k8iqgbD4GYJ7y8ZcfHAIJHHKvdSMKgdSQziO9UWC5i4nBE4CeihYkCBNqzFTT9fPDeioKC+dwx vN1yWzKDSU9A5MeKaYRJ62G1bIeS57eRFhoNgRLeCbNWWdMlxvDSPcEduoMlCWnT4Yipe8SKsDIo HoEPSKmutAeDWRaHhlr+gT1wHXfQwzrDAzjk+Kt6zqQy4GiDsvv4PTmkCvqB+qFAFwnbKm9/cGkH BFb44eZHSwFUuXvJTftOMmSf4F9vcUwR50Y4fkZPy+W/b6q8GxpPDFLycThwMEWWEj4FtxStfAjc IJDWXXGrnzea1zKK3ZYAoULv+eCcfQ+MWbOC7c+I+O4nKoqHP9APljOL3A0Wh8jG1w8J43eAZjEx mxHH2SrElBuHyHEO7suCuSd2ZiQ5EHqyeb5TLeYfZDFMzq0OzIuhPEB29e9vi+FyxiHYXU8S8ZT1 kPzJoqJwmW8kcfGBGWnOFh7WYIMUH7vi3E1a4VTq1ic5ridgUxYBrF/OZGcgSE4O4e1mFAasNXXa cfVxaA75n5UShUTUupe+F7ETOaAOGeOav6FT8z+GbIwgGJjxYFlx3XQZiN1/9ATGsG1na/0XGAfZ 4NGgoHFu9cjpMF+orav+4HozuXPrhbgO0zzIJdZ3uKe12eKX834CutYuTVl0AM7rB8fZ6CvvDWm+ NJARMx+GLpXeYAtmZGlqJEYogaQVUhUO7OTLuiMAC1YmBuv9E3a/HPlzB7To6aroUUPqEjU3vFXz lS5+jdcGgwWjQDYPLHxg7gpC/dR0SwQPpm7BDyjxH8yKFanfv22X9y8BgEMEQOqGJMoGJn/f/tRd JiIaEyQHE8qFCZ8U6VD7loBnSZ/SwZkDPPfgx5JE9i12wOZQyN5I2Q9fy6rWmJrVhqe5+LxHg9mZ CxO3Y2DCqwAJT+b1i90N7uIo9DNxMyesg/6CLrY7fh9Kw0NjNzLRIKTYH0VUkhU1JAKpGQczxtEj 0/PE9UFCmF4FMhw7iVNNXElGT/Ki7XxKdhdD/db8Npo7p0QT4vIHXHRY7e8alKbmjbIONOTTP+Lj A4HTPc20R265/QAGyBsJ+xRX7j5lKw9ERlU0aeRaaSAbqH+GanI/QR6k7B1hwlRF19B1Y2J5A7Gs ftWZEsiyPt+APh3V0qPvqbaDqKv0T3duvnsOsYjh0MZ9VnkD6nrC80x8Xgl6knHnEpCOGMwher4l vkgY4AEyOofjc/E2usLYLsd14/g7W0HjbrW0By9ntBE+DDFT9iHgDRVD2ViqChQWP6kVIr7lJ4iy /u6QNc7ZzhJuDHXKxBI1A2XRx4jpZSBAjalxJikQJ8UnFvvzGhL6SyrB6Vpqs9rg9/H3gWLlaIJQ QuDt1XxUARpJhzGcIJI2rVLlwXCKuVq8uGdEMBmGOO74w2WjmGDnzRpZxVJ8bcqOYnzYo57Qw7O1 FPNOKQCxNI5+S9m71GnWWZemtKQ4ncv6MUMbyc8DY6UhKX6aPTbUMjKqlCXsQYyLmgVSQdIsfTmM pcbDNi+d7ZnnPjPkcxvFm/Td+KncwX3SBehI9pnEPSP7jYYLFUNLq6y5gQLCMEL/QZudh/zaTxt6 ZsP3PYvaeDocW/9AfYbUM1QBz6Ahzss3UmzPdct/oPxxNLwixuwrkpqjpwL8ZSNhOSsx9RZnCw+E wJoP4iXL2/9aRAJL5C+xNAsE5tvSYDo40c6cV5fZYAITmgO02WXiF91xtpYbQOmFinr0HZh9FwXb AN7NuQj4VS0OxvEpFrzU/3baop24UhdXxArjTFyN33z2hnSNBRTEsJkMuf2LIYjTQHKCP8pmMiY6 jtaiFiKBsvAhpk3pOHQjUdxAaNn2paBLTLIuAd41uFXAxzF6KE7wKM5ds9hGjnsoVfncwKKEvw+/ 2JcuQiWWn22HLcuxJ+f8whb0kYwGE7pdeOwconAJQ/yyDZErareB0fxLL4tfuNK6LgX9m4BAlOlx B26eAw9Uge/PYgssUsWRFB4zIPQ5q/yzrD/a9K9D7cg2kXvarWD7fiqr1zdpcR5SDeAO7QX0y3CN XDuVaa0LeOR+PS8+taR2W9iQxr4/0BadNyU6kbQqrBdqgVH37IhZKAg2OXgWObIRPoyEPOHpBZni 3zR81HBiCNfsH8Vonrz0JsfT73rvT5fOr+rQ0uF9mUT/s0EOj3AHzAIrpmp5BzcNLikmt7hoyX/J JfhJvb33KLQ9MDgag0R9G7m/ywThOHPCae2sIbGPPhJwxV87uuElKxlXtCBEZTNhOAOAbyMueqWj nD3LvqFjxd6Nm8Ag0tkEy1pcOvpQH9d0ggLTAa9VlqLJIx+77C8yUFNFSy8XA7djU9/TNYMmnfFS h384+Tu7hNoOSF8XamfOmXn6J2X3GpMCD786bbK78JZj7Vpf3Uyv7SD8ZOBngrQ25tCisfmfwJd0 aI5boXbMjRRNj6A5nNASLcMGjzmOR8i2LrKhW+jpUDRd8OFZ93JOKzt7Dt6TWPGR8adbJn/8CbBQ vidLrrX4AygjRoZVbxrjdRWvOSYc+/UdNj1pAdy2BbqmdJgeETj7g+pIgDZolnlJSIeAmLMDAj+y s7GS6AdiNbt+DcdToPsdfyd6lawUTaSgaeMgL3nhM3JxRWWihfPnaN+ENdgD9+vr+Tv1oF+HQ8Ew tSkeHZvzmQeC52cJLHY1ci9bGYgcXrkquFNbipDs4MYOl9pNhZ1q3zlbuclmqcEh45cmfGUD4huM w9tgSlV8tpkx/lI3IlJCYvNWPsxxciu27P79FChLrEnt2PjLZP5kOUyVK68qNnKriGCwujMjD6c+ qkoMM+qhoXv/fJcP1Ie+EyzvQ0kuWqKQw6wEcrCGh6UF/I2MfrNl9d3SnThfcIWQedrG3iKhVkhe xHV/hbLwlL1wpkjhKgLtBJyLrO92FsjH3bqABpsnZhv9huB2b2I8NMOLcMg43g09mw2PbLTf2sl0 BBFIezvOfNsQJ6tKKx3XfZQ8y3ENVH83vgud05cLzLuuamu3sqLB3r3qGCl4QuMPVsOYHIBL1hIc mTg/bbHlHPjdNWyrY0/lBRiUpQFIMMJh9K/h376Al4vreZT2Zj2816CH+s6M1h9yIgEHzgDBSM3k HGev7kulKcloBFSZkFmCR963OmsKV8+fyLezrAfFrpXU5CZcakur37j1zFXT5KSLLmM0dHAemH0H hhoVb5amvAytoPBSB2ab5hOXwKH0c0sX2UBu/f1+wbhY48N7/5YdkaxmgtLD/r8zNBUrvR9DC9zT e2kP6pCSxTtECoHJgEHkPqYoMu37XTsxPeTSY0Nxi2M/d1FaPS9hsP1QBtZKxiyDpY7jC9pWEDka r2pAD6LpusSoI6XHf1J4woBVKvd4SiiFwWy4q7z6pxvsGJmuC8WKkgkXPgrb34C6bvKDcFgZZWw3 zmwAHYbFaQnhBBYdYkwbIp4EkwKtZCe1YxA9O/+jRCAFDUKAambM6nrmB86hwG3+FAIoO3tyCmwf Gef3IdK/1cX/x3NYA6tBrrOF6Iak05Nwwb/GdLyXJbqycY8V8tJJOOgf1anWBmnF216jrwMFSZtu S8gnqWb256snAidbIlAcJTS4wr6GOiI/qkhdPjwwUw2a471Ow1oyXnbGDqi+24EfAGd7/G6kjhz7 QAoTAO1GRNi1va9qBF8jeyifNfePqT8Nt88Y5LbeGddCJp050+VuXMJWglp3+42M4SQHPjZwQDdR e6SjcnhNWVQZJwrV8QN/8vPsQzBk42IsUrywxa4c8gtHYLK9oQqWbeGMa/X8RroOq4qU7PZxy6ab 3oV3M0UX0nEZO6jSjKg0z0RkAOXGwTmKLbS5ta7vVYG53K+nNIELXSDes5tbqzkeRqzg2GJczXcV uwBr7KeSoeHfEoEH70CA4Vl2zhPrTa9HYoOMplXLfRFFOZR6g1Fo04sFiIIII98u++wOC7Wpy+U9 S/Ssy1O7yd3aw0CwldHpNwOeuXSXi2ichNwzwpjorUQiplnxpH/gKovLsXydZJFNEDjBxa9iqM2x GtDvS7xedb5ddRzu/u4LLWaxsfEbVmpcub/AumCYO49gXwcTEQNp64w3v11DCt1eiK+gKsSHm28p GwGqphqlz9rtaGKNwsd6kRdYKgc4A/qGXCN8PJ0lmorWugmIggKg7ks2y08fDz7vR0GxeBp1uNjB +nB5uVD6hzfczCULSSkijwDvnlEnwsI3ab5e+9Ng6iJ3Tatl5M6CMV3VXr3bLK99Yd+YFYk3uYQ/ 3J8hdEWC78/h2v+eW+C4Y4kdGOdpgSLgu4EoJ7CmwlNwPSsf17kiGcTnGDhcT4fq7lky03QzBADN H6u2kC8XMeFeQhsKWO7dkRL6IpOTaFHECLRJOBXmPTkuMG2reY4S15UIEQEXtfe5lnTVTv8CHvhH KWAKK0MkYkoWVM+yYQ5mrRtMc0vrXvhN3sJy6D+RzfRmwf9n/EUgNDNSZYrwal5NuM20+IXIyXj3 Ewo5uvLuGiywrlF6FvN39zN3tapEScNZdsL77IpFNWjh6c2vxH208LkXQ+D/hsz1QlevFuMX5jx5 bUujUqcRV8uicznbq5KjmUYdiEmKYukuKzem5JtA2IRxdInimjfRU0x2U5HecT4P0b4uzM+XS3VX CPvuLHsj6wSNd1Ls9vn1ji1/WY1L47OUA+dvWxpo33+mZEjT3r/+KjUhOUA/uVSoorcedtX9dSfJ Q0zB7m03A7joooy1j3lcvgSehRh4Y15rQ3XuvzWy/qBked24l0IpIZIoLLfNtWUOEDqj7TjXeVwA TBk3FCFLJK0bf9yLJ/8eivvEnnDpXCGH87/JTwFKhiKdK8jtFinjX/LqUHgI9qr4orJ2i1vBSqW3 X1aXAQlwG7icbVe0lpubh9rGGq++PxKSSU8IsO4AuNIGhoUbLaCPqrlIWRzjyRg6rju2EWacNmBi 95KUm0SigNqsuzLvTs8UL/Vm6fiV/tE3wrWx8XlV5ZqoA9A5D+ECcPQ2+7+h79J+3gGWVQV2AlGO Vlusf/nGvC6pgiwkG8nLgHvWy8r6vmWEOW27JFb+BKYAH2t6fanXys37D27If0HbOEitvYZHKsv/ XyxpN35j2Lg6CdZnHAdE/01IvR28RizNvHJNo6fZoLNIf+oQ8hpDectrUoLOGkLuidd5k7MCWLXi dMeJQCe+PXGSMjKOs/Rfo7YI/CCzZYYsXUjecq1jeQNsfSWlyl2DIfQxTvVLJ49+8etn91dY3dbG 41dNailX6qORRXeifDHTyUW2ETDUcGJ4d/bvlCJaPqCKiyeOVEdDAkOwzdFGf2/S6OWQe6b3KHtx uC8kOkTGLvL4VXW5qmjn/xKZqUHKLR+qU2nw0Zc1/l+qbKz781vV2BfjK9QqXurkqJIGJY3oHhrr PnUc4lQ6e0h/Mle5QYmGFRo/HrahG9D2C3YHRdprlG71v+iNtHpbQQzmn90QGKbougJCOZ2GapNE XH8Q0EJaWW1v9MQPtPyotS1UjZt8AeG2QYgn0K8MgM41Dr4nlxcKsgn3PLIG0WrjE1Ao/tewauzD +ITmFJSU7VhCKgvYidPsK0sFJq1IBtMZpLSflDyYSpRACQYloKNnV8tdIla/NYtn3YYJxChxRJMn RAAq6UJmKYnN6s8IFdTXzwQf6+NXP5s/AwCHg+Z6WtK78UstAZNshScCpqL72b+WoVZ56WFQEe9L /iBts27xoFL7WgdyyjQUWNzRqCiThB8tMTM3j/fkSzs6OaKFXC9chCxmROT19iL/9d522aR9HB8n wiJBwoaxO177Yg57fp0uFpXfuwB+A/p0QMU1yLzw+sYuT30msCLujWTX49S55c3mVnBZrcNPOc+D 8jga7ABjAsqCTD4Cxf5kpUehberjqu/GvntFfq48rvLHf9vSEruZrGS19OMW8cKFgjaCi5dtZvrU RYKegb/zi+s1hunPZRkXK+anFn2ecZrvu4Ey628LFiGtldE+r516x8JI3Fsny+OB/31ludRs5YSU E9QIslWio81if8eJG5wdnTd/GH+nksjbPF9nFLAHFa4I8hwk3eSDP4BVM4c+sMPLve2SRDmyXQsy h3l7L3RMytlEhRnzIlYJpfDpIxV/3dnudd4YzZL8UJxZvtH8eTs0xCnlR0DS/mcclzqnnCUlW+mk EVx8aGYjsaMOt8J86BGrhRlvXe4cm3x8qOoAfGROcTRep3F3F3VWjR9khYd1rP190hkkWhax2aCW XRaOEqD4F1WVUkBT3nQNEeJS5RbyMM8ApjwcYAlzBOmkNlAX7RxNbJ2ufJ8mnAf6N78/6/xowxF4 uM072/+nQupWpINh5qYYnLYL4P6t+DlLFeOxzvOjOgoK1iSUsVHe3q2fZCBfWvutNd1A7JcXkaV6 DIFQXWmlapq/QtdO3UXK `protect end_protected
bsd-2-clause
55dd84be90515662f75ca2826027499a
0.93904
1.841506
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/prj/zynq/config_zynq.vhd
1
2,583
--! --! Copyright 2018 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library IEEE; use IEEE.STD_LOGIC_1164.ALL; library techmap; use techmap.gencomp.all; package config_target is -- Technology and synthesis options constant CFG_FABTECH : integer := zynq7000; constant CFG_MEMTECH : integer := zynq7000; constant CFG_PADTECH : integer := zynq7000; constant CFG_JTAGTECH : integer := zynq7000; constant CFG_ASYNC_RESET : boolean := false; constant CFG_TOPDIR : string := "../../../"; --! @brief Number of processors in a system --! @details This value may be in a range 1 to CFG_TOTAL_CPU_MAX-1 constant CFG_CPU_NUM : integer := 1; --! @brief HEX-image for the initialization of the Boot ROM. --! @details This file is used by \e inferred ROM implementation. constant CFG_SIM_BOOTROM_HEX : string := CFG_TOPDIR & "examples/boot/linuxbuild/bin/bootimage.hex"; --! @brief HEX-image for the initialization of the FwImage ROM. --! @details This file is used by \e inferred ROM implementation. constant CFG_SIM_FWIMAGE_HEX : string := CFG_TOPDIR & "examples/zephyr/gcc711/zephyr.hex"; --! @brief Hardware SoC Identificator. --! --! @details Read Only unique platform identificator that could be --! read by firmware from the Plug'n'Play support module. constant CFG_HW_ID : std_logic_vector(31 downto 0) := X"20191206"; --! @brief Enabling Ethernet MAC interface. --! @details By default MAC module enables support of the debug feature EDCL. constant CFG_ETHERNET_ENABLE : boolean := false; --! @brief Enable/Disable Debug Unit constant CFG_DSU_ENABLE : boolean := true; --! External Flash IC connected via SPI constant CFG_EXT_FLASH_ENA : boolean := false; --! GNSS sub-system constant CFG_GNSS_SS_ENA : boolean := false; --! OTP 8 KB memory bank constant CFG_OTP8KB_ENA : boolean := false; --! Coherent bridge with L2-cache constant CFG_L2CACHE_ENA : boolean := false; end;
apache-2.0
16981d7df75358e550ecc954e4708914
0.69067
3.95559
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52,905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
bsd-2-clause
d4fea298437b8ba5c932acd47fc35a21
0.95095
1.824562
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_datamover.vhd
1
51,616
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_mm2s_basic_wrap; use axi_sg_v4_1_3.axi_sg_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_sg_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0) ; -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_sg_datamover; architecture implementation of axi_sg_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin -- coverage off if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; -- coverage on End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin -- coverage off If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; -- coverage on Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; -- coverage off if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; -- coverage on elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; -- coverage off elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; -- coverage on Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin -- coverage off if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; -- coverage on else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin -- coverage off If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; -- coverage on else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_sg_v4_1_3.axi_sg_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , sg_ctl => sg_ctl , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_sg_v4_1_3.axi_sg_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , sg_ctl => sg_ctl , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; end implementation;
mit
ca94f829f61e4f8bf509863c0c7b9e09
0.407645
4.605693
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_output_block.vhd
27
17,222
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ksr82/EJdyTi/ZnocplaChIHl5gVfg/QywOs6WHQUUTVobYB9S2t7HfNHkvfksORtftr4wgSGG59 dqflxrTk9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qqej7lC/8l20xFx7bklclhPhbKpE2SoVMnU8o5jHyjJozBFHGWWzSqcy2OHoxuRC4svtWcuXPZER AveySsBsquyvS3CpwUhQC4HU879mrvq1rktu6YiGUKekxqqq8XWVjGU2RErpRUag/ydvNbNrFWxX vuxu46YvGNDVpOq465c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 439mpd6b2KugK1Dxw8VAcq35Q01RTqPVrzIbAJdjxQbya32eEZ7i4WNiFuVZ/MAk52bZBtBQiNHc mNfbIfQciIHmnAXJEN9w/4VODhRIcUMrMjQwAjn4teKfB1tg762rR2jvGQ50Ai1Ml+OYADsAGJtF URFceTs0yqpLMxJ8Ov/lGmeNw5dXmLiwn/XRqtS/K35VTjZyDUeHpQAr9q51KY6k59LrSFC7lxxB mXX0In+fzXXlrh0dFFwLWzscDXHiKjrU4bwWBuzmrkKr3uCoEG0OADwjka6wlXo/Z2cEkTpiK1Qy MmZH9UXQxrxTgtpOMmK0pjs+MfXf5/7XzeJsOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block porEUqarzWQ+a43o1KcgcLOOq13cwiYUyYcVmnYhbdWCiVlWWfN80U7oRzW3NODV8vTOFdEeX0/T HiPsKQYOSEqQjf71FVXt5Qu85a7gangJ+zMjyuk8+m1c85rFqWapoLbPUbexfLeiEmybpwcybBzj rIVwXl1qRv1R4JNRI44= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s6/C7NZuQyYs48nVSWrZBvdUw/cGGwVNCnxc6+Wr+hB+GSdh07xJnxht3+mpM71wbe2jyi3JRq7M A8Qq9KlqvpjZ87ZnAxTvr8P4OZV0DRnim60u79JqHUDowRtwBKuWK+fhBBqVkg+I/GuK0CQAje2N 3H5CzXagxYQGmhNBvdIDYAmWiG6ymENT9OP+fdf/JngSq3sbaQDhuOCrSGCgAWuZWv28vEMvXd4d VKm66HgH4TXtJpDsYN5kTW6gEWdi7cV3KJRDsY6jA9RzwyOOBsMl8Gl/UvSGBWbIshxBeydyVUyg 0jabYqp6ODPXSowz5ZkW1y4reTS+cozycJAuMQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11008) `protect data_block +DPohmlXaQQHt4ZhHFY2jUyqbZ6LKkayLiEe46UyrX4BlRctDqqXC5YlMm/A44z6AjVv9sd8S10U uTD+TfvxVVrfg6rStHobla6O32m5hy40XzRSQqqP1gCJHTn7K7WDwZr9OU0hkA2DS7x5XQ9YoYM9 On+6Uw6jV5stF6+AZc6miePast2HyUI+T1TVk/IsWtHPjav/5sJkIYigCjE6cb2njUCKTKZndIED +3m+Y2pfNpDetDfrwbFSWjmPCwg9LHMzGZMkBuj6zVjynNa+e2le32swBX25Wjecn3hGhr0xI4sb mV41O7aQlg7j1IiLZfb7DRC8hdgp5riGRTK33r1bhkNaVVuWQ+Cvnc8gMTC+lSSjYxnN7XSFqZQp QPLbITCItjB0fxAnSz17jB6AaRFIuw/FyKDsbirTNmujUXmtqROXIkNHzUS4O4Eyjh0C6MWFl2r3 Mnpmv/VcdDBiZUICFhhNDX+LdcgCB3Q5fGcBrx3gwARWUPix+bjfT5Xul/hOXdx05hYr1Fw73n19 yevCWRdtP9c59XF5Iq35rVE8hHbmyh3F2Cb6Y8bCD2SjIKdEfmK72wVtfqAEvpZi94J/4sWlsTsF kfk7HQaQKjTux/Z9TyeFeopdxQQad1gMmfwMjRR2UFblYjLuNKo7Sz32sM/dlQTrdN57WkZSPAaw SvZZDVZ1G/Z9EsctY7PV9SEcjEv/sSzUl92vvlToRsDKv5xiG/Ofjz8XI+IIAqp4sDQ88RJvmEGo zak7qdqVRbYdQF7mbNCDg4sUkJboX2PngL/CEaZCiVGVad0KJxYvUxAuYRvhzhRUJCA9bDOraRVu SmxfA+Mo12c6Im3EB+UmhgUikqVYRNyCTPdatb5IOkzueLjto+i6+eNh3/gGnJ6dYrAWJaS9G1U+ 8UVoTb9u6+xwrLtCLm63NCzYMpwFJceY48XBrca4hmInW1CrgD1PnuYwOuimRTvzS0Hj5fn4htHh wmqa1Re3+gJKznnDfdAfoHVUqFuhZgboXZ3phvQ2fd7XzRwdxxYSe+fNlBf2i8LtnagoAKFPr1hN HcCbMyieVauGAogGtS0OxUOtoMldC41qqcEYgJs6H/OHv7UC9Rt5DrwGdjcPpoKtVGSpge1ij74t Qvd4fBiDxXaw58k+Qzd0c+X3lY3P9FmlcEh1r7KEUaWKnBlCnjbH4GMssYAQvb400JAluZ1LFrYU KIUicXYnlC4Q9+IV26X6sJh20eur0l55oAsvlTDkvdOgyJ3gOwAxEmL5HmRzOtWtl58/LHAkoiKz 4VtcjBH2DSlRkYMVQex6Ygs3qBQQ2RGzMv/XimM7393Wh8A+puTUvIvZ6xSjeZ0mG2WPDlim22Sr kCRx9yGfyY/HsZLV+52ZKIVU+xnc31GyGTVUm1nvtykB3SL15htbHn12+n8OvuaMO6Qx9PZVPuIw rLEG4hKnP49p7wGNxQREpq2O2njvGKcfmDMEyXq3NXcvoo8Im/c961nktkwOdaDzuFRSd6qz3Wla Kc62ZznhvRr8SGPPXsrSuhWU5QG1WC3c98MSuDRXLw96Mq1saAkv2tdph86EKR+sPtAWuToKNxRr 9DiOm3785VWGjKSGrCRm7FqzmG3wbl7K9A+8Bawbg/znMjWpFSeuyMWVlOSW0H2WQoqHEGyZ/Ctk FE+B4eGUxoFdQnZMc8myjymYgJ9wLWe99UyO12oc5OAcgwlv/kqCYfyKs6e7D5IVycb+ECncHE4u qvFijh0m32eCuUGqgRA4HbxCilDhjoEfpBPUcy3WxB4hTfVUjscrhQrbx3ixjox0uXlNLHS/iVAF FLaB1b5C6U8IqxE1n7LY9kpqPoC5vMrhIdXpoobq25akIMAl3nk0uWXXd2pWCTpjIVgOCS2Y8EYg iLIHMQ00vFX5CAlUSyVj05Bv7SE+WuP4pKHr4AUuD7jfjutl/b66Nb4kAKLsCBTqYlNzzAJpTX8q M+MoosaJvVifWgxX0dBLhct4E4sBeTOeTqmMRvOtHhM3B/5Hw/x6PCrVhPP3o73JG26qTtEAdoiY A8e2YGjNChm2MvKUbhGxOh5bxo+uJJ4z4XLuwRK4dj8+qroHP9UnEYnAOCECnO5AK4B0jAL8Uevk LQGIOX+W4vHxL1oLBVK1m3NMIcRuN9PChjeJnSKtGidHKqHgYej//kOBpFIg/tVQ/rD7T6LZcpeC 5v0qXIAXMAiPnxQc6Xf6b8p6BtY916iFh/YTSUAqz0RSunRt30TY1xwxjWoG2lQoYMjhbdZmlDnX JQ8YiwoyP8dkO1xD6YakjwRt0OVwIygm6SeuleDAq/Rs5HDTVVGll6ox5L73WCu792ckkdlkpuW5 tNZUbz7pwgKOdRGhRoQChNB7CvX20C1LdlxQLBvHipTdebOmdNHM5yHYtstrX8Sw8otPYy0f41pK dO4tVG8V9yOxuCS55a1Fgz5Dt+nwXPVMBJTREed2YRgkTqENgzBGdQBysDQfyczQwenmz8Y7On0u rBB/9C1V4dFA+QeFVSgkOlxhRrfURXTft0qvs6Fz+06v32VlyVsk3zGMBz4GccKHhGm+lhjwqWTl Pd+2CIJn45D6nNEYzGeGdLepQOibfn9h4nlk6OgK8GpKzwvfj8xikRmJABlE6WFc3kz3NHzpyIdS 2R/phTqFjTe82aHxrfPfpi66Jcv+vAP2JrVO9dVqZ93G5Ot/MY+gO57xu59dxcaj8SrNOJcTgOw3 Li2tkAiTJBd1gHuCy/mljuEGoPDRG2kWF2YPPi+H50xc/T1BIEcnntNj9CQDcrC2ElcTq1FE6d+L loTxdj0ZwTyjVkEZmuMVZAFH6u4a+fFK0omP2emIIRb23PahWFNCE9J7kVJilcGfppQ18eLvNRev 5kIot/U3lm4wguCX4sYfHDYwKjfQ4PBZ8oQQKg0Zo1xXPW9aIywqYTeurMjQ30HCkiaGX2lfW9kN bZLCAemdGVAw/B2brn6DPMbMsbEPsupkzEfiq2ZfERnGj7WMzPQ7CeGGT+15ahFG66uqL8KBuRC3 +KM8r3459npP1hm4FB1zQ04xldvbwXTMPYwhOJIxOhfZ1lRU0Y2CjJQEAHKJLTopw0ggT6BUSTYn R5ix8uaFB+buwrdn7DWoS/lTQnt6w3HAKVJ9xa68ZP16cAGNb4OlqfVHJgoUiqjXdmNcLlfkQjoG nWwSaRuFoDU14xqBwUumBhxuFcGp30gwJyWceumFjGK+QJLZOTG4jY26WvAVcKcTi6X6arBxMGQj d62K5U/J9RTzDfQmSS5PWWFlnQuQmHdOy/iuPYriozJcXbHdVGgDjXXAS11ySKKkFmAHCuL209qK W8xZhepJAcwLORdaC3YblP4sOOft1YECFrK/j1m2nKg668cEaw2QB/1cbFmThWvlTY/TwvfuVP0L zBIPubnuparAzkCC3dXjDQjbBhAmuO7pD1sjsAOKrnz4wg4cW7VljncVnSaZKNqsGnLo6MgcWJu+ i4R8nQx/1/NLCsRpiwCgF8lctxvqJD9JafLyQwjBtZpxHgUh5PgCLRPAQO9YcOAkhqrjjg9Dxq/N 4NwPW9GjaLyQgSXuJm/5v/5bn6qzxY4faLiVYpMbhy8XaHfidmTtdV2pg1VKZ6Ucct//SAgsoNDZ OUzLgq8Y6aet7W6D9i+lwSJB4m3pm7KK94oy0tOkwZiZS3eMOmaBYfRkuWcDUh+NlKGkMdjhLieU GsZvIk9QYYc68o02oxYG5XUgq/Lxw8nG92ugM/n6DCpmDoc4LG5MEpAQjWeRnSo4L01BcZ56MLIO tQWtvB9t/BYkkzU6dH4TutzrP+26FdigRGTDP1/7Wlc3yXGPGlMigjzokLT40JXN7wNQvBkdIfvh 1gABn8PKvH5VKK/UZJLrqb2n6FfDSVOTQqN4xM/a2sAeJv1x/cBLp88N405p+VWIyyNhZT6Md64q KGlXOoEvPPWD0+yx3vBoemFE5xMvD+TAGg9re9/AqxJ5i1UBGMUvgHyeiPG6sxTfatM7NzFkSD1W 86CmuzBK5f7pf2DsVfBqJcgL7BzEfhP6PdPs1l1hj52jVeNZwqmTZLk7UHJ4VwcrgDD3UWLTSYZi siaZXvWi990Z4q24YMyLYsCfHOy3gUQPKvXwhxJQUyfoAEI8tXIO/uTccxoe4oCVF9vP0YZRYrkG 625DV1axalnAF1H8lRTV+8kzwBS/xxzWKydm+wYmkJ3SNW8T0X81zL0TmxYAydCzhDa4moWSbaqP crh276lP+riLrsY5B6j0uOzvlaDbZEP6dE7jGO3ptw3N98zs4JvRTHCYR0n0jCjVPXKvBYLTHLLY EOgwWWaaCeuqIwbB9kaBckx1FRNOuUKc83kDfRj7SiqH19HHWpJOQZQPJ60x5F+7HUjkU3jUURWl ZtNRSo9UWp4NVEu+JBspwIoyqojU5FkxGPk5Ibabc8FBd6rgTpqZDLr4jMEAfxJEoijj4Q5LaS0Q lJAL8PdeVrl2dmCVQDx7tVfYvSV2s3BXKFEFqif+0pCiVI4wUsNvEPhgJfO2GjTAXBHnKJI6oIHd NdQiUS7syvvBNYpjbNV79q0CjgrVWRv93haC/uS3ixa+imI8cXmhc+8Z8qPvR3H4WSTYCxwPqml6 qGMy7Vpxh+d7ugCd9vzhzUVNsUFAHQRxEV3nXS7XOYvWh83JIkrRrA4eOGbm5FnEOmKk0vn4L59e 90VokD0FXUy5aYhthSdyh3WqKvv3zXiH9+Uy398dy2Tq3ceSvzFNzLWMSSHOmlTYef+wGFcRdjDj CLcN7eBnzScdAFqHALo3o6dgLsrCxQwETc3oY3lG5RQLiRYSQHhkbv6xZ5wVXdlAsIPOCncqIlC1 d0QDjqDrnEohFGbL+B6vk3fyyiSMnrc9ymZaCyL/ftUjOM2YiMO3yOnaarnD1xfI9NxTSFG4QZsD 2JOblOQwhZLK8SCfQv1C2Tj8faYkysvzVdynRCnsT+wtkrJTX++JMdgX3cvP7rBiBnp9E65Md9dE G/skAtUvp+HOFGG1DdDfRbXlRj5hLfTU4Z1zJln8bfDvjH6iQQXJ8g/5kLDncGDoxwKJBivgxjJz 3rvCuQVYLeUgHk7J6gOlfNh9lywyF+R7OsgxEJhniSoJ4jJKPgeNwQEv7O5/H1ofukVElhIZnssR EAbrYy0NguOhRChCOsgkFc8aTU4m1thNNfk9g8gP3wbx5f5wfs+kh5asgPooLkEGDhDmtp67Ln03 oRY9y/BDb/rF8nUFw262HGs2msJGH+BVfmpgMG7NDrUeDzIHC3Mt0BraM6H6ydjqzZEif78tehr8 AOqr4FP2kusGieOToCfNgiDliHJjJxg1hlSVQyx2rurqbQW6uJDOqpaXLDQ+AdNVKOTBvUAI87rE HF/y0DBzWtWNIuRCT0Ia7L9Hfi1ksk6yJIzXFco9rqL+pJzZP1rG/jdLR9RAWeOAj/aR3VwzChOG 9nbmF3X42isPY7YIiZuCH0772Pj4IoD0TnQG8On/UlzBfKCbkycZNi1uu6dXteaklZu9NkcJrZZt 8T8rdr4WLiwdnARzm2oMRrUyqZNm7YDE/A8VT8pwwAK+Kf1MLvlI9jV/Ru1zAufvSciGcpdhqqdd gNCWv7CZjuxRSpt3IwhasWdxwGFJ7hZcOnGMpD8CiFr34IIDxF/uHbSM6838pFcMOjA0v9v7pH5w zI7wTR4PBuszVDrzv+Gj7C6DpEZL17lEnlKQKt13eLtUHaM+ZJRTJobACsL0AQcxmXwm4hT2IsHS dW/q1oCq3kdph2KDvkJml0iD3TCVYv5FG4E7Wdaz0lY8EmafOHM5m4sE3HIZSUWuiRdAY/p+GHqk kGqcx0/NM67bqmG4bF+7eSWmsPlutSyx0ZlGfZRryqwO9syMKPyrKYwlefhaNJwObZEUE967KJWx yjlikAa1hhL6wWgb0c/d7z5RgAJAwi65Jt88QdOVIt5CPfTknmTOGwxN6ZUs0uzG2rwrVQFqtsOZ eFS3ivRm64fpnwQ/NIiaew9h/uMVzsFUfXMBP6LajBYrsimjvup2VSrpThRJC5q2OxOSEY65Q/vL xfgNqkKY19d1PYVqamJlpYyeBUi0FYysvsQfKc+6sKOhIafvHcdzXojIFJeRpnaMizlnwb8mvB8i EM10QFNd99EE7etsO5w2uzQX5ahMrOsADDgQxm6l34bgUWdfHCxgDxfpZ998pL0mao3rPclAhZJE kZb2+Ql+1uIa1c/GPSPIQZOg2ldpbbWhBn4Qc3vd4w132nzjbZ+tmuDqk6y2fI7rlpXuA2tEKsCs EJo/pLK3ztctT+djyF0Rn9R/KahB1l8R0QWTicIT+Y69S1s4P5XFkufNKoUygpkWg3iVEcn9fTVc On5jKoRf19PBHxyNIX9w7+NZ/vlHkPLPrtJNnJgsT0CxGJK+RDe9eDaAoW8KrCSldiZtgiHXpY1m uwjomkdR7rCufD+Xq+kOGEmhC26yR24CZQuCdFRqhT5dE60FmQfifjohSBO/PONRiKvv9bz2gju0 CljqQG+HOFofDvPvQ7j01I0ZUjrtXCsMZemMhw/Ta8GskdtfDzhADWkeS6l+t5VT3RwJ7PYNdiBw ZgVIoi0zoBn7TqH4utJ/U6OvzSxqMKwiqmaINx+LRNznoHPifjHusuFLS7coLzTgtEeAHIWJ+gUX TeB1D+BH0yUqQkTU2qor/V0kR78TfiPnKuK5S51Ae4mqfo3nbcgTVFAfD1AI7xpQJE5uu2qfrK8D ywgYJnQMGCowoX2AUwngFgltJs/B2f9Pq/JtV7JS/AdwM2nJgGd393HndUchLUlQoYchMVP378NQ AhRCUxUmGDD6eOEZsS0CPaA6SbCVRQXtQMqu2u5dtzLQ39VITmorLrqTRCM586wIw9/uaXXvYauL p+l+knKgXVrBse/3ZbtRoZ7jtVC4tBeNENzoo/UZ0vC7kNP8RDR5SMd8RiHABEP/u3iNof5m7Mo/ nzhBXikXWTs6pPHxpBIJUUXpN8w6q/ic+M6WaB8ZHV8e5Ur1mUjqnJUBfAkRn/OMK1Oz+sfY1KAR R/zIKqLHzlhwlAZu8445TxyJ+Kf7PzP1DK9UpXVQb8p9T1rxJaX3b+izbQWFnFsvcKfG5HIIjzUC ccONKGGBTwDmqTDreE9Rdr2UEhknhAOfgbgg+1yYO7ADRLYp3Wu9xzpFqhObKrlCwpG3RgAwaGQD VC4D3h+7tpVl8CHjXOcTNXejiAlE6U5fFiTzrHp9lKR6FjxqFJh8EpeBRqskYmx3rubtFjb2Tzar JNpYhmBx/p8QWrehUjm6C9c90GD1RFWyO94xJ1InoSbX3y1bYk8x5U3P1gUPrEXMZ1lhEWom0gdS bWHyBD65/q1DwcMOAi4avE74h0Qsi0gOZ2u8xAKrRihS1kG4x0LI5jprQ4wKK+MiIUlFxC10tL44 8RPJj1wAEqYQsxhvECT0lhJymnI+xr/6xPQpPyWE5qsGsB4n8SY3/nHkkHJC1wV2sDhzZ8xZnqX5 /vvRAIUXbSriWsLIhlhorQiFBzgSv2yjoNpe/8MtHAflpDRgbG8sh0dZSlqkK53aG3C1bREbnFTc F5PwYvdBIOG9I9J4kRH2pliqoGR5zIYW+eB4S4dlxtyze1sd8EzaxgljLRc9ToUwWfvR6i+bCagm vCZSOPYRIn0GLS/GIfQkfyRuBYjd0rYDNgDA4x7UtZXevggeWaqaR8MJpf/b/u6Oq7AaOqyKSkL/ OR7jxdO8bLi+xT0feXi75X7OfGeeDmcNjsPXiZPzkZhlbxa+pI7pJXSMiJK/QoKfevvXwWeq4OXL kO8Uzj/85+08nsbVI4wJtCXH5QXzYwYgbbq6Jl1WzlU8MKfJd6rCKS3ILPzEFrK2n6P11tZURxrT NFcvE6ekS70GhTSbT236fCKJYBoDEzhzcRUmyG6HzMgnq2JcdPrhXoj7JQhpA+4kEwN+paNEQt+a T8MM6tJL4HP21m3a8sbrgLb1NpdHBK9moUpcSfd0b83bwxZq6Cty51Yb0f/CTv0yhW+IIj1MEw3z d8QK4Ib7hYh3yfvkM2Y/pSVXQ+XovTSqzd0k8tr7mPvSRQkWoWreBid2nPmSyXb6wjfazweDIb8g w5gfp8dObo0lgkTjBdNlEnvnys2t54ijucNmpq3Wn/IhV/T9rmosGmKiKJ+Y/z3sxfsg77P6Q9tw ewQkHIj9B7jKl7W+ERrZ74HAYidZcl9rsb9uv2+FJgNqcOOIXmKxWOrsc3bY/VnSntgdW/9p9PdY L8i+o8tCLor1Q/gj1yWOSB51e1Hbe0YIAcTgkHqDd4oEsw/1qCbzlB3CMS5vuVQcv5NIt/6BS+7J ZHKyA+TfAIQj0hLVMkJ2Bz10dkajI3k0dZ6jR5HvuboqJBdENFX5kVYwqqJ7O4qAyHzCC5Ddl8Bj Efaov60cXoUJawhk5PjiPrsAdADi5T+guYHYjb4ytFezoboQGS7zABKt3mZY3LjGCpMjRGsfNwfu sgLNy/OzyLJp+2z1GuobdBMtMxipwBxHNX5cxEJT8mHWOY7C8tajNUhvdeKH3vC5mB3BeMP0zuWn DDFPpjCpb7jv7OnjKo7TO1U1no25mHMowlwu6h05UyLlcaZOTxSeXj8loXNXLq0eMlf6uMi9RlFA 0thfDqiVPTrpjCRTFsiJzZDrwkpiGdglZ3oGnOYoJ3zrVJ7MRzcjKv3Zig1tqfpTzflhBUtwnyNs qmkPj3e0B1Fh5Z+a7HC4RxbYBJ5mHWwJrFFTYH3mAdGF73/hxMTQ9Gp3DxZywop9re0EdCQZ4yNT E5v423mYre03ErhK5VxUW5tSpAF7A2hHza69by1l9fOTs8BkaNPxOP8xPZNOmsQ7k7ChkBzpX+u4 D0VBk5WWXP/FNexO/T93B6NYnRGdsJBQ0G7sWnuh817H8Rj1ev643xcWYqI60by3GukXqkr9HDcZ MPOU5xjkUilZyCbkJ1PYaxqV9GBgU8mycd9oG/uOJrxHJ6sE/zA9N1agIP69LEu9MhqYxNHNTxp/ r2fEs/f1jF5JejKEcBLUTp+oZOLDkOSC1L1d5M8M1CUvoeZzwrdZzy9xsxpyO8nsi8vdVSKS2kd9 brKzVrvs05zPgRKXdyM/TuYOq0PMDvhtCFNNQ1c8BMv2UXb+8KhBGBf3ksQCRZuDyEYPxJZhHz+T RLjCwM0pq8Hpav2MesWZgQrnKhT5I5n6Kzp133e7KxNv1c+modCLYVqWK/YJBoGvtd2iVMxriyED ubdTTKFGFFyyCJvln2rfiyT0aQZt6t9wo6/B7hnLqv0123A0gIIKAYP5SGwlAt3DTMR2zhimwYSQ fxTwu0yOIMWrlQYzoMnzhdITW7ZlDTNCdtwph6/JZFh53qZ5bi7G3/3aoHToMYotPQj+SVt0W6WF 089WD0XkQbDd48MLJHQAW6kmO/E7P0MU3fgiyTVL8qFxVBXSQWOfDxmVo8T7fAKRla2djPq75NwE rwqZNLHeDtJ3VcmvxuDP7dWlkpVRzZ5W0K9RZgACMkzXi4deIDbL++vvwbnSG7i8W1svDeCOO7Yf x3yO4KVwTgEU/weTiFz5aknPP/xgi4QTILK4jpiFQFe0TyH/f6auegi+Hnv/6uLL+zCh0y2qQDkv vqRquvh25yV6l82QN9UTlUGykuG0UrvOKpxNTaeN2TMbp+ce2caFFZUdaD9H/j4WIf4q5X+t0o8s /etuWx4Ta/ueboGtEAoivNontAgdag4UnjNt2SQNsuhdCBKYilGqZg1IYtFCcjqdJ2v2Ske2uSFo +spD2lOs4kyTHBSDk2eEUZtOcT7trmXR1iuMSKrNw2aZ0ZgZG5NJmai2aYy3NKMl+AZGv0S8A9JG TsFiySWrt2+osCmrE2bFaupUYuw3uQqsVTMtTiCRiTiVYzybIs3hGYCXwSzsm5HqceEsUE1B4k3B PJqwhB0FGebJ9J243CSP923aG+Rx/o28NqGXxRYwRkMBzkQJGjb9zkN6V7hHF5wR8DLVwS3+DYba Z6Zm87dKmESiXWPhrdZLc9H4DyXwZnTqz5oRJHlVs1CkW7vkb6NLtVh/ofyB3d98JO7EXnqe6IVP CbbUCisiyAgFpulOQk9Mk2AiOvhW9SoW1sqnlLgW9NGwxbUd0pB3iZD1b1IDNwQGU2A+GXa0+61H oMxxYc1O+rWNWID10YEgoY8W+j0qWXUp60XAENPR5hpnEyrKfnPO6vZ5Y0PCLNfM6LrdiUKBqdhH UpymzVlwgopQ5+SdqVaZzjSy7+qBFsYdOtWcnHLN7vCMJQ64T71B7xXB78H8bWkQuTc/ZdGQTeM9 BYGjT/jhOfJO0b45v5t2iC0Q5jmUnTaY/IS3gGbdsR+FWxriPDqH2sLrojSBYBEgMnV1QwNOBJxG X8GSW/KNWjMmO0rJN9pMZb/fpTMGkBIbpdc8aYohsPgfRL+fF4S/M0qWGysw2YzJ86SRq9+9lXaw QGNnBCALEAAuQBudp/NRjro408UceAFkh7AB8JAsa5JfMq3y/y+lMETLdfco9CNYkMX1raFcr0Io Tdp5mC+iypPbiu80kwl7kdGXqaW8886+JGgq7c+SeODoLbBdtLB8M6aLE3pCYyM3WepCaUn7Q+7u AZKQ2JXiKVASmBEz6gC4lCL85KFvrdJlbP9FFJ3HHWgSGUZ/KzXKV8CE59AwX28vWeZXjoruosSO XlTatQjzfhzfqjqajXNxCwN4xfFooCDIlfL7m32WT7g4UNMYkiaiNc6Z/vazr8sv8zBjj1p4AIG1 H3s70UPMZ6bO7s4EGeI/WfL0qLXYBk1M+hIjF2pmn0kmgXlcnG6D61HmWq1XjEsONS3hDcXGM3Sa RAAUnoydcEW4uJxrW58aJQEiVgdfAXAGY79zcAe0rlndpn6fiwLLVlsMt8A7iB2HWDzZUCiFutXB HrsFN2f1OruweI0y1Scx1cE6ZS1/eEFXNaMf/uaSS6DOa6rvbRZPZrANdigMkbO9a3LjvhasCMVV SQByJ0t+dkJ3cJDegBoV28VB1Qpa6TvwsA75j9veaQP0Z5PUN0pITHiNPdAb658l8Qcmj0gv4P/j Uorin53doACEgAqITr/GvgCkBw+BzDfcrrE2ZivQQmgeGGSrXtpp6nlkTGTbaZIUnU7cFMTzSALC IbWVn6Tnu1JpdBGvp4iFpMUj8/AYSLN862KnMJfcf25NfbZjSLhXxL8DraXOLHqePanOXiYJRN6C p/an/vDwORARMIuCodo1a3PEEufukOzJj/kdZnw2jhNly+wCR3UKGIeshDDKJ0gR5YxLEMNUts0/ rrckj6GKROZgWZK1dHeQ79ZCzINZDdNljUChjC5OsLzYwysiW4Ho1NsrjbRaqZfayL/aBcwqU1kJ aHb6h/pJwYX+VdjkVqZ6HoIkL5eTQKGhn3UARYf3ZwIiQD0NKoX9nsSyQ7DN7atKDun1IBiOFEai 3ju1lFHaWbwvpVLyAXsGOLFP5dYDoB3mb4DFvZdGyh+ngkeKJqMC/uQ3twO0/k7HIrFC8Bu38sQw v8BvqPZv1Zzy429+AYNu7zReQrNKJBIFBU/Bj+4iR+TRyZy6vKONS0EarVazM9k8IqNTyXMf0qp3 lBqz0d52E4kSyslfzk8MwwQmSfS6aXTMyNZRqPvb+xOpCkDLM3dr6g1yj8r5XPJlVmBXZYDow2cS FHFIliz6wEdQ8Fm8AKOyCBz91jbYpEpkdbYBHEskLuQA5U7odWdtYFshnhyRP/iY1mSxnMjLUuFn iz0G6vQo1e7ujEOFyqzk02HCTCZM2KjXZFYnJ71xd2gDXNujCXeoL8S8NdeERTejmPIOgLnL6iRi A6GiIeAKQU0SFw5W1dZbfaEg+M3dqi/DXoqZy+LXH+75T1MPbYLLTIiPg45GrZ0rBBwObKItwYCU MuAQgVGURKdMJxzjlAgWUpsqyLHOA27mR09oLR2oGibwftsB+g/koFhK6Fue94vJkCF2d2Ku8wtW cmJwbzF6sUTB+Jf/cTZNwuWQrM8mP86rvQnQA2ngLcI0KKs4EeNdxVGdAg27lUqdCxKBUL8JVBmq hIKqyCewephK2oVrGvA12YHNLsT24fHK+2KWz2ubQiizrtTVrwLQVG7pdKvAbkhII8wjLbPVmCMx DxQpjpvrUFyBYI4wlkQBO66aUSbgG8PwfoWWslSuFlc6yNWi0FDgKHk9HS+dQ6XHDY0gL4Ds4Q7Y xqzkLoR/Xg6odhhMXa1nIqnfjtzG+Mpq41UTZma5yipOwouYLF9Mveq1BIuF9xV2Sg7PRHu8YdRO oVXAXsmTjtncCaru/C9DNfGU6EgTOmjdx2yQKl3t7N1Qt96GnTFXmdeAH9SUds4tgG3jvpX3pF9x rFybiAyVi3AtL3pl8eTM3jG91lxas4gkgr+tOThg+odjrg0ga5M2+BPl0VM87Htd5/r07IGhHxvh VT9I1fMlHv4SL9Kci2HczfYIt0YRe3IbnH5cgchsnxnbT2FoAfZmIm+OJlWYTwHVRUo18TLc0EJL ZaIoaovlHVQInHsgXdQMbhw5y4ok2QzYAivdA408XTexSYOFWAbibuXid2EqnK+IYGBmBgEGWSAw yIN0SG7SBqG+nME7uydk3ODNwItNsdi51ybs+zTGkA6gjWjVbVWaGL+N5/dxMjOPiumZFsLtZneR K/BBhY6k/EfSd4DMwvXB+pELqtiIT9FywkqQcCrQkyRnnBo2eyvr0VzjMVhclUrupRdzBK+xMv4X EDTD7X6Beu7Qsp7lC1SiDLgcUId2+/by/43gbTCRlzcoZvKzsqDN3uuZ9CLErZbDMOgbLONlElin /NPyAgg6FM+FyZhZcfTKc5BGJX00/JKtK84/IY36/ijqDFR/h4XQZ4GmKbnOHNnes3r1vcG5vjN4 X+sSvEwCag0wjCYC9oKvatCQ6Zo2QObwR4ATFc7xfAwDpZkzbFnLpqs5AoSzE1KDvrzF1iWUsqSH IA8hbbL2qZmhhE7bDqyLzL8Xh5leYfWLLLDDNEr7nV+cl88Q7oVmGT6y5aO2AIhbcWNYeUgKJvmq vmb+t/n+OnnxJ/viBb23QGlKbBNyvn2lz3rLKLZtUhcFUrZ1adQduGS+RMdCLcCbElIXJo3pojyG f/wQDW5klRlDd2MkOtofdV2JXkN5dKJIEkYbHJUTUFqsJhM63gknSaNgkVKNYTAcrzV0zpkYcs1S ucMDTl8/6pq4U/zjWT+FBuTlgMMY53XINWHzeO4dHbuUdaTPxp/DJ/8+0d2W/31qjOZD0zbnB178 dVhu6PkP6FOJqC9YGlhyXuvTIssAwppV/Npb/Z0j2Q13kaUNAo2N1clJq05BxKQiNIAVAIZOQ3VM ULY6P52ERA6phiM5IWrkHlgwoU665Gr6ltFC253+s8rdnRuw+m8Y48or0c5nTKIAEw8KYluhRsJR lXQouR5yp4ORH/Agb/hBnoZITsreCOTyT65edpTojSxMdx5kdA+zCqJmire/+uhJR/BlxbPF49F5 q3L2c6bEwBe4kWEq5yRjWabhnc+Reh8soXqwx774iSEMR3jmzn4JRV088b2vzCiBHKdrjwrA/T8u I42XzSEXyRTgo6BkBfkfKqRLh2G8yGdR5NrPWYE7nOTMI+RBXUqgpO/r8G/jXOpnRWHv69WQgoxu g2AhwQybH/d9+mifY5I5pCPzppDegVfHkGY6FFdJ3sWEY88bHKKPh7i/xXVNIl2y0rt4cwepDi6q 9IgavFpgGsq1uJLR7mMET6eT3oOpafHbrt8TWsotOenb8FcXAxWFFVNDzpI46E3L8AzpCxR6+mSg l+wVddbsqHS9MVRmGYiRVCr7WBjQ2j/WqfgT98kjIuXfa+dHryEWHROC9ePTs7vxVyry2NSo6/ip NBORBq0XoOKYbJb6ULWYV40XYjhcNeIlMyANVoYDmAOeV9ffZCUmofiEnYtU0V8W8JKeCjTSZNy+ KImQze/ZkzInKcagJsx6jUfRhVJGBz9TPBSNDNoG1XSGh2zkmiKPIO8oc6A+a+v7Yc7RTwTWt8gK Ll+eexSXsxFzgEnhaeTUnNR3LtM3bxBFW5hZ1q/vgcRHtf3qHVFRVInv+QxKtrwkE/i4gStKR7Bt zCTVQRmru8jkOjXsOAim4JkD07nyJu0hf+NhtsurK+nY96cm95zWW4aycNbcNnENgLK/m2lyHmTE rpWlBLkUHaTgXKs1m6jP1OBvtfa917oaCJBDhTq5iswy8kYEp1iMAlTK9ONQotQCeCPkm/UEBt0Y Szpeh5FX1IaqKkPw5PGHQjZFvTBvDWdQf871iQXMI/ldDKbl2yEkDMGjNsSMHO0ffp64YbY9B4nK 6jfKgeKa9fiGT0ZDdJCRJddnEkSbvbJ2OLUciJmMJ5vLOcQ5yDmNqGV9oNA88AwMjxrt0YfREq0U gDHjgfYSLqaMNJLxGRQRWY6L8RlPxy0Nyhg2chfblc2VRVEcT2l2YbfA02pd3IO45QuT9RwpyYhB 1OUCXd9R+rW/LLEg/gdI/noeSoNnHEuVjjd45KWwr7Gmb4zA7A7Koee0l9wIASmIC1bsd/pn7r02 CAT3ss0JilhTStmBPzsb59sFssqgMlmPE+9lqyWYelbMfzTOh4OW2MfETDaLZYNumZBY26WYL91n ETeB12A8ZA== `protect end_protected
bsd-2-clause
a3b83778b9d992b173e230f5c270aac8
0.939322
1.866074
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/common/rd_pe_ss.vhd
19
28,350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Syf21YU5JnKptD7LOLtaHZM+q1VIhUFTxsmS2r0ofwQ3ushsF40KxXOCQsGAnXjGfc9kVb3Bn0ME 1qO92hlu9w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dY69aEX8OSz52Pib+7B1y1Wvr7162ZPVuHYqEcMQ/oCfJJrpwF+oy+zQI55NVyz5aWKsTxE6uM7J HbTWuphJFeGo7mzwyRD7dy/8IFTp8OHV9aN/fKWepd3R1nKJ/+bdmSsliOOw+inM7pfx0a3YODTn FRAbVAMQuwe+OVuT0dQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q+4W/1zvXVAi9QMds0GLwNMATdnR+yvz4Aqge4tYro137XvQ9NhFGdF/mXOn40o0ijOuTLANSGZq Y1fe5IvAhv/BzIqGLvvBSGadUyLWCe23JTco14xHGh+EcGpkQzSMsD+MtFlsKB5Lh4Pk7Fki+zjY CYS3IH1yrExDySGaxaJ/xIpVmbcDUIB29ts6Ape06rDNuWSEZkqi5ATlUPCMrVpXs0LgVRBipzor Mr/lCisQJrroeVDmbpQGOxCT0USTTIePtqKzCRURmGOM39JzikVR3QvCxX3V9zs6LEiHJnsAr/WX JYHo8e0tsbF+S86/2TJe/j8LJK3VvghHADCdOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jFetHSEk8pl36rsszcvK1lxgvI24/D3eeWIqqx4SgMWK5zMch2RGKDJVjZdo+SXrQZtG4vIfoNJ/ M9NL/crW7IJ+pa4Cb2wH+GD2pA66Yo3aRE1Ld7EknU3x42o8aAXlhcPIjcxq9tmSO5RxnhMKlfjh dMPsoD+Mezyol/EwGPo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Jgq62sziWqkTYcR9/y/ZRFUy8fWL8zR/UZTwiK9JRpmOKe++dsuUuVffmjjAGJoOkGM1fnXZqKj9 LDnUvlqAYGJAQrwT7QRdCNBN9eBMyr6WJUCOkpNRo5aWbRqVpwZihLgqtvesSbzoaKe4eDRdiEe1 xKR9vPyfNmAnPN1pwf+2YDUftVl5x4CmlqRUCO2c3iETzT+xwYzxqYKolk4Qa8DTTYe9PvjYqn2/ dj/jpAwnTcOKUqpa/3FaAU1zgLKWphnnTU+MOfKNP/ow3ZLVrmyiraKTGZlBmdJF18AzYgHb4rrc 8Z8DuRLa762hnT0qbzjf0vtKn06WBHgWqansQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19248) `protect data_block 73fkIQgH0nh0uGpbXVs5xsu4nDUgZgUEznyliPLuneWZg1ZmKjrPY3Wn3oHKnAwT1Xp+IJ7iq4c8 MmJabdVAZu7iLqHjux1JoZxAEFRYCvGFW9znglS7Jj0CLjKYZ/cfFCV7CcAQNj03a8inyUXlMxn+ /ZTdZQam0thxTPmhwS8NqFGOrJ4yXjbRTWC2fL4yj4vQqii4yTuWAf7HeEg6Rr1Kywfs4sA2ji3B MRs6zsZPBaahp/J55BNHFJcbgoNwGqVSj77fj5kZ5kLF+a8cOSm6HzYoLQU07uKTw6tVRa4evgJx z/prLUHR0iCBm6sepQaqHG3VpwpYEQQmLpH5UTZWBwWid55f6gjcqN6nfQfZd+HNobsU1scGk3hF KvCqkZsIUcw/9ZhJCsHof1TYL9lQsvKgNFbCDXSWIvMG0oc9pMhmGNm6qeiMuxJwEn7L7suSc5pB FaM+eEhORjIYtcxEPw8pUOKIhByYmqzgFIt0ykc+YehKBxutEUE4LYBo0eimerxb1v5LvQnyDMj4 KAxfoeWwwWnDQRUC49+GdlRJcqKjO1bPFVkDOa/zwZSFGW4aknUF6n54ZX8E2PFXKC3hOKYNG4ya RV/FjBAPB33EHrz2lCSve+w4h+J56eSA5DGA7y1WBlMk59UQgE1XARyckV1WJB88nyN8W/vQTDRl nsASH5grdkci609nhJSP2ZDz0gxFf4jEqvix5/r3nxiJQCTM0ZLBlisyzyvvBSL6IMBM4x/Ah5Od 4V9reUXXxnSTJ5T5fJdtus8aIV+FnZWz9EXPc8aLOcX3q/LMmPABTH/gM6wI8wUZjtbOfRNxuh4K 5Dl/jPg7JvgUUyLdgMFl7lWMkh/vWm7gq+TxlozfT681pT8zMe3TXmehE23Gm/Lc+Yp4++9a8J2E nzChYradLs+s3Ybshiy9BCrWd2/1h7WC49Hanr7iSCSJ0Ix0tfR0uPWR8pcmVasUcEfaKHyClWt3 Nxi7vFOhiBjglECRLq9jQoQB+EYHtOGjXofjCU0Hia0Zg2aqxaElbJ2X4wW+1z/wNJinvLAkXJ9x ZSvI1HxwQyB8mqfp05Ork3UOEkZhPytzDylvlyOQ1WnaBdv8+0AB18rkRyaSwtQfayyDV9dpDgPK 6s9i5EXkJLUx23nAfe6Fq8xDdTiQ7kkUddJuxe7WSNlSbzNu0+i4intCXkcoMyY3lTi2MQZ6JBiA 7Wqu08On96x9062uUeSZIVOvamgIGLvRJgIp5tvOYby27eILPeGSI/6lKNWLHAObd87l/AvZ6993 pEiZtI0Q9M+d3kqEgpif73Zu3hWEbg4sbX1fpfBTm6coN2ey1t+ryFo3nb/GEXU5ROSbSqIHp2ZM 0lnKPVXFmVwlRwUd02mRAe0NAWyQiUZmTgFWarpC/pjGeHa0MDjC+mpH05YnlMA1WA+7goU+2Rib M43hqrWk1gVbAR46v8gom0jCGD9kU15PNxeFgZCLdGNaNMvyGhac7w6mE/lolgANp7iEEauXgVpr VM2e8XV9Mv6eoddyzVq0FxqZGeVqZVAIcIWk0fRN2OuPcQgS9+EAWU114M4rrMG9g8f3osZodHfU pVKo6S+WfkRkS/tqsfWbxykfNO1wxHS1PmktprkJ1rCHHytwT6aIwNNFVn8A0GOsw67T9yOrLOd1 cVas+T7mUXYdtEbVKbSmsjSkuT8TErTDrqQUB6s23lBnnXRXNoBx+TpU4y+j3KlTFoHN/4qqmg7j JBzEBtFY3LVzKFovKFJ6rYH+g5Hnfh/r6iykPVemB8Fxn/S4vp+l4rpYBPE9tRTeUs7wfPQ8pJF8 cVsbrQkPfjGyv9F4jqx2aYveQzYhjH774BVk58nOa8JjpLonsQC4LeIBG5dEg1nc9d9GiBdWIAyO PE529tlBifTnKVduk3YtiQt3OaA9Pk3Le+9WXJ2kEy5xzrUc5jThSRG7NjuEB84MC6TIyCGZyyXV xYP+QuCqlqrHIIWsA1CSXl2aOPCn10smp3ElTFIu+GA8fEElC/UxgDwhFYurn/ZjZpCTKOJnPTqP j3/wFyD/hk/7qvmnDiKwar1bJVDU/cIC20H1KF9aBuFNrAI+kPWwnuPLhBbZLdyjl8aVecRQelce dt/kY6/3a0W2rx2a3L0LfCf9AeQCI562MKIwb2aBcy0Bj6tEqNZE+K4d+DbwI7YfXSjs5tDqdjr2 1QW6BN5qXAkLFOxfzmpemg9JsnQPXdHwxU4D+x1hLILGhbsETiZexdtLhjWp0WgpW3gCMTVfvKgw WgnUlcfAK534BJdDdauhDDK/R9P00aKU4lClLO7jIvevSJc9aSXd5/t8MlmtPoWDq1Mc2qxw8D+7 XifiScc4R9VHpNOH7V5F4vq+CyPZwHw9ulClDh8c+7Rnb2P84+b62A4GfOegjf3/u3dcoH61Kz9F DmGP+ZmfRn0+Q2lUa4NetaH05cOu2yg1dr0s9gPYWXQFEg/nIsO1nfi0PiAh2fn3d0fWbK6gCOLQ pXJPxEh9/4LVhY9NYu2TG3kv4WralByuPaoVUEhTqZ3stIzG+AVJooZNGaE2GKsRpVxoljpTJN7q RMwVvbi5BZjJoTcOt/Jbn9tOHgc5sbkJSXtj8ZtlGrChVflwdqPa3eWhv6F4D8drFPJYoQchN73l v6bmA9H4bY1LSvHLShV/lds/KVkr8zeGG3vSM1rriXqGZcrp2RqGpeKLbPkZ/1arMUwFVLhlSvcd K7RU1dc6GIRM7XKfbMl7bK6B+yBlGTmwM67RNA3kqtaNFhUqn5uTj765ds//dt9BXIN5ziSj/p8e BR6oxTAEorrRQ5rEoUrzG8re2KoQ4oZl8fDstiaDXJTTcQNlzzMoYmyKJxo7Zzk3CssjBkgTe9Dg tKxRNgFt2oRbEvJMYvj5EiMeUgemY+VDOO3rdj6EzrjKufXf7jMdoNlVuQ4iDE46N2JDpGXUmai1 ztJ7qjwbl4pxpKOuTmhmS9kc4LLPnZmFxXsdCFGuAXFwOhQ+Wo4Z2T5wtk4A3EeIIiArl0d1E8yy 3rcU3B38pROvmavqAHWwqlbBkj0178cOZzRhw89/U/QoYsasOUY2uHZEYZDRgKf1Y0gMk5r3YrZN EGvh1aY2upmhLtItyRVfXnuNN2jYamasqAA1EjLPkzMuKmiWmic1NW/RvyhHZpEKikdhcjdB2P4j yA+vaKr7EHYMoeeovd5fCt5ctL6q0EOVV/V/QGG9aIZSPA3lPKWeZJViECQSh3xvjVkNP0vURmZB vTwfImv4L0KStahGg7CwOhTdOIZqrD/M99+6iB6cNzxfJ/mj6ccq0+jViuN5JF6r6oLnlgn428HN jEvpGEhoQI9oSCEVw2hjgx2HcTL14tGpzhfy/g5lHMRiWaRaSxPcIuR2xXXaqSiLROr+stogy6IR L3gMLgNqKKGyWyfZZtzjNaNCRQF1tK4BSSo9DImYnsesc+2glg54y3mQJMAvCW0ta1c4Q15eQLs3 UaIiMe4Hu94l0bSEgv4OHLKTEJcLBkHI19T+3zhzwu5+/cqcyMG9AlTZm4pvJw1OLciN3hdFc4Xz 2CKrAUktyx9o1+GFnrL0IFc6h3vcclo1gMPsFNIs56CbIFQtRggPVMP/x1w7rK+FUhvxfcdiFMRe J5sNOLya71STlFJo0sFwwW20QXvGBKwYG+lM0ZGaBzyRBLqkJeQnsOtrsB4aHaVoaOCru0gBIAMj tkzpQ06N68qMRFYBJvL1aG9rs0VA/sgt7SXEKbzraHey5P1n3lzmoje10T/GwTj+8Ryg59JyB4qJ 8EwPfpcc3AmZSg8jlSw7QgmQbHPvKawKrQi93bDm/wAgiERIGGDf6HT8KFbk9yYYIKIK8cmDuQSQ OX4fakuwWqM5/BlPcCaSye22DCuirQpx2CeqnQYvzjz0vJBacwNqHxSwA10iMFVKWRSyZUav4ZUI Nd6sfT9IQB9fVVI/qSmyj1PhF6Q+A/Y+Tx+bQhf6YgDYhXuEdmnPviyEi3ZlyUwJ8rckJ8QtObpj iH35Nr86ubwspH19P8e468/5040jEscBLY7Dho+fapvfBlTojWx6/VbwbCsy66XLGVLFSGzYOMsh afKWD+JJM61GJ9hLGJ9u4jpF6BcrG0jmctN6RjZflRFY813XNZY5HkyFryeDimMnWdI4S5kOFgNa xi6HxPHvJwKIaCKKGum3G6J1CE4DlQe3ZbtsZUsexpeW3pfSmMOeqFfUZ4Wp4ZxvrEWm20Yrbj6o COfGi72jQLxnV1dJZQkUKBH8N1K9jCFSZ64TzRO8jRM5pxIdF0I64IruucMq4kwfo2c9b0vrwtml EccQUlJDmRecodKnkuCL0fCQ+V9Or1bNjkSxzuTn7xyg3SRYUC1aG3hjlKh9/Z47SP5iX2gqKwdQ 8H5wjLrrJpOMmAFjHaae5+F0DQe1VfsXDdCy3BAPTPvnzgKB6wP/g2ySQqAYSbI4ZyHU2McxQydf IBjaecNH83tnY3nmrdGMSI+fMjIedgSDYYHbAMJB6tmCvp7qkykPGIie62OZt84Z+uNFTLdLz1qu phZe85Yh0AJ9lOJGC0jL4qyBZaCQpzklyJsIZNKanaXIWDF4nClpKSE1dTGQv/h94PP0SNFex8Gr JGu3jEVKzxPe/OCRS4hYBHKb6c1kyeQ0u/67fIQwct1mddNHOYBJbwHZOMTzCf9bQNXm9fKqHDTD 4KIaxIFC/Q6Urxe/4eb38OOrG71OmMmUf5ENnneUH4zyKrpHJKxTaGZr3CS1+DaXPn8p9PWXUFri ODRYN2VqwjRy4CnLbmScZs3tzzhYgj0ULDV48gnFCwewLeGC5pbB18jBVB+1lhVZg4IHrElQqKT+ Kdl8cRoC66HFhuxeuLj7cCWlRQc9Qm26p64b5MLaPP1v8wJLWCeNRV9rPu3b2NVG3bJbIpYwOv+X iI5nG56J3tM5mOeszSKZ98GQjUz7NANg0V3oTNohAobwgHG6HaQTz05sgKhkVV/txpVGHclTqhKi Ijs4qxO795o120KDEsBEwPY2Wk2xFTpDxVuSW8sblw2D/mFRsDZqafQrNRKrrNTPVaH0rLzItgFb Jj+Rl3kOPj/fCOzL79NhrHPKHJyanipol8twRbLl62gFUFv5IZSr53lOAMLgtMjyftTfZEpUAqvq PMJB/6TVkrXxIKbtqy892B/bZzFCzWfjbzYYsjqzFvAomwdQ8m6iYuwdI6izyJOLB7MX3OwttM2M BR4brdut1/hrq93Wt1UPJ6LMod8k35VHTbEOmR32f9sb7utRQqa2x3B6EtcEOW4BABIpUq/Efbe4 tbKYmPUL3bwW9tGQjHQKJo1JQxunZ/43UnfzFU1gWlfVlnjXCl0Fxs5eQvxAycabNl8TS7sKiow3 oh87CDqNnQOUtBMjEjGNsv/diT3Lu6qgU4N4eHd0Tneo8fyJizhHhNFre4l6zCN8GYdGmJhgeYze fxOWjQXTdPXa3esjOgePjevo7xBK5JrmeBpmQoUmxTMOLUa1dBMvRQQ0hbKWErZ7jM8jLUXcu++a GkfE70BjO/uQ7KynqeI1wVCE3PhVP4nnKuK3IsCL+ZJF6NMzNbqBDto3SlfKcfGcCm0CEyJt8jX6 3N62jdBIzkEE+eidjTLRjAG67+LvqcHXuAWfRevOKxaAdMYpOtvU8e4eiQ8oc2D7NMk6ko+4W7K7 netRGPdHxu5zXp+/Y2mShwijmalsRuvaJ9o07AGtYzCk8E6SYTMtirGSmQrkIY+sewu7Ui/5rbbH dB7TASw40QEdAVy4XrzsTW+XVX0OCf3qXRxh7A7G79xLHKzUAw3WUWyhnku/tJVYJvI8VxcnLOyR xufhPq73z6NxQeYcw//7cX57gapbHjeQEhd16E6BQEtK2UWUOpkl2KR7VtsUeSgxW6GWMt9rSxVF joLa62To4LUPElUaL/ynml2ybfors1S34lNdqbW81KJh+HwEjNRcjQ7e33mLz5xrPisXXPTaEGsj XY5nqET3avhj23SpWNP2fAOGd3A38owjcAGK+2lR/y2HZeUGgWR/yKTxSZ5CXYQkgP+2EOFUuwAM LGCg/VjvUMYeRpZeXbjKV+85zTRK7z2KBQwVsfOmCqLzgiCf+EBDKyDfUOLpyau1GkdpKxd06ZYv mAnXq3knQkUiKhmMSbg8ICUQ0g4/0pORuUU4s4D/aWe+U/fliWYhFp4we3G8qiGXCDJZa0U1fgr8 C4B09Hec5yKxFkdqU+3+VsmhwtTIofrEwun8C2qWTwHl7STBLRR6LvawzAqVGCh923HelsKBtfxY U7ZjCDTdYVgVp95dV9tCd7Q8aBNEK2KyBegDGzcGDzjguvjRTOa3bHaALfRjtHxOajjxLT3/9G5n vElTjI/hBPpz4v/MRYxKWL6yChRwVnMMx8lhjAFiMtOn2PmkUtHtt+SzitsZJR3PcE8pOYyw+G3E WGI0J23BxFlakewNjTdQ/lH00fSnVbwZTVR+SvzT2J9x8rDowh8Phm8Pxri71+2nfLG9cMJ4WAFI xyjI4e23a53RKiFShZ/oPSiKByW3bclOUGTbBJPR910AlXZC95zrsxTJq7XH5IzEYY8hsM2ie6C2 ObbK+dV+fY7azVzzTc0kAtxVKPYOrZ3tTGEeW+iJlF0hS3ImbvthKc8fPaHj+uZ06RQwPeUUlFNu XJpKLiRvgnVQrGaqCTyA9umDKXJF1Fus+owmNJc4ItOWBNpdvIc2RktEOjL7cikUEIONezntI64+ MOSwFAfQDt5LMXBkYIeO9PfQ0fa3rGwbsNyFF5yrvRjDuh8aJVhHDZGZQcHMgLxK0OpAVMb4EFMG uol6nIb3gGIn1ndimBNAUtuHICB6HAiktTz0wNeariamdQccZjzmH39GNT9PfQjQHK/Wc/WghDB5 KxPbFgFbhZZDKs+tPLm2nvqCekWTYbz8Wo2qYLQCg6ml8/JxP4Qx0WYiFsnApUlB6VufXsikhdQJ oxmstG2yFItuzqq6lPa84liVhAJZUAAylqu0XLRrhI6q5+SAWIj2VpzzrjmVJ2GNu9E+z5Eqov3P sh8O96B6jbVFLKLCUWt3TgFFYXvTfknmxvPpqSJoIH98fddOxTqBanuZGPYyvwnpMnry1mkoM5Pp UHZ0F/sqdvB3XPOq2YgnyE+IF1KncLbLHaKT39eFeXV9c5xm8GGheSItWlg4yTiCLlzMaLRTnvYQ l6exqZ+qjpTG9QTqP0VSR/Z3dnQ1XAyJa2Bc6ErpFHjU0r6E7oIsvi7FIBiHOFFSnVCSlYrDIgyi dDePxHgECGM4tPOYSPhpFNwSxSLO/NxGU99wxj5Q8zNFohUyWDOtdUT8v7nrwYxwQgPEYTcnQJkj tAyszcOcx0aUDdt9prpu8kUxc7EUhpaSr9rkt0lbPnM0bRiEJrVsuY+4W41jLh7HeakOe9aqlvAw Z8QhkPhiH6wVEtAMwDT52mSTxxd7M3Uj9ZQGqlsupXY9Fnux8DXeIg1S+AIZGvNkpPnd2gcK+K06 Pm2vv9q1qPDl9d+YoBX2e2cQ+IVBL5ZQoIPK7oMKCtpYWrftgnPemLd8kxjPv9YItMMWQLJGRJBt nNWdSJNUqQY9CbZPGK6txU49uNyVJ/4eoJbKUxeFyEleIykUshiVatlgrqaQi0xJPkelhJmoO+lQ 6p6X7dohyAYVIVJuQGHak8KePOZpAKP858Is6+KHK2SNyeBrmxLXPWlq/ObbjY3MjBb5C/PlFezL MjI21vIVJY847tDXetIrTCDVCsal3XzTPo7d6ebUWnI0bY8mlHvlvdpkqo8Z753PrMwAyWVniGKt 5e2U5UBZtUItbKjNSaf9lWidpYSRdeYAd5IdC1IS8Z/G+BrQPNVmPH2wVvVlBkjgF0Z8Eng+tmS+ zM0xuXLqNIuLLeX8Edwv0w3kgxfDuQDscS8Uylh+d7AmcKaoXBbURCWHib2b0fcZM1xn5NYvODy2 yFUZtYl5jrZCj0yGkC026jUCkNzIieXBli8LiQjS7T/YHIWt8/QPAOuqj8oLmO9WLqhjuzqEdUMU ZNIFshCCWd7yfoj+2a5F86qCkURevcJYbWeAp1OaMIsdSBMb2ceipWPOnXsulndlQtt5bk0/WczV UytRGGiYUdxvV7sNb+9WNkpSdjzTr2594A74JUw+feU9fHXpBqIMhHqvzbYLFTUtsb187zaFr8Rv IvPL7wH6d8U6MezjJfnEynsnFpfeNoE72kOgcbjoLuKikFJCGR/PrsPySAJVGCRgUV1A/gyJP805 EsJWJp8iFfC6+oKDZ5wuDExGBrJEeW6XwDJTdxDU+sbV6Rsr3t/beZvc2VGLTuAZ+1YdVOZ2r/f1 HWlC5vcRiNYoj4ap9IezfiAV/POgRjXxwCwLllma+bsMtgcR3R3xayXM+xJrWVsxUQ3WWkB8t8Nu 6K6ecbENcOPlsTaN+MwUae9je8Ilfr8sV3rZvNHLR3p1vWfjGK80gFG55iEQTrv7+pEMYj4LFWGH TTogJ61y4IfnHspC84QWgH96WvC9tXFNi8ZVhSWiaNJfLiMY9ZlVNBvIisJT+G8iip8wKuWhHqUr sKxDPVt2avPBgLsy19MWSAHWN7ItFZzaB6atwWG59t96c1VfeCwODe3XGvEH1nvnfKWTPfBEUXp5 o3+3NJkT5x3VwweU3GUuy41zaALCTUt8Vd+UgwMV1PrhHdKf1xtSoCAoalpU1VNosQqTDzrW15Gg 98rsMEwcE98hlo8a+U9/u6lJ9Uhiv5mdn2JH8mP62C5HjVN2XhA+hnLFLV6HG6vOPof2QP3Esqij wyS/2GlD9Q6KkFpqkJvRYnWiXtCknVOHVDzPbR5SwcuONZfJYgxYNlfesEOCjXN593XC3jUzzKlj 2u8F2AV8r6RoiHaxSE3z/L0Vr6H5OvEFVRwoAxXv4Zz4rJnf//u4hVnV3KnWcgi6qROkEGhx8WKY 5Vu/pTkicol9o/seAVek6dI3Rbz88dG7NK8VcNQdt1syRhuEdP07mQmdixuHATjGyIxobLfp5Kpl LDSQeZzSBQBNLaqVbDNvtVoaw5gVjHqMaFMIYGddhQRl7J6SGbHqL4mmTQL4/w2m0Squqb7+BHL4 NF6aV8JdofVv+cBBvT9wkukX/cB47XLFKNQT67SXPIhI8DVG0NWFdLv1wcz56vrZlk/nO5YKZI2+ TZRrkMNWBZu440xbHaOwDAo9uMqVqRhZziLMSKQR7ViBDm848QJ3L3FzwnCaq1EbxrJU6L7kTXuH YWKtUJNSPCQoCL1n+rUl4T+wFrmoHvQNS5l2E+L1A8B0FTQ8SUouWeBkhfy/Fnf4fm18JPA98m7l MiIwQgYkzP85fdcfJ4RBECC9tSQA3bMR8TZnR4vIf7p0cUn9nkfQ7k9HBo/Ju8FTvMjQBPwi6J48 wAZkDUp3J7sJIT3epkpYBNI60KYdPrMNKyfsd3gVhS1+p5FHzEwFocwzkoAYoWU45Iyh89cpLtUe aFgc9Hkcw6BcRzJHS1NXDh5uLlBkzoc9yeXi2Z2RKPiPl6dCLqPqUwmq4izs02m7u4rfVDM53xzI 5JmBCJ2apnLgNbTPIWNjzCqDt1vfecQOQkiXngyH6GUv7zEpNJjKbw8u1McuQ2B96HkQtr5bq/SB m29qq5eipjQrqfXgF1G3n0FH+LsiuGnhFCs7G/hI3Ag3r9byQ2OA18orXagXNHuPLRxbVnJRXSv3 Pwxt0AEYBBrePjDfCBRO0CnlW3weEBUCJUfSt4Ydj9QYanH/5f+hrkvb3Vo/YC5bpQx9bg8nB0Qd Bhn7zcEwxOXX+7rcgnkAeZ2A5rERcgYo7EKksI5E1mBkzDiImKkkmYwqFyCCGd7m157Rs6SPQWvT FrUU/9fyIMnMCBVE++scLQ1ZO+k0hYW4XYvcXPmcy8w5fX5mDOu3IJpHQVuWsNTSHS1buW44CUzh H70bJ8v+kLYQLOa6+R5ym0CSvFLrFGTE7axYgCw7dMoxCoc9pkjcugfkWzCvDxuAgo58T+ypUovg IOErTRINhNbHR+U2Kz+m4hbKgMLYwzd0XVjrTW0DziozMlIHbT/uq6RKgrxHSS9IUJfIn9CyLEni M2GFqzrCNE4SQ+LlngWKy/ar5l+aG8forxep8Epl7hPmBh6spx7DF+Nf3XeG69OZ9mADDhFtKzCg UfGRO4aNh4xCMno50Gblb21DwvsyUqKiZROthcIs4SAcpAhJJqDG9P/FzoxBaiYoKFDjfXJzFsBr 5HJtBUJfJ+AvSE9LnSUyRMdXJcnqdQN49jSjG1nsMpfgvplp505ReC31YpSot9o3VlFo3VWF4Cdt 8oRq5jlraW3tkT3YElMILDZmb9UMdTXlycK9W1INkfmzV66NtI/1kIWw3Z/v1f6oqeDL5Ga1AfUM QCupdI/dUa+ULVqtONetr9Wz09uSvIXSxj2ofeTmeVri+iE4DLAsOds+ncRdGP1lOkYl/7YDzubm aEQV2hTEdDIIQAQ1Rq0FKpNFQJIUGnQEYx71cN7MlO1CpfoujOYpj5cUVsFAfb+e4+Z0h6rXflhL IQGPohBD448I1Vd7OozHNTbnyK3KgSmAl1byLuLXu7hdtIqBd0rnlrZ1vMbrGDWVeAQF9vYLqjku v3EnPTpjI/Jb55aVrpFZE+Fx3Ju4SKp66HZGFaogHlYRBPowR4US5bt2D6AG0Lq+FIrS0yiJoDiB i8JCTex4YYYAvq2cw/HGagADpk4HsxdpNduagkW+dsQZGYSEVQ20QXJ2xizZUOmQ+ojAKl1QuYvo xBHluXlh0e4ETYhTapWaEzZw8tTgbzmpTsV3nZQH21El0KZ0AtSzB3VUcFdvZvy9yXNDLEjGFZey cgpCYYyuYpQb01O+ugOE5DQ7/pRlbMu1L/d3P71jGI468BWuHVYvNqo5PcXI07mcOpeQ6pz7Q3U8 qzeomHMjt0+52RcTOIvJ5dNrUYeOiISucGJqHREb+Wl6MTQ/uGvW0+0M3eQlB2xWXGxUVjH5Jxb4 ap1O7esDq9oK0U9hjmKFvlQ484JBCNL3w9/CDnRIdq+4VCWrG1n/JT7y9Ba5ZB4LEDAPjYJEEuZi dQZ4OMT2nnOSouLYz+lhR97C3tWelYwNYUzLjZ7QxJP6vSt9w6uTP2T0UpiPDxHn6crjMaAvY+ti U6a9KY1aOLpqw4tMO88GKosc4nCDsz46XEDpPFhJywDONbi5cZLwrPoaPLciyaCwqVzYrbNMa9T/ kD+6SSn64hb+voPzk91oWZdyciwPOpOPq5HQUXdsvBiZWuRf3Fqjh2pPPZ7dQ+H5m33KyChqOy4u UWRWGFCm25MbqxelGrCUw+tQulRDaL0T2pXh5gX91iu9dRH9pJpn6hbMon240UaXjsvTTM1iGc52 AkJIKmtw7AqN8Gz26N/A/9xDBSdXu1gsZCQWeTkYICcdT5MsSyKooeZ5fC/xZV9ECNIOrVftLe62 A2HT/NtvOS6jPwHkKP0h7nc5g1s1shnBM5nTZdLmfZBCWiyX0mWD7KWwl+sihqjemCyNoJKawE06 LDAop/LY/jemaQK7f2NoC8e9xHD2ubW/vpbsV8jckTkMKk7KftkwEu5xz4Ila39/jC1s3YI9iJWr 31RttYGNRd93FxifYjyeQ0mXXEi2nF+/dgKn0m2RnNGNDWbUIAZxK7cFQ+wPig4a6mQSCvGOtmkO YTlML0D8wBZIGhgmDQdVq3k89HkxhuBu/eqih17+AMsvsYZLfa29hTpML5tm6xuEJ+dYn5gEZBTZ KL/YZeErbveEUA7swM2y/mjRRf6clScgL+yDZaYwCPbUxEElvrl8kqhGONI52MA5wV1UW4KnISuh vtQe+DZHM0iA+wPArAflXOmtcpvPdNPI09k2U5YfobXc504651V9OW52KEVBPj03Gr7Qi3AesiMK 3mgjOOL2doD6Uu6m4X2fQoNsVnqZdwoY/ZN52ZXG0VbFHD9MnY7DkRm8ji2w7F6muyX7y8lyVWUM U0JZqs+ACJqk8H74u37lH6LSDWDErticXgsXnr3mTS6nKeo5ob4on2T4N+sKY8SopKcPcrM2O0iX K8o5EtHeRVFuiIRDjv0+IYcex8yMEJKZT67Tt74e/E5HbCOBRL/G5XiTBNxbLKN2Z4Ee+0Er3ED1 BFKeS8WnFMjKby8qTo26n7JOhbxE6AK+pU+uFn+oks6RYEcLxYR2YiMlgtu9nAT1uNeOL9wBqbSC LjM35vVbw0Ljw3kq2DfCjvqZZfgNkAFqB1Ny/0Q9jJ/6UiqfPl3lsZh17X4V/tBIfH3WL7ioQRn8 bq1HpZz/b4JQvpfxiVtpEUwFp56+YGpGq3CrdLl3RWykMH1N4yT94g6mkothT5kCJkzinue2sxj0 XzWFf3hUTRi/4Gwi2SZUvcde8+EFOOGCvlZUIilpuqnr60j21ZKUNML6voDKJqPhm3+FgCWVxppK 9ET1o35Fk+JDS+CYhlZCEr5XtJLfwyQkkZ7KJ9Q7u7RsTzTEcOsVzVZydl/qM7e4Zsj8/9FKZVnI Al/cgex6ExT1lyure0YkXWbRwBVDi7+JDnN0hvSP8kncTI/XTwGSBzjlUjESZiP1I8Uy3TjTtJTB 5sGfke1WPegTOzz5AMoJbL0U6Zj0HsvcRw7l9LrJKcGZhJLQ+1De8HP/Z7TUx6jHMAUoFrpT9vAt pDo4aovjkF7vCWsUKCmeUFDE64CjD+3gDbf2/b+KsinnXYY2HsW7xSk/5ewXi6SEShujzrpDgqX+ fNv1YOz/wtgteOvtAOjU8nak6snptQ2dBU/yy6/PM0TtZj2giDUNTqgHH8J10nBy65oXZYDpGnuB qlo642NqecU+3x0vyedQqJxDxGGr0B1BySGIqWP6F0Uxkj62fuUwhnKwXfU4ziM2BKtJQ4hgi7lP 9Fm2ERdz5YZzxYJCwvOOBHBp9GU7xo7QoqndVhg6H+L4B67AopJUvmCEvVXJbS9jFSD2Jn2VY9l5 GTgQlJs4VFlK2JLbCBbRuasxP4sTEtNDD3vsSwBRZYcdFF7y5ZW9/I2oPzArizvXkxPESmh/UaOU bNVGBdbbEkUr58EQ3xsuqoGsc6PMYy4y+7XOIUno8aTAWuV8Swhh1u4XMHRSpndmn2YtsQbIRY+Y pf4vgfA7KhCwSqhVFYSnqMO72OjehsJLmqR6Zau+k0quk23pqMOvY+u4kcfurmXI+qzHYlaRWHDc 2Z1jHUdFxDP3Bn5J78YCiig0q6z+8Zwl5GyGoy1ljEzDh8z1CUSPjSbToOgOVCdbOBH0psswdydZ 1hjQYEBvfXZlJoB62AMRZ8ry9vR4fwPwZxg+bzjbVeF6QLTZNXEfnhUAPm2OmTUWmq0ZNbNsXrem 0y0YCJGgyjZ86L1VjgDGfICDng16KqtxAprGj6CAZjjpWBXkvlU2x3DT1wD9i6uv21QN1IDkDizT cVROFt00yw3n18F+keNLGc1rxgv6urbAxToIQuFRNPnMQf2EeH94j8r6aFV0unvQbwZaRPNaufOP qsbLhEMRrHl5sMfDhMwV78IprCJCFWtX6vMmT6kLGyLr3cYxoJB5nWLPCA84HWMDaBNaEAYzZG+M 4dnG+e+OKQP0r1UGnEmyyj7csrschd9jDYSjQ+H0XWHGmHicELxo2K6rEDMlS/dmiUvrSzSG0g3m jx0SZyYuEP/LX+Yf3QyKh4XoWr4vDYJk9DTJ9UCpPGhWwaDVChI44ZnJ9sM9yuwmpzaYzIxEyATi Li/5ZE8UcFgXIUJ0Rg7Tsz8zTz+sjFAe6wsyrVm+LMZhHpdLVRp2/gYPRmra6gtcc5t12r87RvBs cMNMxB5nC6D73j/2+TjwuaYA08lwcu34uvez99/MJua+17G4/+TGy8ZZSfdfxvrJI2OwcRRNGTJK Twfg/TvU6Bba1XA3XMPNu1+qPmX/EXKAwTFW6dzQ5qTfhZDoPXPpAg3hbPJJHqvsqtXpbIK950oH 42qpntBntLE+HfSlqTgQ1I1UZm5WMe8naUOLBskooj9PplRWTAJOnnu+MFSM3684YJbb99BBzVuJ Nb68x582xg+xt8aM2ce+cKc7rVBQn4zrcC5Qpq3ymd0iSyO+fsEicfeseRNWzhzHkI33ff9ZxC/k cphrme/X5agy5aIAx5NkpF9sgTs6AHEcrpPx8yIhX18fpYc8JTbvDeZkCtwJurbfpoQ+AtMShL/e 1+qq3gbxw1aeVN5SN05i5GzATogk2PDMrHFbTcwZvUnTkHEne/X+Dcz3AVINmb+fqXx3V+nGbq0G +r4FjqARA+ewCJ7ZpDkKUQzzXxhYcQSdS3GHJoxPAfgqo2kFuwhLXY5gTa7IQK61b9jJR3l/BPal U9G7NnIGMeRgskBAGoUqhiy6zQsCb7e7wzs8az+FVph1U9/JKDPn82e7SjsM+2GG3Q80rpe/ysCQ cm1bEG8H0N6sXJJzU/Yh5xZnslAehllIe5rzLVjPk4TxqZUeD7S9GzoJhbjrtZsNwfxBuXgUoES6 WoBxTPrs2GQ3zfczYneXKxk05zDBtAKro+h72cLWIpVl9PxDSslqkXt1oVKg4yOk8X07CqJy9tOD RYelPWDd16lgMICyH1HJXubO/JVBdQT5zpiLiDpf1/veV6gUbwwVtV5hdcAh86eu4iRmhbldoKdj iNWQqqobjcmVBO9w4TOI/l2Tx2Ggu2asyIDTLGuAUoLA953riXTNMU4yUDL3kXHWLkfKsQ/vr75g SwKKEoXgyHbZvY0YfIRpup+ssGW7YfS66kmzrrSCHeoEv0Xh+jlc0JpOa4kFbkeYsPFHxqUrxZFW WPbB9bGWeTc/Dj+7/BXHCRvr6k3WHfl59bWBx1Ks6pUk0Hh+cEHmjWvIwQQF1UBabxrz59lUMsO+ 2ga8SRZBYtNWXdnIxkbcixrpZNiKnhVB9SLsEUG9jQXngjMDCDUBvqa9TTISi6t7a4KGgX8uNfcH SljhJD6DQ1edAJ5VEqGYxZ4pIWrTts+ZnnTc2a8TBmgHg2JTGWMMs8PthyRjSVoJNx5g3QOyOJ8n 9xc0OJoEjnWmQuGkMMAN9qJcns+Ei2OQ7u+81Tzkqblafd3hVj3rPf+5J/be0Fj0jTIHFYk/bHcs NfH/mK9bLwm4E2B++MHLx1D3CIelRnMaKpMuJGs9agErLMHKCSrBCm3cEVYRonKgFGu/huoMWqSL AAVbVOyf4Xxz9mlFGoIhS171xDJBU/IoJUd+2ZEAuT8y1n4IzBXJgNPOgYAAc5G47Dvv9zS6W6dn i7ulg+07ul03pVPfaH4uDD3L2B3pL93/IR2FGNymmMKLqN8Do2GIxzGIUgHVw1x/FVZiFwgLIsff OKws1OOZLTJsezFcUBeQoW9wNDWmOM2anw86L/vN84QVD4qTUTNW3DdTLO+jI66BHu7ozuN9Srki 8YZkkjjAjwbqBsOBIpT/CVFizwHJCIY4lRWf1iGNf7VbSz80Byldr+SeVdqabWQ4M0od5qmtTqzU /+4OieJpV/Pj11IBMH3hm3/XUH24kezvILLbjA8AzJf7E/fvZ2amhz54Fc07Gd8t2EAjz6veBnY0 WVvnz9HfwfS0olcCgcCLFHMkQdblOYDT5aF1VivxPL7hxkf0uK/RGEQYaiN3DaA+eoFKj0bxWHHe rGCRnQMG4V68PmB6fLf4d75Lmax4G6PYTP8vNnzuzKwiEtd69u6+noErvtdAIlymsGd/4LTpQJAf wHJe4dbJTmqibd+qKq8q7y0iXExu2DxnN6YZiKvfitM0jnmSitleNhwM7ff+NPrhVZ14TZvvofKZ yPOgsnD1ZT0TJmnmbElhyQGr268C9WWUWQVBcK+bfNnAeBteJB6Ihsv4qhoPviauPVMcPml/hJKG TK40+WuQyoTZdkdiwURMVlWLMbLkywJ2BQm70a7sRt+iI4mOA6DRgIevJ9rh2VJyVSC4+8vhljmE Gupi8r5iyvLnRAzr3j2kYbwWgtbV5euIp3bTTJuY35sXnd8dhvFSUQ6eyqRONrhbCB28Swv7qrmg iFQJHqG+r+9w+4tz+B9tW6bPZJb8se+LVDASnTSdeK9Ezj8kY2Nuv359bn4/qcMMXnvN6SwsYCCa qBmUiURerqnZArCRUfmWxv6akiWKFB/xAkLksjURsVV8H2GnIuKTr87R1Y6n1iBSoErVZIz7jXhm o1xhgjfnoMqgNpvEYe06P0b1y8f6+glY/SF7LSIOYM3cjq2hGIwZdZPI9LIrGqhwfboWNjcSppGE uN7TEgbzO6lmxHcuZK+MavRZb2p2QM/XgHavZXIuft5fqdTDAUqHuPU8hi3h6GoIXVl3NnvZ4QqL RGlirpKbKPWvL2EbKXgIS7aXMw3/4BsXl5gu5okYkyBSnAacafvS6XFw4qj2LiEfdRqPBK+cS9r6 eK3cZ1iaxzse/l96D33io6bQgMAI7YqMhcCgtumjTgnk4DbR6YBZYupLBYqnvJKtBnsRI17eIJ15 2uhi4gfbmCW7kKlWkaTxugM7aBVFQT7dIW2s7lISdE2LPTVIuIrDWeGcN79oBEgOnY6HS92Znr8P WeIGmA+Ggqns4HbRVjwja+oytzjUwuDS/kKP/eWfxcauh/hpduBKSq6s5qpRTyTjsdRAYVyBIQnZ kN+1JMsEXD1EGoebh5M+B/ZdSV41ux18AEp9Ijz58RwxiVFNKfK5FcuWz/l75FT7WzLK6Itw9NL1 xMwDFNmVFWA/YDqN8v5TKXWQgIACs7BRQDZ/3ZOdAc8SIbLtXNRyewh0+JkyOQYk6V2Lm5BYmOTS 06PIppf4rNLILeDXPJ2zCCUtkwGb3bS1p8PEVV+VwGVuyySJaBheWMcb21vDWXTcnZ36iD8326V8 6aH6ww2bujW3GavSe1rOq6ok7ksUhP3NUceC3TLhqVgqwdo0TwP/yILLnoH6+AyXT6NVGbVcdN0G setlCeyhq0+l7O2UinOsxDQiztiWT0rF+FGIGI7PWVF1rYWcsWJ6vcH9ksEaTK+oimMVayuwSfZQ 5kaoDmfglXW4w+qJQ5oK6EhMqVhkTJ7Oq6MIRP/0CfGozdX9gLLLJaLFuKppxAx5pF9aW6lorz6w gpgdc9tGJ2/lmM4iTY1d1ctIjb1mc1rRBGuE9utQc6NCf0SqPM6rSEFZGrNUhmIapwR2i9+1e7qQ sEgoz6oyTkNqHOkXFq5ehuoigoqr5fW998Ek0eS3nRzIpdzA7b0saQiwX/ykJ688FTBqG0aYsuxw E2Mv6Uzk+GW79oTiNpiLgR3tK5JyBcNvaeo97U3kchKSgTJZAj1Xd97mojP7wFgYLNkvIDlDaXF8 T7EHpa9NR8ByUT/xtLUfnOBwagLPVHfZnIsSBvtijPuTSJLgSa5zHUZ8Q93oQeIsBhQWaSv3h5Rg W6zbSknbNRBsISw9uqwUaknh7oYt8V8dC7Ln+p41nQZnjEfCGPLEh0TVRXYL1gb41TfsCIz5dQjC 35S6rbxcGSz09eP1wISK/ZC+2yCu1qMUNioL/5KhhO5jkumZFrkVp8cMxaPMDjKyBqJGIwzOLv9k CVp2nZoxVtGV3/UyBXyjfr/nPV9bgn2p5XGyyp67/JUa0Dg4XXTMVcAeVNo/3XHrRk6xQUnwv7+c Xh63ww4DTZwiHrnp3iZWHaGt5aFDBmfa7UuDRjK5b2SYYrvBYI2AEdj2kkiv1RmPVo2UN4MOnwq8 8Xw23fwlpdaFmIF9WGY123yneFQ0vV30TzgMHvguCYPxz9ebRX167uCGux2XctZtffq0kMEbQ3Nw K5rejE7uqgLI0RZpzQUO5mWWGVKPnYQJHE7oAw+7LIUE6n7FlFQdlyZwD1JgrVWyj3DMDmfCMaGe AzjqkYCz5X8VIE6C8Iup7FFooza2a311+jhIwnxgy2uZ5sMpiNgBUMtK4aD+J6gUm5REBw5Qz5On G8iR0dN7UBdb4RPlbhCRbuUiN4jiDLS8W8aZh3wAeNrQPZj9Kr4z1vL8piBeUTt+28MI27Xft9Op 5apcl6AmB4xTM18RK67Wfku5T2zLJPcywawa1V71c57Q/ld4cU5ZLKkM45iVFzJPtrHLEyU2YulL Sjear+PE3A2o2sgLUwTlzjb96QsbcZzaeGU+/vqI6S1w3YFE8cFrKK2PCexlpqCQCTzrWJb6knJC N3RSdWUd4lwCPKlB2oJvg7Poo5GZH3bV0FWYDhp0YFcw1STrD9SbpzY64omiDVJdUa4gmEkkUE7E ZamHvjwgEAgWbSr60/OJDO/ySTy5/tbgaInw8VOGzqeMzGzdkj2oWlW9nWh1+b86SkfcVIxh4vh1 umJZtI6ygFJXcytR81ku+3vg4Vb88yIhi2N+JH47OA6g98iXqLRTXoK5sUAI8Ltb1vXujHpvmuFB en71JJdcusxU23hkAr4F7z/1ma0rzqKUvqGQ0JwJ5puAuY/biB5MfvRmSGIUtLAie35V8M+SlUZd u1DToQeVmtSHYORy8KAm3+bqDv4UogOqvjxiKVO8rKxSKkUYfuAmvhOppWTj0u8sh5M7Pgbg0haa OTfXf6VAUl/TJsFZ87+h6FtDqlSa4nHL3Y9Jb7znbPqvVihgoLu40Bwv3qe8FzkoIbDc89emLOiR C9R2MI0qPPIOhS5mlXwzT2kIvBD9nDJsv2DommwhzOxrpV/u9Dw98Mb9qpMXX/b7Zw4bSqM8lvvG rGpKaC2WMMGsbiEwroSQJyzC3ACMqssBiH88d8ZF//zHQvD1fq7ZqtQTmqF2G2V8X1Ql8lMTk4r0 W0TaPqzO2o3MVkr5LvReRKuCa0atrg1WVswLt+UqcUpslacTENXHt4Fn/8Fl1qqVHFvanHJtcSWj 54TcMGURRNldwY7AQykYyd9oNrMYOwb3OtqFZ4y1Q4Qp1rSIdUIIitdGUXkgHwGfKn4xqFWX1g+X fLxB7u4tvJmxS7UGLfvGui9uI2/ai6n+2VVUO5+72RNennK8ltqZyf+4mEux3fexMoEpwWRIN6zy ufXtJPlXL7/sWtbLumhOYJMwjClaVjIOSFhsYovJGSq5/5Hz0TBCqhoULdZRFz3JYrtWHVbQ/7CK qBqXgSREDgO0d2GlYX+1GeOY1lFskSdJ1yGF04Oyyrd5BRJhUOh4trjNCCgqULS6A++AUIY48ii7 q9eD/UT8APSlcjM8jx2atRBhvPu5Oc/rJ4xpaOh4YbdhC6TNSn/k2xO5uqpf+K6nLSA5xT14YCBB +NE1/G63J9y3sZsaqVT2pNBh4+ZTBdWs4vLEqY+ElZFZ49YHk8H6ZSPmDE2DwPzcDt9QQc7koMrN pQ3YhDgBIhdOW415lTKnPs14bOTot89I/pzxc2lWKbqUpAPCKuN7HZJboCPRf/AC5FWi4iwL6qK+ JvO2q9YCnUzwBY1QRFyUdWMrHjtj/ILGtv+KRE9qwe+VMZReegApYFR5QtbIDbdWXn/I1uTHquYW v80cOfRzA+taLPMZYInNpt3OZL7LeUTOBt7HDv+Kvmc1sTBPMXkPslxqxcO8ec3/rcnYSNj/JIxF wIye3+jdXkOru+j8W9pIKeriayinp4XaOWnyfT3keVCYb597SUKcmiFUI4ldlQPxqOq1tnSR5IYW 78RdzRoiclE0w6URAtw16NXSY+Rb3C5HPCH9NQ6dh39uBPtFXmI7Qe4mAUCLPJQ+1rhutjxTHhQl qBPYI1V7slLRn8lUWSjnCZEAKiAHw/98c1MVfaVv3qtW+9tqf6SvwJxJkK6CsqO2hjKMaSRVS5DN zEi8KHzsxveAKOoVK09ywgakzPNg7PTxpcZAbpCb/du+Q9EMe9y5vTRMX5LpmAgfKz6qXcOio8rf 139wxbGmCQItRPyEunoJA2tmJs4sHQPwHeIDbOCKJwg7xoBPvN6LPAe7PCis6B/EqmjAMeYZsRto /6izu2/4oja3tyHTn9CYR8OQGN29Kwm4/2wuzAqoktO1eLjU4RDrBYKJvH2psbek5Kb9GUQn1BJA N37ZQuCeiaQJPriyQvwBw+NGG5/wWjYVE39jqmqTBMpqIYS+BKZpWLwSGbgNj0ETIdRf1GZDPgZi X6e+mWNI/WzyivOFF9IS7vhU9kMsBAegnIA4yJax1b3hBeXRWmx0w84KyONtq2y1yO/us1spUHrV Ba1Vine9CZTOfPU06xN0MuXYSDX+SU/QmYiZxeW6qY/9gwRyF7r0dQaOAVsUWEuRjN9/IW+WRrNA grWeEN22z2TTpJ7OU2uFC2yeq4uTtrcqJI+2FvoN2TTR9fG+2OhUhAHmp5x7IeqwQZpKLd5T8bQm w+aY0KV4KO4wu5188C2aYbycy2tnNlmNPMmWHaPSud0V+4XAyM6cxVCEeyYnzmKmhvLViE3//aOE nqZH9Id35c1Fzn9ZQeuIA5FWe/h64Ol810IhaTqIbWgeJW7gRWvtx/z9PzeVgJYG4FJ75en/WXo0 GkXcb9DrQgSZJAWMp87sFLPVKGaD/IgiPtKKUfQq5pKb1FmHqh8vhB1Tn2uvS9QxZ8E9xUvCUPNu m60L364mW9Np0OIqtsPCWY1nPLSR47j0OfrgmluwHtuHpyLe5+jN7Z+bktaF3X+Dvbjm2nIYRWbG ERZ7ABLaxCFb7IvraJarUjfOIekXCb+t/wQjNFwP+iS8037TFCLhyxmFRQXd1FFD1kXe102pfzVO +nPQvSlDsmTl4sFEupwAWDYwbO9OqIbnTB+G4XoWNwb0q0UG7dP5WcnblrjXwuPFCj/TuwXQWvbJ S+EVeuDQTN/ReywPvVmQ8C+APAinofwsgqhGr6IYT+YTca351l7UIy57Sjm5t8K3YNaDEbbCJFTo Cvzt6M8qpgSk+uK+OjtNDyw30pAHzEe4ERDr51uvQPbWJ/JU7ZQodnpmkPCPZFgbfumQaDCCzpki wN/E5CXfkZEVijR/V0vAPW1KV3Uek4opeTYGaFVz5lqa1ncSGSYPYMQCnC55PwHD2DMtIU66IloL Q5BgKFDzYdJPK1vajxFCBGbr2o5r0sg/J/fA8K588zJ+Sv3w8O+SHrYXEyWLATUe6JgrgmXJAiCF SEMjN/2Tax81zF0M+C1K+nAI7Fl4u+YuVJb/e7luyIOukwBBXPBcsemsNTZagb24fjrXo5gCW0hO 71JTTNLzxZdKQcGxGU8zvkNTPh2qt8yNrbnZb7rwwsdBscEqx0P7RThTxvi/jRb66/GvlBzloxHH /HS+JaRQwjSbc3fX/B4N+6eE1VNlwL+WUpxHU5GrhcxvE/fnYsnT/ZW1Tcbu87Y9fqHzSDVXLPo0 PTBjbwZsPBy6/OKjDdgM28JzsUASGx+4kXGJ8M5yS3GmIJu10/oLI+mnrGTen+2YPuMf2/XB05SD oc+dAE1eY6SZXZFred++OHaPShOJpa95N+HQBGduiarKSoA2r5vu12fOA2x2vpvDvBeSSkxYYz17 RchObiSnd/elPF4Bd1D+NffS1ECN26GNQ/teRpRiCEygTKYsiwVMAd8z4OLBcH94bCMkmdseLhWF H4SrZ54Ht8Y2yUGxlff/aCoRCGEiGqGtSpZGKdtvzq9xHiWCKcOnUiuYSgxVR58z0nkB/D40LjSG zdR3gHQLBf67fQjHQzAcVjhJ9OJ2d/aBZ7JWF54BYXu43J3cY130xadvl26j1wA0rWLX+xG5P46t SGHFNqPc3jYUe43vEFi+QL1dN1AwjiwwUvk+E6DAEhOW/dxGWi3ytNdOharY0+sbKebp4ir2qHyC KAzR2SeDxGPLBIRft5wAE1R3zXJWK0PKxgFMrqtL12nATJ9BXv6w9/BqT53WR2X/u1j2lI+a3Bpm wnIxeWg55wa2NW2GR9zT+4Qdw0aKWPsSF2bEyEgFTWXyeuNN+PFwUekrqa+JzR0E8ek5xdVIS/cF 50aW9vBvOTZ0pQT3au4Xj3mLx4b5E2wfAPaO9VD/MbcYjtPHPvEMlLLnvIiH0P8bUzK4gTr3hcw/ sCmN9NaNTkXxEXSkYl9fVaTQ5IDDHqM7z2a02wL8qr/dZsurpU2pHLqKnPF1WQSK5jByZddLlYSk pT8iWinD497XigNTyhbAhrH8PWnKonCdFJar9zGA+QvSwbdnum435WYAiHrONmANvxVLw7YsTPyJ HS+ISX88xtWOwQHRhvZ+5xRHlT1/TWsC743LmXLJcVa0LxXji8gWX3Esyjixq3+llENrGaaEd3at LbGW1w29wMSCOyB9t3dDf0Q6SiDLIquQbTwucbq/G0ryOX68+NoD+1Ip4PkvObEVhtIGjc3KawQL 1jEZFFyFfe3e4ZMPn2L0k5tqDLHbmBvzPvnXe/RBTpwwgOxP478tB5TGsToPot1bGEbrF83oInP9 M+aVqKsRoMbVKGxH2BcUP2MeQv76GRCtBbWXEs3Rk16W40sM8vT5kKj3IOEkmmkHrq7lWt5VVZGh NP7+4KwqTga0axoClBNA3dqBZA2M3dZnTQx+Lje02k8wzqv1nSThNwC9iBAVVxr27ZlwLWfnMcs8 mIZs2bHP2+ZUMcmXvC8v8js/g+EBaYlSnBQSJEw2obFkResz4zn9kTANIdLvjd4Q+K8UamSvNpjv qU0uCFyTvoD/bgGhKGniNoAosq1/IB/JIQMFFNOHWOwHR2UbqrSwqT6DIU0B8lyyl/6kuElQiFq6 9fPhY8qeu0fnonpsZzqBSK1dmuigY1pgDtk9PKsl6VxntM6oB/hwl2xL4A20M0Eg7mTQPGSesw+B DGcW9gORXVUro2EZLaLbh4XsGId2NGxWGO005w+wNdob26aqPqsUIZYFuNk6OSTVQzbzUOq60DwO U8BXpgAArUWRMKKOtynm3TYIBsVhNztg2pjsGgOr1JaelqK5Ic1ZtHOik0l7W5g105qB3k2oxtJ8 7GN2vTAFNqxe9PxyUSUQ+3qlRiDqfzxIBl0RJbQk7EHhhAV9viDCGpKrEkiiPRjjuve6c7eROAVf 1zm77BfRQxxMZAex/5HUI0/BlNZlIzabrA/+e3/PLcmWj3g03mYM1Bwvg3bg9rWEbbYF/TD9FEks KpHcCNT+O12uDCq1GfYjzx5ORZcj8c8NfNqTOR5EZgNaEiFFOeFBBKuid7AfVklaQFO+Oomzikzb 4v5HBIZ0F+7l7LBB+swkjk6LDegnY1195vNtjzHU/4TeL/cm7kGLco6XIwNYQFTEb/qBwQl76GH5 GH9ewd9q0QCw2Zs8WgCcn+P1+XRj3fTJPnJiPskrp5Ycn2SeT9s+ElnUw5VQlrsfWuNw5r2rl2oa fH2iPUQR+mGBm9vxFajtf7WOkmwq739OvKmXPFGxf8tUkLRhaPXg2NkiaICthJ5V/OCKtsKw3kmP FglNVI1BhKALeeD00tIL7xUA5fpVLN/RiizTgsI0Jqvcsm8L7+lUuLGlfgAMKrTVD3KDR15V37ot uYZmlDGplTVTwgPCiJXNtU8w2vzyra5vj37Ku18xSa1kA8p8qugWqS94mfNXDiWLKCpdbkfY8iVk 5tCNBBHgCvpyjEexQlGGlUaLmDX24j/L1MRdCGXfhgzHYevw/cZJXVJ1W++F88GsSOahyhdmMPMh XVn4kjgNkC6FglD+AKGUP+8c9Y7QGtLUyKgR5M1Nfs2dbP6bdt/Vu4gVEuJbTy67TN4iIox8eSTz vVCE5gTfpsFmgu/8Sw8oBaR2fOYC9X9muHS+ETsMzTvx0qyYs4D5L/o5azlcBPiBjoVC97ti9tIh 4NfjPTY0a3TaXTKBQUhO3wSGoISqLxNoA7pkEtrKvJjSIjDeAKeNvjoLXFGR/AcO+2aH/gwe64Bv 09TJYxlSN38rByoJmDYD7pdiWRfz4Vb0ild80VagfQCYwoA+2gg5sj8vJY6d6Npj8HHSNhV/zr8F hWdX0ADxgfkFb5jI+ZWYV7wmfUwZprQGZ5D/15bc1y7n9ySckEKp7FD3KqLmIDnjVxRdMUidglVg KXX2K3+9Cq0sNAqLWtao6KUuBU2gNPjdKn5eDDEMbiqOC1jFR92tIWSDQmGjBd4lAl0Enz7zKNwM 5CoE6LD9zw64+RAuwFxlsvliwgZRINctXnnDl+/Ma2mtBISVQVzrArlSaBYPjjj/m0uPc7uWptX5 3B0iR8B8J6x58A7OEr9Abdm1w+KNkEGfOo1lK2xFnWGNk/DCsULLOLPsrfytsAb4lLKop+/kS1z0 kJjJO8tPcWRJO5bWjyhdnt3167rD11cMjBbx+sUje0SaRvb+7Jw9DxwZgVHWF2YGY1jWGqaP7KBw skED5q3viX9mlrL5BUbP8qRXo6BeYRyxmNMfoT4Ti7y17wf2pvu4a/5ju+trLqXh5tz+kaJlO/EM KiHNf6KAXdvRFP5V3kjBlY6KGHv5EAL0+Hq+WTo6uYAGY1Oz1r8iiyZl64P6XsVJNJzEGmsqRMO+ Y1o6lUTc3czoRzeBrVqolfL8dUFNIUAsik8MX9mNrmh51CYxiqyCXICYdugUKnZZBFhmVKZluat7 ja47U/5VcLSjpNh7yxpu9MGGLLtimLEwmeC4KMf+eTvXilTBfgOqh6m7xTyi9WmHEt/2ywcuUPGE cKoBtv08kx7+cVGLD0+GYfOVfp1EmyYJl80hznOePIA8CwxSezzXkwgtJg/74ZsRalnF0U0Gikv1 v7gel6i1O9REJwwyMvOREqZXYxv1Jfp70O3lQhXm/Ie0UUMIkRlf3i+PBwL69JykZL6PkrOxdCzB Y8Eb+AgL2cYhDR2WPC/lCQlGIfizJwXcYyyUBZKKrcmbX6X5FA2MIbkUHO6LER3j3a3w5TqriSAX dgl7J+BNHLIXnKVRP6I9z1PXhm8I5hjijHsMIEUxkKF+18x/mDay/56d1mdaZojfQYvXYjXKPJR4 QBwtjRp7pJpbBE1K1+p5iFlPcrqG+etwK4EHYlA3+KBfH736VxcQbE4j7OQCvLPjpvPxkgkvZ+rZ nVODZ2N4uvyzCiJ3Y/jJAs7A0Q4Lr3zPptAK52rBkipNz01tj8xOpQyf8SL3wPWn6kaMQD8QPCUN aNxUGwcwFW17unANppNS/ECvlexcef3mk6orMa2cdiTa2LVYZvtAj8xgUQ8xPtru3G2yy61xVEXP seyrVFwgNPH6WG6AjPFmX+L8Mc8q6u/GA14YQrfAaf0ovII5d9EA74cPOfnuCDvHggGS2M0ye+XR ccOXWp20nqSqtJWLo+otuub38Jx1e20akAFtT1bBoi+WdENKLnBEM3uSPEJaYhu7NkAMKj/Jrkmy igvcWOEmEiRNbQpXEFtlcNLaeWEGwNYu1XTED7cV+GB+3JYzh2VQk0bfcyHcaDF4s0DWjCKgdZfc lgjTKw/81ESTPUjbTDwPKaupfQw3Kh2xo0Dgsdz+oitnWtGHhBlhvujRqgvabvj4H2Ucl8pElxFi xU2inYx1NnOgWISSVUsbPQeoUbL++BQBarzvTEopXv/L6rqsIPQn9gPop3cvBKgJgh53Cud/Nl1E tTQ9gqDOESykOg2rHrnqJ7dZbtWfGJeJ27fDv54uVfXIoaAPg0pi8hb2F0opRKUR+g/igj72WFqt ue9sl2Gqc40N+dthAAuCfftolnA6bQOzGxBzZNCYrTYufFT0gpISkHHDqTnU8r8bQ9tdRTz4NZQ7 AOZLbWiVlxow9PZXrG9jDWN6wZwNVJz+eN43pgHfu35ahbdfe5Ds `protect end_protected
bsd-2-clause
891a51ce4d52df1ccaba92500deaec47
0.944868
1.837568
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/pll/clkp90_v6.vhd
3
943
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Clock phase offset generator (90 deg) for FPGA Virtex6. ------------------------------------------------------------------------------ --! Standard library library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity clkp90_virtex6 is port ( i_clk : in std_logic; o_clk : out std_logic; o_clkp90 : out std_logic ); end clkp90_virtex6; architecture rtl of clkp90_virtex6 is signal clk_buf : std_logic; begin x0 : BUFG port map ( O => clk_buf, I => i_clk ); x1 : ODDR port map ( Q => o_clkp90, C => clk_buf, CE => '1', D1 => '0', D2 => '1', R => '0', S => '0' ); o_clk <= clk_buf; end;
apache-2.0
2b6218863e3b400e4ea8bd284f5969f9
0.4772
3.698039
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/rocketlib/tl2axi.vhd
1
9,203
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief TileLink-to-AXI4 bridge implementation. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; library rocketlib; use rocketlib.types_rocket.all; entity Tile2Axi is port ( clk : in std_logic; nrst : in std_logic; --! Tile-to-AXI direction tloi : in tile_out_type; msto : out nasti_master_out_type; --! AXI-to-Tile direction msti : in nasti_master_in_type; tlio : out tile_in_type ); end; architecture arch_Tile2Axi of Tile2Axi is component TLToAXI4 port ( clock : in std_logic; reset : in std_logic; io_in_0_a_ready : out std_logic; io_in_0_a_valid : in std_logic; io_in_0_a_bits_opcode : in std_logic_vector(2 downto 0); io_in_0_a_bits_param : in std_logic_vector(2 downto 0); io_in_0_a_bits_size : in std_logic_vector(3 downto 0); io_in_0_a_bits_source : in std_logic_vector(5 downto 0); io_in_0_a_bits_address : in std_logic_vector(31 downto 0); io_in_0_a_bits_mask : in std_logic_vector(7 downto 0); io_in_0_a_bits_data : in std_logic_vector(63 downto 0); io_in_0_b_ready : in std_logic; io_in_0_b_valid : out std_logic; io_in_0_b_bits_opcode : out std_logic_vector(2 downto 0); io_in_0_b_bits_param : out std_logic_vector(1 downto 0); io_in_0_b_bits_size : out std_logic_vector(3 downto 0); io_in_0_b_bits_source : out std_logic_vector(5 downto 0); io_in_0_b_bits_address : out std_logic_vector(31 downto 0); io_in_0_b_bits_mask : out std_logic_vector(7 downto 0); io_in_0_b_bits_data : out std_logic_vector(63 downto 0); io_in_0_c_ready : out std_logic; io_in_0_c_valid : in std_logic; io_in_0_c_bits_opcode : in std_logic_vector(2 downto 0); io_in_0_c_bits_param : in std_logic_vector(2 downto 0); io_in_0_c_bits_size : in std_logic_vector(3 downto 0); io_in_0_c_bits_source : in std_logic_vector(5 downto 0); io_in_0_c_bits_address : in std_logic_vector(31 downto 0); io_in_0_c_bits_data : in std_logic_vector(63 downto 0); io_in_0_c_bits_error : in std_logic; io_in_0_d_ready : in std_logic; io_in_0_d_valid : out std_logic; io_in_0_d_bits_opcode : out std_logic_vector(2 downto 0); io_in_0_d_bits_param : out std_logic_vector(1 downto 0); io_in_0_d_bits_size : out std_logic_vector(3 downto 0); io_in_0_d_bits_source : out std_logic_vector(5 downto 0); io_in_0_d_bits_sink : out std_logic; io_in_0_d_bits_addr_lo : out std_logic_vector(2 downto 0); io_in_0_d_bits_data : out std_logic_vector(63 downto 0); io_in_0_d_bits_error : out std_logic; io_in_0_e_ready : out std_logic; io_in_0_e_valid : in std_logic; io_in_0_e_bits_sink : in std_logic; io_out_0_aw_ready : in std_logic; io_out_0_aw_valid : out std_logic; io_out_0_aw_bits_id : out std_logic_vector(3 downto 0); io_out_0_aw_bits_addr : out std_logic_vector(31 downto 0); io_out_0_aw_bits_len : out std_logic_vector(7 downto 0); io_out_0_aw_bits_size : out std_logic_vector(2 downto 0); io_out_0_aw_bits_burst : out std_logic_vector(1 downto 0); io_out_0_aw_bits_lock : out std_logic; io_out_0_aw_bits_cache : out std_logic_vector(3 downto 0); io_out_0_aw_bits_prot : out std_logic_vector(2 downto 0); io_out_0_aw_bits_qos : out std_logic_vector(3 downto 0); io_out_0_w_ready : in std_logic; io_out_0_w_valid : out std_logic; io_out_0_w_bits_data : out std_logic_vector(63 downto 0); io_out_0_w_bits_strb : out std_logic_vector(7 downto 0); io_out_0_w_bits_last : out std_logic; io_out_0_b_ready : out std_logic; io_out_0_b_valid : in std_logic; io_out_0_b_bits_id : in std_logic_vector(3 downto 0); io_out_0_b_bits_resp : in std_logic_vector(1 downto 0); io_out_0_ar_ready : in std_logic; io_out_0_ar_valid : out std_logic; io_out_0_ar_bits_id : out std_logic_vector(3 downto 0); io_out_0_ar_bits_addr : out std_logic_vector(31 downto 0); io_out_0_ar_bits_len : out std_logic_vector(7 downto 0); io_out_0_ar_bits_size : out std_logic_vector(2 downto 0); io_out_0_ar_bits_burst : out std_logic_vector(1 downto 0); io_out_0_ar_bits_lock : out std_logic; io_out_0_ar_bits_cache : out std_logic_vector(3 downto 0); io_out_0_ar_bits_prot : out std_logic_vector(2 downto 0); io_out_0_ar_bits_qos : out std_logic_vector(3 downto 0); io_out_0_r_ready : out std_logic; io_out_0_r_valid : in std_logic; io_out_0_r_bits_id : in std_logic_vector(3 downto 0); io_out_0_r_bits_data : in std_logic_vector(63 downto 0); io_out_0_r_bits_resp : in std_logic_vector(1 downto 0); io_out_0_r_bits_last : in std_logic ); end component; signal reset : std_logic; signal wb_a_source : std_logic_vector(5 downto 0); signal wb_b_source : std_logic_vector(5 downto 0); signal wb_c_source : std_logic_vector(5 downto 0); signal wb_d_source : std_logic_vector(5 downto 0); signal wb_aw_bits_addr : std_logic_vector(31 downto 0); signal wb_ar_bits_addr : std_logic_vector(31 downto 0); begin reset <= not nrst; wb_a_source <= "000" & tloi.a_source; tlio.b_source <= wb_b_source(2 downto 0); wb_c_source <= "000" & tloi.c_source; tlio.d_source <= wb_d_source(2 downto 0); tlio.d_sink(3 downto 1) <= "000"; ver0 : TLToAXI4 port map ( clock => clk, reset => reset, io_in_0_a_ready => tlio.a_ready, io_in_0_a_valid => tloi.a_valid, io_in_0_a_bits_opcode => tloi.a_opcode, io_in_0_a_bits_param => tloi.a_param, io_in_0_a_bits_size => tloi.a_size, io_in_0_a_bits_source => wb_a_source, io_in_0_a_bits_address => tloi.a_address, io_in_0_a_bits_mask => tloi.a_mask, io_in_0_a_bits_data => tloi.a_data, io_in_0_b_ready => tloi.b_ready, io_in_0_b_valid => tlio.b_valid, io_in_0_b_bits_opcode => tlio.b_opcode, io_in_0_b_bits_param => tlio.b_param, io_in_0_b_bits_size => tlio.b_size, io_in_0_b_bits_source => wb_b_source, io_in_0_b_bits_address => tlio.b_address, io_in_0_b_bits_mask => tlio.b_mask, io_in_0_b_bits_data => tlio.b_data, io_in_0_c_ready => tlio.c_ready, io_in_0_c_valid => tloi.c_valid, io_in_0_c_bits_opcode => tloi.c_opcode, io_in_0_c_bits_param => tloi.c_param, io_in_0_c_bits_size => tloi.c_size, io_in_0_c_bits_source => wb_c_source, io_in_0_c_bits_address => tloi.c_address, io_in_0_c_bits_data => tloi.c_data, io_in_0_c_bits_error => tloi.c_error, io_in_0_d_ready => tloi.d_ready, io_in_0_d_valid => tlio.d_valid, io_in_0_d_bits_opcode => tlio.d_opcode, io_in_0_d_bits_param => tlio.d_param, io_in_0_d_bits_size => tlio.d_size, io_in_0_d_bits_source => wb_d_source, io_in_0_d_bits_sink => tlio.d_sink(0), io_in_0_d_bits_addr_lo => tlio.d_addr_lo, io_in_0_d_bits_data => tlio.d_data, io_in_0_d_bits_error => tlio.d_error, io_in_0_e_ready => tlio.e_ready, io_in_0_e_valid => tloi.e_valid, io_in_0_e_bits_sink => tloi.e_sink(0), io_out_0_aw_ready => msti.aw_ready, io_out_0_aw_valid => msto.aw_valid, io_out_0_aw_bits_id => msto.aw_id(3 downto 0), io_out_0_aw_bits_addr => wb_aw_bits_addr, io_out_0_aw_bits_len => msto.aw_bits.len, io_out_0_aw_bits_size => msto.aw_bits.size, io_out_0_aw_bits_burst => msto.aw_bits.burst, io_out_0_aw_bits_lock => msto.aw_bits.lock, io_out_0_aw_bits_cache => msto.aw_bits.cache, io_out_0_aw_bits_prot => msto.aw_bits.prot, io_out_0_aw_bits_qos => msto.aw_bits.qos, io_out_0_w_ready => msti.w_ready, io_out_0_w_valid => msto.w_valid, io_out_0_w_bits_data => msto.w_data, io_out_0_w_bits_strb => msto.w_strb, io_out_0_w_bits_last => msto.w_last, io_out_0_b_ready => msto.b_ready, io_out_0_b_valid => msti.b_valid, io_out_0_b_bits_id => msti.b_id(3 downto 0), io_out_0_b_bits_resp => msti.b_resp, io_out_0_ar_ready => msti.ar_ready, io_out_0_ar_valid => msto.ar_valid, io_out_0_ar_bits_id => msto.ar_id(3 downto 0), io_out_0_ar_bits_addr => wb_ar_bits_addr, io_out_0_ar_bits_len => msto.ar_bits.len, io_out_0_ar_bits_size => msto.ar_bits.size, io_out_0_ar_bits_burst => msto.ar_bits.burst, io_out_0_ar_bits_lock => msto.ar_bits.lock, io_out_0_ar_bits_cache => msto.ar_bits.cache, io_out_0_ar_bits_prot => msto.ar_bits.prot, io_out_0_ar_bits_qos => msto.ar_bits.qos, io_out_0_r_ready => msto.r_ready, io_out_0_r_valid => msti.r_valid, io_out_0_r_bits_id => msti.r_id(3 downto 0), io_out_0_r_bits_data => msti.r_data, io_out_0_r_bits_resp => msti.r_resp, io_out_0_r_bits_last => msti.r_last ); msto.aw_bits.addr <= wb_aw_bits_addr(31 downto 3) & "000"; msto.ar_bits.addr <= wb_ar_bits_addr(31 downto 3) & "000"; end;
apache-2.0
8ff3809c1087dbd6e9ab4c08915aeef5
0.616538
2.472595
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_queue.vhd
1
53,326
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_pkg.all; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_INCLUDE_MM2S : integer range 0 to 1 := 0; C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- s_axis_updt_aclk : in std_logic ; -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren : out std_logic ; -- updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_active : in std_logic ; -- updt_queue_empty : out std_logic ; -- updt_ioc : out std_logic ; -- updt_ioc_irq_set : in std_logic ; -- -- dma_interr : out std_logic ; -- dma_slverr : out std_logic ; -- dma_decerr : out std_logic ; -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- updt2_active : in std_logic ; -- updt2_queue_empty : out std_logic ; -- updt2_ioc : out std_logic ; -- updt2_ioc_irq_set : in std_logic ; -- -- dma2_interr : out std_logic ; -- dma2_slverr : out std_logic ; -- dma2_decerr : out std_logic ; -- dma2_interr_set : in std_logic ; -- dma2_slverr_set : in std_logic ; -- dma2_decerr_set : in std_logic ; -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis_updtptr_tvalid : in std_logic ; -- s_axis_updtptr_tready : out std_logic ; -- s_axis_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_updtsts_tvalid : in std_logic ; -- s_axis_updtsts_tready : out std_logic ; -- s_axis_updtsts_tlast : in std_logic ; -- s_axis2_updtptr_tdata : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- s_axis2_updtptr_tvalid : in std_logic ; -- s_axis2_updtptr_tready : out std_logic ; -- s_axis2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis2_updtsts_tvalid : in std_logic ; -- s_axis2_updtsts_tready : out std_logic ; -- s_axis2_updtsts_tlast : in std_logic ; -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata : out std_logic_vector -- (C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); -- m_axis_updt_tlast : out std_logic ; -- m_axis_updt_tvalid : out std_logic ; -- m_axis_updt_tready : in std_logic -- ); end axi_sg_updt_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs -- Number of words deep fifo needs to be. Depth required to store 2 word -- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2 --constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2); constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2)); -- Width of fifo rd and wr counts - only used for proper fifo operation constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1); -- Select between BRAM or LOGIC memory type constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16); -- Number of words deep fifo needs to be. Depth required to store all update -- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * C_SG_WORDS_TO_UPDATE)); constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * C_SG2_WORDS_TO_UPDATE)); -- Select between BRAM or LOGIC memory type constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16); -- Select between BRAM or LOGIC memory type constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16); -- Width of fifo rd and wr counts - only used for proper fifo operation constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel signals signal write_curdesc_lsb : std_logic := '0'; signal write_curdesc_lsb_sm : std_logic := '0'; signal write_curdesc_msb : std_logic := '0'; signal write_curdesc_lsb1 : std_logic := '0'; signal write_curdesc_msb1 : std_logic := '0'; signal rden_del : std_logic := '0'; signal updt_active_d1 : std_logic := '0'; signal updt_active_d2 : std_logic := '0'; signal updt_active_re1 : std_logic := '0'; signal updt_active_re2 : std_logic := '0'; signal updt_active_re : std_logic := '0'; type PNTR_STATE_TYPE is (IDLE, READ_CURDESC_LSB, READ_CURDESC_MSB, WRITE_STATUS ); signal pntr_cs : PNTR_STATE_TYPE; signal pntr_ns : PNTR_STATE_TYPE; -- State Machine Signal signal writing_status : std_logic := '0'; signal dataq_rden : std_logic := '0'; signal stsq_rden : std_logic := '0'; -- Pointer Queue FIFO Signals signal ptr_queue_rden : std_logic := '0'; signal ptr_queue_wren : std_logic := '0'; signal ptr_queue_empty : std_logic := '0'; signal ptr_queue_full : std_logic := '0'; signal ptr_queue_din : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ptr_queue_dout : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ptr_queue_dout_int : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- Status Queue FIFO Signals signal sts_queue_wren : std_logic := '0'; signal sts_queue_rden : std_logic := '0'; signal sts_queue_din : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts_queue_dout : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0'); signal sts_queue_full : std_logic := '0'; signal sts_queue_empty : std_logic := '0'; signal ptr2_queue_rden : std_logic := '0'; signal ptr2_queue_wren : std_logic := '0'; signal ptr2_queue_empty : std_logic := '0'; signal ptr2_queue_full : std_logic := '0'; signal ptr2_queue_din : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ptr2_queue_dout : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- Status Queue FIFO Signals signal sts2_queue_wren : std_logic := '0'; signal sts2_queue_rden : std_logic := '0'; signal sts2_queue_din : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts2_queue_dout : std_logic_vector (C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal sts2_queue_full : std_logic := '0'; signal sts2_queue_empty : std_logic := '0'; signal sts2_queue_empty_del : std_logic := '0'; signal sts2_dout_valid : std_logic := '0'; signal sts_dout_valid : std_logic := '0'; signal sts2_dout_valid_del : std_logic := '0'; signal valid_new : std_logic := '0'; signal valid_latch : std_logic := '0'; signal valid1_new : std_logic := '0'; signal valid1_latch : std_logic := '0'; signal empty_low : std_logic := '0'; -- Misc Support Signals signal writing_status_d1 : std_logic := '0'; signal writing_status_re : std_logic := '0'; signal writing_status_re_ch1 : std_logic := '0'; signal writing_status_re_ch2 : std_logic := '0'; signal sinit : std_logic := '0'; signal updt_tvalid : std_logic := '0'; signal updt_tlast : std_logic := '0'; signal updt2_tvalid : std_logic := '0'; signal updt2_tlast : std_logic := '0'; signal status_d1, status_d2 : std_logic := '0'; signal updt_tvalid_int : std_logic := '0'; signal updt_tlast_int : std_logic := '0'; signal ptr_queue_empty_int : std_logic := '0'; signal updt_active_int : std_logic := '0'; signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0'); signal follower_full_mm2s :std_logic := '0'; signal follower_empty_mm2s : std_logic := '0'; signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0'); signal follower_full_s2mm :std_logic := '0'; signal follower_empty_s2mm : std_logic := '0'; signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0); signal follower_full :std_logic := '0'; signal follower_empty : std_logic := '0'; signal sts_rden : std_logic := '0'; signal sts2_rden : std_logic := '0'; signal follower_tlast : std_logic := '0'; signal follower_reg_image : std_logic := '0'; signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1' else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ; m_axis_updt_tvalid <= updt_tvalid when updt_active = '1' else updt2_tvalid; m_axis_updt_tlast <= updt_tlast when updt_active = '1' else updt2_tlast; m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0'; m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0'; -- Asset active strobe on rising edge of update active -- asertion. This kicks off the update process for -- channel 1 updt_active_re <= updt_active_re1 or updt_active_re2; -- Current Descriptor Pointer Fetch. This state machine controls -- reading out the current pointer from the Queue or channel port -- and writing it to the update manager for use in command -- generation to the DataMover for Descriptor update. CURDESC_PNTR_STATE : process(pntr_cs, updt_active_re, ptr_queue_empty_int, m_axis_updt_tready, updt_tvalid_int, updt_tlast_int) begin write_curdesc_lsb_sm <= '0'; write_curdesc_msb <= '0'; writing_status <= '0'; dataq_rden <= '0'; stsq_rden <= '0'; pntr_ns <= pntr_cs; case pntr_cs is when IDLE => if(updt_active_re = '1')then pntr_ns <= READ_CURDESC_LSB; else pntr_ns <= IDLE; end if; --------------------------------------------------------------- -- Get lower current descriptor pointer -- Reads one word from data queue fifo --------------------------------------------------------------- when READ_CURDESC_LSB => -- on tvalid from Queue or channel port then register -- lsb curdesc and setup to register msb curdesc if(ptr_queue_empty_int = '0')then write_curdesc_lsb_sm <= '1'; dataq_rden <= '1'; -- pntr_ns <= READ_CURDESC_MSB; pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB; else -- coverage off pntr_ns <= READ_CURDESC_LSB; -- coverage on end if; --------------------------------------------------------------- -- Get upper current descriptor -- Reads one word from data queue fifo --------------------------------------------------------------- -- when READ_CURDESC_MSB => -- On tvalid from Queue or channel port then register -- msb. This will also write curdesc out to update -- manager. -- if(ptr_queue_empty_int = '0')then -- dataq_rden <= '1'; -- write_curdesc_msb <= '1'; -- pntr_ns <= WRITE_STATUS; -- else -- -- coverage off -- pntr_ns <= READ_CURDESC_MSB; -- -- coverage on -- end if; --------------------------------------------------------------- -- Hold in this state until remainder of descriptor is -- written out. when WRITE_STATUS => -- De-MUX appropriage tvalid/tlast signals writing_status <= '1'; -- Enable reading of Status Queue if datamover can -- accept data stsq_rden <= m_axis_updt_tready; -- Hold in the status state until tlast is pulled -- from status fifo if(updt_tvalid_int = '1' and m_axis_updt_tready = '1' and updt_tlast_int = '1')then -- if(follower_full = '1' and m_axis_updt_tready = '1' -- and follower_tlast = '1')then pntr_ns <= IDLE; else pntr_ns <= WRITE_STATUS; end if; -- coverage off when others => pntr_ns <= IDLE; -- coverage on end case; end process CURDESC_PNTR_STATE; updt_tvalid_int <= updt_tvalid or updt2_tvalid; updt_tlast_int <= updt_tlast or updt2_tlast; ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else ptr2_queue_empty when updt2_active = '1' else '1'; --------------------------------------------------------------------------- -- Register for CURDESC Pointer state machine --------------------------------------------------------------------------- REG_PNTR_STATES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then pntr_cs <= IDLE; else pntr_cs <= pntr_ns; end if; end if; end process REG_PNTR_STATES; GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate begin MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate updt_tvalid <= follower_full_mm2s and updt_active; updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active; sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active; VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then -- follower_reg_mm2s <= (others => '0'); follower_full_mm2s <= '0'; follower_empty_mm2s <= '1'; else if (sts_rden = '1') then -- follower_reg_mm2s <= sts_queue_dout; follower_full_mm2s <= '1'; follower_empty_mm2s <= '0'; end if; end if; end if; end process VALID_REG_MM2S_ACTIVE; VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then follower_reg_mm2s <= (others => '0'); else if (sts_rden = '1') then follower_reg_mm2s <= sts_queue_dout; end if; end if; end if; end process VALID_REG_MM2S_ACTIVE1; REG_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_active_d1 <= '0'; else updt_active_d1 <= updt_active; end if; end if; end process REG_ACTIVE; updt_active_re1 <= updt_active and not updt_active_d1; -- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f -- generic map ( -- C_DWIDTH => 32 , -- C_DEPTH => 8 , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- Clk => m_axi_sg_aclk , -- Reset => sinit , -- FIFO_Write => ptr_queue_wren , -- Data_In => ptr_queue_din , -- FIFO_Read => ptr_queue_rden , -- Data_Out => ptr_queue_dout , -- FIFO_Empty => ptr_queue_empty , -- FIFO_Full => ptr_queue_full, -- Addr => open -- ); process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1') then ptr_queue_dout <= (others => '0'); elsif (ptr_queue_wren = '1') then ptr_queue_dout <= ptr_queue_din; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1' or ptr_queue_rden = '1') then ptr_queue_empty <= '1'; ptr_queue_full <= '0'; elsif (ptr_queue_wren = '1') then ptr_queue_empty <= '0'; ptr_queue_full <= '1'; end if; end if; end process; -- Channel Pointer Queue (Generate Synchronous FIFO) -- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f -- generic map ( -- C_DWIDTH => 34 , -- C_DEPTH => 4 , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- Clk => m_axi_sg_aclk , -- Reset => sinit , -- FIFO_Write => sts_queue_wren , -- Data_In => sts_queue_din , -- FIFO_Read => sts_rden, --sts_queue_rden , -- Data_Out => sts_queue_dout , -- FIFO_Empty => sts_queue_empty , -- FIFO_Full => sts_queue_full , -- Addr => open -- ); process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1') then sts_queue_dout <= (others => '0'); elsif (sts_queue_wren = '1') then sts_queue_dout <= sts_queue_din; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1' or sts_rden = '1') then sts_queue_empty <= '1'; sts_queue_full <= '0'; elsif (sts_queue_wren = '1') then sts_queue_empty <= '0'; sts_queue_full <= '1'; end if; end if; end process; -- Channel Status Queue (Generate Synchronous FIFO) --***************************************** --** Channel Data Port Side of Queues --***************************************** -- Pointer Queue Update - Descriptor Pointer (32bits) -- i.e. 2 current descriptor pointers and any app fields ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- Data Queue Write Enable - based on tvalid and queue not full ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid and not ptr_queue_full; -- Data Queue NOT Full -- Drive channel port with ready if room in data queue s_axis_updtptr_tready <= not ptr_queue_full; --***************************************** --** Channel Status Port Side of Queues --***************************************** -- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits) -- Note: Type field is stripped off sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- Status Queue Write Enable - based on tvalid and queue not full sts_queue_wren <= s_axis_updtsts_tvalid and not sts_queue_full; -- Drive channel port with ready if room in status queue s_axis_updtsts_tready <= not sts_queue_full; --************************************* --** SG Engine Side of Queues --************************************* -- Indicate NOT empty if both status queue and data queue are not empty -- updt_queue_empty <= ptr_queue_empty -- or (sts_queue_empty and follower_empty and updt_active); updt_queue_empty <= ptr_queue_empty or follower_empty_mm2s; -- and updt_active); -- Data queue read enable ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable and ptr_queue_empty = '0' -- Data Queue NOT empty and updt_active = '1' else '0'; -- Status queue read enable sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status and sts_queue_empty = '0' -- Status fifo NOT empty and updt_active = '1' else '0'; ----------------------------------------------------------------------- -- TVALID - status queue not empty and writing status ----------------------------------------------------------------------- ----------------------------------------------------------------------- -- TLAST - status queue not empty, writing status, and last asserted ----------------------------------------------------------------------- -- Drive last as long as tvalid is asserted and last from fifo -- is asserted end generate MM2S_CHANNEL; NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate begin updt_active_re1 <= '0'; updt_queue_empty <= '0'; s_axis_updtptr_tready <= '0'; s_axis_updtsts_tready <= '0'; sts_queue_dout <= (others => '0'); sts_queue_full <= '0'; sts_queue_empty <= '0'; ptr_queue_dout <= (others => '0'); ptr_queue_empty <= '0'; ptr_queue_full <= '0'; end generate NO_MM2S_CHANNEL; S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate begin updt2_tvalid <= follower_full_s2mm and updt2_active; updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active; sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active; VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then -- follower_reg_s2mm <= (others => '0'); follower_full_s2mm <= '0'; follower_empty_s2mm <= '1'; else if (sts2_rden = '1') then -- follower_reg_s2mm <= sts2_queue_dout; follower_full_s2mm <= '1'; follower_empty_s2mm <= '0'; end if; end if; end if; end process VALID_REG_S2MM_ACTIVE; VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then follower_reg_s2mm <= (others => '0'); else if (sts2_rden = '1') then follower_reg_s2mm <= sts2_queue_dout; end if; end if; end if; end process VALID_REG_S2MM_ACTIVE1; REG2_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_active_d2 <= '0'; else updt_active_d2 <= updt2_active; end if; end if; end process REG2_ACTIVE; updt_active_re2 <= updt2_active and not updt_active_d2; -- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f -- generic map ( -- C_DWIDTH => 32 , -- C_DEPTH => 8 , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- Clk => m_axi_sg_aclk , -- Reset => sinit , -- FIFO_Write => ptr2_queue_wren , -- Data_In => ptr2_queue_din , -- FIFO_Read => ptr2_queue_rden , -- Data_Out => ptr2_queue_dout , -- FIFO_Empty => ptr2_queue_empty , -- FIFO_Full => ptr2_queue_full, -- Addr => open -- ); process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1') then ptr2_queue_dout <= (others => '0'); elsif (ptr2_queue_wren = '1') then ptr2_queue_dout <= ptr2_queue_din; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1' or ptr2_queue_rden = '1') then ptr2_queue_empty <= '1'; ptr2_queue_full <= '0'; elsif (ptr2_queue_wren = '1') then ptr2_queue_empty <= '0'; ptr2_queue_full <= '1'; end if; end if; end process; APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate begin I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f generic map ( C_DWIDTH => 34 , C_DEPTH => 12 , C_FAMILY => C_FAMILY ) port map ( Clk => m_axi_sg_aclk , Reset => sinit , FIFO_Write => sts2_queue_wren , Data_In => sts2_queue_din , FIFO_Read => sts2_rden, Data_Out => sts2_queue_dout , FIFO_Empty => sts2_queue_empty , FIFO_Full => sts2_queue_full , Addr => open ); end generate APP_UPDATE; NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1') then sts2_queue_dout <= (others => '0'); elsif (sts2_queue_wren = '1') then sts2_queue_dout <= sts2_queue_din; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (sinit = '1' or sts2_rden = '1') then sts2_queue_empty <= '1'; sts2_queue_full <= '0'; elsif (sts2_queue_wren = '1') then sts2_queue_empty <= '0'; sts2_queue_full <= '1'; end if; end if; end process; end generate NO_APP_UPDATE; -- Pointer Queue Update - Descriptor Pointer (32bits) -- i.e. 2 current descriptor pointers and any app fields ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- Data Queue Write Enable - based on tvalid and queue not full ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid and not ptr2_queue_full; -- Data Queue NOT Full -- Drive channel port with ready if room in data queue s_axis2_updtptr_tready <= not ptr2_queue_full; --***************************************** --** Channel Status Port Side of Queues --***************************************** -- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits) -- Note: Type field is stripped off sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- Status Queue Write Enable - based on tvalid and queue not full sts2_queue_wren <= s_axis2_updtsts_tvalid and not sts2_queue_full; -- Drive channel port with ready if room in status queue s_axis2_updtsts_tready <= not sts2_queue_full; --************************************* --** SG Engine Side of Queues --************************************* -- Indicate NOT empty if both status queue and data queue are not empty updt2_queue_empty <= ptr2_queue_empty or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active); -- Data queue read enable ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable and ptr2_queue_empty = '0' -- Data Queue NOT empty and updt2_active = '1' else '0'; -- Status queue read enable sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status and sts2_queue_empty = '0' -- Status fifo NOT empty and updt2_active = '1' else '0'; end generate S2MM_CHANNEL; NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate begin updt_active_re2 <= '0'; updt2_queue_empty <= '0'; s_axis2_updtptr_tready <= '0'; s_axis2_updtsts_tready <= '0'; sts2_queue_dout <= (others => '0'); sts2_queue_full <= '0'; sts2_queue_empty <= '0'; ptr2_queue_dout <= (others => '0'); ptr2_queue_empty <= '0'; ptr2_queue_full <= '0'; end generate NO_S2MM_CHANNEL; end generate GEN_Q_FOR_SYNC; -- FIFO Reset is active high sinit <= not m_axi_sg_aresetn; -- LSB_PROC : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' )then -- write_curdesc_lsb <= '0'; -- -- Capture lower pointer from FIFO or channel port -- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then write_curdesc_lsb <= write_curdesc_lsb_sm; -- end if; -- end if; -- end process LSB_PROC; --********************************************************************* --** POINTER CAPTURE LOGIC --********************************************************************* ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else ptr_queue_dout; --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- updt_active_int <= updt_active or updt2_active; REG_LSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(31 downto 0) <= (others => '0'); -- Capture lower pointer from FIFO or channel port elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); end if; end if; end process REG_LSB_CURPNTR; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0'); -- updt_curdesc_wren <= '0'; -- Capture upper pointer from FIFO or channel port -- and also write curdesc out elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32); -- updt_curdesc_wren <= '1'; -- Assert tready/wren for only 1 clock else -- updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_UPPER_MSB_CURDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc_wren <= '0'; -- Throw away second word, only write curdesc out with msb -- set to zero elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then --elsif(write_curdesc_msb = '1' and updt_active_int = '1')then updt_curdesc_wren <= '1'; -- Assert for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; --********************************************************************* --** ERROR CAPTURE LOGIC --********************************************************************* ----------------------------------------------------------------------- -- Generate rising edge pulse on writing status signal. This will -- assert at the beginning of the status write. Coupled with status -- fifo set to first word fall through status will be on dout -- regardless of target ready. ----------------------------------------------------------------------- REG_WRITE_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_status_d1 <= '0'; else writing_status_d1 <= writing_status; end if; end if; end process REG_WRITE_STATUS; writing_status_re <= writing_status and not writing_status_d1; writing_status_re_ch1 <= writing_status_re and updt_active; writing_status_re_ch2 <= writing_status_re and updt2_active; ----------------------------------------------------------------------- -- Caputure IOC begin set ----------------------------------------------------------------------- REG_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then updt_ioc <= '0'; elsif(writing_status_re_ch1 = '1')then -- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active; updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then dma_interr <= '0'; elsif(writing_status_re_ch1 = '1')then --dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active; dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then dma_slverr <= '0'; elsif(writing_status_re_ch1 = '1')then -- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active; dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then dma_decerr <= '0'; elsif(writing_status_re_ch1 = '1')then -- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active; dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC_ERROR; ----------------------------------------------------------------------- -- Caputure IOC begin set ----------------------------------------------------------------------- REG_IOC2_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then updt2_ioc <= '0'; elsif(writing_status_re_ch2 = '1')then -- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active; updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC2_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then dma2_interr <= '0'; elsif(writing_status_re_ch2 = '1')then -- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active; dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT2_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then dma2_slverr <= '0'; elsif(writing_status_re_ch2 = '1')then -- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active; dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV2_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then dma2_decerr <= '0'; elsif(writing_status_re_ch2 = '1')then -- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active; dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC2_ERROR; end implementation;
mit
28a2084a95b105bec8684b0bf180ea38
0.430465
4.271548
false
false
false
false
BBN-Q/VHDL-FIR-filters
test/FIR_tb.vhd
1
3,139
---------------------------------------------------------------------------------- -- Testbench for ParallelPolyphase -- Initial version: Colm Ryan ([email protected]) -- Create Date: 05/05/2015 -- Dependencies: -- -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use ieee.math_real.all; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; library ieee_proposed; use ieee_proposed.standard_additions.all; use work.TestVectors.all; entity FIR_tb is -- Port ( ); end FIR_tb; architecture Behavioral of FIR_tb is constant coeffs : real_vector := (0.01662606, -0.00696415, -0.03403663, -0.04855056, -0.01434685, 0.08048669, 0.20301046, 0.28957738, 0.28957738, 0.20301046, 0.08048669, -0.01434685, -0.04855056, -0.03403663, -0.00696415, 0.01662606); signal rst : std_logic := '0'; signal clk : std_logic := '0'; signal finished : boolean := false; signal data_in : std_logic_vector(15 downto 0) := (others => '0'); signal data_out, data_check : std_logic_vector(15 downto 0) := (others => '0'); constant DATA_IN_WIDTH : natural := 16; constant DATA_IN_SCALE : real := real(2 ** (DATA_IN_WIDTH-1)) - 1.0; constant DATA_OUT_WIDTH : natural := 16; constant DATA_OUT_SCALE : real := real(2 ** (DATA_OUT_WIDTH-1)) - 1.0; constant FILTER_DELAY : natural := 1; begin dut : entity work.FIR_DirectTranspose generic map(coeffs => coeffs, data_in_width=>DATA_IN_WIDTH, data_out_width=>DATA_OUT_WIDTH) port map ( rst => rst, clk => clk, data_in => data_in, data_in_vld => '0', data_in_last => '0', data_out => data_out); stim : process begin rst <= '1'; wait for 100ns; wait until rising_edge(clk); rst <= '0'; wait until rising_edge(clk); sampleDriver : for ct in chirp'range loop data_in <= std_logic_vector(to_signed(integer(DATA_IN_SCALE*chirp(ct)), 16)); wait until rising_edge(clk); end loop; data_in <= (others => '0'); wait for 1us; finished <= true; end process; check : process variable curOutput : real; begin wait for 100ns; wait until rising_edge(clk); wait until rising_edge(clk); for ct in 0 to FILTER_DELAY loop wait until rising_edge(clk); end loop; for ct in 0 to chirp'high + coeffs'high loop curOutput := 0.0; for tap in coeffs'range loop if (ct-tap >= 0) and (ct-tap <= chirp'high) then curOutput := curOutput + chirp(ct-tap)*coeffs(tap); end if; end loop; data_check <= std_logic_vector(to_signed(integer(trunc(DATA_OUT_SCALE * curOutput)), 16)); --Arbitrarly allow 2 differences due to fixed point errors assert abs(signed(data_check) - signed(data_out)) <= 2 report "FIR filter output incorrect!"; wait until rising_edge(clk); end loop; data_check <= (others => '0'); wait for 1us; end process; --clock generation clk <= not clk after 10ns when not finished; end Behavioral;
apache-2.0
cb69f5c0cc8219afce8fcfd40d21f750
0.611341
3.342918
false
false
false
false
szanni/aeshw
aes-core/decrementor.vhd
1
1,722
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:44:59 07/20/2014 -- Design Name: -- Module Name: decrementor - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_unsigned.ALL; use work.types.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity decrementor is port( clk : in std_logic; reset : in std_logic; y : in std_logic_vector(1 downto 0); d_out : out byte; x : out std_logic -- boolean indicating if the tenth round is reached (d_out = '0') ); end decrementor; architecture Behavioral of decrementor is signal reg_D, reg_Q : byte; begin mux_3_1 : process(y, reg_Q) begin case y is when "00" => reg_D <= x"0A"; when "01" => reg_D <= reg_Q - 1; when others => reg_D <= reg_Q; end case; end process mux_3_1; reg : process (reset, clk, reg_D) begin if reset = '1' then reg_Q <= (others => '0'); elsif rising_edge(clk) then reg_Q <= reg_D; end if; end process reg; comp : process (reg_Q) begin if reg_Q = x"00" then x <= '1'; else x <= '0'; end if; end process comp; d_out <= reg_Q; end Behavioral;
bsd-2-clause
d70eb31754270a7c31c4dd9013c1dad9
0.57259
3.153846
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/fpu_d/l2d_d.vhd
1
4,865
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity Long2Double is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_signed : in std_logic; i_w32 : in std_logic; i_a : in std_logic_vector(63 downto 0); o_res : out std_logic_vector(63 downto 0); o_valid : out std_logic; o_busy : out std_logic ); end; architecture arch_Long2Double of Long2Double is constant zero64 : std_logic_vector(63 downto 0) := (others => '0'); type RegistersType is record busy : std_logic; ena : std_logic_vector(2 downto 0); signA : std_logic; absA : std_logic_vector(63 downto 0); result : std_logic_vector(63 downto 0); op_signed : std_logic; mantAlign : std_logic_vector(63 downto 0); lshift : integer range 0 to 63; end record; constant R_RESET : RegistersType := ( '0', (others => '0'), -- busy, ena '0', (others => '0'), (others => '0'), -- signA, absA, result '0', (others => '0'), 0 -- op_signed, mantAlign, lshift ); signal r, rin : RegistersType; begin -- registers: comb : process(i_nrst, i_ena, i_signed, i_w32, i_a, r) variable v : RegistersType; variable mantAlign : std_logic_vector(63 downto 0); variable lshift : integer range 0 to 63; variable expAlign : std_logic_vector(10 downto 0); variable mantEven : std_logic; variable mant05 : std_logic; variable mantOnes : std_logic; variable rndBit : std_logic; variable v_signA : std_logic; variable vb_A : std_logic_vector(63 downto 0); variable res : std_logic_vector(63 downto 0); begin v := r; v.ena := r.ena(1 downto 0) & (i_ena and not r.busy); if i_w32 = '0' then v_signA := i_a(63); vb_A := i_a; elsif i_signed = '1' and i_a(31) = '1' then v_signA := '1'; vb_A(63 downto 32) := (others => '1'); vb_A(31 downto 0) := i_a(31 downto 0); else v_signA := '0'; vb_A(31 downto 0) := i_a(31 downto 0); vb_A(63 downto 32) := (others => '0'); end if; if i_ena = '1' then v.busy := '1'; if i_signed = '1' and v_signA = '1' then v.signA := '1'; v.absA := not vb_A + 1; else v.signA := '0'; v.absA := vb_A; end if; v.op_signed := i_signed; end if; -- multiplexer, probably if/elsif in rtl: mantAlign := (others => '0'); lshift := 63; if r.absA(63) = '1' then mantAlign := r.absA; else for i in 1 to 63 loop if lshift = 63 and r.absA(63 - i) = '1' then mantAlign := r.absA(63-i downto 0) & zero64(i-1 downto 0); lshift := i; end if; end loop; end if; if r.ena(0) = '1' then v.mantAlign := mantAlign; v.lshift := lshift; end if; if r.absA = zero64 then expAlign := (others => '0'); else expAlign := conv_std_logic_vector(1086 - r.lshift, 11); end if; mantEven := r.mantAlign(11); mant05 := '0'; if r.mantAlign(10 downto 0) = "11111111111" then mant05 := '1'; end if; rndBit := r.mantAlign(10) and not(mant05 and mantEven); mantOnes := '0'; if r.mantAlign(63) = '1' and r.mantAlign(62 downto 11) = X"fffffffffffff" then mantOnes := '1'; end if; -- Result multiplexers: res(63) := r.signA and r.op_signed; res(62 downto 52) := expAlign + ("0000000000" & (mantOnes and rndBit)); res(51 downto 0) := r.mantAlign(62 downto 11) + rndBit; if r.ena(1) = '1' then v.result := res; v.busy := '0'; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_res <= r.result; o_valid <= r.ena(2); o_busy <= r.busy; -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
de604823980f47c08ca5008d779052a4
0.557246
3.226127
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/cache/dcache_lru.vhd
1
28,565
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() library commonlib; use commonlib.types_common.all; library riverlib; use riverlib.river_cfg.all; use riverlib.types_cache.all; entity dcache_lru is generic ( memtech : integer; async_reset : boolean; coherence_ena : boolean ); port ( i_clk : in std_logic; i_nrst : in std_logic; -- Control path: i_req_valid : in std_logic; i_req_write : in std_logic; i_req_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_req_wdata : in std_logic_vector(63 downto 0); i_req_wstrb : in std_logic_vector(7 downto 0); o_req_ready : out std_logic; o_resp_valid : out std_logic; o_resp_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); o_resp_data : out std_logic_vector(63 downto 0); o_resp_er_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); o_resp_er_load_fault : out std_logic; o_resp_er_store_fault : out std_logic; o_resp_er_mpu_load : out std_logic; o_resp_er_mpu_store : out std_logic; i_resp_ready : in std_logic; -- Memory interface: i_req_mem_ready : in std_logic; o_req_mem_valid : out std_logic; o_req_mem_type : out std_logic_vector(REQ_MEM_TYPE_BITS-1 downto 0); o_req_mem_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); o_req_mem_strob : out std_logic_vector(DCACHE_BYTES_PER_LINE-1 downto 0); o_req_mem_data : out std_logic_vector(DCACHE_LINE_BITS-1 downto 0); i_mem_data_valid : in std_logic; i_mem_data : in std_logic_vector(DCACHE_LINE_BITS-1 downto 0); i_mem_load_fault : in std_logic; i_mem_store_fault : in std_logic; -- MPU interface o_mpu_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_mpu_flags : in std_logic_vector(CFG_MPU_FL_TOTAL-1 downto 0); -- D$ Snoop interface i_req_snoop_valid : in std_logic; i_req_snoop_type : in std_logic_vector(SNOOP_REQ_TYPE_BITS-1 downto 0); o_req_snoop_ready : out std_logic; i_req_snoop_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_resp_snoop_ready : in std_logic; o_resp_snoop_valid : out std_logic; o_resp_snoop_data : out std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); o_resp_snoop_flags : out std_logic_vector(DTAG_FL_TOTAL-1 downto 0); -- Debug Signals: i_flush_address : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_flush_valid : in std_logic; o_flush_end : out std_logic ); end; architecture arch_dcache_lru of dcache_lru is constant zero64 : std_logic_vector(63 downto 0) := (others => '0'); constant State_Idle : std_logic_vector(3 downto 0) := "0000"; constant State_CheckHit : std_logic_vector(3 downto 0) := "0001"; constant State_TranslateAddress : std_logic_vector(3 downto 0) := "0010"; constant State_WaitGrant : std_logic_vector(3 downto 0) := "0011"; constant State_WaitResp : std_logic_vector(3 downto 0) := "0100"; constant State_CheckResp : std_logic_vector(3 downto 0) := "0101"; constant State_SetupReadAdr : std_logic_vector(3 downto 0) := "0110"; constant State_WriteBus : std_logic_vector(3 downto 0) := "0111"; constant State_FlushAddr : std_logic_vector(3 downto 0) := "1000"; constant State_FlushCheck : std_logic_vector(3 downto 0) := "1001"; constant State_ResetAddr : std_logic_vector(3 downto 0) := "1010"; constant State_ResetWrite : std_logic_vector(3 downto 0) := "1011"; constant State_SnoopSetupAddr : std_logic_vector(3 downto 0) := "1100"; constant State_SnoopReadData : std_logic_vector(3 downto 0) := "1101"; signal line_direct_access_i : std_logic; signal line_invalidate_i : std_logic; signal line_re_i : std_logic; signal line_we_i : std_logic; signal line_addr_i : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal line_wdata_i : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); signal line_wstrb_i : std_logic_vector(DCACHE_BYTES_PER_LINE-1 downto 0); signal line_wflags_i : std_logic_vector(DTAG_FL_TOTAL-1 downto 0); signal line_raddr_o : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal line_rdata_o : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); signal line_rflags_o : std_logic_vector(DTAG_FL_TOTAL-1 downto 0); signal line_hit_o : std_logic; -- Snoop signals: signal line_snoop_addr_i : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal line_snoop_ready_o : std_logic; signal line_snoop_flags_o : std_logic_vector(DTAG_FL_TOTAL-1 downto 0); type RegistersType is record req_write : std_logic; req_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); req_wdata : std_logic_vector(63 downto 0); req_wstrb : std_logic_vector(7 downto 0); state : std_logic_vector(3 downto 0); req_mem_valid : std_logic; req_mem_type : std_logic_vector(REQ_MEM_TYPE_BITS-1 downto 0); mem_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); mpu_er_store : std_logic; mpu_er_load : std_logic; load_fault : std_logic; write_first : std_logic; write_flush : std_logic; write_share : std_logic; mem_wstrb : std_logic_vector(DCACHE_BYTES_PER_LINE-1 downto 0); req_flush : std_logic; req_flush_all : std_logic; req_flush_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); req_flush_cnt : std_logic_vector(CFG_DLOG2_LINES_PER_WAY + CFG_DLOG2_NWAYS-1 downto 0); flush_cnt : std_logic_vector(CFG_DLOG2_LINES_PER_WAY + CFG_DLOG2_NWAYS-1 downto 0); cache_line_i : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); cache_line_o : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); req_snoop_type : std_logic_vector(SNOOP_REQ_TYPE_BITS-1 downto 0); snoop_flags_valid : std_logic; snoop_restore_wait_resp : std_logic; snoop_restore_write_bus : std_logic; req_addr_restore : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); end record; constant R_RESET : RegistersType := ( '0', -- req_write (others => '0'), -- req_addr (others => '0'), (others => '0'), -- req_wdata, req_wstrb State_ResetAddr, -- state '0', -- req_mem_valid (others => '0'), -- req_mem_type (others => '0'), -- mem_addr, '0', -- mpu_er_store '0', -- mpu_er_load '0', -- load_fault '0', -- write_first '0', -- write_flush '0', -- write_share (others => '0'), -- mem_wstrb '0', -- req_flush '0', -- req_flush_all (others => '0'), -- req_flush_addr [0]=1 flush all (others => '0'), -- req_flush_cnt (others => '1'), -- flush_cnt (others => '0'), -- cache_line_i (others => '0'), -- cache_line_o (others => '0'), -- req_snoop_type '0', -- snoop_flags_valid '0', -- snoop_restore_wait_resp '0', -- snoop_restore_write_bus (others => '0') -- req_addr_restore ); signal r, rin : RegistersType; begin tagmem0 : tagmemnway generic map ( memtech => memtech, async_reset => async_reset, abus => CFG_CPU_ADDR_BITS, waybits => CFG_DLOG2_NWAYS, ibits => CFG_DLOG2_LINES_PER_WAY, lnbits => CFG_DLOG2_BYTES_PER_LINE, flbits => DTAG_FL_TOTAL, snoop => coherence_ena ) port map ( i_clk => i_clk, i_nrst => i_nrst, i_direct_access => line_direct_access_i, i_invalidate => line_invalidate_i, i_re => line_re_i, i_we => line_we_i, i_addr => line_addr_i, i_wdata => line_wdata_i, i_wstrb => line_wstrb_i, i_wflags => line_wflags_i, o_raddr => line_raddr_o, o_rdata => line_rdata_o, o_rflags => line_rflags_o, o_hit => line_hit_o, i_snoop_addr => line_snoop_addr_i, o_snoop_ready => line_snoop_ready_o, o_snoop_flags => line_snoop_flags_o ); comb : process(i_nrst, i_req_valid, i_req_write, i_req_addr, i_req_wdata, i_req_wstrb, i_resp_ready, i_req_mem_ready, i_mem_data_valid, i_mem_data, i_mem_load_fault, i_mem_store_fault, i_mpu_flags, i_flush_address, i_flush_valid, i_req_snoop_type, i_req_snoop_valid, i_req_snoop_addr, line_raddr_o, line_rdata_o, line_hit_o, line_rflags_o, line_snoop_ready_o, line_snoop_flags_o, r) variable v : RegistersType; variable vb_cache_line_i_modified : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); variable vb_line_rdata_o_modified : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); variable vb_line_rdata_o_wstrb : std_logic_vector(DCACHE_BYTES_PER_LINE-1 downto 0); variable v_req_ready : std_logic; variable vb_cached_data : std_logic_vector(63 downto 0); variable vb_uncached_data : std_logic_vector(63 downto 0); variable v_resp_valid : std_logic; variable vb_resp_data : std_logic_vector(63 downto 0); variable v_resp_er_load_fault : std_logic; variable v_resp_er_store_fault : std_logic; variable v_direct_access : std_logic; variable v_invalidate : std_logic; variable v_flush_end : std_logic; variable v_line_cs_read : std_logic; variable v_line_cs_write : std_logic; variable vb_line_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); variable vb_line_wdata : std_logic_vector(DCACHE_LINE_BITS-1 downto 0); variable vb_line_wstrb : std_logic_vector(DCACHE_BYTES_PER_LINE-1 downto 0); variable vb_req_mask : std_logic_vector(63 downto 0); variable v_line_wflags : std_logic_vector(DTAG_FL_TOTAL-1 downto 0); variable ridx : integer range 0 to (DCACHE_BYTES_PER_LINE/8)-1; variable v_req_same_line : std_logic; variable v_ready_next : std_logic; variable v_req_snoop_ready : std_logic; variable v_req_snoop_ready_on_wait : std_logic; variable v_resp_snoop_valid : std_logic; variable vb_addr_direct_next : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); variable v_req_snoop_cohena : std_logic; begin v := r; v_ready_next := '0'; v_req_ready := '0'; v_resp_valid := '0'; vb_resp_data := (others => '0'); v_resp_er_load_fault := '0'; v_resp_er_store_fault := '0'; v_direct_access := '0'; v_invalidate := '0'; v_flush_end := '0'; v_req_snoop_ready := '0'; v_req_snoop_ready_on_wait := '0'; v_resp_snoop_valid := r.snoop_flags_valid; ridx := conv_integer(r.req_addr(CFG_DLOG2_BYTES_PER_LINE-1 downto 3)); vb_cached_data := line_rdata_o((ridx+1)*64 - 1 downto ridx*64); vb_uncached_data := r.cache_line_i(63 downto 0); v_req_same_line := '0'; if r.req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) = i_req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) then v_req_same_line := '1'; end if; if i_flush_valid = '1' then v.req_flush := '1'; v.req_flush_all := i_flush_address(0); if i_flush_address(0) = '1' then v.req_flush_cnt := (others => '1'); v.req_flush_addr := (others => '0'); else v.req_flush_cnt := (others => '0'); v.req_flush_addr := i_flush_address; end if; end if; for i in 0 to 7 loop vb_req_mask(8*i+7 downto 8*i) := (others => r.req_wstrb(i)); end loop; vb_line_rdata_o_modified := line_rdata_o; vb_cache_line_i_modified := r.cache_line_i; vb_line_rdata_o_wstrb := (others => '0'); for i in 0 to (DCACHE_BYTES_PER_LINE/8)-1 loop if i = ridx then vb_line_rdata_o_modified(64*(i+1)-1 downto 64*i) := (vb_line_rdata_o_modified(64*(i+1)-1 downto 64*i) and not vb_req_mask) or (r.req_wdata and vb_req_mask); vb_cache_line_i_modified(64*(i+1)-1 downto 64*i) := (vb_cache_line_i_modified(64*(i+1)-1 downto 64*i) and not vb_req_mask) or (r.req_wdata and vb_req_mask); vb_line_rdata_o_wstrb(8*(i+1)-1 downto 8*i) := r.req_wstrb; end if; end loop; -- Flush counter when direct access if r.req_addr(CFG_DLOG2_NWAYS-1 downto 0) = conv_std_logic_vector(DCACHE_WAYS-1, CFG_DLOG2_NWAYS) then vb_addr_direct_next(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) := r.req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) + 1; vb_addr_direct_next(CFG_DLOG2_BYTES_PER_LINE-1 downto 0) := (others => '0'); else vb_addr_direct_next := r.req_addr + 1; end if; v_line_cs_read := '0'; v_line_cs_write := '0'; vb_line_addr := r.req_addr; vb_line_wdata := r.cache_line_i; vb_line_wstrb := (others => '0'); v_line_wflags := (others => '0'); -- System Bus access state machine case r.state is when State_Idle => v.mpu_er_store := '0'; v.mpu_er_load := '0'; v_ready_next := '1'; when State_CheckHit => vb_resp_data := vb_cached_data; if line_hit_o = '1' then -- Hit v_resp_valid := '1'; if i_resp_ready = '1' then if r.req_write = '1' then -- Modify tagged mem output with request and write back v_line_cs_write := '1'; v_line_wflags(TAG_FL_VALID) := '1'; v_line_wflags(DTAG_FL_DIRTY) := '1'; v.req_write := '0'; vb_line_wstrb := vb_line_rdata_o_wstrb; vb_line_wdata := vb_line_rdata_o_modified; if coherence_ena and line_rflags_o(DTAG_FL_SHARED) = '1' then -- Make line: 'shared' -> 'unique' using write request v.write_share := '1'; v.state := State_TranslateAddress; else if v_req_same_line = '1' then -- Write address is the same as the next requested, so use it to write -- value and update state machine v_ready_next := '1'; end if; v.state := State_Idle; end if; else v_ready_next := '1'; v.state := State_Idle; end if; end if; else -- Miss v.state := State_TranslateAddress; end if; when State_TranslateAddress => if r.req_write = '1' and i_mpu_flags(CFG_MPU_FL_WR) = '0' then v.mpu_er_store := '1'; v.cache_line_i := (others => '1'); v.state := State_CheckResp; elsif r.req_write = '0' and i_mpu_flags(CFG_MPU_FL_RD) = '0' then v.mpu_er_load := '1'; v.cache_line_i := (others => '1'); v.state := State_CheckResp; else v.req_mem_valid := '1'; v.state := State_WaitGrant; if i_mpu_flags(CFG_MPU_FL_CACHABLE) = '1' then -- Cached: if r.write_share = '1' then v.req_mem_type := WriteLineUnique; v.mem_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) := line_raddr_o(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE); v.mem_addr(CFG_DLOG2_BYTES_PER_LINE-1 downto 0) := (others => '0'); elsif line_rflags_o(TAG_FL_VALID) = '1' and line_rflags_o(DTAG_FL_DIRTY) = '1' then v.write_first := '1'; v.req_mem_type := WriteBack; v.mem_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) := line_raddr_o(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE); v.mem_addr(CFG_DLOG2_BYTES_PER_LINE-1 downto 0) := (others => '0'); else -- 1. Read -> Save cache -- 2. Read -> Modify -> Save cache v.mem_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) := r.req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE); v.mem_addr(CFG_DLOG2_BYTES_PER_LINE-1 downto 0) := (others => '0'); if r.req_write = '1' then v.req_mem_type := ReadMakeUnique; else v.req_mem_type := ReadShared; end if; end if; v.mem_wstrb := (others => '1'); v.cache_line_o := line_rdata_o; else -- Uncached read/write v.mem_addr := r.req_addr(CFG_CPU_ADDR_BITS-1 downto 3) & "000"; v.mem_wstrb := (others => '0'); v.mem_wstrb(7 downto 0) := r.req_wstrb; if r.req_write = '1' then v.req_mem_type := WriteNoSnoop; else v.req_mem_type := ReadNoSnoop; end if; v.cache_line_o := (others => '0'); v.cache_line_o(63 downto 0) := r.req_wdata; end if; end if; v.cache_line_i := (others => '0'); v.load_fault := '0'; when State_WaitGrant => if i_req_mem_ready = '1' then if r.write_flush = '1' or r.write_first = '1' or r.write_share = '1' or (r.req_write = '1' and r.req_mem_type(REQ_MEM_TYPE_CACHED) = '0') then v.state := State_WriteBus; else -- 1. uncached read -- 2. cached read or write v.state := State_WaitResp; end if; v.req_mem_valid := '0'; end if; when State_WaitResp => if i_mem_data_valid = '1' then v.cache_line_i := i_mem_data; v.state := State_CheckResp; if i_mem_load_fault = '1' then v.load_fault := '1'; end if; elsif coherence_ena and i_req_snoop_valid = '1' and or_reduce(i_req_snoop_type) = '1' then -- Access cache data v_req_snoop_ready_on_wait := '1'; v.snoop_restore_wait_resp := '1'; v.req_addr_restore := r.req_addr; v.req_addr := i_req_snoop_addr; v.req_snoop_type := i_req_snoop_type; v.state := State_SnoopSetupAddr; end if; when State_CheckResp => if r.req_mem_type(REQ_MEM_TYPE_CACHED) = '0' or r.load_fault = '1' then -- uncached read only (write goes to WriteBus) or cached load-modify fault v_resp_valid := '1'; vb_resp_data := vb_uncached_data; v_resp_er_load_fault := r.load_fault and (not r.req_write); v_resp_er_store_fault := r.load_fault and r.req_write; if i_resp_ready = '1' then v.state := State_Idle; end if; else v.state := State_SetupReadAdr; v_line_cs_write := '1'; v_line_wflags(TAG_FL_VALID) := '1'; v_line_wflags(DTAG_FL_SHARED) := '1'; vb_line_wstrb := (others => '1'); -- write full line if r.req_write = '1' then -- Modify tagged mem output with request before write v.req_write := '0'; v_line_wflags(DTAG_FL_DIRTY) := '1'; v_line_wflags(DTAG_FL_SHARED) := '0'; vb_line_wdata := vb_cache_line_i_modified; v_resp_valid := '1'; v.state := State_Idle; end if; end if; when State_SetupReadAdr => v.state := State_CheckHit; when State_WriteBus => if i_mem_data_valid = '1' then if r.write_share = '1' then v.write_share := '0'; v.state := State_Idle; elsif r.write_flush = '1' then -- Offloading Cache line on flush request v.state := State_FlushAddr; elsif r.write_first = '1' then v.mem_addr := r.req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) & zero64(CFG_DLOG2_BYTES_PER_LINE-1 downto 0); v.req_mem_valid := '1'; v.write_first := '0'; if r.req_write = '1' then -- read request: read-modify-save cache line v.req_mem_type := ReadMakeUnique; else v.req_mem_type := ReadShared; end if; v.state := State_WaitGrant; else -- Non-cached write v.state := State_Idle; v_resp_valid := '1'; v_resp_er_store_fault := i_mem_store_fault; end if; elsif coherence_ena and i_req_snoop_valid = '1' and or_reduce(i_req_snoop_type) = '1' then -- Access cache data cannot be in the same clock as i_mem_data_valid v_req_snoop_ready_on_wait := '1'; v.snoop_restore_write_bus := '1'; v.req_addr_restore := r.req_addr; v.req_addr := i_req_snoop_addr; v.req_snoop_type := i_req_snoop_type; v.state := State_SnoopSetupAddr; end if; when State_FlushAddr => v.state := State_FlushCheck; v_direct_access := r.req_flush_all; -- 0=only if hit; 1=will be applied ignoring hit v_invalidate := '1'; -- generate: wstrb='1; wflags='0 v.write_flush := '0'; v.cache_line_i := (others => '0'); when State_FlushCheck => v.cache_line_o := line_rdata_o; v_direct_access := r.req_flush_all; v_line_cs_write := r.req_flush_all; if line_rflags_o(TAG_FL_VALID) = '1' and line_rflags_o(DTAG_FL_DIRTY) = '1' then -- Off-load valid line v.write_flush := '1'; v.mem_addr := line_raddr_o; v.req_mem_valid := '1'; v.req_mem_type := WriteBack; v.mem_wstrb := (others => '1'); v.state := State_WaitGrant; else -- Write clean line v.state := State_FlushAddr; if or_reduce(r.flush_cnt) = '0' then v.state := State_Idle; v_flush_end := '1'; end if; end if; if or_reduce(r.flush_cnt) = '1' then v.flush_cnt := r.flush_cnt - 1; if r.req_flush_all = '1' then v.req_addr := vb_addr_direct_next; else v.req_addr := r.req_addr + DCACHE_BYTES_PER_LINE; end if; end if; when State_ResetAddr => -- Write clean line v_direct_access := '1'; v_invalidate := '1'; -- generate: wstrb='1; wflags='0 v.state := State_ResetWrite; when State_ResetWrite => v_direct_access := '1'; v_line_cs_write := '1'; v.state := State_ResetAddr; if or_reduce(r.flush_cnt) = '1' then v.flush_cnt := r.flush_cnt - 1; v.req_addr := vb_addr_direct_next; else v.state := State_Idle; end if; when State_SnoopSetupAddr => v.state := State_SnoopReadData; v_invalidate := r.req_snoop_type(SNOOP_REQ_TYPE_READCLEAN); when State_SnoopReadData => v_resp_snoop_valid := '1'; if r.req_snoop_type(SNOOP_REQ_TYPE_READCLEAN) = '0' then v_line_cs_write := '1'; vb_line_wdata := line_rdata_o; vb_line_wstrb := (others => '1'); v_line_wflags := line_rflags_o; v_line_wflags(DTAG_FL_DIRTY) := '0'; v_line_wflags(DTAG_FL_SHARED) := '1'; end if; -- restore state v.snoop_restore_wait_resp := '0'; v.snoop_restore_write_bus := '0'; if r.snoop_restore_wait_resp = '1' then v.req_addr := r.req_addr_restore; v.state := State_WaitResp; elsif r.snoop_restore_write_bus = '1' then v.req_addr := r.req_addr_restore; v.state := State_WriteBus; else v.state := State_Idle; end if; when others => end case; v_req_snoop_cohena := '0'; if coherence_ena then v_req_snoop_cohena := v_ready_next and or_reduce(i_req_snoop_type); end if; v_req_snoop_ready := (line_snoop_ready_o and (not or_reduce(i_req_snoop_type))) or v_req_snoop_cohena or v_req_snoop_ready_on_wait; v.snoop_flags_valid := i_req_snoop_valid and line_snoop_ready_o and (not or_reduce(i_req_snoop_type)); if v_ready_next = '1' then if coherence_ena and i_req_snoop_valid = '1' and or_reduce(i_req_snoop_type) = '1' then -- Access cache data v.req_addr := i_req_snoop_addr; v.req_snoop_type := i_req_snoop_type; v.state := State_SnoopSetupAddr; elsif r.req_flush = '1' then v.state := State_FlushAddr; v.req_flush := '0'; v.cache_line_i := (others => '0'); v.req_addr := r.req_flush_addr; v.req_addr := r.req_flush_addr(CFG_CPU_ADDR_BITS-1 downto CFG_DLOG2_BYTES_PER_LINE) & zero64(CFG_DLOG2_BYTES_PER_LINE-1 downto 0); v.flush_cnt := r.req_flush_cnt; else v_req_ready := '1'; v_line_cs_read := i_req_valid; vb_line_addr := i_req_addr; if i_req_valid = '1' then v.req_addr := i_req_addr; v.req_wstrb := i_req_wstrb; v.req_wdata := i_req_wdata; v.req_write := i_req_write; v.state := State_CheckHit; end if; end if; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; line_direct_access_i <= v_direct_access; line_invalidate_i <= v_invalidate; line_re_i <= v_line_cs_read; line_we_i <= v_line_cs_write; line_addr_i <= vb_line_addr; line_wdata_i <= vb_line_wdata; line_wstrb_i <= vb_line_wstrb; line_wflags_i <= v_line_wflags; line_snoop_addr_i <= i_req_snoop_addr; o_req_ready <= v_req_ready; o_req_mem_valid <= r.req_mem_valid; o_req_mem_addr <= r.mem_addr; o_req_mem_type <= r.req_mem_type; o_req_mem_strob <= r.mem_wstrb; o_req_mem_data <= r.cache_line_o; o_resp_valid <= v_resp_valid; o_resp_data <= vb_resp_data; o_resp_addr <= r.req_addr; o_resp_er_addr <= r.req_addr; o_resp_er_load_fault <= v_resp_er_load_fault; o_resp_er_store_fault <= v_resp_er_store_fault; o_resp_er_mpu_load <= r.mpu_er_load; o_resp_er_mpu_store <= r.mpu_er_store; o_mpu_addr <= r.req_addr; o_flush_end <= v_flush_end; o_req_snoop_ready <= v_req_snoop_ready; o_resp_snoop_valid <= v_resp_snoop_valid; o_resp_snoop_data <= line_rdata_o; o_resp_snoop_flags <= line_snoop_flags_o; rin <= v; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
39c9c3bb97191b2a5aadec72a14b75bf
0.533415
3.238295
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/mem/otp_tech.vhd
1
2,608
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; library commonlib; use commonlib.types_common.all; entity otp_tech is generic ( memtech : integer := 0 ); port ( clk : in std_logic; -- only for FPGA i_we : in std_ulogic; i_re : in std_ulogic; i_addr : in std_logic_vector(11 downto 0); i_wdata : in std_logic_vector(15 downto 0); o_rdata : out std_logic_vector(15 downto 0); io_gnd : inout std_logic; io_vdd : inout std_logic; io_vdd18 : inout std_logic; io_upp : inout std_logic ); end; architecture rtl of otp_tech is component otp_clocked is port ( clk : in std_ulogic; we : in std_ulogic; re : in std_ulogic; address : in std_logic_vector(11 downto 0); wdata : in std_logic_vector(15 downto 0); rdata : out std_logic_vector(15 downto 0) ); end component; component OTP_MEM_BLOCK_CORE_AUG18_v1rev1 is port ( D_I : in std_logic_vector(15 downto 0); D_A : in std_logic_vector(11 downto 0); WE_I : in std_logic; RE_I : in std_logic; D_O : out std_logic_vector(15 downto 0); GND : inout std_logic; VDD : inout std_logic; VDD18 : inout std_logic; UPP : inout std_logic ); end component; begin genotp0 : if memtech = inferred or is_fpga(memtech) /= 0 generate inf0 : otp_clocked port map ( clk => clk, -- FPGA only we => i_we, re => i_re, address => i_addr, wdata => i_wdata, rdata => o_rdata ); end generate; genotp1 : if memtech = mikron180 generate mik180 : OTP_MEM_BLOCK_CORE_AUG18_v1rev1 port map ( D_I => i_wdata, D_A => i_addr, WE_I => i_we, RE_I => i_re, D_O => o_rdata, GND => io_gnd, VDD => io_vdd, VDD18 => io_vdd18, UPP => io_upp ); end generate; end;
apache-2.0
1bc46c16678e14aa7756aa64c3fec82a
0.604678
3.292929
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/gnsslib/types_gnss.vhd
1
2,593
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library ambalib; use ambalib.types_amba4.all; package types_gnss is component gnss_ss is generic ( async_reset : boolean := false; tech : integer := 0; xaddr : integer := 0; xmask : integer := 16#FFFFF#; xirq : integer := 0 ); port ( i_nrst : in std_logic; i_clk_bus : in std_logic; i_clk_adc : in std_logic; -- GNSS ADC clock (4..40 MHz) -- ADC samples (2 complex channels) i_gps_I : in std_logic_vector(1 downto 0); -- Channel 0 sampled I value i_gps_Q : in std_logic_vector(1 downto 0); -- Channel 0 sampled Q value i_glo_I : in std_logic_vector(1 downto 0); -- Channel 1 sampled I value i_glo_Q : in std_logic_vector(1 downto 0); -- Channel 1 sampled I value o_pps : out std_logic; -- Pulse Per Second signal -- MAX2769 SPIs and antenna controls signals: i_gps_ld : in std_logic; -- Channel 0 RF front-end Lock detect i_glo_ld : in std_logic; -- Channel 1 RF front-end Lock detect o_max_sclk : out std_logic; -- RF synthesizer SPI clock o_max_sdata : out std_logic; -- RF synthesizer SPI data o_max_ncs : out std_logic_vector(1 downto 0); -- RF synthesizer channel 0/1 selector i_antext_stat : in std_logic; -- Antenna powered status i_antext_detect : in std_logic; -- Antenna connected status o_antext_ena : out std_logic; -- Enabling/disabling antenna o_antint_contr : out std_logic; -- Antenna Internal/External selector -- AXI4 interface o_cfg : out axi4_slave_config_type; i_axi : in axi4_slave_in_type; o_axi : out axi4_slave_out_type; o_irq : out std_logic ); end component; end;
apache-2.0
e2c41d30e6bde7fe9418659d33317376
0.612804
3.704286
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/ethlib/eth_axi_mst.vhd
1
8,015
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief AXI Master device implementing DMA access. --! @details AMBA4 AXI Master interface module dedicated for the eth MAC. ------------------------------------------------------------------------------ --! Standard library library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; --! Rocket-chip specific library library ethlib; use ethlib.types_eth.all; entity eth_axi_mst is port( rst : in std_ulogic; clk : in std_ulogic; aximi : in axi4_master_in_type; aximo : out axi4_master_out_type; tmsti : in eth_tx_ahb_in_type; tmsto : out eth_tx_ahb_out_type; rmsti : in eth_rx_ahb_in_type; rmsto : out eth_rx_ahb_out_type ); end entity; architecture rtl of eth_axi_mst is constant STATE_IDLE : integer := 0; constant STATE_W : integer := STATE_IDLE+1; constant STATE_R_WAIT_RESP : integer := STATE_W+1; constant STATE_R_WAIT_NEXT : integer := STATE_R_WAIT_RESP+1; constant STATE_B : integer := STATE_R_WAIT_NEXT+1; constant Rx : integer := 0; constant Tx : integer := 1; type eth_in_type is record req : std_ulogic; write : std_ulogic; addr : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); burst_bytes : std_logic_vector(10 downto 0); end record; type eth_out_type is record grant : std_ulogic; data : std_logic_vector(31 downto 0); ready : std_ulogic; error : std_ulogic; retry : std_ulogic; end record; type eth_out_vector is array (0 to 1) of eth_out_type; type reg_type is record state : integer range 0 to STATE_B; len : integer; x : integer range 0 to 1; waddr2 : std_logic; end record; signal r, rin : reg_type; begin comb : process(rst, r, tmsti, rmsti, aximi) is variable v : reg_type; variable xmsti : eth_in_type; variable xmsto : eth_out_vector; variable vaximo : axi4_master_out_type; variable rdata_lsb : std_logic_vector(31 downto 0); variable wdata_lsb : std_logic_vector(31 downto 0); begin v := r; vaximo := axi4_master_out_none; vaximo.ar_user := (others => '0'); vaximo.ar_id := conv_std_logic_vector(0, CFG_SYSBUS_ID_BITS); vaximo.ar_bits.size := "010"; -- 4 bytes vaximo.ar_bits.burst := AXI_BURST_INCR; vaximo.aw_user := (others => '0'); vaximo.aw_id := conv_std_logic_vector(0, CFG_SYSBUS_ID_BITS); vaximo.aw_bits.size := "010"; -- 4 bytes vaximo.aw_bits.burst := AXI_BURST_INCR; xmsto := (others => ('0', rdata_lsb, '0', '0', '0')); if r.x = Rx then xmsti.req := rmsti.req; xmsti.write := rmsti.write; xmsti.addr := rmsti.addr; xmsti.data := rmsti.data; xmsti.burst_bytes := rmsti.burst_bytes; else xmsti.req := tmsti.req; xmsti.write := tmsti.write; xmsti.addr := tmsti.addr; xmsti.data := tmsti.data; xmsti.burst_bytes := tmsti.burst_bytes; end if; -- Pre-fix for SPARC byte order. -- It is better to fix in MAC itselfm but for now it will be here. wdata_lsb := xmsti.data(7 downto 0) & xmsti.data(15 downto 8) & xmsti.data(23 downto 16) & xmsti.data(31 downto 24); rdata_lsb := aximi.r_data(7 downto 0) & aximi.r_data(15 downto 8) & aximi.r_data(23 downto 16) & aximi.r_data(31 downto 24); case r.state is when STATE_IDLE => if rmsti.req = '1' then v.x := Rx; vaximo.ar_valid := not rmsti.write; vaximo.aw_valid := rmsti.write; if rmsti.write = '1' then vaximo.aw_bits.addr := rmsti.addr(31 downto 3) & "000"; v.waddr2 := rmsti.addr(2); v.len := conv_integer(rmsti.burst_bytes(10 downto 2)) - 1; vaximo.aw_bits.len := conv_std_logic_vector(v.len, 8); if aximi.aw_ready = '1' then xmsto(Rx).grant := '1'; v.state := STATE_W; end if; else vaximo.ar_bits.addr := rmsti.addr; v.len := conv_integer(rmsti.burst_bytes(10 downto 2)) - 1; vaximo.ar_bits.len := conv_std_logic_vector(v.len, 8); if aximi.ar_ready = '1' then xmsto(Rx).grant := '1'; v.state := STATE_R_WAIT_RESP; end if; end if; elsif tmsti.req = '1' then v.x := Tx; vaximo.ar_valid := not tmsti.write; vaximo.aw_valid := tmsti.write; if tmsti.write = '1' then vaximo.aw_bits.addr := tmsti.addr(31 downto 3) & "000"; v.waddr2 := tmsti.addr(2); v.len := conv_integer(tmsti.burst_bytes(10 downto 2)) - 1; vaximo.aw_bits.len := conv_std_logic_vector(v.len, 8); if aximi.aw_ready = '1' then xmsto(Tx).grant := '1'; v.state := STATE_W; end if; else vaximo.ar_bits.addr := tmsti.addr; v.len := conv_integer(tmsti.burst_bytes(10 downto 2)) - 1; vaximo.ar_bits.len := conv_std_logic_vector(v.len, 8); if aximi.ar_ready = '1' then xmsto(Tx).grant := '1'; v.state := STATE_R_WAIT_RESP; end if; end if; end if; when STATE_R_WAIT_RESP => vaximo.r_ready := '1'; if aximi.r_valid = '1' then xmsto(r.x).ready := '1'; if aximi.r_last = '1' then v.state := STATE_IDLE; else if xmsti.req = '1' then xmsto(r.x).grant := '1'; else v.state := STATE_R_WAIT_NEXT; end if; end if; end if; when STATE_R_WAIT_NEXT => if xmsti.req = '1' then xmsto(r.x).grant := '1'; v.state := STATE_R_WAIT_RESP; end if; when STATE_W => vaximo.w_valid := '1'; case r.waddr2 is when '0' => vaximo.w_strb := X"0f"; when '1' => vaximo.w_strb := X"f0"; when others => end case; vaximo.w_data := wdata_lsb & wdata_lsb; if aximi.w_ready = '1' then xmsto(r.x).ready := '1'; if r.len = 0 then v.state := STATE_B; vaximo.w_last := '1'; else xmsto(r.x).grant := '1'; v.len := r.len - 1; -- Address will be incremented on slave side --v.waddr2 := not r.waddr2; end if; end if; when STATE_B => vaximo.w_last := '0'; vaximo.b_ready := '1'; if aximi.b_valid = '1' then v.state := STATE_IDLE; end if; when others => end case; if rst = '0' then v.state := STATE_IDLE; v.waddr2 := '0'; v.len := 0; v.x := Rx; end if; rin <= v; aximo <= vaximo; tmsto.grant <= xmsto(Tx).grant; tmsto.data <= xmsto(Tx).data; tmsto.ready <= xmsto(Tx).ready; tmsto.error <= xmsto(Tx).error; tmsto.retry <= xmsto(Tx).retry; rmsto.grant <= xmsto(Rx).grant; rmsto.data <= xmsto(Rx).data; rmsto.ready <= xmsto(Rx).ready; rmsto.error <= xmsto(Rx).error; rmsto.retry <= xmsto(Rx).retry; end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; end architecture;
apache-2.0
fb718b38a88ee45c835d961e9d8203be
0.509669
3.343763
false
false
false
false
szanni/aeshw
aes-core/key_expansion_cu.vhd
1
2,394
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:32:17 07/13/2014 -- Design Name: -- Module Name: key_expansion_cu - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.types.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity key_expansion_cu is port( clk : in std_logic; reset : in std_logic; x_start : in std_logic; -- start key expansion y_end : out std_logic; -- key expansion finished x_comp : in std_logic; -- expansion finished (notification from operational unit) y_we : out std_logic; -- controlling signal for write enable y_1_2 : out std_logic_vector(1 downto 0); -- controlling signal for key empander mux y_3_4 : out std_logic_vector(1 downto 0) -- controlling signal for counter mux ); end key_expansion_cu; architecture Behavioral of key_expansion_cu is type States is (S0, S1, S2); signal S, S_next : States; begin delta : process (S, x_start, x_comp) begin case S is when S0 => y_1_2 <="00"; -- load into expander y_3_4 <="00"; -- initialize counter y_we <= '0'; y_end <= '0'; if x_start = '1' then S_next <= S1; else S_next <= S0; end if; when S1 => y_1_2 <= "01"; -- feed back last round key y_3_4 <= "01"; -- increment counter y_we <= '1'; y_end <= '0'; if x_comp = '1' then S_next <= S2; else S_next <= S1; end if; when S2 => y_1_2 <= "--"; y_3_4 <= "--"; y_we <= '0'; y_end <= '1'; S_next <= S0; end case; end process delta; feedback_loop : process (clk, reset, S_next) begin if reset = '1' then S <= S0; elsif rising_edge(clk) then S <= S_next; end if; end process feedback_loop; end Behavioral;
bsd-2-clause
27ef549b4009bfc5c45f22fe2a76e944
0.548037
3.320388
false
false
false
false
szanni/aeshw
aes-core/math.vhd
1
3,019
library ieee; use ieee.std_logic_1164.all; use work.types.all; package math is function mul2(din : byte) return byte; function mul3(din : byte) return byte; function mul9(din : byte) return byte; function mulb(din : byte) return byte; function muld(din : byte) return byte; function mule(din : byte) return byte; end math; package body math is function mul2(din : byte) return byte is variable ret : byte; begin ret(0) := din(7); ret(1) := din(0) xor din(7); ret(2) := din(1); ret(3) := din(2) xor din(7); ret(4) := din(3) xor din(7); ret(5) := din(4); ret(6) := din(5); ret(7) := din(6); return ret; end mul2; function mul3(din : byte) return byte is variable ret : byte; begin ret(0) := din(0) xor din(7); ret(1) := din(0) xor din(1) xor din(7); ret(2) := din(1) xor din(2); ret(3) := din(2) xor din(3) xor din(7); ret(4) := din(3) xor din(4) xor din(7); ret(5) := din(4) xor din(5); ret(6) := din(5) xor din(6); ret(7) := din(6) xor din(7); return ret; end mul3; function mul9(din : byte) return byte is variable ret : byte; begin ret(0) := din(0) xor din(5); ret(1) := din(1) xor din(5) xor din(6); ret(2) := din(2) xor din(6) xor din(7); ret(3) := din(0) xor din(3) xor din(5) xor din(7); ret(4) := din(1) xor din(4) xor din(5) xor din(6); ret(5) := din(2) xor din(5) xor din(6) xor din(7); ret(6) := din(3) xor din(6) xor din(7); ret(7) := din(4) xor din(7); return ret; end mul9; function mulb(din : byte) return byte is variable ret : byte; begin ret(0) := din(0) xor din(5) xor din(7); ret(1) := din(0) xor din(1) xor din(5) xor din(6) xor din(7); ret(2) := din(1) xor din(2) xor din(6) xor din(7); ret(3) := din(0) xor din(2) xor din(3) xor din(5); ret(4) := din(1) xor din(3) xor din(4) xor din(5) xor din(6) xor din(7); ret(5) := din(2) xor din(4) xor din(5) xor din(6) xor din(7); ret(6) := din(3) xor din(5) xor din(6) xor din(7); ret(7) := din(4) xor din(6) xor din(7); return ret; end mulb; function muld(din : byte) return byte is variable ret : byte; begin ret(0) := din(0) xor din(5) xor din(6); ret(1) := din(1) xor din(5) xor din(7); ret(2) := din(0) xor din(2) xor din(6); ret(3) := din(0) xor din(1) xor din(3) xor din(5) xor din(6) xor din(7); ret(4) := din(1) xor din(2) xor din(4) xor din(5) xor din(7); ret(5) := din(2) xor din(3) xor din(5) xor din(6); ret(6) := din(3) xor din(4) xor din(6) xor din(7); ret(7) := din(4) xor din(5) xor din(7); return ret; end muld; function mule(din : byte) return byte is variable ret : byte; begin ret(0) := din(5) xor din(6) xor din(7); ret(1) := din(0) xor din(5); ret(2) := din(0) xor din(1) xor din(6); ret(3) := din(0) xor din(1) xor din(2) xor din(5) xor din(6); ret(4) := din(1) xor din(2) xor din(3) xor din(5); ret(5) := din(2) xor din(3) xor din(4) xor din(6); ret(6) := din(3) xor din(4) xor din(5) xor din(7); ret(7) := din(4) xor din(5) xor din(6); return ret; end mule; end math;
bsd-2-clause
76648d670ffec4dcc21f1ea772aa15b8
0.580325
2.237954
false
false
false
false
AlessandroSpallina/CalcolatoriElettronici
VHDL/09-12-14/09-12-14_compito.vhd
2
2,780
-- Copyright (C) 2016 by Spallina Ind. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity antonella is port ( op : in std_logic_vector(1 downto 0); din : in std_logic_vector(15 downto 0); start, clk : in std_logic; res : out std_logic_vector(15 downto 0); fine : out std_logic ); end antonella; architecture beh of antonella is type stati is (idle, getOP, codOP, exeLD, exeAND, exeADD); type memory is array (0 to 1) of std_logic_vector(15 downto 0); signal st : stati; signal REG : memory; signal OPE : std_logic_vector(1 downto 0); -- sto salvando su un registro OP poichè assumo che in ingresso il valore di OP sia presente solo per un ciclo di clock signal enOP, enLD, enAND, enADD : std_logic; -- signal enCOD : std_logic; non serve a niente, vedi segnali di controllo sotto :D signal counter : integer range 2 downto 0; function next_state (st: stati; start : std_logic; ope : std_logic_vector(1 downto 0); counter : integer range 2 downto 0) return stati is variable nxt : stati; begin case st is when idle => if start = '1' then nxt := getOP; else nxt := idle; end if; when getOP => nxt := codOP; when codOP => case ope is when "00" | "01" => nxt := exeLD; when "10" => nxt := exeAND; when others => nxt := exeADD; end case; when exeLD => nxt := idle; when exeAND => if counter < 1 then nxt := exeAND; else nxt := idle; end if; when exeADD => if counter < 2 then nxt := exeADD; else nxt := idle; end if; end case; return nxt; end next_state; begin -- CU process (clk) is begin if clk'event and clk = '0' then st <= next_state(st, start, ope, counter); end if; end process; -- State Control Bits enOP <= '1' when st = getOP else '0'; -- enCOD <= '1' when st = codOP else '0'; non serve a niente, poichè la decodifica la faccio nella funct next_state enLD <= '1' when st = exeLD else '0'; enAND <= '1' when st = exeAND else '0'; enADD <= '1' when st = exeADD else '0'; -- DATAPATH process (clk) is begin if enOP = '1' then ope <= op; counter <= 0; end if; if enLD = '1' then REG(conv_integer(ope)) <= din; end if; if enAND = '1' then if counter = 1 then REG(1) <= REG(0) and REG(1); else counter <= counter + 1; end if; end if; if enADD = '1' then if counter = 2 then res <= REG(0) + REG(1); else counter <= counter +1; end if; end if; if enLD = '1' or (enAND = '1' and counter = 1) or (enADD = '1' and counter = 2) then fine <= '1'; else fine <= '0'; end if; end process; end beh;
mit
b683bc0bf59ded84f1aa2bda4e9db42f
0.592446
2.863028
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ip/dma_loopback_axi_dma_0_0/synth/dma_loopback_axi_dma_0_0.vhd
1
31,740
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_dma:7.1 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_dma_v7_1_10; USE axi_dma_v7_1_10.axi_dma; ENTITY dma_loopback_axi_dma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END dma_loopback_axi_dma_0_0; ARCHITECTURE dma_loopback_axi_dma_0_0_arch OF dma_loopback_axi_dma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dma_loopback_axi_dma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_dma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_MULTI_CHANNEL : INTEGER; C_NUM_MM2S_CHANNELS : INTEGER; C_NUM_S2MM_CHANNELS : INTEGER; C_INCLUDE_SG : INTEGER; C_SG_INCLUDE_STSCNTRL_STRM : INTEGER; C_SG_USE_STSAPP_LENGTH : INTEGER; C_SG_LENGTH_WIDTH : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER; C_MICRO_DMA : INTEGER; C_INCLUDE_MM2S : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_BURST_SIZE : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_S2MM : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_BURST_SIZE : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mm2s_cntrl_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC; m_axis_mm2s_cntrl_tready : IN STD_LOGIC; m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s2mm_sts_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_sts_tvalid : IN STD_LOGIC; s_axis_s2mm_sts_tready : OUT STD_LOGIC; s_axis_s2mm_sts_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_dma; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF dma_loopback_axi_dma_0_0_arch: ARCHITECTURE IS "axi_dma,Vivado 2016.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF dma_loopback_axi_dma_0_0_arch : ARCHITECTURE IS "dma_loopback_axi_dma_0_0,axi_dma,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF dma_loopback_axi_dma_0_0_arch: ARCHITECTURE IS "dma_loopback_axi_dma_0_0,axi_dma,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_dma,x_ipVersion=7.1,x_ipCoreRevision=10,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=10,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=0,C_ENABLE_MULTI_CHANNEL=0,C_NUM_MM2S_CHANNELS=1,C_NUM_S2MM_CHANNELS=1,C_INCLUDE_SG=1,C_SG_INCLUDE_STSCNTRL_STRM=0,C_SG_USE_STSAPP_LENGTH=0,C_SG_LENGTH_WIDTH=23,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH" & "=32,C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH=32,C_S_AXIS_S2MM_STS_TDATA_WIDTH=32,C_MICRO_DMA=0,C_INCLUDE_MM2S=1,C_INCLUDE_MM2S_SF=1,C_MM2S_BURST_SIZE=16,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=32,C_M_AXIS_MM2S_TDATA_WIDTH=32,C_INCLUDE_MM2S_DRE=0,C_INCLUDE_S2MM=1,C_INCLUDE_S2MM_SF=1,C_S2MM_BURST_SIZE=16,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=32,C_S_AXIS_S2MM_TDATA_WIDTH=32,C_INCLUDE_S2MM_DRE=0,C_FAMILY=zynq}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_SG_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 MM2S_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_dma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 10, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_MULTI_CHANNEL => 0, C_NUM_MM2S_CHANNELS => 1, C_NUM_S2MM_CHANNELS => 1, C_INCLUDE_SG => 1, C_SG_INCLUDE_STSCNTRL_STRM => 0, C_SG_USE_STSAPP_LENGTH => 0, C_SG_LENGTH_WIDTH => 23, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32, C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32, C_MICRO_DMA => 0, C_INCLUDE_MM2S => 1, C_INCLUDE_MM2S_SF => 1, C_MM2S_BURST_SIZE => 16, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 32, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_S2MM => 1, C_INCLUDE_S2MM_SF => 1, C_S2MM_BURST_SIZE => 16, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_INCLUDE_S2MM_DRE => 0, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => m_axi_sg_aclk, m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_awaddr => m_axi_sg_awaddr, m_axi_sg_awlen => m_axi_sg_awlen, m_axi_sg_awsize => m_axi_sg_awsize, m_axi_sg_awburst => m_axi_sg_awburst, m_axi_sg_awprot => m_axi_sg_awprot, m_axi_sg_awcache => m_axi_sg_awcache, m_axi_sg_awvalid => m_axi_sg_awvalid, m_axi_sg_awready => m_axi_sg_awready, m_axi_sg_wdata => m_axi_sg_wdata, m_axi_sg_wstrb => m_axi_sg_wstrb, m_axi_sg_wlast => m_axi_sg_wlast, m_axi_sg_wvalid => m_axi_sg_wvalid, m_axi_sg_wready => m_axi_sg_wready, m_axi_sg_bresp => m_axi_sg_bresp, m_axi_sg_bvalid => m_axi_sg_bvalid, m_axi_sg_bready => m_axi_sg_bready, m_axi_sg_araddr => m_axi_sg_araddr, m_axi_sg_arlen => m_axi_sg_arlen, m_axi_sg_arsize => m_axi_sg_arsize, m_axi_sg_arburst => m_axi_sg_arburst, m_axi_sg_arprot => m_axi_sg_arprot, m_axi_sg_arcache => m_axi_sg_arcache, m_axi_sg_arvalid => m_axi_sg_arvalid, m_axi_sg_arready => m_axi_sg_arready, m_axi_sg_rdata => m_axi_sg_rdata, m_axi_sg_rresp => m_axi_sg_rresp, m_axi_sg_rlast => m_axi_sg_rlast, m_axi_sg_rvalid => m_axi_sg_rvalid, m_axi_sg_rready => m_axi_sg_rready, m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axis_mm2s_cntrl_tready => '0', m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_sts_tkeep => X"F", s_axis_s2mm_sts_tvalid => '0', s_axis_s2mm_sts_tlast => '0', mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut, axi_dma_tstvec => axi_dma_tstvec ); END dma_loopback_axi_dma_0_0_arch;
mit
01a43499358c7a7970829130704519ec
0.682231
2.757363
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/types_river.vhd
1
13,444
--! --! Copyright 2020 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! --! Standard library. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; use ambalib.types_bus0.all; -- TODO: REMOVE ME when update dsu --! RIVER CPU specific library. library riverlib; --! RIVER CPU configuration constants. use riverlib.river_cfg.all; --! @brief Declaration of components visible on SoC top level. package types_river is -- Number of CPU per one workgroup: constant CFG_LOG2_CPU_MAX : integer := 2; -- 1=Dual-core (maximum); 2=Quad-core (maximum) constant CFG_TOTAL_CPU_MAX : integer := 2**CFG_LOG2_CPU_MAX; -- +1 Coherent SBA debug port (not available in River) -- +1 ACP coherent port (not available in River) constant CFG_SLOT_L1_TOTAL : integer := CFG_TOTAL_CPU_MAX + 0; -- AXI4 with ACE channels type axi4_l1_out_type is record aw_valid : std_logic; aw_bits : axi4_metadata_type; aw_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); aw_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); w_valid : std_logic; w_data : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); w_last : std_logic; w_strb : std_logic_vector(L1CACHE_BYTES_PER_LINE-1 downto 0); w_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); b_ready : std_logic; ar_valid : std_logic; ar_bits : axi4_metadata_type; ar_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); ar_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); r_ready : std_logic; -- ACE signals ar_domain : std_logic_vector(1 downto 0); -- 00=Non-shareable (single master in domain) ar_snoop : std_logic_vector(3 downto 0); -- Table C3-7: ar_bar : std_logic_vector(1 downto 0); -- read barrier transaction aw_domain : std_logic_vector(1 downto 0); aw_snoop : std_logic_vector(3 downto 0); -- Table C3-8 aw_bar : std_logic_vector(1 downto 0); -- write barrier transaction ac_ready : std_logic; cr_valid : std_logic; cr_resp : std_logic_vector(4 downto 0); cd_valid : std_logic; cd_data : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); cd_last : std_logic; rack : std_logic; wack : std_logic; end record; constant axi4_l1_out_none : axi4_l1_out_type := ( '0', META_NONE, (others=>'0'), (others => '0'), '0', (others=>'0'), '0', (others=>'0'), (others => '0'), '0', '0', META_NONE, (others=>'0'), (others => '0'), '0', "00", X"0", "00", "00", X"0", "00", '0', '0', "00000", '0', (others => '0'), '0', '0', '0'); type axi4_l1_in_type is record aw_ready : std_logic; w_ready : std_logic; b_valid : std_logic; b_resp : std_logic_vector(1 downto 0); b_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); b_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); ar_ready : std_logic; r_valid : std_logic; r_resp : std_logic_vector(3 downto 0); r_data : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); r_last : std_logic; r_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); r_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); -- ACE signals ac_valid : std_logic; ac_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); ac_snoop : std_logic_vector(3 downto 0); -- Table C3-19 ac_prot : std_logic_vector(2 downto 0); cr_ready : std_logic; cd_ready : std_logic; end record; constant axi4_l1_in_none : axi4_l1_in_type := ( '0', '0', '0', AXI_RESP_OKAY, (others=>'0'), (others => '0'), '0', '0', (others => '0'), (others=>'0'), '0', (others=>'0'), (others => '0'), '0', (others => '0'), X"0", "000", '0', '0'); type axi4_l1_in_vector is array (0 to CFG_SLOT_L1_TOTAL-1) of axi4_l1_in_type; type axi4_l1_out_vector is array (0 to CFG_SLOT_L1_TOTAL-1) of axi4_l1_out_type; -- L2 AXI structure type axi4_l2_out_type is record aw_valid : std_logic; aw_bits : axi4_metadata_type; aw_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); aw_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); w_valid : std_logic; w_data : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); w_last : std_logic; w_strb : std_logic_vector(L1CACHE_BYTES_PER_LINE-1 downto 0); w_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); b_ready : std_logic; ar_valid : std_logic; ar_bits : axi4_metadata_type; ar_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); ar_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); r_ready : std_logic; end record; constant axi4_l2_out_none : axi4_l2_out_type := ( '0', META_NONE, (others=>'0'), (others => '0'), '0', (others=>'0'), '0', (others=>'0'), (others => '0'), '0', '0', META_NONE, (others=>'0'), (others => '0'), '0' ); type axi4_l2_in_type is record aw_ready : std_logic; w_ready : std_logic; b_valid : std_logic; b_resp : std_logic_vector(1 downto 0); b_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); b_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); ar_ready : std_logic; r_valid : std_logic; r_resp : std_logic_vector(1 downto 0); r_data : std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); r_last : std_logic; r_id : std_logic_vector(CFG_CPU_ID_BITS-1 downto 0); r_user : std_logic_vector(CFG_CPU_USER_BITS-1 downto 0); end record; constant axi4_l2_in_none : axi4_l2_in_type := ( '0', '0', '0', AXI_RESP_OKAY, (others=>'0'), (others => '0'), '0', '0', (others => '0'), (others=>'0'), '0', (others=>'0'), (others => '0') ); -- River Debug port interface type dport_in_type is record req_valid : std_logic; resp_ready : std_logic; write : std_logic; addr : std_logic_vector(CFG_DPORT_ADDR_BITS-1 downto 0); wdata : std_logic_vector(RISCV_ARCH-1 downto 0); end record; constant dport_in_none : dport_in_type := ( '0', '1', '0', (others => '0'), (others => '0')); type dport_in_vector is array (0 to CFG_TOTAL_CPU_MAX-1) of dport_in_type; type dport_out_type is record halted : std_logic; available : std_logic; req_ready : std_logic; resp_valid : std_logic; rdata : std_logic_vector(RISCV_ARCH-1 downto 0); end record; constant dport_out_none : dport_out_type := ( '0', '0', '1', '1', (others => '0')); type dport_out_vector is array (0 to CFG_TOTAL_CPU_MAX-1) of dport_out_type; --! @brief Declaration of the Debug Support Unit with the AXI interface. --! @details This module provides access to processors CSRs via HostIO bus. --! @param[in] clk System clock (BUS/CPU clock). --! @param[in] rstn Reset signal with active LOW level. --! @param[in] i_axi Slave slot input signals. --! @param[out] o_axi Slave slot output signals. --! @param[out] o_dporti Debug port output signals connected to River CPU. --! @param[in] i_dporto River CPU debug port response signals. --! @param[out] o_soft_rstn Software reset CPU and interrupt controller. Active HIGH --! @param[in] i_bus_util_w Write bus access utilization per master statistic --! @param[in] i_bus_util_r Write bus access utilization per master statistic component axi_dsu is generic ( async_reset : boolean := false; xaddr : integer := 0; xmask : integer := 16#fffff# ); port ( clk : in std_logic; nrst : in std_logic; o_cfg : out axi4_slave_config_type; i_axi : in axi4_slave_in_type; o_axi : out axi4_slave_out_type; o_dporti : out dport_in_vector; i_dporto : in dport_out_vector; i_dmi_hartsel : in std_logic_vector(CFG_LOG2_CPU_MAX-1 downto 0); o_dmi_req_valid : out std_logic; i_dmi_req_ready : in std_logic; o_dmi_write : out std_logic; o_dmi_addr : out std_logic_vector(6 downto 0); o_dmi_wdata : out std_logic_vector(31 downto 0); i_dmi_resp_valid : in std_logic; o_dmi_resp_ready : out std_logic; i_dmi_rdata : in std_logic_vector(31 downto 0); i_bus_util_w : in std_logic_vector(CFG_BUS0_XMST_TOTAL-1 downto 0); i_bus_util_r : in std_logic_vector(CFG_BUS0_XMST_TOTAL-1 downto 0) ); end component; component dmi_regs is generic ( async_reset : boolean := false; cpu_available : integer := 1 ); port ( clk : in std_logic; nrst : in std_logic; -- port[0] connected to JTAG TAP has access to AXI master interface (SBA registers) i_dmi_jtag_req_valid : in std_logic; o_dmi_jtag_req_ready : out std_logic; i_dmi_jtag_write : in std_logic; i_dmi_jtag_addr : in std_logic_vector(6 downto 0); i_dmi_jtag_wdata : in std_logic_vector(31 downto 0); o_dmi_jtag_resp_valid : out std_logic; i_dmi_jtag_resp_ready : in std_logic; o_dmi_jtag_rdata : out std_logic_vector(31 downto 0); -- port[1] connected to DSU doesn't have access to AXI master interface i_dmi_dsu_req_valid : in std_logic; o_dmi_dsu_req_ready : out std_logic; i_dmi_dsu_write : in std_logic; i_dmi_dsu_addr : in std_logic_vector(6 downto 0); i_dmi_dsu_wdata : in std_logic_vector(31 downto 0); o_dmi_dsu_resp_valid : out std_logic; i_dmi_dsu_resp_ready : in std_logic; o_dmi_dsu_rdata : out std_logic_vector(31 downto 0); -- Common signals o_hartsel : out std_logic_vector(CFG_LOG2_CPU_MAX-1 downto 0); o_dmstat : out std_logic_vector(1 downto 0); o_ndmreset : out std_logic; -- non-debug module reset o_cfg : out axi4_master_config_type; i_xmsti : in axi4_master_in_type; o_xmsto : out axi4_master_out_type; o_dporti : out dport_in_vector; i_dporto : in dport_out_vector ); end component; --! Dport interconnect to switch DSU and DMI access component ic_dport_2s_1m is generic ( async_reset : boolean := false ); port ( clk : in std_logic; nrst : in std_logic; -- Group <=> DMI interface i_sdport0i : in dport_in_vector; o_sdport0o : out dport_out_vector; -- Group <=> DSU interface i_sdport1i : in dport_in_vector; o_sdport1o : out dport_out_vector; -- Group connection o_mdporti : out dport_in_vector; i_mdporto : in dport_out_vector ); end component; --! @brief RIVER CPU component declaration. --! @details This module implements Risc-V CPU Core named as --! "RIVER" with AXI interface. --! @param[in] xindex AXI master index --! @param[in] i_rstn Reset signal with active LOW level. --! @param[in] i_clk System clock (BUS/CPU clock). --! @param[in] i_msti Bus-to-Master device signals. --! @param[out] o_msto CachedTile-to-Bus request signals. --! @param[in] i_ext_irq Interrupts line supported by Rocket chip. component river_amba is generic ( memtech : integer; hartid : integer; async_reset : boolean; fpu_ena : boolean; coherence_ena : boolean; tracer_ena : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_msti : in axi4_l1_in_type; o_msto : out axi4_l1_out_type; i_dport : in dport_in_type; o_dport : out dport_out_type; i_ext_irq : in std_logic ); end component; -- Processor stub should be instantiated for unused CPU slot component river_dummycpu is port ( o_msto : out axi4_l1_out_type; o_dport : out dport_out_type; o_flush_l2 : out std_logic ); end component; -- L2 cache dummy implementation. Real L2 implemented in Wasserfall SoC. component RiverL2Dummy is generic ( async_reset : boolean := false ); port ( i_clk : in std_logic; i_nrst : in std_logic; -- CPUs Workgroup i_l1o : in axi4_l1_out_vector; o_l1i : out axi4_l1_in_vector; -- System bus i_l2i : in axi4_l2_in_type; o_l2o : out axi4_l2_out_type; i_flush_valid : std_logic ); end component; -- Convert L2 cache lines into system bus transactions component river_l2serdes is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_l2o : in axi4_l2_out_type; o_l2i : out axi4_l2_in_type; i_msti : in axi4_master_in_type; o_msto : out axi4_master_out_type ); end component; -- River CPU group with L2-cache (stub or real) component river_workgroup is generic ( cpunum : integer; memtech : integer; async_reset : boolean; fpu_ena : boolean; coherence_ena : boolean; tracer_ena : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_msti : in axi4_master_in_type; o_msto : out axi4_master_out_type; o_mstcfg : out axi4_master_config_type; i_dport : in dport_in_vector; o_dport : out dport_out_vector; i_ext_irq : in std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0) ); end component; end; -- package body
apache-2.0
6cf6abb3c1e39abe968658c62ec56a41
0.632475
2.901165
false
false
false
false
szanni/aeshw
zybo-base/lib/Digilent/hdmi_tx_1.0/hdl/DVITransmitter.vhd
1
13,805
-------------------------------------------------------------------------------- -- -- File: -- DVITransmitter.vhd -- -- Module: -- DVITransmitter -- -- Author: -- Elod Gyorgy -- -- Date: -- 04/06/2011 -- -- Description: -- DVITransmitter takes 24-bit RGB video data with proper sync -- signals and transmits them on a DVI or HDMI port. The encoding and serialization -- is done according to the Digital Visual Interface (DVI) specifications Rev 1.0. -- -- Copyright notice: -- Copyright (C) 2014 Digilent Inc. -- -- License: -- This program is free software; distributed under the terms of -- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. -- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE -- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED -- OF THE POSSIBILITY OF SUCH DAMAGE. -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --library digilent; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity DVITransmitter is Generic (FAMILY : STRING := "spartan6"); Port ( RED_I : in STD_LOGIC_VECTOR (7 downto 0); GREEN_I : in STD_LOGIC_VECTOR (7 downto 0); BLUE_I : in STD_LOGIC_VECTOR (7 downto 0); HS_I : in STD_LOGIC; VS_I : in STD_LOGIC; VDE_I : in STD_LOGIC; RST_I : in STD_LOGIC; PCLK_I : in STD_LOGIC; PCLK_X5_I : in STD_LOGIC; TMDS_TX_CLK_P : out STD_LOGIC; TMDS_TX_CLK_N : out STD_LOGIC; TMDS_TX_2_P : out STD_LOGIC; TMDS_TX_2_N : out STD_LOGIC; TMDS_TX_1_P : out STD_LOGIC; TMDS_TX_1_N : out STD_LOGIC; TMDS_TX_0_P : out STD_LOGIC; TMDS_TX_0_N : out STD_LOGIC); end DVITransmitter; architecture Behavioral of DVITransmitter is signal intTmdsRed, intTmdsGreen, intTmdsBlue : std_logic_vector(9 downto 0); signal tmds_p, tmds_n : std_logic_vector(3 downto 0); signal int_rst, SerClk : std_logic; constant CLKIN_PERIOD : REAL := 13.468; --ns = 74.25MHz (maximum supported pixel clock) constant N : NATURAL := 10; --serialization factor constant PLLO0 : NATURAL := 1; -- SERCLK = PCLK * N constant PLLO2 : NATURAL := PLLO0 * N; -- PCLK = PCLK * N / N constant PLLO3 : NATURAL := PLLO0 * N / 2; -- PCLK_X2 = PLCK * N / (N/2) signal intfb, intfb_buf, intpllout_x2, pllout_xs, pllout_x1, pllout_x2: std_logic; signal PClk, PClk_x2, PllLckd, PllRst, intRst, BufPllLckd, SerStb : std_logic; component SerializerN_1 is Generic ( N : NATURAL := 10; FAMILY : STRING := "spartan6"); Port ( DP_I : in STD_LOGIC_VECTOR (N-1 downto 0); CLKDIV_I : in STD_LOGIC; --parallel slow clock CLKDIV_X2_I : in STD_LOGIC; --double parallel slow clock (CLKDIV_I x 2) REQUIRED ONLY FOR Spartan-6 SERCLK_I : in STD_LOGIC; --serial fast clock (CLK_I = CLKDIV_I x N / 2) SERSTB_I : in STD_LOGIC; -- REQUIRED ONLY FOR Spartan-6 RST_I : in STD_LOGIC; --async reset DSP_O : out STD_LOGIC; DSN_O : out STD_LOGIC); end component; component TMDSEncoder is Port ( D_I : in STD_LOGIC_VECTOR (7 downto 0); C0_I : in STD_LOGIC; C1_I : in STD_LOGIC; DE_I : in STD_LOGIC; CLK_I: in STD_LOGIC; RST_I: in STD_LOGIC; D_O : out STD_LOGIC_VECTOR (9 downto 0)); end component; begin PllRst <= RST_I; family_s6: if FAMILY = "spartan6" generate begin ---------------------------------------------------------------------------------- -- Serialization PLL -- This PLL generates the x2 and x10 pixel clock needed for TMDS serialization ---------------------------------------------------------------------------------- Inst_10_1_pll : PLL_BASE generic map( BANDWIDTH => "OPTIMIZED", -- "high", "low" or "optimized" CLKFBOUT_MULT => N, -- multiplication factor for all output clocks COMPENSATION => "INTERNAL", -- "SYSTEM_SYNCHRONOUS", "SOURCE_SYNCHRONOUS", "INTERNAL", "EXTERNAL", "DCM2PLL", "PLL2DCM" DIVCLK_DIVIDE => 1, -- division factor for all clocks (1 to 52) CLKFBOUT_PHASE => 0.0, -- phase shift (degrees) of all output clocks CLK_FEEDBACK => "CLKFBOUT", CLKIN_PERIOD => CLKIN_PERIOD, -- clock period (ns) of input clock on clkin1 CLKOUT0_DIVIDE => PLLO0, -- division factor for clkout0 (1 to 128) CLKOUT2_DIVIDE => PLLO2, -- division factor for clkout2 (1 to 128) CLKOUT3_DIVIDE => PLLO3, -- division factor for clkout3 (1 to 128) REF_JITTER => 0.025) -- input reference jitter (0.000 to 0.999 ui%) port map ( CLKFBOUT => intfb, -- general output feedback signal CLKFBIN => intfb_buf, -- clock feedback input CLKOUT0 => pllout_xs, -- x10 clock for transmitter CLKOUT1 => open, CLKOUT2 => pllout_x1, -- x1 clock for BUFG CLKOUT3 => pllout_x2, -- x2 clock for BUFG CLKOUT4 => open, -- one of six general clock output signals CLKOUT5 => open, -- one of six general clock output signals LOCKED => PllLckd, -- active high pll lock signal CLKIN => PCLK_I, -- primary clock input RST => PllRst); -- asynchronous pll reset intfb_buf <= intfb; ---------------------------------------------------------------------------------- -- Route the pixel clock and 2x pixel clock through the global clock network ---------------------------------------------------------------------------------- BUFG_inst1 : BUFG port map ( O => PClk, I => pllout_x1 ); BUFG_inst2 : BUFG port map ( O => intpllout_x2, I => pllout_x2 ); PClk_x2 <= intpllout_x2; ---------------------------------------------------------------------------------- -- Route High-Speed serialization clock to OSERDES2 primitives in the whole bank ---------------------------------------------------------------------------------- BUFPLL_inst : BUFPLL generic map ( DIVIDE => N/2, -- DIVCLK divider (1-8) ENABLE_SYNC => TRUE -- Enable synchrnonization between PLL and GCLK (TRUE/FALSE) ) port map ( IOCLK => SerClk, -- 1-bit Output I/O clock LOCK => BufPllLckd, -- 1-bit Synchronized LOCK output SERDESSTROBE => SerStb, -- 1-bit Output SERDES strobe (connect to ISERDES/OSERDES) GCLK => intpllout_x2, -- 1-bit BUFG clock input LOCKED => PllLckd, -- 1-bit LOCKED input from PLL PLLIN => pllout_xs -- 1-bit Clock input from PLL ); intRst <= not BufPllLckd or not PllLckd; end generate family_s6; family_7: if FAMILY = "kintex7" or FAMILY = "artix7" or FAMILY = "virtex7" generate begin ---------------------------------------------------------------------------------- -- Serialization PLL -- This PLL generates the x5 pixel clock needed for TMDS serialization on series-7 -- architectures. ---------------------------------------------------------------------------------- -- PLLE2_BASE_inst : PLLE2_BASE -- generic map ( -- BANDWIDTH => "OPTIMIZED", -- Jitter programming (OPTIMIZED, HIGH, LOW) -- STARTUP_WAIT => "FALSE", -- Delays DONE until MMCM is locked (FALSE, TRUE) -- -- CLKFBOUT_MULT => 10, -- Multiply value for all CLKOUT (2.000-64.000). -- CLKFBOUT_PHASE => 0.0, -- Phase offset in degrees of CLKFB (-360.000-360.000). -- DIVCLK_DIVIDE => 1, -- Master division value (1-106) -- CLKIN1_PERIOD => 9.259, -- Input clock period in ns to ps resolution (i.e. 33.333 is 30 MHz). -- -- CLKOUT0_DIVIDE => 2, -- CLKOUT0_PHASE => 0.000, -- CLKOUT0_DUTY_CYCLE => 0.500, -- CLKOUT1_DIVIDE => 10, -- CLKOUT1_PHASE => 0.000, -- CLKOUT1_DUTY_CYCLE => 0.500, -- -- REF_JITTER1 => 0.010 -- Reference input jitter in UI (0.000-0.999). -- ) -- port map ( -- -- Clock Outputs: 1-bit (each) output: User configurable clock outputs -- CLKOUT0 => pllout_xs, -- Serial Clock = Parallel Clock x 5 (DDR) -- CLKOUT1 => pllout_x1, -- Parallel Clock Buffered, Phase-aligned with Serial Clock -- CLKOUT2 => open, -- 1-bit output: CLKOUT2 -- CLKOUT3 => open, -- 1-bit output: CLKOUT3 -- CLKOUT4 => open, -- 1-bit output: CLKOUT4 -- CLKOUT5 => open, -- 1-bit output: CLKOUT5 -- -- Feedback Clocks: 1-bit (each) output: Clock feedback ports -- CLKFBOUT => intfb, -- 1-bit output: Feedback clock -- -- Status Ports: 1-bit (each) output: MMCM status ports -- LOCKED => PllLckd, -- 1-bit output: LOCK -- -- Clock Inputs: 1-bit (each) input: Clock input -- CLKIN1 => PCLK_I, -- 1-bit input: Clock -- -- Control Ports: 1-bit (each) input: MMCM control ports -- PWRDWN => '0', -- 1-bit input: Power-down -- RST => PllRst, -- 1-bit input: Reset -- -- Feedback Clocks: 1-bit (each) input: Clock feedback ports -- CLKFBIN => intfb_buf -- 1-bit input: Feedback clock -- ); -- -- Output buffering -- ------------------------------------- -- clkf_buf : BUFG -- port map -- (O => intfb_buf, -- I => intfb); -- -- -- clkout0_buf : BUFG -- port map -- (O => SerClk, -- I => pllout_xs); -- -- -- -- clkout1_buf : BUFG -- port map -- (O => PClk, -- I => pllout_x1); -- --intRst <= not PllLckd; PClk <= PCLK_I; SerClk <= PCLK_X5_I; intRst <= RST_I; end generate family_7; ---------------------------------------------------------------------------------- -- DVI Encoder; DVI 1.0 Specifications -- This component encodes 24-bit RGB video frames with sync signals into 10-bit -- TMDS characters. ---------------------------------------------------------------------------------- Inst_TMDSEncoder_red: TMDSEncoder PORT MAP( D_I => RED_I, C0_I => '0', C1_I => '0', DE_I => VDE_I, CLK_I => PClk, RST_I => intRst, D_O => intTmdsRed ); Inst_TMDSEncoder_green: TMDSEncoder PORT MAP( D_I => GREEN_I, C0_I => '0', C1_I => '0', DE_I => VDE_I, CLK_I => PClk, RST_I => intRst, D_O => intTmdsGreen ); Inst_TMDSEncoder_blue: TMDSEncoder PORT MAP( D_I => BLUE_I, C0_I => HS_I, C1_I => VS_I, DE_I => VDE_I, CLK_I => PClk, RST_I => intRst, D_O => intTmdsBlue ); ---------------------------------------------------------------------------------- -- TMDS serializer; ratio of 10:1; 3 data & 1 clock channel -- Since the TMDS clock's period is character-long (10-bit periods), the -- serialization of "1111100000" will result in a 10-bit long clock period. ---------------------------------------------------------------------------------- Inst_clk_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY) PORT MAP( DP_I => "1111100000", CLKDIV_I => PClk, CLKDIV_X2_I => PClk_x2, SERCLK_I => SerClk, SERSTB_I => SerStb, RST_I => intRst, DSP_O => TMDS_TX_CLK_P, DSN_O => TMDS_TX_CLK_N ); Inst_d2_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY) PORT MAP( DP_I => intTmdsRed, CLKDIV_I => PClk, CLKDIV_X2_I => PClk_x2, SERCLK_I => SerClk, SERSTB_I => SerStb, RST_I => intRst, DSP_O => TMDS_TX_2_P, DSN_O => TMDS_TX_2_N ); Inst_d1_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY) PORT MAP( DP_I => intTmdsGreen, CLKDIV_I => PClk, CLKDIV_X2_I => PClk_x2, SERCLK_I => SerClk, SERSTB_I => SerStb, RST_I => intRst, DSP_O => TMDS_TX_1_P, DSN_O => TMDS_TX_1_N ); Inst_d0_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY) PORT MAP( DP_I => intTmdsBlue, CLKDIV_I => PClk, CLKDIV_X2_I => PClk_x2, SERCLK_I => SerClk, SERSTB_I => SerStb, RST_I => intRst, DSP_O => TMDS_TX_0_P, DSN_O => TMDS_TX_0_N ); end Behavioral;
bsd-2-clause
bc8ef3c7d2897e561c76fe55889ab4b6
0.55306
3.456435
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/common/shft_ram.vhd
19
17,157
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CmScm1EG7+yOvSHJHM5cOhdqnLzZOcepWxY9DkMOyN4kLbgbdLuAH/l5P4gSPyg81gBN3kT+DB4u PBXNo4263w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fwqNpFcMm3h5oYp0iLLBA7jw3Gfbtf9OYXqaNYQK5M/u6ozJ7zqm8z/7Gi9eaTLXS/9fpHpwK0LS QxC2diEfybnFW6aKTP/iU4AM0T8Jfwg1fYYXa19VRgeHNuXnOnQbGrbwOzyL+M1AE6VgNshYAcke HFUgdv42HBSaLBuVCGQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D3xIUFHSYN/tuU6xykyZi+w6uytCi8PG1RRIohuMCP7mdmezS82HpITZGe26wOIBAYGliyfJF+bm //Xu42+HAg7awD4lB8/Gfse7Vws0SwmUepHhRYxtuQx+Hau6aq1uL1eE+GMEUXgxZ2vOXH0ipYrS hLEg3TtjTbccTVimoRhbMQB8xVTXKgd1xaluMo7+0fNF3EBfFdhrX7VNbbmxpV636ALP/wC6VRmP XNe5xXQjiv3FP3uE/Bt2VYm+z78C9QX2joRNZHnjI1wlv+JUs+OBnQx0uieg97dZpGTJDWS/ROJD yUMDQnx8oeo5Aftp86QvBAbfaqE5X6J2q/lamw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WD1VRz/pLvBXDYk7fWsqqk9E+EKCxbcP63KaJV1ph2old7nkwo7SBQkXHtT+4KqXUeTJT6DxPa8j tS5RCAcDnWldx37xHa9SUujjT7DruuKAJejsjhxtSfv6A/nEW4C6nOkCH10rAuqtBTv7SUZEElTR EXiyr/yJfBZig+juuEc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TO3nxTWXykAydBE8oLE1lWHpTk01Db/e9HeGVQPfEOiTpRxWensjccZLTO1P6wLTocrobkWdnzeG BxBt7prIiPwnDDfhHMe/xea/ckp4CqeBr0GVOckjbocHEF60X3dEzewbdNfFWYT0uATcWRkKB+5o X3VNEsL1+rzFW3yXd3oxwxLZl2hrAEzHGv2AAZZgDP43u0eLOoQsuloFBUh5XzvTCc38IZkfTB7l fBrAnLiMxoJyYNeps3ny9evx3MIX3RbK+6dmn9Aviq++SNxcoN8Y4/1btHsL6F9ez079jTeANSEU ZvBBfKlGq2n/FXU3NGHAnGxirPn//Y4kyfC2Kg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10960) `protect data_block iARo9afwdUpzReLqDPiQFZY51Dnl7/FEV45n1IuOmx+HVOwkzhcpqY0JWuwGV+t0JuejIuLrCnf9 43VvzSw9iGSF6hI4qXrK8mWNngw2WdBpKbPM7yXMVF5HI/PF1pT0sQiAqzZqh2XIOb0vqVbvcL+x XeYNaN1e6qBCWPrdrPrxvvI32Bmc8AQWQ2opDTOcX9pW5G21ztA9FLcHPANQzjCATH6pcHJI08OP HUxWSZsrfRhoqvq61XI0ZqmgrxLJKGsoGVPj2v6R1NOHYPtGeoRrKVbZOSSLlg4GOuwSHEXKQWWU mpXMbJuqeOtklwfPMEDGPt65eWyj1suId3TZypKLo0vNhObvHPTxC6xxZv4UH/ErnzCz3oy9LJPb wKCVhj5qVUfVBbb1rQHtAE0nHGTf8JwyArhP3LVYzANDdwou73nNFYzHjuv/j800yaluJ6R/jWJK 45c0U04E8Kc8cco2PuiyUp0ldtWF1sLXaOVOrkME1u8d4fyHIP8WB7PNXjdRZijXZpS7SMOdL6vV ImASpR8lrMBCxDJIOEqPQfv/AN12o/rt0WcUvW878vF9s2Ggnz4GfEkCWnztmFguob4D1kVbW6Rf n9YPyyKiK8HI4p9sJKk7evRAa9GVtnEWFge5APQGygr7QHqDM3ujOdJiBKxYl7WW7EmiTf9shVCM aCp0CdKbtYr2nPcsG6KbZyIxQWF+StcIL2kFMrUWyMKSDAmFsgGe5akgnL8AIZexcsXjToM+OBZ6 J3iPnZsE7hkOBHDtQAcsT9heB6nKxgEG/v4Buhbs1CCWKgISKekGrUFf4it6OLqbS0njdeV7XLIr 3rYGovLI3E3tbvEbnRiNqj2d8ZHx1dBJAOS7DDyGFXVYiAVch45B/s3udcDjdRorXzY0DzcAwfNs ozhtVpMTnKRQmlYhqR1FRqT6bD1ZttNWI3QALDsfpHSnWigX7cu0g8Eb7JDsAxy9QzNbVgjSgBlQ ktfyjYd8uQK/ZSXli8JIgceKToK8Jn4hL9Wa/TKeQyIxNx3ZjzU1alKsalphW0zeHsc+7lfCr6/y K07Ey0z+HcMuwkjyWg3J9P/f0tzRSGzlG0Sz5+sOFhe6GRmgJLpQDv5ZgsqJPNSUCTjhn3zDCqBp q8DFiQq0a6glyzl+DYUiedI8t9W8XMm6NvgfggG/LHRU0CnQH0C8cv/6YfSpmUbTJgSe6CzuT0sO gvmqGXWxEsoTJYJhMxpKplZQNBXiJF/6MSFWtraK+aPcl7yhGuNWpPtomqobqswH24/Z5LUu+YqQ 5HutxwpDX6DgF3/B11OfjSgGLbBYgxReRkAy6JegK3rn5TNg5Iiiv4k3Vih55D1g8/X48u0qBar3 5Y0O9wpCUE+KYjyR01I6oehVEAK67gxSygNVKjfoDvl3BTXr4SFh0GiT5RW81za0mLqxgcmqI7lK CJhsteyjhB+YoujPaEoeaBa9Opcge9UXyLJ5N/V2gzDEL3JC1qhOzNbwz110KKJw4djnfyjgVLaT HRSuESowA+zzvAKtvv8G5xe7lKKgOuBQLz+HvqoR5k1vI+LlyZKXvZFEMGNGKcyIuX/NSUSoqWnr +GIySfiQLxCFE6DcgowlsQHXJZXvnDNU1pGsWeHbpALwrd5lBgMKgRvbHeCYXQZ6syueY3k8PiYz ZLM1qpKaMeJIc0rC+wzdrNYOn0B7/6I0X5KvxSded81IvHdaZhjJuvxJdGZ/vEKdce3QFuQGjDQV zXM4Kxp5RtihT7/SIXw9H1jG/r+qK9GUnDMFJSjSPg8VCnYF6A8lXSiahzIFK2WU4mypW2aIW01Z BekseWmeOpO5PblSlFy2ZWEJx9x+48IGFQzCZ8aUhf3BW8wPtu8sCfCakw5FoVbrWDFtQOZHM0Ty KQmFLlXFriKeYpCMIqNdVCrcuRMSAK2+M4gxDdydjc6op7wJCAbzmYWOikz1V+KvvwnUC/vgzq/U H/dDvYxEYxueG2jL3UkwHeNLY6vJr6GWstVQyX5mPQsWGc4ipH+00MNIc8558MRWbns4SKCKCyCp HH8d3NhtqiUJ+fRvJFGz4AYkGR20uCFUvWtk4vvKGqMxL4oD9VkT+p7pfOi+zqR0TkeSw0STuItL naM3Wl+jdvmzmBMjydz+RsMeUicWHk595XpWjKI2Qc/mSkh49cvfOhz9quuYrrg7TfaaC1/L1WNH gS7IXoBzo+pHMGEr9Z9aeG9VxCmor7o779jCZCS5B57q7KUosSoNOwC4nBieu7I9cqqaFD0RYcN6 rtqTMZMn3KTLBLijDkavGbC7WxhuOyPInwcEQZDJCs8TuJYGe6uBInGtn0abIbe+s5usvd7gv0kf YEVSfUjkf8YeUfIiylTqhWy1U09sqR/kbaksic/Lb9T2DhsNQhbLv79HBEQy85iDxhWBkBR89tfR sjkDQ2bVQIyqNaZA2SzRw2grVA/4GCEsMKUPo2OBuNs6tvvU9AJbUT/02oVSabivVZjmDI7CD9IY wHpT6YGw3EVh+ZRRR8hEQWZ8Kf1Z1Ycl7st3lOEe3/ebFr/Ztz4u0mjcl3bRel4SVMEjhQcI1Z52 vTMM2MtA7Fa1l7J9ereV+up1b8o+baILZrcHQH6miysXVcpeflFTF/gpTrwOm+A5w2zhNF5NGzE2 ocIZV3BpCYwfq7bOCgGKGqTo8SqbpopbfEczWtJABg1kNQtdHwCB+PdLAksAodzQuaHnlB+uri/Z kVYt5+cwCwVII43RfpZzWwUVmAq9HoDou/BqncWMBAYNPDmaMu3OtLFSsHvwBqbtdZQOp6z5dljC ZHEjsA1UksLWi8tJ7bvaq8lF7hxU/F3K4C4nSMLr0ZUfF6kAmu37EiAYdyAlADIrMqRk71D+eEWS 3KRbSKCEQXVUprQXHM8zsj04J0hb0uT+7ASDl6lNfLnR+BLfcBUVSf/DiAxMtHp5JNkYCAL/Poig aI+d6I6zVglocl9dTdibD6v/EjUl7jcREMWU3Q+SGWE4id4DoUUATGNKxWEQq+88/IzlKX61q3mb CEFTQ8Dp2Si4SGSUUZjQOZT+iSO03D0dI9b/kzuKRdGrGgJ+XiGRvdjHOL93hgXNa98a7fBW23Gs YaYUSYa9uBVF2GFZ6UPrqzWTltDI1IXQUf/otOAHkRR60p80qBmS/QtHYDPI6ER5qmbjyJUvQvju xYVgLfUIaRzYCeD2A++h5OVOcTryAFr9eDMx+ZFC1AxxG+7e9Res7JKpElbaMnIdY94BVjtV0jXa cSkwXPzxMYI1aujLp9nLuze4oxOjsYz9lz2vTu8bbC0MmifIATmjP7xxgaRZI+RI+RgF00+BZiv2 OF+nvl4S6DhZMP6wkcqJYFuWuhLAkIIhldEwtqvd90Q0IUr80wvDlex5aHm9ZFKZdDeMBRYwTkvr 8rEvMSS+xe76sNNqFhHMtQue+B1a9EhuWpuFAB+4FI7id629of0wtKD4HP10GQI+6H3Mv2FYV9hi pW/LPevZvW9EmTQi0amBEcHtHUMz5w5kABca9XOnW7BOEIDQSrbIS2f4P4d0D8m11lCV9PZGHskB k4KDAJac/9vV3j2zXVFQ24jm0ARlliu3raO1LC89QXMc1iIrE8zyK5qGXiwuiFgQ46qYaX2ppT5V KN3dG8hhJ7JbfSZ5U8RGj7Vqo6iq9KL1GpJuKrq3ysBTc47I2BrDDZWkCzyUD1EZm5AGEEvW6Hcl eM8IpXih2yCmBtvBZOuKhwKZNBvl/qAHwM4F3WMUk3P9zSBYB29VAKAwus4atYnKX6OXml0H5wrd USRUuiBchAWNmROhbgzHbqRqJoBG6dUhz9XG6VIUncbwl4VdZ6U9VQqN7J/9/H943My7C4UtWECb vz37xthl62JiK5bT39k2RzA3DKUVMVhlJ0EBljmGwtUFPOi6y4SeycnBySg1wdodpjSAYdHC1AGQ tajdpg/1z4r8eb3YIExjjbHxZbvxsl0YRwPqKI2t2JBKRJjT1UQd5pgncaMxDp4KIGdRsIySUjm4 a9mid7zB1qDvvjSb7jplLxJwjs5NObHtaBhz02QgSLsI08VkJvhMIPSyhrXSzWJEs05t55zTqLL5 lQN9yKDjDJgl+GeHRR26fkBHXMgAvaH2ACSOuCss+T45Pg2TIyyKYFQEHX4Q8UwGKz8bHZk6JMvw cuLb6KdoI9Gls0Hz6YBY2arCISMRDDU/ZsX+eMumtz3qtijpKV3VYo2IR9ZGKYeGXGaaDE+DZ4KI F/tSkCIWuz4St6jXyb6FaDZUIp8mZo4Jlfo7jPukcL7cgYHCMXmcroh+4/SqNW3sQIYeGEudDqVu qoNsyHs5jO4qkanqYoGTpRu4k0r2x7hBpJFAbamOf2nv1b8jH4x2z3UyyQ6yFosPyc8cvPVvmHUG jpBd34ZrA0GI8mqZkt+sbEWik8YphT2TClErqQPCdQtqURkG1N7Ifk/plMO3iXURDyU9LaaEgCzF fWwBk7I/o+N3k0i1/skg4Bln5B13tj9fwkr+gyFW4c//rmLny7Objr8ETNBaxqI/Sd0MgalsR3Tk UTaTvnkb+1ldLz4wWCwz2Qn2fw2+xWRsodFPLuYHiy8WlceRIHbNJebn62nuxCAIIUPk3Xinc6Lv +HiFwitYIPWljxca+V1FhBa6TDZ7RlRGGn9x6WTCZoOw48BJ9yE3c4hT0TQpIvxL+M6G5c26UnFY SPx9b+lIvo/7wF5gxlWjTfQlMSB/OQ/s4yydGfPOTVG6TX/XcxvMg7Bbz1a8rLCyPUCHC9Ox4MGG utXF+U4vs1uOQFstel2qRy8p1latSGH3uBiirqL06x04oNFFqGjyvx7IGQBqHVxhE4AP7I6kHwsJ 4q1UfR9jdkMUz3yXhWg9H35on1bqOHAfTxR8CtrsfdVyTdrAmCD6/yi9EHaNJnksTDzN32QaLMnX kYbWN9/nCYUOCKg//RZm3UGOgCzEeCjas2Swe1nLE01mLtU7h0Rh0rKv58/rfXpeIJ1kFbXE91VS bYJplyx1hTEX2gSu8gfRtuGuffXqhD4EmiV1+MM3s620CS53Nc8zuHMPDMOdjbTrgyZbQda+TRsV 4G8+Y96jmYYSOUK+Vd+C9wgQj9VYhaRAgpD0YMOE6nZixNhMLd2ObROaBbR3m7lvBiOmW6Vz2O/2 48Mpn8dVimO5h66qKnuCHsToQ85bonMpPdpwiRLhxTeeJS8/uD6nyB2TTAOAFdcq71tqTbl3jW4n yto4d2YOuPrmQePloUrwxAW4GB3EM5bpb6XBbjKnhno1W2GqMk9g2x5VAGpeD4XddhrC/BgIvVRt guvbioRTCzKWWHdBrErP7FbFS5vjAEXUgGzRn4q7D+dt3dxe7Mp4XdBsVhrCtQG8RP8BMWFZQNA7 /mYjRx4Niq/9cCAFQ0YRmVSPMclCFzhAcIve2M1q1g7ATiWOubcoxB3ZMgd6L//af8Z4N8K24rYR vmV22n8GIbnkpKRrB9RTXN4cpjslFyAfvWilDrEOPsE6DPcWmOIBFTylraeVIeH5eN+uEE9QKUr4 i+vWkb8AWhixqnP7vvVtwymp+ormnYuksOB5Xs7kBctjueoLSf9sovAS4JoGsogC9/DKHi5u4Qgc tkzmE6M3Opp9FbQntYCh7X8e71tkQVbBgatN6IqrDvCZ/s9lxY1eqnbZTESv1/kSamy2zT7kDj3O YbJba1XraviNX25rYtJwTV1POi2CQfUcOD5zJ46ScR4suLSv2z7/Kw1Fd7JrRM6tabYDdnT+nuDH qQUTwahb2cy29++28sFk3X6IWChAuQCf4mpTDKsuaEK6addJmCLSXEK+SdYTDdiomfXl39tQwvN2 WSf3FMJ470c6BhCiOwVg7NCcaMk1i9rZOTVTBNXFsj6stA6T+XRX8CoICC+R8lzOjUXi1tBtNWyk e2wYvenWfvOiyDXO/NjO9l/l/YHtqYE63+FM+2Q3aqFsdaDyAftlDc1lBgP4LsAPR4EbOpLAG3V7 jeIdio7XxyT3YL3CLfyMIu2R53JxBZZ5lXXfqJqIxLOlh0yJ4elFCwWuhz20oLq1lREIOQy0qO5t ymf9RGkX1CQbaW+O2xzZaZtfiiuuadE3JJCpQyg4d3N5gcf07Yin+13+ozfYYu493zhZi4dUqh7n PKAudOqLbFdLIJx+pbW8TRllz36gDtYfCf24XYHV8oDqXgM0TBOHuFo8enN26Iu8ju3i8ML0HFKx Kpt53LjYH8+A4exdiMiUCUMgDSkVd5RXtzfDIKnA70zOnZ8WeAVW4oiD3jqR2lMwGFYVpamt9o5R KlRZNoKfqZ2BuPBoZ/LalDxkQrI80q1poKQpRpp8vNMN/UENfNCVfoNRW1ccHUT5eDIn4vcfURwB Ium3RTKq6joehWSVKOho3i2ugGArcdnwcT8klq6xgH9j+laTZZT6ER0zwK8K35BGyH8hlMKcuoeC Fek/SVWXNFl5sQXCMDdRkry2PjoqDQwyp9d7SGwOHSOpD4QjgmuPhPfX91DLPuIhlr6NsK+pLXSt CDnjFxBJjWirsPUEtiLPWznLAFb4g2vYpYvCnOrBZYtHTaFO1q5TLuk+V/2SGnkftYKtBaAKkSuC do8+zc5D05ix9AoyUeQf9aF56UDbvEK1X8uudhMvBoIpIXR1TXKJKKEpqI4m5gKDhgePNoVnP2dL JD5jZ6H/Nje0gogeHHSOBF6Kt80S5QNdr30V9QaIknJawSil1qmT/recMCPf75PsxzIFlmLyZrCo 5dftoFlU2uQvkNWbzhbVj6iRbtNry3Qpnpx+gmMpEE+yuqtAFA2EOqEfxw3iaoUkLV1cHwvB8Ri1 AdWoS6MpjT5mt4ZxPAqsFiPU1merx1hZkNUbIrKdbDiN9j+dZ76a54WPXZMGQogVWYp8AFKt9mtZ zjwveaJwFtojHdZXUcaU4aKGcKF7Ls19d+GRjdDZ4xri+IJiPTO5x1zPMELUO9uGKOE3QoelfDvI kDGdHjIWyk5a9cZpLWOzeC1JBy/9lXsOxmoTypS57wqPu3hKqVe6xjnOeuUsGsYu3GMODD3h4PXr maGOcuyQp4CMT4qqruHsQvlUymjipeAgfkIaf4Z5IEpsxZwQsjT1gDE9UTPjaKPTPrSQ+7b7K6yY bfA403yUGdhE0f0hYgxWLIXG4oLto98EylxKgTpFVu8RpOyuuRcJXqhaGT94MtP3rues1ujTT5fM rKQQ72KayeqbIKCdu6obFdlVRJPmV7um1PFKPtWGUKLFsRFb0gvZiG0X+49xGnBt2NSB9SFYj/HJ LEJS+zynlpXUc4ll5zO79Y7KaVX5m4bOtqRyOWjCX5Sv3dhPNS2yEtI+v9KTeyiqElKodR8AtnUa lVXLTl9N+aExd8BUzxyTvpI5eF1Dsh1VFWc/uXucplYdlQYr9R8pFq7lH7MBLnkYaAzE6g/nMOMZ DQlb7Lkgj+dxyow6XS36iWuf7PxEKohBdnc9TuxIuVSJf4j1ZjbOl7sqN0Ly5DdVwbdHGLIVP3PG +EZWOTiElvTlO54uCPgVepGgJvM4C4BmqbO1fgsnb2sxPYKLRqPCwGby+l8iNPBD8xoLqtPA7SXP UVQY2MPc/GCpmrVJrxMfClGz1TNbmWkbKfkUkJO5eQHFeBomswfKiEkwu9odCB6Vx3o6pi5nykc7 v2AkIT/DjYnuAPwSsG+ZtqTv89LXaIk3w1D0/OLdmsCQQBtYAKH+ezXKa6gN5Sxe7OOrMWgzLjxN pAkidVJnNetfqdUfhRQp3evmuwgclMeAAj2LkJFE7qtPZzwHKU9oSTqsazQU0qtarBa911ljmUEq lVw8j2UuXVA3W1T63jsNtkm9ohDGJEjv1Z420j7/ey2A+/mwzmVvDkJ7y0GRzTFymeToH6Tn+MBe 4bfN34I5ID04MftChxv/WsB7f11TewWACwrXRB8JN9mFZA+nHxBJuTXxzOiNaZRbMP3GdSEIRtRV Zo1WdSDY5kOi5HCJun9vapMs4yhCsBfomils0kkiPlRfXLeQxyIfTlxG4tsmJqhWDT7dWq9DqVX6 CPlLWmQbThD9I8WOHv9c1Vx4FTFV+T96WBHnMscbJmW482gWWOwY/MAOfpcDUjJ3tfmq+qYwMxl4 0PzIIMGr0N09ksRyCPuKyEeNPb7/lEqxwJd+BJ5Nqql6JeeMcu6o9KGqiUN6KAFw2tbEnu4z4OmH TtAT4509q0zBWQ5yxliuQzLYFsSGKp1eFuCD8q/P21UrLyxpvcXccx/TvoHYVD6QXdREyOaEx1zl Eff+Tqwm7tmmhCE154Duz1PWEGexrg/XNN2QCBzCeVWSg8dGFteWAdtvvbRW70VktbOf9lzpENcj PyS09aAKFNr8vEnVlw8oUsM59aD/JZMkaN1xZPDjhPdjCKctDmiZyhnq5EZ82VXJCG0/3TmKyoXO in16K0xv3iyJPmdUAsi0C3+j47qU9ZpYON43vNWqq9kEv7Ax5jRKSh0+MGxzIXOJk3JKNXWUDk7M iYBgIUx4KRSysEtgfXbZaLodeWbYx1oOOa5BAi2El4Vkf5KwRCkTPoPhvfhn5hb6+gQmuF7ec4Sq YnGrQYZMFfFNQJ5IEx2MIbo5z1yoSvNNlumQprhAyCzrQ7aE0Edi/YQXtM4Ty3n/SmgUMYK1teNr RZ8uraKBEdjslZhLy9j55zeRAUUilL+PDxNXuk3RN5WRLYE+nZwfUsyeTSr8Yf/1XYWoS5cljvn4 Z2euKUoL6bwCtId3joEh3h0XU6PdAb6GjRT+rD6hgCgLawwf9XqpZRZ4JQUXW8/IG4/zog07hPxj pPDvvjMdsh+SvLr/HEVgJgnIFeJQky2lxftsk3KlWfD0H4dSc1XqgwCeUtHDKO6l3GA7YfBjGoc0 mJ8laJ/EYS+osyCIPWUvVTtyw6YE1hNIfisHtK7J2cwRIkkdbOvrFVVxopqwwpdYu1YYvjZxbVVT KY1BToIqBZ+lQoAZx5qEheQEi1WLSQCrqy+38JbARZ/C+jjYXbh4IacWLBrNr19tZn7e4SDQLdkz R7SgEpE7bCirXYz/gmLWgAArH5KNu096GIPnIGTn9u03x7jAXWJNbwjipRJODN63HjzknteNHv8h jMx59tWwy6+bXcgOsztHdAjeun4B8Zkv51iPY47MCJo4jtUKsPnlwj/Q+mN3J+v9gQ+KdrmbYoNy dujdjDIcl5z7eL8XXNc6glNikJvhzPuMFX6IwTeiRQ3KenW9XyoM5xr18mPjULtb4SsjJPPytTr9 eBFqN4IU8N/LGHKpy+09fWSFrzydzRUEdfCn3SmBeQr63oqIlU0MbYmOJlxyg5wTXpv8ME5DsN0K 1iROewNxJ14Pjk2FvU16Poo8RavjTQHNaiAMnJr338FYt7l0qvXCsctzA1H6pbtxSusTDAbdnDFl lkg5h6PsnnebYE1uGi5ulCKsrdYd1iyLvA7NRl22Na5Rj1687iFAISNQsLaAn8+RxMWX/WYw4qEl GQjRdSccMJpwgS6PqlDtWaO7rTCAEWTZPEIO/mkSegfo4OaTzWFe6ObkLdBK15q1+cp6W4UDD4LL sDx4MQbEj4ZoO6kwJZlR3o++UslKUMq6jqpOnqyRTkaNIMqM8YHqYhUUWRVmeBcb5+i7Wr/wVw8+ H5REDj5/oyMmqU3PHYOSiO+KolKBL76CVlNnGS74atZ2ta83s7GEiPU/7vf0aQ57tY9Crzvp1aEO GyDRJJp06xOjZ6A9amDVv6+7GeNczttNPsC9ihTPDGl3WQY9dPChUAJ+/ARB29aHPulEoihTsf4d 6OZiVTm0Z8mHselX8oe5gJDjl6kiy1qItRCsy3R0z3563AnzrnC11hpikJ/zheSnposNyKau2O1v QmvfpGK9NTAO4rK/AK/GOQIKrtCguOgTHxNQBnMvCjwOIAJBgeCvjHHXm1g0OUWF4cMT5VILgUQe eD9XixiHVztzBQTBgZsrNoqXCRebV8YxqivYS8y91V9pVFmMVFN8MkvZUzj5zzC8aeeebbL4pXcU 3R1TYi8RahKYqovJhPZ0B0/t3K3XAEeI/Jcf0wiXeGuQqxxkrCFUbL0vNjrL92Z4UjD2n9oVTi4t c6y46OTctQuxDIX8iCN5WCEUBHGpPXpihhs8jvMVz0wCoYvsCQSATbg5Wp8eKsaljdxsWwhuLE+M Tm5vm38T3GjDTnB0M17M+m4hwgtfCB6Z4QBoNrSXybTy3lRSIOynSiZR4FMTXpe4qS8nmzw06GhO hXl0IvNDu3oHbItmzxtAYK1j7lmNbdQYj57ZfBSxM5FzIhTaW9tI+8kWkFunzE9hh6Bc/hcq4Ku2 zgwnJKRBQ4/D6iObzQHlSZmONtaHtlcPUGSokMCzDLKZcS4sgnQN1Wilr4FLNKXHEJVg7KagIGrW SfbitS/1zkW2Te1aTaUykCo+aH29CZfm81G8ISp7k2yJK+qLLzEdpJFRhk/ueEgiUyK8S4sfEwny Z4gQ7oZXjU1aeXf5BUZs8AkcuUzJozvnikWC+AlaYf2LXFHfOf+MBZZtdmuEKY4NOSdDgOMkG/w5 ercE2a236WuuOwrcMKJi9GGeqXmk3/vxkPMZh+adi5KKALl/nGZAwGz/9r1N3Oom2I7rDjQSn7VQ bR2KS8Y+mv8BR0m28JJMw+4gidtYGk72Pux5sKYeIDHGVg1/7rIxLShBWhCmxy36UPrD/L6V7Xrc ERReZ7VEOKyDFxVlOVcOs2wImRIxNoVnwy4OnAsSQRRnvtus7d1EHBhX8pSxePjbyW6ZEofWdJPm pdlE4x4dxmF4ucY7e4VP8EQGo5qbRDikrXCWhqvi+iCd+ONNHSPsB+ICZ7vq3eW1E24ZJ+NgIdZM 3nGV8GCIDQ2gs/4eex/ENqk/BjIJZLde5HyzeFTbxp12KEOV1fdinkaF2iytGpYFWkfpAxKweJ24 QfBAKYmn4N6Xd4+Km3Ze7uw5T4YW5VLdku+L3hOdPrwflOvgJ/OqZxIFQq+xsPcTnWE7eIgiCeve gLce4nVpwI5Ck/xdZmeKoeYE8/c4jCieLVpG9/7aWQM1aGcijgEUeEicYq+gRuj5qsPGm1J+VKnz fHEshxH+7n5WcgqqX2nofo4PMqP+g3+CzJtktbGpDrMw0rY455AkNWeNIHp/kvH7QtGKzefIJu80 0oQrpvwGYgBYSMw/fFS4Ms5IJi72cKpF6ASP5LAgLJQbIBdtyYT0GGep81nBC/dSLTJorqa3Kg3e NQ8QjB6jR0W6CZ6MxB71zLX92JbByBO7XzL2a90cEPdU0dnFF9eGyEq5LmLlA+Pg3Dke5c5sb8B5 rIegLuypXc0ZrHWd+uVLexDJykzzdp3rautLUT7mRwPez7ERpL9AenirF9dPmFOg+cnLRce518Q/ MzOQcFrpxAO7Eo4Skm6tQr22O0hoz8hRllkPiNp+BlNdqIYq1H+VO+l8puVFopUTXmfs4UN7lHyk qMQM5K/4cl4/lUCXnnG05gKd/Jr1GLiPWSnbDp1zGZ6eZNbBJ8d6NPC1R0hQMEjlPhL686iQJMSf E/OPykuc+jT7ppP9wFmLPQyAbqta+seckI94aUbgZx3uA8Gjf0Ze6IrncTDyp800zVYtG9Hdo10Z infreLsPZ8dughgVG+IRb40velD0+YOdNLVxQ3rHI3rAbu9g8O/meg8UfzvJbrPc8gAwGLhMHH+p CIJYlpaFX8aRwzZlTdYefv7E55LjUIUlOpTHF/0T1KRFvKeM5suco+E74Mj/DeIgnW52hEkmxEtJ jzdfOrcZEbwGXztMggf+sTzBcuf1r/9zvkMRZDhxVdwAhLgJexECbTef/I70OhE9MdeHPcFH3QmC HjFyNEY6lvP+EO+anhxWg2eaQnM7GmOfBMxmu2U7dDmpbWLThdfBnncQVfE5FM+buM8OLw1rDdLz ASrEKSuRBDV+xyPR6iWDzvJQ+h/PsnvLn28l8bRfbKuVYZXnnuwtv8Ov7ZLdvG9kZM3tiwD83Jwp wRoPES2afQzVbF4LBoeMFB1G6jgxYS/QVYWsL5Hvb9+ZNbiI94IeOE8Wpe5MZYj5lCrmuJs1TZUV tRFwjWCd1GtNsg6TsyQ15evpWxpX/djPIHYebUJx/v99GKrYtLD1Y5csSJuse+LRqMNDYPESR/04 rgRmWpaAcwL9ki7U3Q0SYqYSzlslrYV0TUd8EdnalSXM+19I1QRe7wrQFzvdQQLRxvfkq79UBdQF yW3w1A8bZx2xKgsuWNAYk3mgZR86n/sCgxbmuHkhjnVPcNXQoesEql6dke6rQmvxzpE4TF2RUel2 a4CpexuZl7bhbszziUfv7vU6w1fo38kPcrKNvk4yHRH1HRI/fbkbfXkEe6RCyzr2mnuOf4jwXBN6 yoz4TEALodgkSyA1EDtlLEZpHZaoh1TlCknu0hjD7FAT9yLpH07qaDL75xe/oxwQFE9qjWuAoFPY fO2G4P0YSFaVQtST447cZCOZ6JKUt4p0OQh9dVbLuHA18ehbH3hgr+0bdIuvLZhjLY8ktha0FQG1 RA+OcfKB6jldGTm4+c/nYyLrOSs+BsTElzfL+yPChjfuUSW46/GusNew0h4TAIOML/9rgZtNBX+Z wbTYF4j3WoWE0ZiNlLSoGyetdk699RGqTx1FhBQIFTtQznCBaTeT97MtygMzf1sesG+Tg+j4rypA FgRvXPXcFGlgy2kU8D+8HGCEJxAF52HdSKk//rBtdVUU5OwGMFzGbyuNOI0RRb6MAVkPYPTn33Dj ZcAnCRPPYc9mT09+YKVWpeHdrMwfLx5RDwWGsoCEsrntI5m/NuDdmKg/lX7Ql17lib5bVHcHr8Cl rq1VVTsYVwuQ84KJxqFj8G9T0j/5ZrLq0Fq2EMa/SpCku85RBFvtXrsDCV4bqO2xwmzY+gxmgTfL wipWEkiEyT9an5b3Vp29xXfqAq7lzNSfS+dJgjFXUfKTa1dNbdB5QP2EHPkYARiuztN7jo5njaMa iqw41EtfjW5/RBknV1lfs6QssNj+SGp97Mp4g8aKlQ/wCZXNGe4aJobOY+Ax/0pdG7Ab17A2Xskr +9Hg1BB0I9fNIa9tmdBQ6FcQpGrW+OX7UY4qkepO+Ek5hNGCC0W9gOn9dHjFrVnj9UGJ5zxvsBSG ow5oyGCN5/QKGQ7L0i+2VWB+CVevkacBMbhT2lW8A2uWGXgz+9EpNKm1EXDVHgJyKJ0CMC1KzzBm g7JPb95ty6gNfwGqeLjIR+asJR3wrBeKRxJbw3MAegyNrIktSB74EadvrCZQBKJ7JsDmB8i7S4I9 5swK+kZNVI4ZmSp0bVLneoy+w7rk8eetSb8v1Uo3PBmfB5Q9X8nC8mIkakBKGdWXy3MGv4xUl4P0 TS4BvilNvJunnwC/jYPODH/YM17floQGCY5qRIbbabfFnWiC+6/12X6lJuPJk/eUsQk4IQWUqhTb 9rwaZeKEsh5Tn6uoMKGcD6VnPBWpjOsOyMap0AOoltNUrL1lr06VClG5yjdJIfEHWXxU4XGNhFCR JEJJXR/zkCiC5EQ0cj5gQAtfYZHQ9dsikwMqkcXrvQCEX1tmgoCbzcLMsgEvOfl/qQXLXzIw8wHF s07Z3iL/OV7kQS5PSsm0t0fB5iiftClX+bH9CIttqnnau6MKdRybv26YNcnmM4S52GOpim9FJEe5 olQRwTernari7pImt1EDHZ2h0l0ycL8OedJt6VjKZhvLVdE4CFjXS26vMT3WAW39kUt6LpbApl2e RPuTlBkDZcfX9PsvHbRrjIwHlQiMNnB5oz+vod8vKyDTvn3RX0JqH4JFlqmtcXJoLqy7P2A/xT7X HsqU/8CTjabatG7ScKds1CwTjwMVDXKKeArembVgNFHjD1KPdlBZc2rMSf78cd13aCSj7LIapLRs 8oijq67BiGCB6lkMjushxEjORxOHAMVcR9SdHpCBicQh2B0C/TNuF+HYHDIQADZtnE1NSVdP4Fhf QdCUPEhqDTbBW4WzOc6PlyZK6w/UkBMgua39nE942IyEdMEeY4HudcRmt0u9k7T5D1adMKFoXJ/f hSvbPRS1I1I+5x7xFRdfRhGTQcjZchCipvWwouGNbMHMH9skm3I3AxftOSyj55/iiaDXjIB/6/vJ 4W5ZfJ6QDnpBc+SfEeMHSwO3h5LkNMFI5spesjLaeDFFu8oIK0phZcwnt829yrNB8VzTbVf0Fyzi qejP9i8U3Sngpc+AzK6SuIuuZMsReRSUfy0Nt2+f86Vof5UI1pQ+ZLZT9rqdQkIsoDoiornFvazM tHZH+e88y3IekM3ait2bTDDesZgbDpHt5h7sNu/PMLvx9e9Mb+xFV4+QhBL2S+YCsldUc8Yr54hP v1NDp2rCbZoVRQG50rkoH1xBT2l1ZbgCw36v3FJqCaBCianE51i01/v2wU7IH6O0BC+45wEVl9p+ Sse11k/PYz4bHsYdBia8pnhRw2tzAxOqG3rkmvoFGuHSAie0hYWZTRPEzF7udKdnIc2vZyvuTC9P Fbb7dMwrOscnRBHyrbef+ihLBg0+fgno95SijnXu4egs6mflOElgOyTxpVof/E5RdVZ2B8oHP7cv YKOKbTp4nnLX0Ip6B7xqNA== `protect end_protected
bsd-2-clause
094aa820726e4371e51ffada3852c755
0.938917
1.863473
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/rd_logic_pkt_fifo.vhd
19
44,022
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SYUpj6do5sFTflpbsRmqzQKFPQDYrJyRQArefGItBrRpeTStPf4iOexrlL2KuY5Tjxr42gzfz2no s00d/SuK7w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NR3ykkYMNFMRKu0xHAyt5DYiOktc2YTf1JOlIURJ/ThqHJccRXVvH+Sc3vg9x993epLj5za38fd9 R5dBjv9keX+G5g1u3CtBsdqXK+hNOz/uDIy23yxr7rHw0ImE57TmiDkVMvMwv3eYKhw+6jZKYes/ orVUKkqCIC9qrUn5RTg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HlVxjhtCNCKKX+WIZOv4bglrDneJvrVwpTadJxqH8bLj9DfFux8A76EOB2zOay/g3B51jEHFXs1k cSPeVifBOPOW+4hnoJ3TimbzQC2WXDZLrgI3HV0zvi2+v+260AsNylQU2ks3dLwbxExBHvawkhdm qLdLQIFdyzjRMD/G+fo3ZOpvx7tOdM4iBWXd2qur6t8wJth9ryhPu98XGfaQXlmJP7Tzn+0ub08s DCWHug4G341eF+dWmcugGtWe2Ca08XjibeU1gRioez7LDJacBlMb+me+eJNl34Hg9trbjeo+4u2p UhjBKGy0TbAWhSuuGKcCtfIFOUbYcwT6t2Yt0A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DoI+R7m0zbJxCq9A8c+QbVnIsy2kNMG29/strbjpu4rQhHX3C2LKQKMwC4UXbs35yFBTN82oCtQE LCzB557xK8srP2DUb2FdCBqlo4nmLOUDlZKHLRnMjMktj2MJoV0ExtbMFAErwe3zZqIBchZgf5Be 0C+OuuK2xw443onEGyA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jkJi3dDxF04M0w5noeJKvbYmN6cGn5suzWOH55jYT8k6r3UxrWZdHPmAWJgyGzXTFa2rcCzw1zFN 8CUT3mqhUaMicnmv3k1IZXtmQp8LLIMHIhFQWUBUexg49lQQHlMizPzJBAEcyMQJQl2JrQBPC4y3 FtPjOGWfsQSXXVoSz8O8MOKUSTmbuzqKeAR7KYOBiW1PqJBZo+vP/teWIw2p1h9/ADBVH7fQiL3s cyUleDPcPx934u+grxqX5IGh+uK/gO42i4Ms1tDDhMblp6piYQ998xcC3XiMWw8hwmR+KGnfqU8Q VD22eRbZMxNB+D8sxEO3PnV48eApa0h9wT+rpw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block VdbSybMI644lxlJ/GwYDEMswMt+jI+aQz0PjhZSK28Ludhc4zXb9cc21vfbliKToVVl6OjZIuV+7 FtgN0Q+hnsfPLLJYFFGKbQVwK5YZ7TVNVu9vGV1UR26HRtZaK22OtN0b5C2vJD3t+/CHKATE+mzr EC5rZt3oRfVCUw4LzfgG0Vm/mtZFG04aQ327ye2A5Ws97mv4O8BHRmmQ/81JFOeh4O0mYA1GP2VO QB53uVN4jiS9o+VKia637VXg6i4fBZ+Beml8ygEGmyVdSNS5R4j7ippYQo/PPo8QQRKtsGKU3VD8 HW6jVYclR+PZAQru09gRzIcmX9cw/q+nwdNjwS1wADv4W6uziI2XvPQBnUYrRczk+vW2eqb9DiJJ eXYuA6VvN1bMB94saIo297NOfXSIMD+UN4av+15qfddRlAPC1ujp00c+d9mTwI+vNbxk0Q97yfTz tZ1gdksABr3tomyR+qoHVi2bSwAP8OKIUGQLJQqo+BSRJ6Vz9kwmvbXdt8GT6stAQJ3hzhqHaYV1 4q3Y1UkfYF5oFxE5SiOLR4J8lYeZZM3bJe9T2skQBNWkyabbe++E2eH6v+QQP0gYrqksHD+c1HDE RkHV+4pa3JOQquBiKs/Ndp27Ec335NN+y66xU2nihKKiIfIXBamzQtIigUXRnOOfXfhIxIVKlxNG gfOErOz/CJ1kwTi+CnhEnWZyTAOg61RKK5mvqDrJHjAWtXd0sYJgIKwq7IU8Fhvtkob+H4jh6l8t G0NxypMjOJYaZQK25uGSdXSJnG+Cb7UTBJ40hIYvtfYSDhssd4Rn08uaO5Yt3W+T0NfosYo38fYp sphSe6eY7xhnI2lRTDkj7IpnXhubSnkgM+KTb9WwJnnMmqcIiiQbS2+ALbzPJDDh68QqVCmBBUuc FTdpNNXGB1c4OAszfTOaS6vecPP68pKuKvvQhFML79xdhfQMAG5KLPd1tAI8UdXmtXc2V1BqCrOR GSIYucpsrG+y8Pm7to2P0baoJ8x2EUWnJ4Np/HBsVJDTcaDclWSgBrgERhX10I8bVUNhep08BJMw oU1m39iem1As64emNpRDF5qcnS2lTWzeYtBKbOuoIbAc/mD5nn0TyUMiEYPDp8SQv8Xsbp1uMbEv mtBygNGL8xyDgqwqsm/sAohbuWMFQlY85c3bkBCH+ZV6v54VZCoszf8ErMj0DJ8Zhwz9gYIHonuj O/5AqoCfv720lI+ApbQmh5kslKPbaxOsfatHV7fQhJtl1dxpHyOqtZFCJpcpwihquS6VbJg4dAWe E9ObYqJAON4t+vPSpSp9vX0tvmec1Er734I1kg+df+IkNZt9FvOJ0KSzpes5TgdVleglB7/Hes8a 3ZTMAefkSQwdKHTgmkpC9GvRWn+cqBgNUxP1X6hG435UEArAJZQgZTmUlPgHy/trhoIySEJEeNhx av/T0Ji/12WkNCUMWEr7OC1i88e8nlrXYaDXWQNAngP4UDuOJHMhN9bLs9UKkFs9O2pKvNC1nmEL QaN5KHquFLhyCT6s5RNXzixgTZ8Hn4/0YxgFg3evppCbW3/tSpuhUiS2Q2KffTE2T9Tz80Awo6u3 Lzmf/kqP/g0Cgk1SJ//q56UuXXDMx42yJ8+kDHxxVUfFcSYHzClp75eIqP9T56hKv427qnh8HalI DPweH3uiHa69LL1buypBPnkWVAJFQIqFCos4LVW1EsI1AnA5UmKIZ2mxr65XVTld3tvQwLMXJUY4 pfL3XzN0PJmBqBeQu62NtdO6WXcNeD2gJnyovUl/Jgfz9WLHEYlXAN9Tsjov6zIMfrnWjnvheHba hROjms5V1TPCeKD4l93gn5kZeHOyDcYdUC2CC+QRweHyq4fsMnjoRT/07gwqrxc9yaUB5M0ChTQY EnnxTClz+/RHtEURVB3h3Tzi5qAogPHd2KbL5FWDucbyQkwj5akuDLtvN3zrR5+1Iju2IMRfVYDq nQc5muQgvVAYQfpg1wfmlLXP6UGNsjveTN89bypN603wR0x7GR3r9Tg0tpfic+bnv+QRmQtpm83q HfbaCqDF4Q2DEymklS7vIfmZ68pzWAUi/DM4RL6MDZ8s9+hMsF1UyyzsOtuqE+Y9cXR3mlf9Qjnk 5vkqrSWJEIuWZ1NgeWQkvGQmk3fnW71Yoms4GldYTrpvtw4AQHaUsZFL20uDl701pyhAdHTilHd7 EcL5epl8xIXxxrtBEKh0XBMLSNbIt0QfrlzJOuDAuOXWx9a2iQWxvcsaacfGgGNa0pRGwGVePuJY FkFP0gLuYVWDQdEkp4IYDrwN2fieUTTTXqPDDAcBY7J4a1+d33xl7TgCoOqBHJpeXPaZZ7CNqf+u lUrXfsrg9ZmvAR7TEPeQkSMWF5T9Ek/K2QQXct3E98ajX59mCuR1fPvFULpuN3HqdzkEj8r0j9Wb my/SweyUBHFgBWIQnOrZOYY8He2jXJIMhRfw61LUoTP/iKgsKz3RNrRC07zPrPtMIEnJItcVq+1l c+T7L5Fv6nXXJjNQdalXLuScTBZfkOgnYKvdBu9lgXFq8zgDfFlwXvNuxUMSHeT0MoxT4Ldut4mB Yl2/kOaQ/QRq5p0pXKXR3gK5VINmyjE6fCLewk8MRoxr3pFmTV5TAwd4KBhTSNd6k7fix7gMDVuj z4aSGdA22fFOx1fvZd+cn5mUn/SBpyo6JyvgnAjxPBEZA4rjoQxKR34Ntmcq21F7IaZAtxm5i3Oa 8tCWtukaF4vc31geOdDnnzyr+Bdr1xJGax1NA0OSTU/CAaKx6Hu3MTynyaPoKac3z1aIBsr99VLv ssKt9NU/wlsvwSGaJcLDdF6pId+hQVR+iqD4vDDr1Eoy2EN8ydC+AUhuWYBIRFInMx9c0pXOgWB1 KCt4/CfOzXh7dQQUaMiRjzOWxWIAweY+kOIIUZmdZJSW7aB0D0Ic9lUSwaQ6lGfm5s+Kq+afMdRM TqWXmwwXVRRpWR3NkT2p1KcHw3utW3UfQycVtfVK052BnaSZKZBTkgwHccYmyvlPJyw+VGIyK5td 5XfTynRKe8IZzwpzk4lTwD9PPZVkhTNjQUt+GwOgKa/yXuwk7f45mboATVph/iCUAGeBFgryrdmB dSK3LM8GTdsnv66Jq2R9yz+IPMXCE3tXnGcRTdnzQ64XkH9r/ZvOFjjOQkRBlBCjJ7G6cUhf/SNq jLteKFgzpm5OfsjgOH4fx259Vq1Ye5WREPz9ZQrvccwWHGPN0egbUnpNKCp52lxyyDYWjdNr1hX7 ckzs2WS+5QZYOwXDvhidhz9XlhoHTEbk999TXr1Jktia/qI1gSZzZaYESDWCSd7Dwn+zmJtu/Bi/ elErPgyEF43VRVWPWzzUEHmohSclx4CFzcwWG+dAIp+nebSOMHs3ZOGPuzdWCa0r8YcJzFpZU14X 5uvkhjynk0MRUk95hOW3QXix7juOkm57wq0SaAOY+fFmmdJj1SfqOJLopqnIYRpugW/D4OOzj3Vr J1L3uJwpIJ/Zod1GNcL+jgv5H+T/cM1KhAFAQ2oTSYnvUdODUnQ1ZpHgadSxZEMgAQYOGlHoVi9Q g9+9vzTj//GUqWLk3YH/Xfl62Kf7eGmIzevEGlvtP42F8wCnat2aWpoj8sfGNF0Rjf9OvcBLQ7nl k62vyJpSWouq2OuiN1nqJNYKzkV1w57o+Pbed54epTejVnZlAqb9Y2gt43ql0yYdgukHuH+5DlNm LF6+ppJ5JZ6YixZ/oeJaLQBl6unie2LPSs0xJClSAL89VPCLsTttsz/AiCFBH7PJcJPWLQagEdqZ I462+eeB1+Usf9Gd2KZ5WRbeUNOmiV289w8Pb1IB+QyRt0pvoQp7KyiqdMNzI2EnsFh82UrLsF+Q PiD4WlFe8l1TnHlWI5p1cfpOW7+jJFh/XeL/M22nMiz3gJnDJy3sLJwTZrhPaTB1CY2dDT7b8PZ5 /qAg0QRqBdL+U6fZv8sH+bJsXexQ1aqBs4J3clPs29efQgLnOyRekql/8I1LeLwcKgz3vBxhmuUU McY//1Yk5HXfMv29+8SzoUU9kzCKQwieHtMo2q9qFhany28L3Zk1+tN8p9mHuiQGi24LFAojRYpP PwUopMdcE4JUO9R190FOGNLWSVHo8i8YX2hW3jvnYOws/iTnGNHciH5V+uaKW0qOBgJVI596ChR9 AV37AI8CCOlUhVmXv9CAq5EEwM6//B4E1wULLuSY5phWjxKxCpnWrlc5U+r1fim+WdgSkDRp5yRB AM+uy9MtcQBE+Dy5jA2qlCFf2036C7OkTw7x1Ww0zoYAIBu0bHKHHYt4RWVDG+zTrc2V1iKjkpdy MtNHr1DgrlHglonOCgLYI/H426yto3lN5ntIqCO2OJdiVYbAQRU21hAco+o1CYVJStjO4qAtd7ip 1jd6bfXY6VFLEoD58ogusJRyAjvWLjPhD3KjfnyIAMctVJ2sjBZ7ryrz595HmZrTObT4zusBLjGx 3cwSMdlswTVB0nXN8rpH7NHSRbGaJG4etgOPLs8aN3bmsVaWKXREJ2QTzr0DN7b9fFLfifBAFX8X 60wVfhTP64yTFehc5pAKIT5fl7jYyqZO2LanK70AZtvVKuwf+8X7DBHizxzACRdYWhHU1tqiQ9ZR zV+nLBBxS4oUdEzvd9E4SqsafCt7rqEI0QRC+Emr/ro2IQSYPWgCIETGLdDxB95eUpPgvAbHuKRd OmtRP7CBy+bW4YOO+VL2ILIJ+JrjWYn80Gx/vjPFh79XoTQTEQ60roWnsAYfR/6ZnvtIEFkAIu7L zD3L9dC44zwjtjogVZUXk15PbHvudwqbqSQBeckD6uQf7rZnxxWxFobRxmqxqJYnJhmDa3QTlusL a0rLsuc1/qZGB36iWWw71fUl37e9C95HA4XSNxpjfu6Haur3EVAcC+JncZhrxBiu4ZArZ8E321dO Dd1DxAQwlM8EgV4z809gUvZIr2babdpka7lpjzLMHMChgYaW6ZVtHfDiTEGeiTfObPLWI0PwaB+q 77tOtfxT1+6Q11s3hSRUSjPPLJrznmc4/YFEpJ/zvyvMS+BmKNHEht1+7wHx+aC2XcJ0alRJiREN Nn+jNk+3IWW4VBPs5puJAieIFeGx8r1bGSX6CDGgFyM/e5KEnZ70DzMIqTGsynrpGHHdZzc4XU5G NDXe5+4ou6pkBVtEcUOzVfk/+RnjYbO26Ju13YnrWLtDyVQTLCxaQKRA1oS5Gufzzp2NGjs/sHgY DnQLPUA0vl+l2pmv6/EQedbiXSKfNKwn4vKhvS+Mox9jL85ry4uDR5IN2mszazOiiZxHnMsvCu44 YNhg5g3QXPPPKZmZ3WsCLmAk/hrYa+VVRf1wZBA2lNiSRtOSIRb8EZRWb9t1oa8i37Qk+ucEonqs MeAqMilTuVxJgdiif3NXHIgmsqsAkzbgAI2EOKP1Qq2SH7j1rNRcvGjeO4VW3t+tVedesOlVrGsa RmGdeA0iUqFc4QKodjXP4HOHanxxTcU/GlyfUz+U69jsUrx/8UmTvkvlqfcBJkAriq7yFpiabip6 SCgxV55T45ToInPYxwFfnkxaoDGcsBG/DZ0G+aHaBazD/Mfgitx2RgRz85fsC37rF0LkHeDwwwZM VMbxG0vgtP1G8Vwja39LxIGGvI4iCC/hKeIEYI5NwHkOD6A/JqM37/JFSI2VcZxbzkkGSqI/rVQa m7aPdlAvu37+FWO0KKxt0oKVBt7ZfTAVqdm5uM0sKe0EDqPY5thJYnB1/1wqYjtw3vLvRusSHnmV ZNGGMc6oWO6wkK8PQV2MadW5o7tQ+lx6ExSn636tZrHL38GW+oSVSn1ScOS+5gsO/Wt7vLwxUhWe zyudDwVdaKW4TTSuEq/6kT91dcAw2DZfCvgFng72w+4rU6KqxLuEmmzJDVNDx0sBayYhlz5K+LWI kWOH+WdHWvmbTT1NcH6AZEmh1DlEov4QZcHPo2jj8RraYygsmpzS/qK2Dy70yMRghcACh+0mGHjO r8HowKm7fIShl/rtZtvnVpjXcYnaNUl2f8Svs4MKMSH9QAYLuf9GZjph7tL+9TSDj/yNj/eG38+c CP9mU6D52sdz70BJHDU65LvmvCQ36SABWPLY8+eZjW9xogoD1wusHepgPdeO7bfz0Xh+makPlYLG 67gp7jKCRo3ZzQuLQXp3q2z85bDkboiyq9P8tmU8dsxCbL6ndUQtOitKsk1iQoynoWW7C3bcbXue VoTFa/Jpik/A82+497rnuUDKouNTpwUeferyqLYrGBZUgFz21/sjvqirsyAcjYL6R0tvZq24bxmJ ig5ECWKtgUS0Rbo0KFWALA+7NF8BCKopI5IroWaUYuTOEABzsN5W6DLJFdFBy7ZCgndzbjym//lM iFRDA1VkdzJMEynfuQd9StBmdMlbdkEpAp5AkVxQKA1l6kZwwjy3xLpA0LCwASQbOC1nA3VLS1rk AntSBqgutNLpaEbHEd3Zn5Lwur8u0QRDX8U+UnWe6YsL99nxpB2w51xcG0mDBSBv3MlTYSeaItAi kpR4YygUij4CaDzmxevD1xhHuuTyxX2ZemLi+LEBxEDnuJ1jRZm3l5D27xBldLesvOVcVNFgKeyB GPTrY2Oxy5UJvwZC5dSqNakju2god4PNs9+KjdJEkiCZqF9otxMjuRpcYpzLDLuieTjUPZlfOw/+ lvwDZVW0kTQOkqyfXbHGoYUc7Fk/r/aM7Wi3WRx4HneWY1hGt+ImTfA0xPv693HkIWnSPsQ110j6 8TjxNW7EqzRk8LWhR6Cr8Rs4cgouXYs82/cbd55suoobbBTXUPu8LDplVdR5F8slsRVzvi6FAOOQ Ktk+yIBmU7yxf6hJ1rVrMP2TgCJfDtX06HNKyHzw01pnh0SJGWACqwvzJNG0x5D+BdaPi3xWNAZp 9V1n6RDN3LPeHauA30WKlx+KDsiXJfYwd9Lf0YBWoK2nlI0YDahKOypZAWq6Wd23rt1mLwYRWloP vv49Cvo9pjOX8JSBJTY08Dr76MRqen2H/IbQUjz7wxIwYGS2hE0T0+FeknNMeWNVMwLt7q7P61CQ yx5oa6obJaMQ8mmDtNlEUXAJV4EU7bZpjGJ/LNG4uHyuvuq3jvJWxBKAU4ywHpho6cnSl/WC7JSw fhzDDCHOovJKJH/Ett6AXF1ZtCkW+gryg/Erdh1j36PNtR2Qkpx+jsoFQRZXI0vsQKQA24IND2K6 UBVZxbahD77pNH/xYNZGURvs9i/sM9oc6jWR2I9jm9P6hj9KzXeTLBCZzgDiDoDVzBG70LBIxZjk miPx4ys/bwOLUQ2kjAfYnftBZsxFFQOaoSfcO3p3jXmU6TJxDjKJXaxZQLPePVP2eHhq40rY1ER2 3bOG6QagLi6glT/nYAl2dzWDgfUA2gpO6JIhWBTE5LlMpFLZdAmNGxFCG0CzBbhFPNFmAnaRqswa 1ndmblPtp2nmxcbmnc80LV9k/hLCqMzd+gFb0vpSrJpzW9Uvd+mRpTIbP0cCtbCVj3ArC35WetaR EQNuTPh81H2DluP1DBhfDrTxokmO0UpOKnBLtAlFIeVQSg3kLMsZazxG27Rjf98mm6YZIRCFRbro /R8nM5MuYMNJONMCVCyyKsis+qVnN8kI+BhVjo3ZwJ1Y8dmTQi7jNKF/RGRVNThwWFwMY+1iF1uD /FAgLJth09GnAC4k0BslsJSBiyi0YfDjoY+iWLN+gkr1tnUR3atI/Bzvwn1zxdstcYo3Uf8WsoaX jpfvZgbT0JTyJyOvTLxS+CRJrGtuayMPi9n4Ky0bfgNhGPxsX4HVn6jzgl+S6qBgXQ8NQto5n3ld o2H+w7u/1xSJqXQAGrfpXWJjssBoH/PsW7JjsYt9tCeRZHrUtqGkuh5Z4V9Rm+oPCw+NKofQith4 4Eq6GkNngt21s4yf/6pYxDv9Ps5Enq5oC2y61NUned0Mspicn8Pk5eAwOIglls3E2qdEZ7m4Ov5M nkRNmWcG8YmaVZikNGysKzLf6vf77b+cKey17WufHfZK/p1ll2FMaDeURkphibLIOdmhkhL1W4aM HX+lLd4oJKuKnJTkLTnH6xHxt0H5zzdpZKWBSoglhFi+Acri4PUd/BBcbc0/BA4l4JgeB0UD3lCr mfLuIsf7yBMKH4h074a0iOGxQSh7ZAcv2+MMwmMA+Xbujqc10DgQmX71U6mbolPrwjxXzblxK27R jnH+gNgNeD6ABOHtRaCfTfqsDkm8vGzNmLNgIpT5qDAMcRHm1ej7JawNE+jN6boMFu6PgB27N6Eh 3APMMjQ0YhHou0rNa2PQpycVv7+cdf89YDae6Me+QnPHxe9dESFyQ6cs2gm0RgPl5ZYWbq/7OsFD ptMsQuPRI3XGIZcVb5JtmPyjucuPG1Xz/bwLwRX0HYbFddxLoYqoD/oQMqjLHj/MNOXtpG3YXmoB 2eaFAE+xq+JA6F6Q9nXW00MjOw8ubzviLKy1sZ11rxRavc0x6hpM9dKPSS2NJ+Q6wSiw2HdUrmvW 7+ddzc/EeNoDm/nKnWF6cz/RYEej8hxa5DbnTmy5eGdiGx8EqM/4hMAkzQiWoDGt4rwV96aVDk/h SvNhgIclQISX3kuhOw3mNADiRpgS9CVCpsaLRQji+yGDoMrtkwYzOTkpAy5ew16X2bAx+dm1+8fz YcMjOvbn0eBThPqbkBcOa7Nni1Z6r6GY0SAlq6zUJ8DmLVDDzd2LJQttJm9lGltNKKgeBMehhNrF Bj/ZI8FQ+yZBezv4JpQJgiuA+4jHbb3EaVeycQ6EeqpsZvscW+iQjkk/qhGFey3ZnA6QQTfKYRqj nv6rZcAB2RxkcLXb9uY/+fhnQrSKfAax8Y/2d3nMks+I/SiBf1oRp6Sb0S1961wWhph+4SumFpSr 9b3gi4Wqyy31yJLZX0RcftzgwC5TnhaUGcwJAK+aeqlxhTf/CH1mbo3bawnpuct84sAnzrtQsJmy UfvgR/ieVFCm5K9kVkf/m7V5tWytJf0zapgF3e4kRgoYUa7gM1pBcGaJ3G99oOJ962xTo/9jte6n LSkCH8zZ0P3Vl2r0MQvTTLajbe4OBG2AJRqpwqVQ/2kTuALvljf2z0JoYDzSSxGH/b/BcUYVRBga Me+g9s2H0qdIJ3eivXaarglvJH6HoeQyi6YuiwWhavw7OFi9JT1dHapyND0muiSDyK8WeN0CZ+9r 8em3U31jIZUpbOLmfHN5L1M9FFt0+uMlE+ShIpApv+6bQjigOMfVvK3do5IBVonr/cJLfcp037af 2eJC72XVf+bGOj1AbjvgFm0RW55M05fKgDB8YK9D0ildtFn4Y1CSOfluD160HEnZKgVSe+2WmJaL Yqk9OPxJl+Mp+25ACxD7/FbV3rCuvdpV77rMIIb6HclUdk7JffIbwUx8gBJ+9R7WFQeyaPM71lSl E+3xVcY8TfAsxraQs4wOATgkx+QeaFIApWrEMgi6pTO+Lj6F7UGejTq8WaZbXqy/SXy0uzcGfysH GJRWYfBcsf4tOzRMfI9cXIeIVJRPDbp5/jyZparuV7MaA7KEjpYfxC0P1odSypbyXVhHYWCmxC/O aV5Cxkzj0tmnZEovtRvrIxcog8PckpklaxNWYE+u4smNawYFVcdArJoYE8F+ETRyjqsFIgkXZ37a 9X+voNEv5pD6cYYkXVAB7OZHmuSzxyU+JhuEjn+nVqMtnhodE0bmn1UosWeUGNo5QyxmDBQ8xCyc 3kovMBU2GVPng7H7ZEv1KBHs3atMQ5luI2wRuE0VZphmP0jlMQqh9w8AeWev4kU2Q2LOIJF39v21 HcxfQUnTePM49X5kXWOt7lTd08RIM8vE7PeGBpy37iXUp7cJXAt3+IRZF+zaQYMrLf+Yavmt8mFl 2NrcnP6K2BbXyqZBUT4W5NmkQq/kcVj0difhkBK6toyRlyQM59ZPf/m/fY14r4XxDMcOPbXUspDy i+lr25Zsh5JZc4zVaK+HgTjvNDGAhGC9sCa3ehLl8Nm1vBO/UKR4l2KxmqVfl1Iah2RFvwS9T84X vdQAxqZ0ayk+9R24h3NrDlT2BDCjh7xgsjrsww0GyhSxSoaNCMdX8Yg8z3ab6A44Ezj8HQtqBm/8 iCkG0EeuH3wzb840euNRle6cDwHgDxCl2B65J+X4fSwJZEeDQEFb8vL3PZvBktDfEaWj0zaEC9Ge W0NnhNu0seZJOgp1N2NCFDjpAJ9IxkhUXKNnvI7UBO7xauVJh/DPJ97lmaw7DiICuRbBExIDp24v IBlTYdnUMIx4SC6doXaJsMyQS13T2dADjm5VZ+4+Nl2OfSunqJBabqZ63R8ijG1iNTH+qq9RuEGn nGxlCUGdXqiqyxRu5Z8+4UhUVoUPjFAH3JxC/0ccqqEYfOeHS+OykJDeDkQaIsYaG8sM0tDEYYUa kjTdW94xRRI1FsXf7uaB4z1Sw4KKrnjh1NH2/ABP+Ge+4haXIaMZOjA/TtWisvTWQaiDkY2l14ZJ U6/GpBlsOC9ubYCWsqCF1um839Lc0SV2260U8R1wyvxIyHVctzf5XBZi6qhjYWQc0sCrV9AloSMG qZ4mSj09NbjsKTJ+oZeNzKuC1f1QaPeWl7Qkx+b1/u5uBl6IoHXUDIlQV/vF+tOfI5336B8R4ylQ IlDJyyL1rodu+CI3gbXyDvcp5r+CkwHZ/o6Te1AZQLC+QwSr0jfPMJzfgQfuz+Z2L8gp3y9fyV4H q84M0ezBa0Hf8/yIJDN59wyuia4tsD7j8Lpx9fiaFhJyBlBi+mRSW/VcvdWlHu1/POQe2CZxkqMJ s2GWcj1L2VRIt05U2ayrM2Fg5edm9cX0l0cDt9EjFLHEgK1J7MXQ0/0pgg1qDz1s4EYfBTuTtp5k yUKITdweHjbNe8PiTemZFa8FX/x+2/NdEgpfQbhFnzo4T9trWvn5oUCsutNqKwXlD7f7Fhq2kwtx acV7M4Iut7NoK7AAzWTquMAL/m4zzABTDvF+EJDg8EsWtUzCPLUeufiqZqB1FVmb86N1N8lyNouY HVNW6fZXnV6qpkDX6Ta61TPET6pSIJ98DRweoJ75fuumgOIvnXDKZnb6Az7CwqNriBOcfmok1lF1 bLO/nS9GzO7kG9cgjhBeSwnojexAv+/voyzBbg5ltjUd/gI0q6CiTMV7vxPS8m08iCPrw5cLnGGm dVsmnWBK+KgWju25Kad8HBxPBQkjYtz5Tc2OUpHYxg3DC6vLOn/jLjUsW6nDMikMwNRRVp7FFQM6 IpQtKZP3ni1TPX37PbLi1oAr/NU1M6o7rlpNU+m8hKXr/9N16hcslHdP/tnvJxPsOKXuqr7Y3Y9B AUf0Iq5cxtB7vvivf0muSC93PIys3VTdFgoCACHTcjXMSWMR2UI8J4z6NP93awuzjh6sUF4EAFW3 qtL/lw2tmIc+M3YqSAsVKPNl9CUyb6TWjND8HnyUEUKIsn06WA8uA1N/ZrJsTpQnppzOP5NfvmyL T8mTe3GL45i3kKm+z+4tC4gTYhM5qIQPWrVIP0gQHwi7nc/wnmYKgkPoQ9JQK80ZiBv+oWKA/L9b QVG8qDMyHu7YlZ4W5i0O0k8h5wYtS/sMeVhEoOEFsKpanGF1HNwBauPh1HIr1TlzjzDh+7RI0HNb Tz3kFJYeO6kwbWdHZlheJLT984cVR//MfpZBHl9mBN3jOQ+A+OKj24ZgoMuDY2RD15XzNi+pS6V/ wzF3WvYVJmVav2h+Td6obH5FD4YxTXqm6YBce268GQ+Guz80kjXHMKoQlU+njj4ik0c3SYBQ+eyE 8phGv6hyW37Z1/jg2zZ+hJm8p1WI67pVVrCQG/3e95MVISGYpVuN+nkP+eZ2d8h4aKEPke+l6i/a tSwssTQJxDc90kLYIcCts135zgSeuEgt1B26GSP3OQYW5zq3n02v0P9Y1kcuErPDoqaCwZw9YzdO AYr2yFtce9/PJGN39SWpl/zWpsS4mycLa4gk+H7pbdjs78N1FiJy30o3jUoF4E5GOdtVzrVGXwHr kAOh4o07zIRjUWhp7W4nDluUCbSsJaSngvAyUe0NnqlYp9mh1lrIsUtxdQvcVOqvXVblV0zcE58y EKLT9B5CsmTQPIfY/RWnmjvt8Cq+S6miTJYx71U7FwAnh2tLj0RjowCHQxi6Gc/pzeq0REuwv1Fk n37ImYzsNJZEoq+BSNj93ipfEau+sqgQ8fY3DpIO1wxcIcXduReXpBYTbr1YDgS1xBZVVjbW4OxD DdIlYezUvVTNwWeI+jCDsCtv/OHQD5dUFMbLu36DQ6DGV5gWG+USs6dxujpuofUofDUbZIG+IB+d H0ggg/284JK9K4KWe7eqTCKn+O6AvXG1V1/sEefnSxaajVd8LaRGRA6+qjvYE/mGD96ewSV5bEWl kE56R1gWE8TqLBoHT7J+t9XuGhOIgT/GhYlwvpjK5MeanF8j4jcnXV3E6k4CAiAri0CfuahprrPs 3Yk4aKossqitF4jVkB2CpjZLyKR4zPvsGvC+IJ/Gsd1vlxRtkPmEIj7b3iEMoxamXrOyETewbDwm 9L/wTQ1ZA7TY6wp1x+4id/UtU7ItXqEWMfMldkdBdmE/Y/s+lUClFQswchVK3tbmzcluayCzJou9 +6ioZHyoGiWF7HI67MlnkYBL9fpKMzrdKKSbvjgaQQd22jRb8KOEbwjMOQK5lGKjQ4ytiKYjrCKK /vcBNjvzX7Vmhe2Z5u/RPG13BC6rnpgs80wDxovh/y/kq7oFbH6BMoYgQnqn342w4EvHolga+VLx Xcxj57pmnBuLOjXVq/X0VBr+mdWLLMg/Q287L+iWLVSfiP/YoeJULT02Y1ebW9Fd+VorCPe8Hv6b K3IX+ERCEAyyCVDV8vkBs8GDAeWWdHnicR9PwWeAQlFI6cz4esyV+RQgxci+81FfqyIH19XFX2ri sHgnQ5rYS10czi0XXBeOdmVmdYmsW+kqL3wwZAeU4SI30cOKNCSVDKVoj/RrH3EoU5aurFn9VTWe ft3rSnVu+Yeeqv8/6EiG1CvHdjEhJebdb3T9cH+jSN8oAzFLlg4pd0m/6lN58YLsH6w9CaLrkl2x egMzEyr4Y/V6gG/UMk+0h3kQGbNAc/NOed6Acc6RxT1/K/XmZEU2vkBuDJOwlN0D82zP1CNk5bGz Z2VjUK1KG3Z2KXL9F8XWaP3oXjp2Gu2icgG4JKqHzKg8S+oTob7trP5EPW9DcCEULM3oFeOXT1hc yx6enZbBx9lKipuqmGEDygXO1zFzXVy/eNbU1IC7kXpWoPdafb8/Wt0V2STbBGB9qCyyeGsol0QW 3TcFmLtP8j2Ri1Ja1KQqm+C66Oh1SxSjOHYIM3Qdqj7g/yaD1nxA3bE3I5uM0c1fLgVhlpKKxZ/D 8oMV1b+MR3DTXY2LV37H5AGPaPKiML7kQTMgLqccLuqmuymGj3cexrv9dOI+NYZhQmZrMZ6U+3dX IgjywavgpMpa70MJcSI5xRNWSDNa2IvXv2+U9tLYw+/lO0QnYa3Q56tnAPlR/AlTAwXDgB3uatvK QpIQAky/K+JjPCwqkP0vI2OU+Kw/0L7OAgo2X/BLk1huMfPokJ4q7R2O9KKXc8H7qcQ9gCP89n7Q Ze2aDXLcZoOiXxP3Ve6I2qy6UWnJpF0vd7QR0UE0Mzq6Uw0EHl1I+CJbbNx8ILKUiW28zgEvBBlo AVPDmMpIPWA2HOUtAusoUq5oA7EgLfqs+vEWkNKIzE5tL/csu8WxYZrNeBC56fYT/VF6lLupnsi6 9LiVnjm5uuiXLDgrB2EWeWa1entRPakk2Z8VsSOUDpwXLOPblVwCpZ0t2avYxhMBWT1ZZ/BSSBYQ BOoL1ybJGqahr+8z8yuh6dDGmBdvde3Hkz9sszMIlTg4zIFYCfk4WPyVknSBBTGOlwB1JmXjuwUZ lOGH7z99nalX47gn0Um60ZzVpHM3z+u8kR9zlXm9ltS4kJgl/3O8OJYwdlz+F7tKJMHn4uaFPXzk gi53B7rD7hvlHgwIxmtz9r1M76ZHxMKjBIXDksL6rtVhR+64YICWyvawu/+JPei3S17C3vAzymfY W6udmrb512WD7TPHJTF9ilVCVkhDHP28XcLlmlRqJXUx5SaUvjKGbguPRv6FHppodBZZOLKDMQWS LadWBlJSVPy/UEudm2WLZQJQyifhGtuNOgIFeJvEeC00JFGqcEqYVLy9PJTP4qfTVjv7ClgCSqoS bp1jWfKW4ptsTDdUxLeMSEntcM6Jbaa2wY8Yw/deXelFSx7CpgYoM+C8SpRw8K8OJL7vuc2YZrQN y7XgV7v4YnQhkplyloD78v0NVZ/xH66JNlrUV9uvfRMxamRoLCK4nUPZyco+1VCyq7y6CIR6gYrF B5Ldox2ZF3m0RkhXm5wyESJ5w/74rwMyVqcdyCijNbIyaE1g1O7hSRsaMOENuC36+0jPtS/IsVix Nosxb9Gp/wGu/M1jUbgk8BLCRoT6eYKYuC0GnsJhRJdtKDjnBsoOpC2ETT3NsB17MtgCsiXMocDX A/SqqyK20kY/fr81fWuaDa8szzBjl1ZQrIGWOFnVsKN5PMgHdO1ottkEadDW8KlDl18FOvYncsgc tFkq3AKWyTyC1fXeL3Lbo6uY6kkUDgxDBvUPfC1+gPi+JM0hdAzXkhRKf/xfcMkin7V34OnNlNlH v1IyPGI9C1R2TcPtr1bKkYrodWykO7/Bn4nRKX3RitTwaq+kMeiRHR2xKxlYgyvkYdJ8P3OK/foP cGF+6/dVBVcKpm5Q3ksYJu8R54BIb2fhSFxE39dSc+FSZtQlmdLAaR0T7rlftR/iPhCnMdGZQAUb A0sS+t7plBDq7FPoO/GMnJ9CfAs67LNIdyzsxRJyRqLZcDKHmm9YDHXFgjn9n/XDfC/DTAKuZAey e5f3OdtveRgs7J6MR/5OXgMLU8xTsBK4Vt1kSW2/Mk/lWCTIfJ+qMoyWgV+xXSkN38LeoWUsND/H rD2Ddu9c0/+5PTtjTysQ6kisMpnDGGR8zOZWb/mwltpoXDY57j3n6gw9yu7a5y72Iwee9MlqV4vd JQ9JsgI1Z1Q1IDqMRNmH828N+hDG7kZorQCDgHfBhgYR86AMoIfwuJOLYNQ6V1TtNddz6u5R3DtF dIZxNYDTxC3wXkXwSeR674HWX0ozSenJj4+MTU4YQITaxa5j4cZUpg2bVwISZzObGTFjdxxY+im/ zznmJh1WuNtVf+OqSFOqRz8n26HdUSrzHQDtg+bj5o4HexoUMvRZK5S7bycUvQmOWeIQRZlxXp8F 8TzfeQL/ac91EgU8Mj9aeLlJ/cjf9bmHwzeYdItwSvkVP1jEMTTAlvDKizQmkVQFgpdG9An5R6Cd 1Y+LlU0fquEER+OmP4bCFawrTML5GiE6i0vDbIVUZqiqf8TW6lTwLJXPlyZtqxye9lvlixdGZYDn vqpvWy6n3/UNunA+7DxmJPzQrIDoGRNLpGI+0buKKNV/tGI2+JORs1mIJO6H7NvNkYgVoTB794W9 nnwGmthOpHWmWQJu0HePQXEDxWLAzTuG5CkJgAxQhbrv5r0Se8thsVTDekjtJqaCqrTzEmVC/wIH yT4cQjINBoZutEHUneHqorvrEGi/ZOS6Ho3UrTU0MDgNhhDQm4tl8ZnjOka+eLpiFM5XPUVdEpKN eFUh1AdVusPmKEYbLU0TA3HEOEXlqzL1cxEY8sb9KiuI1KciZfCFCnj2CAEY3hcwQ1LEpG/1r+C8 XT0mgIBKJk2A41EZDZ0TKK/qPZw+5tSSqt3g2veRuWY933Dc3DqJzDQF8Ic0GzFIRMGE23a6qrvG x/pRz2EdJlcPAtXtbE2Gsm85jyX5Pf5nPgl9tp8Bzuo6jSJOIFjsqoO510AO8fmVADdd/p/1DPU6 JXdUOvzC3coBAXQalsszXQFrd9nEyIYaFSRfAimW4dnrDCZSrmk2hHXAiW1YY7rcItUG45hmBmsa 2HhwicuQqk0fEeI7S7FezuGrKt77SfZmZmTnNMhgKv4RbgVWLgdcG4aftA7NdcSiTnMTa71zIoN1 iVOTUWhfznSX4JfxhqziVlgUruee/c/pkAuRH58+MxwKHCP5rVhgQNdZaF7bWPvtL+CxVWWnh3uT 46nJGekkNsVSKtPT2u30lOf5k9E3gRmFBrjykIl9ZCzWa/1sjERHsQ6ZBAU3GruMQj9aoJNn/aNb kJfGqQVivKk6L4ck21x39UI2QFKf9rcpFWv97hVhQeGZm5WHL+DspKXUb9FZl+O0lBHytcu3u28u aL8RYPfDucRdbp82AhIr80wN5DKiwutLFKobYZO4tYQwsguv4djDJZaBxrGZ8JMf4qwiZdgNWKRU toSbZcGSC5jlGP6qzQRAnGXT83c3EWd1b4qeidbL5egHXuxiqqbitWT9Z/QUWumt3MlO/lqGehzB Dwq1lKrea3/xm/A+eBL7N9MqZQ54f2bQq9OxRrlzpD9fauvRVDmKP03vLGD9tm02IzYzYNd5/dss 9lj8RrPD8v4OEWHOr1IwIqzQcUbDH/A7Wp1xLExPNZMLyVZxxyJlZnnWdDKXPjphRnDgtmTFZOd0 4LScXwDfKE5nCo7nMUgpeFE/KoLhy+Kj73BK1DAfdcJP+/NDnPwKZXQHWj/CAQ0hp5vhtfzja6Qb VKpK5qc+OxrHSQwRkpB6XRYdzUj4tYbkLWR0TKm/f+23+S3LMuRVbhXdpdKKglhXI+kEDHUQwUIN zVv6fSwq9BQmLebdjj54bxgF3r88lBCJL+dpZsRTqxMifnK9w2/Ik+DrXDhe3m6nhnbFQQVnfuD+ 8tbi1VniecxSrQiN1LT/9QWONP90ik49i4oj9Be20lOK0QEMUof6Lz7TIf3aqKUkEwg0txtm2tk+ iGzvpBDNBauCmsW11LHxbyd594KwAUfuipJhmzZU+mwDg4S7NpoBS1Eb5Oof2ZgcFLb3yY7nyOBL NTYN8BTkXWXPxyAjCGktIOjqk6Cb4FFC+LeMC50Yq3O1FvAm7LYaJPc1CBNckOuTxshZRMXwPOij fgKH+T130ayJZjRBaRJl83O0WNMHi0UpShkt3bnUnVFS0CNl9K/pTrKC+VHVZsKkPJAnw32OE3LQ 6BEJ4pVKg+rWM9Tl3d6nQNLM6r3UWnNknS1EttydVwy9TvOXHyAvwYuC7UdKtg3E1ykyBTbNzI9G zgb3B0XUr9fV/LnfWCWwtY8Gs3BIAEnPTw3vxJGGUQdHfOFlwZHWarREEdNP81J60Y+SICHY83VY 7E/SwjQR+rPAfGu40qXhYJV5VwqlsukhMXVT5llmGaVi/QytgA6vT059PwSAY2cq+j3QI+CIhtT8 j99ymtVfVVbn0s3F/Ts6dzB+CRgz3MlgDvXwngMZBXEuO1DNTBt8Y+5cttXZ66VsKUltEPCgNlU9 G78bnKu7x2QI5FPSNNv7IGiVtU8b3HI6kyOyYXfvYUp1+UJOLHMvc75iqJhD51NygZerEAmLEJf5 AMz/hWEHMp2s8aKTTPhbU48mSkbWdNneG+qOQ20ncom2a1bJ1QKzAnn9FQhIoXwdXGR/UqwC9D75 yfCWagmmE8awf02xR3+yYgVvDjUzF6IAyBL2fYQ6IF6MapPPQiUNEtQcjMWdjh2CLSltOEJbSwwI Nijygt+rWAFnZGiekgmz+2BFl12lsbFs7UjRtGrGIVqFPYkwIQAr4eJ49qSIr46YLQLHXkCodrqB SKVk04rab+m8K8Et+HQUkulNnApqezADZMJVtw76YqQP7oRXyIlrXqf2KHJF6l2Oc+E68JG7pdbR DJWdRJGGimiDffvmGaUBlMwLYr28zCwjWmgk2YU5jNeB7nCLHwCgplhrx7iHxKkW/1ImImJX7S0A Q2ooSqQrzIkncenme/uOd0it03exD3QrfI8mT1UYlf5E68+10VgbyDJ6JUJleJNpGwdh8/Xaeh7V 7f8XhzMzIfLDQ1dAW0T7MfJ4civnvWBG8GsotOVutQ8wWkbG3QiRG4lxzoEy5r0NKAsdcYRgRvut C9kJ4vx4ofqcJNy8cn3+wYMtyIDEWbxQOvp0Zh7OyPS2k3dHTjuaj5AC0POMsNgO3jpQYwmizuC2 adkVXquWFEzRmN38acPq5drZ8LVNWKooqUCTn7LcR+AwVZj0XblPovSan1ss7siTfi4BxawvW1Wz LEo42cpDXOKZq9uU/FAWvfLT7xSWfnmL0o5Gz2IJoFLo+HMokd8Ho9t1FwsnkZ6s6eCVhNm5z2// Do3LrBrVJ/3XbORYxFrXQa4oCuKy0wFYDOf+2BN5TP9QWQzivZjW5WYe+oXBhWR5tLIef+u8Xjqr Ehn3t0qhaKs94wfdkOFoX/YARqzCaJmiA42Mkr4N5qWUwqLFTR1WFgZmrKJlKKmRV5myPlRs/10b rHriFWRIcqddwRzZjVuiMTVehEQIDYLdY/gjQJR7K7VVcRyvLNVHrbD1UILCZr/m3pjUow2UKE+a NRb39mzxlN0jzLC+Jn1MQKQedvjSy01xCCdleCfnB4aECTSi+QkrnBQ7jwu+wbqAjzGDZLwx3XXg hIpfGsfEOMLRMbzNzDVbhXOzmjeVL32bp2VWIGrRcUuVfFt1kJx5EW1AGNVaqBaa2UUES0NndAvj vNhV6lQZ3t42PEYHTc045qaDiiFFqd89fAKdtJm/fbvGJxMTlgwcHddcozULZ2lwyI9XHmQcFRVH dyvvngoKrkJXH4qTIjJ7/XQ6OUJzVD7lMDFbLIH08dWdl5m1Rg6Q0AKI9kX0cGMduxuPYgi6z+XR cs8YW2NQcXlhYW58o9jdtNpf/f9RMks6+BT3oAds4/CW12ZaYfrXnJBy3PCm6TdlhiOM5DhnfASw EMjLXfzQR6GFf/eq6Utd4CxMVnCNeH8GrG0DZo1JFiNCxt32/NNQJ1JSsEtXFKLAw9ZOJ4vk6Fwx jKVFFL26z84OZZCqfAPOBMmH34E7fRB6EPDgyBXKEoWDEE6CkbrIde+h+45nALf126efA7GktewV XbrWnO21GEzq0ef7Ymrd7iUL8jk3IjPFMkL/kxaJ+kmTDrSp11O6Fho69cbHLaeeT/bV4Raf4CaO nJxXpYihAGq4B6NbCDn2zSsuRM/MM8ogj4IIuH3iDZ2cXlrpKNU7YHBVXk16OnMEr2ZYcaSUjWxU rqe09Xf3OyYC9/p+50e48g2SkBLYanPe8bJgV6GupyCzm4rZqBvppg8zbymsx1tKUX3lpp4HL+7k bjVjtiodUVEY/zcyfn649RpOUqCIV2ibW7K5ZvQ+zetEexptWzgvbL7T3YzS1rbEWcx2KYgEDJd3 UpkMZ2xVOjJy+Chbjy7+Ru2kWOKGit33eGyOGXFYFtIc/NwjujFHL1iXUrFm5vhBDeCouBXAJ+zO siFadhLgPMav0cIVLQ6Qjprd9nqpGOUfUHiEWjtN7K6jUKOnmPjlQZMiRqMPNXqPLmrcX3yOfnUO ++pMdZqKX7WNh93a8Q+p403lYDJrrWTkGCIP0CtQVmjJC7nnrkq4M3ZH6e3QkiJbErVHiCbimMvg eMBSSdwwyJSJRBa924HcY6mue4Av7o1z+gYeE7UpXPot3+oRaMpOQ/CAVLl0gnxNoThuK/RW1C54 97M2LC3vCsApiYqjUvUbzM8K5c5jG2PDTIZg/7W+ghi5itNY7hd4EoatUBsEpDEGA/iSOT1wDLd3 RQlqZRgvNV0aIdf58IoRgjGUjkJLWNwwM337KBgHfMipTkYmQSEQjfft4hTnrzTTMfwDaDc9G/2e PQTZlSE/5miws1pRmHbAE+QW3f/sWWpDlMYhmoOrZ04PKS39AiK1YaVOhkuGzhuqcHdbQzgA6tWu VPHn0m1FpPINwzFu+iKaHDYnz0K9P4gSMXlShefjniA8Kru95oaogbYFhpSbwsGjdfzj2Qn4tZy9 sOsM8e21POdu/r5Zvr08TLdfi/YtPmsEl9lwWzUNeVrVuCA8BLGZYEFbyW7IvBUbKBdOZMgiN8RC xF3t4zF2it1xg9SO5hI/wmTGjv2OVwkHjtNlYs1/9I/luvvRZxcVzA4RNqsteCga13FKPECbB771 PgG9gqvJQRUs7+4EvoOHvf9MLCWpFocIgDvSl/cUMj+np0f0bKtnEkGkvMKXF8DH0q0wDrEPZ9ob +IfFUb0Qtt309gIU7cL8wKdfQfmr/+Vnr1Ii/vuy98dXg99mDvT+0yDGeOoZcplqIEkFqZVYrl7O MmAWEKCzr2qG1+xh16BKi6fzMd0MQ2hOZcJueCOgtFFP/RxbFBFJcaDjWoI4F/R5hca6ihK4jfQ0 LW75CWvnfV69ZGCdDmUe4RX5chB2cKn920xBK3eO4xkE4MLZ9AahxaJGvC66LOZatkApPU08NZIJ XMFtzrp22iP282f9WpsXJIe9kjU+tzz08jRqhbZZ79jAKvK5o97EZOO8H0o21u6fU0y+Btr7KAJa Ht70izRv0/PlRa/KO+hcHsCBcVhlewDIviJIfcy4+spV2sp/r5NziFhuxYFbycZOwDZas4w5MtbP yVHtoOZPjehZaqsf9MvcH7DnzVG1C+4oSlwARkGCfUMldn/lC83zLuyl+GTphljtIiYH2tHaYm2Z xLApE/Bi7n1o0V3pq5/HwXMtKdn1Ye/IDODVlyxqEym68vjUeSZJmvFz1iK+M4fK2VYf7aefiv0h WzoNNo5oAeJomcc9kWGjNiLQ0QvVhFVzdgPdQmetoYxfLBwk4psaLvyNTKsfWZtGlXXEsq4P8brB 5Lf4QiY/Z1QsyzIIu7BwWGVjwxSxwrCc97/MssKNjt8HvK8s1+geQbQzF5olLxDjEWBiy2H7ybI9 MwkeIX21KZeFybGQMeDHgWuLiJFOlYnajdLniDR2TrQhYdZgjoS5yCDhB4Ri7lJv6Mdy3IvXfdfQ nwt9mM6VQA+iOvQu9zZBuJ//j7bJSImIlo0McETtLnflEDN7oo0/JJA/PllL8cXd3wZkFfJBY2wV +ZMlxSegEz9msWNt3Hg7VagIF5apQF52q4rCQktyxI3gpte2FEvv6rm2zPRGUpDjX3QjaiuZEGhQ U848/HfWQbGHKP+J6lvrFcm4QHHdgGcm3R5verXzJ68bVYe3fegLDgoExovSoT5FVnF47/5E/vE9 UsY4lTwQ2laIF27iAYrb3CfSkQIy9FR0IIRNnbRma+AdqHIYwWcBmoq4TKfxEJzf52fdf8hCIgEc 7K5q+YhucMeYHoxa53OR7TfN1c1NxvLb5Fx1mg1db8oS2e6Mv4lr+NS5EZjWi0GEX34PH2YOT2sk n59ZcJS6pILJaWrzd1onJEpI20osVxooVdk03Dgg+zzvkAxQcafRKObJtraWVPm2bw3sEICKseIv pOW2gqG2f2SnlOVlIIaJo33tfmIknr58NbrRXqdXkyCqoI8B/0FlxpwFqN3FgTjz66GIsNaAq1yE w375KV5inuMr8db72VOHnTbHSwyL8vCW7fHBAiaRrBRZdCSHeChsYMG/0Rqec68iISXTAh1UF2lw m7vK1536JVUVTzhugJJsGmFbBblPidYRgHUstY5s+WEQhV0w68vFlbSq2YxuShEnHghcFqE5aRWb OpednCc3DBw6MFkNVJKdgZwDqNAlwgiCWRB58gQ2NxKV87Spyv67QJIALh4czdC4AqzuPGP3PKYc eYiU6g1dDBV3Q1X3fYYXinJG8XiOT0zkfSOyGgPH5z0NBpqdHvsZ4HR8BgvBu0OhJS4erPSnL+e6 CiYxsKUhs8WU8s6cS6JYMZhk4GzBNVZz7ZBymZz7SbBGkdwNyLDEEwP3kSZQFrQdMNdg/2QGOq0P FUtja53C6UxGiV1q55fG+bon3Lext4mEpCeeARtQOWPMR0QCIkBP5OlsUsymGaxl35D3HY2d/u/8 1FvbSE9WG4x4SNqa4cpuuMftzTjqd1go3bt2T9fQNn1a26+YuIPsK+Bl7lSs6TiTR9xKL9yQezGz kVPKNmd6Jf2nlnQE2aTf/iO3JBoEgSH/Xsj/9fp1i/N7E95rw90jiCZotzxkGQeo24R+kAeogslR FWrLLDwMvv7KY5QEx0B2CX6ovftsLOPfn7JyNWacBjIAgzdVurDjJLGdTC/v2UKk84tIMNnACjkL OOkS9aX0LKZzwIrRzFTq+I7YfI78pUpB0olYRfQoiJ8gAF/rcBOb3+VmRERox7g7XK2uV/6mH5Y+ RZMsq6qkm76VRtxTtnzi7yiLP4wzZOtzEfGNRqGy344Jpdkh76xLbmpjNtlswkf/YeU4cScLFKOT UTKSUqpjCniyO+4p5k1QSg+6KMd0xn8NHnM/MNCV+yARcD1qSruuHTlOdz5wfTZvhDmimG9ZgpkK /Sp1YqhbCfi6tI/CuI+G+u7baeu/RW3ah5tO4hsNA8pyEP9uwujUN8oYalMkKmEewedHekDX90kD O/+o3RNtYThFStcimI0wNInabaL8/rVQlGSGHMgToxmrur4lYmjqoPJOXP0RJsN4748nr1ht1wOM dO9KNGw1bDjJar0BHkq4w3NbOpVvZJHI4rCL2HhOO1RpSYF9dyL9c1lz/Y8LN0vK0/nkXzfJfN8H 2rk7BWWblIvanMmE1i7xIc3OfsRNwFHOQbjwW48P+3eAxsNxfVbkwTeXWGTtROtFNXqu9fQNJALb LTgqKUZmHhwE3BcoAtJAcjSxl8iVmYhe5XKisci+cWRMmYr4IPeD6XrD0VQyRmI3/hUbhN75/dPQ xjN4qBrNHGEZTdi6R2cRtuTCH+rwU2JGlZZJJ1U0zkpsf/j3p7erDYT4xz2erlDUDdXnL43RoBwF XWfgtz/jtlKTY1YSoDH7+EoP+W7sMbV2pgkvojzNbosFLewZPeRync3fbjqi0vlbMDeT46lHnuOH Bz0ASJFbdgmYdxTM3d804pMSjGNZ823cbvIN9CHjErQRYih43iDgOHOcMpQoINKyBre8LRPz3fsM 6zOav5AsX2LRuu+/YruRYR4jD/z/dsZmcWxRx9zcR8EWR8jI6fRx0Y8PxnkUZeePpAGZY/YKl/CR mAmnXhBKM9djsfepZ+vX8fvLsB7dM4a9Q9YlkCGr8XaQOv8yGUKFl5p2D/0abM/ogNtYsOcyGzFc w6XqnkyDQwvLYAZCkCpO8+BhOTbQMaS6gYojivrTZ45AYvcjn99ZxSfE4HXuzVRXTLsMgLXRmhnB SqpKxWbz/ucOZK/4++yjpd4/pvzvmN1Br2v4Wp9QZwWb1dOWoUMjmM5jPRNyMbhng7Hyp9I9GPVy 2YtrCnPiFdyK3TtqB/ZnLm6K3WSVxD5gP1PFIcpJMdQT52C0kxbAj9YzhmAp8CbSJzmwmBpHLBkr iv1uCRr8XUS6g1/o7d0OpBqxm+wCKvlm6eZ07CK9Bnt87Xf8u744Y3h0Yra75jE6u60Sa9qxLAZz QCsob+2IFZwxwabRJSGUhcjYQw0VrJZsmkGLTStuL2QAynqUenY9s7GCJQGwmh7KfI9SHci9goOD PTmai/3t9rWEkVZcamPczoEkBONmkDNoFWx/OC3ZjIxeZ6ZkrEQ8UHghqExEL0BqfaEQSns+Zybw 5V0JYzxO8gDjATw1oH2PF4tqfxyw7D04HC8JqkAvt91wbDZN+POFj5m9MmPwuIRHlYc4uCwFXHOI 0bDHOxe4rb5KZH4Vi1Br1g5UR+qYM4xOkEdOiiCvTudsQ4gYE4+VwhzyUwZr1d+8zupHwNmqwEIi fkRlT+wjPBKranA8+7eqfOvd+xvG1aJKlJsoaPv+Quzv+YufPVAVaLU2xmScoGCKQM74wU8zdJZG 6Ux0sjw4i/AaOtoL6S/EyaZ0HUdD0DBEFbtSUu9MF7Dq4q/zrzdpKIGa5QGFFnsWHKniIgLp0wzx H7rmQGaL/0HML9BcSOe2qUXHKAAsGxG3fnA7A7NUogglP3GxnAGVUnk/2hr288Rauqm8K8uJjt9l VeoCPtuGfUtinBy27uSAaJFbcGXPW0Pj/V5UWZ4xSWooBdZOrJsd/VMruw4Kvtt6cdyK2r8+f/6q LFDmkSFinBmECk/44ZUvK3JlOkybqoh9aSLhqhBtxj2UY1Zlx0cEPjSmGdjhJgHuFLU8JxzcmImn NBHfvju3/iEvvhqMrCTRpo+fwBfTO80Ja0ZkkvPyJ6LCv1G1+rEt2EMuyi0/IW20RAoNwLmKj57K xRNx34f7fsmDzhgkDWjmjXxZL/vch+EYioWpGn77zmcaUIsExPzA9oXOb6Y0OtSNfEEryRxY5MpV tjjhVqtu3pz7T070aXwByBKJA3pCkjmmMUtbDTvRhJEsb/a0Lpcs8dYZJZkEkG+HhVswAMqI/+rP C3tKBTo8cprlQjhyVGfRNH/xIHpP2qHH7aJphC5rI/7fjIOQKYpMLcnrfcXcDLEvxnb8wPSIQ8DT 4cuUfCqHcTclgqg8WqHMCnbvjc8SOVEJk/pevUKrhkpgjUHu8HwYxaF744pAcnbjkvd9K97Y7Y0e ZOMjuAYM2QCgbNUuhUxoW0N6IauhRbzU9ei2Vs1lZiNWEhAHjh1uZLq4+D6MjhB+SLmVDoHO3HqA jcJ5SRaiSeaBFhQEx1KWWZN/ip725JwCNs2iYyL+jfpSPuifoCFtTb12HUZf0CqHvdYfixeQs3EQ 11j4GHLyRfI08q0kkzkKry41NRKPAU2LLYR3M7mUQ/uRmZrLM7YL6nORZUdTg2FgefEYOEekJOGM 4z3czWjmadIe9E5DrIfMjlsOFgZXJnG8x5se8P553j3keRbW89H1SsK2eZBwh/ZjZsuAg9pIzEIb seZHb2OIWBRXgsCF4XQx+pUJpinxZoUGOBb0rclw1yBMSzGKkqOs0NEKsXCV7Xk3w0/m+7EEyk56 Q+HxYWtNE2XgfhADqF4YRzaWt7XRmIKU7moNjhskpFMxqOqHvwm9/lXgF7bkBik2De3I/s1e3IjC gNQc80qpfDNpAcsVsDUrUlF0yXEU0BJF2pw5lIepY4L7550W1zSWgWaiuOEQykfXNSYdvYgcs8iI xc/Nju6mYmhs7FsxvMp68dUavQSVc5/OEZADd6dlOFvbD4JxvlF+UNU549/hV6BxBgESb52tTngt TGC/9LIIwzAezudAs5T1YIlRnpb4k9SI0nQEMmMcOOe4id301pZS22LiRXnA1rPgN5GD/g3LX3fQ wZ8GdgFjCc6TehAyIc6Gw3gsbmlwps0vyD+EFDTfowI9k9p4MCXRpF+TTcPt/JTvrugQJ3xMJpOy enIcgAr289rIEBSsnr2Le4tTSAsZIkWqikKgXOwpLGT+fMQn4sm7bfmzrQT78ezgXlEPlQurNpSx /ojf2LlX6TAjj9EMg9ifqMbDWVNpVlJz00xrzKdIGpoBgTZs7YZB69/pD5Zlm70umBvglU25s87M NLFQgqUd3QB2zxlkHAMoqWBEOzdN3KTeWHqV8iYde24QzT6cuf0CVwMXd0AEOdZ8TC8n2YWZBINO h8yE/fJmPx5lwgrE71ps2zzsswa8HU5eqUg4YV9APKkh5kMUw3mnYiFLzDLW3daB8wZ9QsB6AR8x TFiH/6/cjbGMsf9vEP5UUAZ0f34ZUNsKJpL6Dvpk3/qTxdLdWBcegQj7OAtpGOGwFICqFfr/OQUV 4s/gdyrytzywazzEWs/tDKsIOjb4giXtNPnan0JJlPIQry8mVW1JzAXF3EkEHvrNaeCar5VFa09D 2WckikDdJkQPsUrrvTUAqONKmjlsOyWOT1m5S1voxYzc0AAJsQ+ITXoA41JU0t8OGy2YwUdUSRZw UWTCXAJYXmuyIOQ1uk8yOWLCM+y2/rqBj8s2+6xHmJ3qM24JKPyOfq4CoGK+nXs60/Wh/hVw2FJk YHnmmAhDxZvNidRXGkoX+to6+DYBcZ5zny1A5SxR7kqtFvnCq5Fn/DtUzyuwSPg/HJ60aWnSMwtv /244iihTejwfmxGPRn+Wmq0OF1uLWov6iYKYlEzKiJ0ogSrJ25do9uO+U8ivuFMlWBNpUNVjJ1Pi 00eET+nOzMogy+G2ZhZyedBD2ZgqWN2A+in+8NKXccGzeICEcH/f/b6umkcOqTD+HFOYkQ0ebZiT 4YnwQMV+u5WhpmOgmZ1CV/puGRNW0pGZmATzvRpencQgO8q08keVxFhuAVnlws0zzGBrFch7MEIf mBNwgn8HBLJXIqQDUZ2wYt+D4gcsn9+xkeVCPvIcymOgp3X/qsMmSR6OFsHyD8L01WUxku7a7MDn gNRyXsbgC7sAr30W5Vfya+b0I0/qIwoQfG3FM3OctMzaAeCkrPKRWoBVVFn3xt9tCIrsGhDr0Hsf mD6XvhLgkHcw5c5R1MQj468o5746idWglr3xZe5IDm7btj/cJcvoB+pgf3OBYXkyDQCDoNL2wwqn u17y59WcER7TbVhGL9Fd1N88EzApYxuTgfaencyEaPE2CH96g8gLwEjUD8NyGmG86r1bfczwUF+V r3ScWOTZWbhjGWY6tfJgGZHYHNn+IH7/UFqnZQbdfDwP5z1/liJPlU3x/d4ALZOdK9jMSm4XZe/7 QdotVDuueNf1Abk8pb/L60oGVMMTrug1f8lLEZSFw3unLg3m8GPEFGy0X+D1MSnagAla+BPer/P7 eu2tVgW0uHxUWGyFu5RNIoCFZ0GNUQy0Ep+4GMwxq6kUHV40cL5yU94LAg95rw6fLnp8h4C7cYGP 79zLthlkbfym4eNH5PD5/xvUVSBqjSoWH/jbUBUiOKBhjOY6iC41BTR/0qFQy+IjwqWP8WiVQA4p 7UuT5Cw9kLJYwJF1S7j9HAQvFSZjo5NIw40hsa0EeYhlCNGYPB26kOa1NwWsj6QnitWwlCMsgWj6 41omObvZmQvQbA2DqIbHhoodoGMP7ZZszIsVwmHiE6KeMhgPqAXiO804qu8F5qqnLcdudAJ9emfj yZRS6/gPNO4gAUM6HExKh+n9x6r/AyqTelFp6uNTr3D5N/yUhRe9PIJk/UVIwfqKh7vtliNrk9+8 hETHfBXA6DCHomnek4XlIMjnTCN2VT4pJm1PApDrVmWZIMNcDnVeOvPOVluPRPw3t6hh3pk2na3s jrVzJBK/xrth7mHgPLMen9GasvpC7Qq7gvvGqt5ARRl5xeJbLYSZhNYWPoMUaI21ni+WH+/VUM06 INlm7v7Ew96QN59KXQ3qM2jgRq7u5pAhU061bcafFVVI01n8rmMamEjCD57qGRSU3ra43ofMn0lk 8UiLKPNrnZ9k2jjC3E7VGPB1hknqZ0r+UDNnk6JNR3CF1nQ7yB1Ps4WgeHpjjN6veVfpdTcDt0l2 Xx1XdK/keTz213ar6Gm4Z+geAC+CpGxssPlNd4OAtSRD+E49jMhYtvmdsoJT9vlfgo+CEHu7ojKC S2uXKdvRzzZ3NesfG6q6PrJfcxSZdLeSpm7N3BSzeF2b40lAT5pUQ1PKLZOZfTZLj2+WqkEYMQHr b2KbCCArcaf15hDyaBWeiBnTGtea+qPTxRVIo/yOtR+ZRe5nP52x4k2Cz3U4hFxl/Sm1WPqB1Jnd lKzt6SlMbaAxxadSYF4Z8WeDyYyw/IwV63vCEy97BbKVnJ2arz0X6orC5G/kRvXhtmSooHSyQgSi 7DHZEpVSam6Z4MSneyM0i9BR9MrD5MYPCxGPzZ+Fh5rka7g42xj1VXfd72rdJUSyzux4TRXmxPA7 5amqIjtYBcdNPgSZ3m1fwUj5BkhrrhpUcTfIExvFibNwS+7rb7oLycBgu9yZF/BlY3FWPG/IAnPP Ty5LcZQVpV6/jS7osdf3+1raL74/CPcZUuEeTqU1RhuhShn1yRz2IPP4mjD7xGLdA+Y6l5CbkFz8 FiOUqPEFkbQEnbojBoFFG/f1hpuQo8LCEAHicT5HSZVQ52IkVLJ2PSzjS2FP6NxegNOgIQ3z4xI8 NmJRdvpRV1zqTzyWSbcE+nnMDlgSIIyNMqYWXFhael3cbRM+ANjPdTYEAfH4q30IdxnUjGcnr/U7 fNSMG6apmqrAGehvoPqAErYH+6hBG0uBbgroebtdBl77IqG7SmmrEXKAGYqFv9Lo7nMvn1HP1NIz U4o0Q3J1jry8KvA+l0pdTHRzq+wQxraj8ujzplkJhcJtt167jPSuQB/IYU01QabbWoJ83NtSQbRV MsCheA3om0app9agwdA1dct6QAxLeF5aUzd8/drL/vZdy2U/lXVtV5uCYGwPAWXEigrA1PSBx11y SHmtnmsGCvgLrB1uXF5ZgGna8nHkVxH+L872A06qoBxRR+cMWcTLGH1tTJ2YR/19b+4KhDS6XFb/ A3QpMCepLz3ydYw0UMcSWwSCjvwu4kZo/rKnG4Gh/RwDsmvo9zUOwDKvMR4zKwml6cTy+TmV0EzV Sgk2bA7VMIAuGxyWTcHJ364LIyypxrVV5pJMP3RNCymrEx4av1kJwP/r5pAVUm2O/YlsiRWtVG3d xqeJxh1UEgAcPvy4dRAYd6Vh/KVThAmXCSIlAOtZTYwEmZnOovFDifv2NQHZtJZ2wGUUIBy/HBm4 bT12LUDHmA9oGhASegLPv61DPfhZmBhUlIVQSqyyUiI8CSNEcypi5qc2SMo/LnCdKxqqd5AxcN5o D8o3b+4ZnZ/d3hQ6R757gD3qfbywOiVbbdue68u59i3r7D10O7ihqjG8yRzbck9AgLBkCqeXE9zK ysx+gVuGOCHUCGl8ddu4aQ3YaPka6Hm4+LPr7CQLp7FMNR8hdZFKBSQTv2tWoVkEQL6bHbpve/lo zC0jdHFR1HqR6uj85IDWtXjnvoX/F8pbckU2a+Gwbff/ebcv1qr08jnDX7wz1Lq9bWJvXbTOUr+1 3NUMPPG+Ylf2jwYpa7llnxlETPvVCEDIk3Gyj58mUEBVVk5aZwNoPOvEaPa+xhxxm/UUpyY+4yGb rnpD6LkLIiqisNw5UrU6FNqxBCo0gFQELUrkz+7qdUaKoXau+CUGpkBolHacXVHZKLz/aAyEs64g bwnR0m9kUvpdSjFGg4B5+JrCdz/lHO3WnGIozf57zxcHmetzgFvxc4WigxgDQNqhKfo1KTKH4SmN tSVt8irIFqofqSIPU4Vxa91SdwxzsWxCC2AYWjo8NMwnaXpQfzakfUaGlkpVRxoFGCTI/nshrKn8 9Ep0+UALPrrGh96FI00TPxPjAXHbiBCOdJgAb2TASnbeu6+yppxTdYlgm2OXSP2keXglhKkRcm2B GI0JuqFuSam6V2EmFo/Yo4Yl+c4DMn2EbCybXZaT+K9g4nOirp+YuQXpF44I0g5js01ClFT+Kpvv etdwQ5VN333kmwQ4KjuwM25vQ+mu3Bdrnds87nZoJ7vrqKZO6wRk/MJwZ/HlNcAGL81GMX3VhDpm qP9DZL2BLpkLZ7EtNsnxVVT8SSKWDDKpPHYixJXPmeZ0l1Vk3WimNXZbiryKUZEpVt5NuLYKfQsZ 3R4mfG4B637NrDgUUECR3GSSYqLNEN29wP0Gv4wSCiIiwhU2BHZn+FtJJKC1Q9XoLzdwXA+sLvMA 5o0qmmxbiU2H0uU9ePKpAa89qfN6UPz7wfR7pd8uDi5jnzZfHSPRyzTvtyCXHsWW8hRI3actf0NC zFXHjE4dmJhZhGYehSK1oyVI/ySXQuUQztfirYbmgN1M7gj6vIyrKbHWgF76vWeq91Mwha8eGKn0 eah/najD+b7caWzdTC9YQhbmXRDB4Ydq8/aYgmTNsl+802bAiatAnATbVg1SGqzORuEO1jtTbtUv HI5ZnXok88EVxtlIyFQJppGvWKKrVvr6CDD5G2zaw6c7qyyl9FAaBCc4RLMyHPd8al9izxVIORTx HFYo45L3n7tqkzOiOylXQ/XaP5fnHqM5GjWUlJuz7nDzpk0G/LDN6Fyy0yco6ZAXoEhH0DcymA29 7xJf/6xAkSympB/kYvOWqm4Y8n1eyt424bYG/cW3YTHPw7ZTURHTaJh7AV9d1QM88gPDmN5s3v7a hrMWWDPvF3hg3FrrI9FT6iYcWT3rE9NPeJWtMjeaRg02Cux7kc34OnTTz68DdTt53cq9TPSKCN/R fxwVXBnB1ZKyL0IniP1bRdVwD33BMFaeImNqQIC4GVHdU4Pd/1xpkdHWG5PsKuhADyqmXUVDeiD0 zY631eKQ+X+eHL6P5ERb7brGO8T7PAzPKnBsZ/ffMoybGiou9olgFeYOBWDBMnxEnVBrH8D0NQ5a WOitpCsaUGCFerVeRf8NZK9EJuMiZbbNIup2SBOhezYBccUqDLlH/lyKXfMmKNI9nAzirSGa3tJ8 bSigxXG23gzHuaOB5Je1Yjqa09+cUp+zBUHyEsK19N+O+y0OD7faIhDgkhxCBKSgEUS53SDzgW66 pvU/q2elsG7ClnWypBNGjGE9FHI5jT3hIEIJzTXP1pBc/i8c4NkgQi8aY3u2nBau2tHh/UcxQ375 br9di86dXMLxCu15Sk2SfIbnGinq1JgNHsz0FxIOxto1FvxyM8EgmD6fPhzqp4aUs+yEsbvryAjW 4oCVUnsg/Q4UTUppcom85H/GoDebDwnPkeDBxuCrhuig9zDmfa5Wk7abA3DCv7zwjMQ47Kt+LGg2 QmkMftpSycyeyqfQYOn+EAW3YiznZWl2FyK1rGCrGyPlzVYkhtyKFAUFDa2szPrJMCcjYOM1sqyP j2bBPFzyHBt064nfsToAPIfPNUmUl268B7OQS70GQfPZO5Uc17uJ4NrI560QtwD/zdBuYSq/0d/c iv5wqxf8+oEJhd3SOh1oO9lFH1sJmTHxnTZRwT2ERO3dYNCbWsvuyuZDDUvZplt/wsBf7MTAoPEs StzuW+Pe5F+CMFs+nbDdn4CUcdmQKSYRo/6SdIvtfMDFS3DP04vZeTWvoaLRYrVvME2EVA1h0e69 2wxpC+yQXamb+MUcPB/wyyg74fLNsbO6RW/UaVI+7IvKKGpd+fnMpI1lFYYjPjE8ga2ieMZMiMKg DMkE4oNg0Uo0V4qB+rbF3ASwV1yZVCeOkHckZWN3Bz1oxsdWCylGBBJmFtoQ85IQwEMnk9bGBD8B KckAS4fpZu9a1KXogNdWOZRvAY0wqg+VlGzb47gRf0j1u4o5vNBsZCGBR1CmmS+LfB4IMJvzhX14 kQkKsAgNdN6hBXKC0CFSTpRIzTm4fvych0Cv4W2gjes5jYv1mmqagwh1meOUWrlqtVocsH6l6M8R z7R36CwE2wuWM7ALICZBaixhhG3a7I/SE5uauHeZnL1sCByFgdEiQNtEPscM3iC154hyKqUKVrPH 2wAqSA9XjxSu5EvjDM/67pbd6cti7ugvCpsfiBj7DajzKZv6SUEoCmnG9o+gJYlbrlv/wtsfnXRS BCn+4twCf4Kk/9ZQWc5vsyaRRe1aQvzSC+Jz9AK9Fdm3BTG5nSxc749BobIcsyXo5gliM+T3gSzb P7yrJJw2oWyruoL/WoHRLjOx8CFNFGA9cpqaDovjPGxtH5eApTLH9x83gVZH3p5O51gWEHqLDmYS RI3SOPZG+QoasvtmqOehVnEKqs0Z/eLcxqjHtBbpsuWxMgDcUIdNd7QFzBgostS9aJ3Ae9yCMk7K C2/U7C2bHzyQYMv7UpFL+JGzG3S8e+kfYnbDkDKvk4vNys/x4qO0BmvMUABSwb8aCtY2uS/tq+6O 2N+eLrMlBvt+Vw2XIJnuiBEkU2HQd7RifT9JqournObcMoxFriBW3qaVBjvftb5Y7GAXfaqjHXgq VC0E9u59zZ7+bk+N3RgaZg1PgY6x5WDWdyM1KeVBEMCz849cMSZuTyS2K2Ve56zDqRmdbfFmlSxU QZbuZg0pmy9koXbghPzRNVTm9u6yvFSZ3apg5ol6pXGWY+BgZV8HX2+XazhJAR3uoYgMha0QOakR bzGF/Dt9AqceBYOzCaSF0eF8tfSE80n0rr00aDUerxwWXLum/yaFfWxACQHR4DE+RNWiN9kpZL6h tdCTiEEw5QaOXhpQzrlnQXDUrwcuCWyDeWBwXcJAlTds12QKi/7c2tKgJlL7DzqHwj5fSTIgGhCT o9KG99KnQbcLUmaO7wnGmcPEFr1vE3evrjAgrivFGraxT4D9MI+RYISS6GReDhpgEp9p4ILgUoMU yb0IwdJvcYNk0M+zc5ultkK7izdq2+dfrsf2VtkaaZb/xl77Y/QhdIXAIaayStZCPGWyCODxDj0j EUwBrQUGsZ0goqtuDSpZX5nlBtBRG43SoveNCbOJVMTvMnWtGVpKJ4FnIVJQJn95PP1YbDmS2yie wQBWGgVPtUqhcm36nu+et37jh9511Eyu0BqsqjpN4lmoClqQi6klqAZ28FrhJDrlRmI+nXphxQ04 ZC6Z3cofuVPqHEXlA+i67nUBoxhaP3Kmz2a4TMWOS95nzOYlTuUZv/gpkkdxjvjfL76qSzRXs9m1 fMNSu7bruEM8yXh4qke7kHIqmAsHQJy5pfNM9ByeuTT/dBYEff0ie3x8bnMF0ZdZ+Qt6h1WJUuas cZarJSAKkCUU/dAt28eIUIeTIXte/qU1p9q+lUv7IwWrnBuNj5OpsDQvi6R/PWcem2HVPxcaWORO JXirmsmUFjyLKFWPIRwQEYkOdvq7MDl+kD2MUKG1pswAQ3yCwznNNgXp2YftYZ8tfe33W+PvPfmS 0/fNXERVN1yqympOjbYrfNrCnE1shyd2Nf6kQx2CR1LwFFS4A9is0cpUWa90O/jrvMMsgk1JMZ5i DDmuAFhcKS0PI37TryDME1K47GEniiZ46gw59c63eD8Aookl8L3IPcz4p2T2t2hH7J7WDHjNo9Gk BNBUPwprIswS1YpAqyYGQGOYg9Civ9a4PKtbvT3y4e0dbPeIVEfpQg73R2bwOSs+inHWKTIOACrj xjTzVz7IarCyaefK8g+lB/Vp2wQ03i2kHa3zgPQTyApBFBo4JNvUGupCmJjBGuDuJq5jGTiZ12zG CJW0uzxq0Otf2nMlmkguQ3fAw5t9HbC3Yca4oL8tv0CfRkQNMu4z6JuWzX87PGHSQCCIrjTjjHGu 1xrmoST5OuZvqFJh8mMJT5h7y8yBOV+NRKCIG8PrSndtIIkaIK1/6+5gMqC76R8jKL9kUjJQcuT4 c7wdtrpwikHJ3rGviJikJuCFtA8G9CPwJapL/HeToT/eNEQhtBbzl2Ba1L1n3U77vjqxX995mnUw fN6wqemRIhW9harPT7CIFfSVbY+AhFgNiRt3GXNGd47YAQHc1641S/NzzRlpLfxq77ww8JWrJDJg qo0eGeAyfIZbZp1Kry/WOYkiXwL7pSgTpCDv5/UGn3RZVNBlgmWLCjyNszbP+kcViNEzolhokb8O 1alTL+N5ODGLqXO1WifO3pPOBLRqWFHLywlauwpF4oFtcV+IJD/XNXX35OkvMqMCb+/fdmN4T8Fd /9bv+BXYzM69wgYjDfMfQtM4LvucFPEkmXggWHU76bGqwHE0r1idSNrwHHdHBU1OfrvfpE0wv+bH Ngz/haeWEKzppP2Z1tN4PL9XGT+Dktg/Co50xKm50bHRWHxB/xUwcNX5+l4xJwvsKlX5yfWpQH6E Gzv//gre+h7qy4+Dh4p9rRMSHPHGGJYYIdy+snpGofVEF+qeClWmVsCqRQoj3VJFx1VpONnMZ4ha Lsr+5lLCLhzfdluaBkx+nbudWr0uSU/Xc0C5DkX1+7NjgZiNB47oZo7+daRFE5IphU67TjerrO6s FqdnSyeXpM0wsgnSgU7BJRruVWuseNAky+GqcMqaqZgfSKMNEIqLdgjhx5BWAGmK7tB0M4uwotdb I2Eq8vhJgwHf0US/TMyJG9MQOr8bl7b2c5dFICmHZeBsMjIEH9URA6yDIZTgiQBvfdz9EjV/B0Qs SzjqiRSuCKp+Oaoj20AGbT429MkQe/yWzZdb79K6mUtbG4Mr85w1veB170bPD81kBtyX2R8bnXmu /zyWKwBw6GafYrEa+m3strqjQL4QFMPBz4J9qX7PkSYD4wbJ7cx3YLYykg9YuzgYy0FbUjKJlEDR X12Jez60T87CjtVSfYQgfyXiC3cEJ274GEewIyNoHZnXBZc+MSwmnfNVIlXzVX1hd3Mr0pjJ/KdC jglLlXh2LKbU2qfGRc9DkaSg8C84W59GdMyG8S/k9OR4+zrScW14WfTVYwZFi4dQOU3m1ANg2FPL nTpmCEQ3yz1eQOvW2QSX54zZkJkTjdxi/SNzs8QRfIYERF0H/T7X9dA3DUMTS9T9UoEffyrDnC1r Y5ojEj/3Jhouo0P+MQKlfOfGyMk8/XAHONfGPwtcUi6hYfjL2SnhFzBmEzP8h0j/vzkWdmTdvjiA Ol/bkXicBoIp3F/O9d3QAYP2W6mr7D/LgAaw/khD5iyOXZWyymk/zsulaURcX4QZJvP5jehBIO8I EQaiCOhbX4yah6K3sFO4ohQml8aK6PWUEhY1RTst45HToIfUkw2juB+eevcb1ykGqpBTbX3LODaA XkEdnFy/IZngHcSg+fzIGnZf2NI5+yIzWTx8TdSOmD2ZqhC6PF5Rdhz15HbhEbltrgzT5OKtBbTK 7hqFIgforarN+Yp8WJo/XdwtXXHREu7LfWUvZD0vkxjUDfz7jUWuOvPQo2OzJRRSSkHlUV67B7zF 1m4Gt3RSAyV4PujW41Oot03o7eRpcDJ5d74cFDldyUQkmB/FTHCQReggnmr4goRUTlWymjHVTutm 2W8dq9dWelkkoJvuv5d+cMG1LtgeeQ9RokXswDa2pF4kV4EwDTlXoo5+Vb8RHYUhSU0B82wx+zqR WYUS1Q1c1U5rivyst67wp6FHx7twT66pjMZOVkAnWr+HxT5mDXehvrUpbURDHlTRwU1X8aFXUNqq gp+LAPO8iUebqHp20ikxabjSqmUd6iqTGxuy5D9Xb84i9cy4eaEXMkmVtuYzNh7UT2iMdvKsiPfP CK5unylXuKo4lCLSHbmRV7FaX7JIGoYzUuCnTzqGxRHFx/Ufp+ypZeBTn//pMhbjjYGZ8jql6Lxf QhMWJB+fGv7sL43i/rK3ObG+T42V1u98yJ/88Vrs//zDSpJ6ucaZxKSyV16AbN3hLmp238T9PDoa vhct2NSoUwMWxnyDM3oDQYh7Kc2gqEBtdDJJl15XlGNxJnHzzwCKtTDHSyXTTILt61mlQRFTM7Of hKxqlFAvDL9HohHh3VHs/87DiqFFI3ApizTKREq0vEDGUtp+hfYaZnnxS+mMFdoRdpFFn+HZiX9T wDABR+OHdNo0+j0fBj25MpKPhDVGASXeIPw2cpNY6mNPimLpdVYMum+HMvGk8aKmC49AxVca5E/I ffbKFrsiQ+VjO4a95NMtz5pdXxS1EdtyzmlS6pvOVNZE3MIbYHUNVixjkj4WrLwSFoURWOklRRJZ CG+yZ564fMOHj4mtfz39QK3VNIDBf7jOjaVnGbUrhmkpn4L1EZmZ7usDVKtfxQlCxhWk+WX5v6Ky ioefHosY1NMF+Ij+7HQFNoW0paPYqkTTB8YOL71CilCocscAeTpuLKns11G9T0NPNfHw7TM15KFi y9tDOSVxcVhOAprC12jU52AzxQ6qyIqDpuWQ+vctbyNcMTOsiuQSyUimf/1Rd3e1+J8weqEXSUIz LeR9vyej+UYRtnNLEtlhEWsTvBDJNBcoRjf60SygqO40qo277xo7Pt+WkVIusN8mt1YoCi+rPYb4 h58/TlDE+xR5YRo0sYOoZmUQTx1ZgQIyTuUtBLaUiv8piRhrSGXbH2++4yrj3gPd7VPkLYI8kpme UmvhE/0ohuROmWrmkSyVAMPmUEosw5RVREIQvDJwhN59F2VgW0BunN8umtyE2PrgfSsfJ0uWdsE0 A4LSDPqJEddERIsmM34x3+iF3TVhVYm2TUYVBALMHOoRKzutzBQ81Bu5F0B0FuZZ4NUcif+3Dnjx qoiVXrqh4Y5AoL1CoTZssYf21c18No+3WQnIEHPBzxOW347EUOuUIuamK9oomLQkeuqYvUTacIAr QI4093qrCJQJXBgmKx8AWhi0mcUGHOrfUimKyr+YiHeJKbrRItLQ9FFkeKfCDx7v+/cqd6EY1afL EHbC7RkIEzokDvAaAfMNgcgIYDz039Zi54u6TKXaKtrChKZVrTotqHcOBixbrMO7D/OdqaLYxr2Z EIXeiDOMsKr9qPMihRGI1aQ8D5rQGNUnDu18BxUOe4Uc5Wwr5SNy/+TC6xWEdTq0B1sE7YcnusSA nPPkYtn3NxFgI6BuMzwGaOCcVIr4/2ODNQx6Ezdn1i/r1jtEUXTnOg0fOJTM1QTrr/AmRBhI0/Y7 IwbFCilLw6saUGMHA2JceXHpNQKuPua9eEIi9ODk8Q4JnkfwHqoKCB2kikgWwt1pqo6FiRySAEMC jlsQ57UU7VWxCPMmjqYgl1bfRglNzsaM2wJFaSyfTCIHmlylTPrRu4wFx31gM5Ipo/TysOgpHc7k 9skAjaX1Xenx1I79iQlR9Vap0ZREiJgMV/Rqze+15Rg3sFcfaEnhQQPFmd2dhdjaG3v/S5TMDd8Q dCERmfb4fO5oXJOs7D6kQYMJheVWsh5YRce+vD4XSbu9VbZZrHWjDs0XRqu3m81iFgIGYDmexrLT tWqkzkcE7MvFkJTc08t0vA9EBj6JtXrZmSN47K4Gj1mS4eVjB988ctMsbbiVQbq4DoIUpVqukujn zNnQVYHYZaKXONP7opb1uAypUg9xB0l2IdOcdAowtvbDxujf9e6Wp/W/ZCxe1IGBprXXx4gX4pzS Kegu6KH4wjMc2jWAz4CwPJ45yRmi4ssItRnyQgt67+QGl7fQA7E6+mzSR/vFPnTnQLTMMCYDtB8e ANxVL6DngoNEh/U/OBmPhyD4A/q1tknEnNqpimkFScyE1H+gC4YuMtG2bNtY8R7FctotL2em3B2O 8LiiBiRdgaytNt7EDrZ+32L9fOA/O0dbmTAcCXxMLgXtXgW+2EXT6LH9eo59nEAjf7yic2cdISGj sXkoVm8YrxZu3sZxQMPmo6flxUohmVawABOIltqtT+jCGs91m2GRtms6Q51bRWvfuGId3hr8oljF osK7ANAkxJ+x+WaX9Uju98h0Dwi74rByn4nQKQVmec+JaHx6khvOvsm7+lkwgb4ixWVcOt/WWWkL EyC0jK/SHnOwnY/hLP5yg/rhMywlklDPHIa7LLdaPwWKKkMeUFnMCOdPMqLh/mymsTdI/9k+hz18 eCzNxUNiFr1iqJsZtntGtjb4XRuuACvcLRLXg7NQcQJXXu8MQ8BQ3eDCV/sbP64fLIPoAqshHzD/ bdiflwnA6tF7AiiikMG+CX4CYOIhhKbb0v9RQrKC1Ke7YPpnshUuISTw6mOY/nK+SetAvfoZi6fp 8IhspBanBSUR0XmnigwQZqRdj4i4xz8VZM9LDRfilvL0S0zj4r0ZtrOsvyVZQHbf5MBhvSHtMxFO Y95S5rjeCI/p/0TsXw+9L6VA2kh91BH7OW4K4cYoP5XzaiCFCoDL/EDQQDcBSNC+f1qAdv44Wsfz 3IypPq0SoqhScJQI3bVW+GjqNyxbFaIY7r+xqupMdIRBC84k7J30dbPrYISY0wNG5SDPJ8Yngx6R MDQtdJCIljalG9eNUk+GWJtDMEFtWE9QvErpwLVa3VkfHTsEb1drRM+anYJlpg6jI26/AlBuo05M dIZlmbq/mJEd4Gj59ZQtKPsXaboPZ8cQ8Mq3qXHL5CPajRvDrclHLiUrNJ3OJVT69fVgGioVP8e9 ayWXp424+TmW6EVnhujiMourA5tIQogmIHbfwP7KzPktysNM2VuFsg+3HcPdARpNblnwsi9BEpe6 PvIP2d/uflnckHi7dxEI+MVzgUB77L1HNDjkiYGjQLzXS488Txl2igzgEkyDjWs6VW9ZLOKhMfo7 i3UPt5pVLUCXZJ97vzYQnGk9VtUPU9Ko0rrq2nhxA9Dn1NGjxNsCt8Oefba/zcApc3Byt1Nym20r RfhZP0PrOsaQJLMmAp0yUQLT+4d9pwe8qEC75JUrj30hSLsiPL0jVaxybS/RszEFul6QjWpkAyKq C+YnQ11ZAgvXk7lqglu1Qw4/ZYLadjn1de8lmCQMMnb2da2oalgKJkMa6gqHnacQuQeXsLgcxvHk YcaX4Gb466Zp9OdT3Eq5rJvs/o5CbUD6xrRvV3mZis49ZyQ3OwDJmlT8Rvho7g578hrigGrD0Wwt 4YQwGT1QyriVfaG2jDcmyaDK4JBpUGfysJtMXl8UqdkYbhB3xw0CPGgyEvzb+271X1QSzsWPLqkm Z+9GM5juCmzXtg55kKswsx8JkAQ636YglAtnZXWs/eLTeF9X8PfmnASnlUBuWBlX8V9nAC4IExCa 0K2fJvXTqjwhmC7dEKNobUu8zJDDatbXXT/r7hKgPfW9uqempeGX5dBzdh7K5SOfdpGfJi+0Vcoq 7KH+z/FVlH1LWNh6LvNMsl5qq0BNpet2Qk1ZvUXxFxkSOjOSJDMrLsyGx6uFcvxZVFdQcUjfMVKo p10hRM3sYpF0KXOMRxHt67Y2pyDzu0/70nUmwv7KHsg2f5+ZpQjKfk+oDx6d9TfaqBPIRq3eSjXV YQBSZvbfim7M5Ah/rbhFV5MEEgwlUEqHGwCflCf4dblambXPOHGSzq0No62LzfLL7PXn0bmx2w1r EAUsTRq4O+ba4jNlgsm9YqHQ5bHCTxSSlZsYV6GiHjig7HInlEzb4lfpvxZdqXXVpJ8pcmlGVfiW 4EOs8ocs/KAHdgvzlT0piSwWI2QSFt/B1PUEB2juvCQKWaEH0cmcbQsNtBQ22VbiekpnybHW6wx8 pL6pmsWD/xzEOJgGOkVb34S+9xvqtZdW1s8KtaNUbXt4aXDrvowrysObsj7TZAGKEOk3uH9iFnAh /erZwJnC6vqy2wkPaFqUQfBOzRW4r+I+9IlrtFiR4S2F3bh/Ok1mh2+HBPNsIQBy7TL4O3aJBXZi 9HElfm5tnCDjEGG60CY1tJrO7R+j4PjWVhJG+/AClcopteoNAiWSXmwE6YcKxYkqiSuH3Eda30wO z5kxCqQYUBZNBoS75IlqV4KcfsOq3N/kH+8KVTb61fHdC0/3OG9HKK1NIyDAEXbcZ8eYWILFDQUg 0BK3oj14FnQ4EZ34pX5+hmmIlpRDoUoQJ/goKi77WOF76E8jHXet19s1dq6UClwoxrFypE+v06AX Sx00Ps3Rr21dOpyc5wyFChZsTb9gXIdjTSELdXxxZYnPLz5I+O+kSiNpOwtefcQ7qmBs5pNuBhpt yn13XqjJa0c4mlj8kpeUEbrquhzo5G30AckNBBzsty00bdqJZ2rYjbUpwQrcpYVqXWn7lzW1mFAM l/KLCkr1GvKMJK1VOl8asCX9BNA1Q4Cu81TZKe7vg8zEtoA7amrpiwvaRolo7bZmwvo0G5XvlRNB 13EMCq75auO8EQGFNyvvUeZZtsMqI3MGzlH1xBhzz9AxO0arFFaN39EoK0aQCyoN4i/BF4rIpebB 8PP6ONMP/O+CVA1QhQ5cWqx0J8dwxEplhfJ8MsdVgDXf1RpcqFLsr5Ngh0Hh0dnmZup/rBiPu+fn 0uJ8VTtSwUYrh+1cRuZu403mScpVcXzSM78YNpaxljUQOiuCZF5JE2ugiTYfUI1pLPr1jh6S9mZg swCPf/Bnf/O/6CQiGiMEQ0oWAc64cPNOHoMQq6rb3vG9iOHD/+Xd+nBDRi3hHhsrCxIBkG75ou7q 0d6PYddTtOGuIUuuUXvqB9+XA6Z4A3YiqZDjfhi/srbZqNN2Cy7GdZ1f112PxJFsSv3plPyb/KmH nwy87EnLfYtqFcZLJgar7O9CWA34dC58CVesOEZ3h97xTyncXI/cMlwJ3xtnTnlhMGgIf2KKcvdL J7oNfGpfOoOuhgnS/aWCvmf8x7x4lKTAx6GTwiqk55Pbe9oEPPd8NCrw3jrgFTboWmoz5Jy+DGZX fSnO0nK1vNtnyywzsJgvCpB0+P1hNm8PqcuNBPD5P1nKQDMugrWtqzcKZSm+lVaUjs55EfOApGQA IhzdZNbyxgYgIm4ByXBTXlZGhp/Wx2TGg9PuCdx95BJ27noKiI5+R5TU+/LwwUfzh8dYrQwi8/DG ZwiceMCKtjDJd14H6ZMqsmui6V5190E/E2+qDfxSTfmphS2zZIxBiCMnd2eNNgg+6x9b1ezXh/r5 7DwH3V/HpkOvfWuaskJ/sJDp0MVozEQW5bo1SldP277HweDpkq3C2bjG3S2fsjSHcxBXpPVQ92Z7 ZTJ0NPJV3gcVlFHyxIWh+A5hRQJoQexJaLvLrWWuIbWErAjrRDgS2yq46LGybh+YujJqrg63rjRt 7oE1pLzw94JH5tC7fGvGbD3Crd7YYbDE54ub1MOBY3iDbrUY3mLcjNkWSrRif+5on4G80pNMgxiH 4KO3Vrv0FGjVXmbTbQLHLvUDOPqYuWJ3Nh0+mEwzQ9YPUjZsGeJguEFI+YaulOWm0x9hTIIZ9LuK g2ZD9jpX75rSTQNAXpzzKvdCwJJOHPr4bfnsxVI68v5xId1YBWHy8xKyBNRW73Vxjrhz6x/s6S/C SXJsNUYpasvM/OWavXR2+u0kZ157iyQd5fjeGPvYAfXmD6XKJJHSo1LuPguTcUy3k+4+1/IDFQmZ qmL5Sd3cm6uBLw1kEhEsAzEKPOU50aee+QMUlA/Z+yIAAhKeg9qfzYkgo/oMgtNiS6ZqYGmiPtUH Lm0IrlJZlRq8KuRxhxPyl5DSXIp8GUDLFvQPAPc6e5LP88wgRXCbbFurH2K7VH8OPwgB893FNcDc dwExUB2gp2qL52jXHpExNkcdeIRzUzdmWPBlFZEdNuWxZIUyN523Pf4lOaHJbusbbh18Y9PVsQ2S ZFNReS6AlaSKaZ+o0M5juUnihlpDWXf5HcDSoJ/Rywn84d1+NJcrkIOCSXflZeM1lmv6t4aFFdiF aOSPjVTw50XzbmlC5fbzppew1jKD76oNA+PYjDeOXNpQhNNAAGdTNPghvfZxHybfnMcWimc9o8ZQ mY92+rC6IISMCqg1tyDw2ohQmdT/sFOiwjV38MW+R3nQzaq8xBERzcnNupVagjVVNZJ/Ba0qSch0 ICsM+m8wTVt6I7Z/D51qH7JSToqClqj4rumaYMqJE4VvhFUKub/Of1zRdTnHK02UvKUvj1iB+o0v 3ZSCgGpVaZXaTRM= `protect end_protected
bsd-2-clause
9c03b37a0b2d6a80a11f532611b147d2
0.94789
1.82884
false
false
false
false
szanni/aeshw
aes-core/key_expansion_tb.vhd
1
5,285
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:02:57 07/14/2014 -- Design Name: -- Module Name: /home/qfi/Documents/aeshw/aes-core/aes-core/key_expansion_tb.vhd -- Project Name: aes-core -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: key_expansion -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY key_expansion_tb IS END key_expansion_tb; ARCHITECTURE behavior OF key_expansion_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT key_expansion PORT( clk : IN std_logic; reset : IN std_logic; exp_start : IN std_logic; exp_end : OUT std_logic; address_in : IN std_logic_vector(3 downto 0); key_in : IN std_logic_vector(127 downto 0); key_out : OUT std_logic_vector(127 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal exp_start : std_logic := '0'; signal address_in : std_logic_vector(3 downto 0) := (others => '0'); signal key_in : std_logic_vector(127 downto 0) := (others => '0'); --Outputs signal exp_end : std_logic; signal key_out : std_logic_vector(127 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: key_expansion PORT MAP ( clk => clk, reset => reset, exp_start => exp_start, exp_end => exp_end, address_in => address_in, key_in => key_in, key_out => key_out ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin wait for clk_period; exp_start <= '1'; key_in <= x"2b7e151628aed2a6abf7158809cf4f3c"; wait for clk_period; assert exp_end = '0' report "key expansion module: failure" severity failure; -- expander and counter now initialized exp_start <= '0'; -- wait until expansion is finished for i in 1 to 10 loop wait for clk_period; assert exp_end = '0' report "key expansion module: failure" severity failure; end loop; wait for clk_period; -- expansion should now be finished assert exp_end = '1' report "key expansion module: failure" severity failure; wait for clk_period; -- expansion is ready again assert exp_end = '0' report "key expansion module: failure" severity failure; wait for clk_period*10; -- lookup ram values address_in <= x"0"; wait for clk_period; assert key_out = x"2b7e151628aed2a6abf7158809cf4f3c" report "ram module : lookup failure (address 0)" severity failure; address_in <= x"1"; wait for clk_period; assert key_out = x"a0fafe1788542cb123a339392a6c7605" report "ram module : lookup failure (address 1)" severity failure; address_in <= x"2"; wait for clk_period; assert key_out = x"f2c295f27a96b9435935807a7359f67f" report "ram module : lookup failure (address 2)" severity failure; address_in <= x"3"; wait for clk_period; assert key_out = x"3d80477d4716fe3e1e237e446d7a883b" report "ram module : lookup failure (address 3)" severity failure; address_in <= x"4"; wait for clk_period; assert key_out = x"ef44a541a8525b7fb671253bdb0bad00" report "ram module : lookup failure (address 4)" severity failure; address_in <= x"5"; wait for clk_period; assert key_out = x"d4d1c6f87c839d87caf2b8bc11f915bc" report "ram module : lookup failure (address 5)" severity failure; address_in <= x"6"; wait for clk_period; assert key_out = x"6d88a37a110b3efddbf98641ca0093fd" report "ram module : lookup failure (address 6)" severity failure; address_in <= x"7"; wait for clk_period; assert key_out = x"4e54f70e5f5fc9f384a64fb24ea6dc4f" report "ram module : lookup failure (address 7)" severity failure; address_in <= x"8"; wait for clk_period; assert key_out = x"ead27321b58dbad2312bf5607f8d292f" report "ram module : lookup failure (address 8)" severity failure; address_in <= x"9"; wait for clk_period; assert key_out = x"ac7766f319fadc2128d12941575c006e" report "ram module : lookup failure (address 9)" severity failure; address_in <= x"A"; wait for clk_period; assert key_out = x"d014f9a8c9ee2589e13f0cc8b6630ca6" report "ram module : lookup failure (address A)" severity failure; wait; end process; END;
bsd-2-clause
b6eb70eafdf6c9ab468985756930653d
0.65071
3.338598
false
false
false
false
mharndt/profibusmonitor
VHDL_Bausteine_old/abandoned_code/TEST_CTRL_9P6_50MHZ_SCH/CTRL_RS232_TX_VHDL.vhd
2
9,165
-- CTRL_RS232_TX -- Input wird bitweise via RS232 versendet -- Projekt: PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 10.01.2013 -- Bearbeiter: mharndt -- Geaendert: 14.01.2013 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_RS232_TX_VHDL is Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit SEND : in std_logic; --Eingangsvariable, Byte OK TX : out std_logic; --Ausgangsvariable, Transmit Bit READY: out std_logic; --Ausgangsvariable, bereit zum Senden CLK : in std_logic; --Taktvariable -- CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic); --1: Initialzustand annehmen end CTRL_RS232_TX_VHDL; architecture Behavioral of CTRL_RS232_TX_VHDL is type TYPE_STATE is (ST_TX_00, --Zustaende CTRL_RS232_TX ST_TX_01, ST_TX_02, ST_TX_03, ST_TX_04, ST_TX_05, ST_TX_06, ST_TX_07, ST_TX_08, ST_TX_09, ST_TX_10, ST_TX_11); signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal not_CLK : std_logic; --negierte Taktvariable --signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister --signal SEND_BYTE_S : std_logic_vector (7 downto 0); --Eingangsvariable, Zwischengespeichern im Eingangsregister --signal SEND_S : std_logic; --Eingangsvariable, Zwischengespeichern im Eingangsregister signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit --Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität constant CNT01 : std_logic_vector := x"1458"; --16 Bit constant CNT02 : std_logic_vector := x"2C98"; --usw. constant CNT03 : std_logic_vector := x"3D08"; constant CNT04 : std_logic_vector := x"5160"; constant CNT05 : std_logic_vector := x"65B8"; constant CNT06 : std_logic_vector := x"7A10"; constant CNT07 : std_logic_vector := x"8E68"; constant CNT08 : std_logic_vector := x"A2C0"; constant CNT09 : std_logic_vector := x"B718"; constant CNT10 : std_logic_vector := x"CB70"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; --NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister --begin -- not_CLK_IO <= not CLK_IO; --end process; --IREG_PROC: process (not_CLK_IO) --Eingangsregister --begin -- if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister -- then SEND_BYTE_S <= SEND_BYTE; -- SEND_S <= SEND; --end if; --end process; SREG_M_PROC: process (RESET, n_SV, CLK) --Master begin if (RESET ='1') then SV_M <= ST_TX_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_TX_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden begin case SV is when ST_TX_00 => if (SEND = '1') then --TX01 n_COUNT <= x"0000"; -- kleiner Zaehler Neustart TX <= '0'; --Startbit READY <= '0'; n_SV <= ST_TX_01; --Zustandsübergang else --TX00 n_COUNT <= x"0000"; -- kleiner Zaehler Neustart TX <= '1'; --Idle READY <= '1'; --Bereit zum Senden n_SV <= ST_TX_00; --bleibt im gleichen Zustand end if; when ST_TX_01 => if (COUNT = CNT01) --Zaehler = 5208 then --TX03 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(0); --Bit 0 READY <= '0'; n_SV <= ST_TX_02; --Zustandsübergang else --TX02 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= '0'; --Startbit READY <= '0'; n_SV <= ST_TX_01; --bleibt im gleichen Zustand end if; when ST_TX_02 => if (COUNT = CNT02) --Zaehler = 11416 then --TX05 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(1); --Bit 1 READY <= '0'; n_SV <= ST_TX_03; --Zustandsübergang else --TX04 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(0); --Bit 0 READY <= '0'; n_SV <= ST_TX_02; --bleibt im gleichen Zustand end if; when ST_TX_03 => if (COUNT = CNT03) --Zaehler = 15624 then --TX07 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(2); --Bit 2 READY <= '0'; n_SV <= ST_TX_04; --Zustandsübergang else --TX06 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(1); --Bit 1 READY <= '0'; n_SV <= ST_TX_03; --bleibt im gleichen Zustand end if; when ST_TX_04 => if (COUNT = CNT04) --Zaehler = 20832 then --TX09 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(3); --Bit 3 READY <= '0'; n_SV <= ST_TX_05; --Zustandsübergang else --TX08 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(2); --Bit 2 READY <= '0'; n_SV <= ST_TX_04; --bleibt im gleichen Zustand end if; when ST_TX_05 => if (COUNT = CNT05) --Zaehler = 26040 then --TX11 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(4); --Bit 4 READY <= '0'; n_SV <= ST_TX_06; --Zustandsübergang else --TX10 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(3); --Bit 3 READY <= '0'; n_SV <= ST_TX_05; --bleibt im gleichen Zustand end if; when ST_TX_06 => if (COUNT = CNT06) --Zaehler = 31248 then --TX13 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(5); --Bit 5 READY <= '0'; n_SV <= ST_TX_07; --Zustandsübergang else --TX12 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(4); --Bit 4 READY <= '0'; n_SV <= ST_TX_06; --bleibt im gleichen Zustand end if; when ST_TX_07 => if (COUNT = CNT07) --Zaehler = 36456 then --TX15 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(6); --Bit 6 READY <= '0'; n_SV <= ST_TX_08; --Zustandsübergang else --TX14 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(5); --Bit 5 READY <= '0'; n_SV <= ST_TX_07; --bleibt im gleichen Zustand end if; when ST_TX_08 => if (COUNT = CNT08) --Zaehler = 41664 then --TX17 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(7); --Bit 7 READY <= '0'; n_SV <= ST_TX_09; --Zustandsübergang else --TX16 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(6); --Bit 6 READY <= '0'; n_SV <= ST_TX_08; --bleibt im gleichen Zustand end if; when ST_TX_09 => if (COUNT = CNT09) --Zaehler = 46872 then --TX19 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= '1'; --Stoppbit READY <= '0'; n_SV <= ST_TX_10; --Zustandsübergang else --TX18 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= SEND_BYTE(7); --Bit 7 READY <= '0'; n_SV <= ST_TX_09; --bleibt im gleichen Zustand end if; when ST_TX_10 => if (COUNT = CNT10) --Zaehler = 52080 then --TX21 n_COUNT <= x"0000"; -- Zaehler neustart TX <= '1'; --Idle READY <= '0'; n_SV <= ST_TX_11; --Zustandsübergang else --TX20 n_COUNT <= COUNT+1; -- Zaehler erhoehen TX <= '1'; --Stoppbit READY <= '0'; n_SV <= ST_TX_10; --bleibt im gleichen Zustand end if; when ST_TX_11 => if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden then --TX00 n_COUNT <= x"0000"; -- Zaehler neustart TX <= '1'; --Idle READY <= '1';--Bereit zum Senden n_SV <= ST_TX_00; --Zustandsübergang else --TX22 n_COUNT <= x"0000"; -- Zaehler neustart TX <= '1'; --Idle READY <= '0'; n_SV <= ST_TX_11; --bleibt im gleichen Zustand end if; when others => -- TX00 n_COUNT <= x"0000"; -- kleiner Zaehler Neustart TX <= '1'; --Idle READY <= '0'; n_SV <= ST_TX_00; --Zustandsübergang end case; end process; end Behavioral;
gpl-2.0
193fe70a309ff5c5c31a8934a47e1a7b
0.544899
3.184503
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/delay.vhd
19
10,088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iaGK4Vux1Zzm9gBS3KKNmBXNdPq+lSqE3Nnx40zW9JpQDS5U0+JlSB5O0czPvIZs1e6N9M3JonU6 /VRFISTQHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hnTIGD4PF052NtQspkoD0qYNWsnDfk/EZli95x6g3PoDiWDo2i9hfthnklZPOTwcwwB/on/PGVLy LOGgor+yT4ZX8UGtoSmScYDFDjshoGWHhtXrHczoGSF01e42zFHCzF3p+Kqif4EYEFLVI0b3qWfo JoBwVA5mSGa7z6eKZ08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jM4x3jcOa6ByCa1VWDPoU4L7JC2eupLAavYhTE4GTMYrnvE7xP73g8zjlwq1G8Zy1ODZ+0DDopVA JY2gdvefh3SJisXvlbuH55643svFB8C9ZXe+EMovXErk8XGGsVfWZZ9248m2dlrUXREntbWGdORb Fvho+MXYXuv0DV2DKImT+u2TQDacpvX5e8ltSYsMmjYxEdkZrVMF9C544bgDvuCE9PfD8XjA3SZW m5oOMSMtDQabvtrFCxaEG4NyuxA648giN43WXdidnKPUkuB/HxDMEcw9NxHOVNuLeVs7mrwTNW8a Y8nkGhyssdB7pA+UlWrXAfs2U9Wpi6SjK7D2dg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l1zDcM4+iGcttYyoR8HHgtSyP4Fiyy45WEsaODDzemrDXcJaURYpyLa2UgO2HmqSNgBK4XdlSO3S QC2s2wdlVLq0nr6twxtavd0Mc90p3l2akMlkawzSfWC3lR7JsZexWZNEb6frZfXhesr8/8i8wphW 9oH5nUnhDJDdlXi2xk0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHbCg0c3yWoABGhh+X5xmKdWu54K0QNaj8yiI7dbYcl0s74Nnt3O7DJj12bDcjZRfdRoiT43bXo4 30QPK3Jr7E41USUv0QfI981OyCHaIYD9DzkFx/42CQBEOSHNBrRTW/rge+4hugPE8z0ogrEZGdei kB3oPw27BqROJcBQEhzDTOz6PP5L7SaiUGBsXkKo2TeQ1sLfd6VNm52eUhSewTFcPcdSylZU9gjA /KlsPUnl2PskRWTiOzVvvy7q14ROz/8yTOqbBslSCNrDfBQA/bwCsE4HN784FAGU2BIu6GH0W9gV ySlMw5kMiPDazI4NmLxMcJvTd4Vi8xnRt0T8Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block hz+eB03GTRCr4kl9NBfZDeU5to8L7s097FSl4rNdvJNGNcvz5hUQfrQXaZ5Mp47gyQqERKP+nbHP W8ijtIwv2LwCvmfaL8fadT9FN+LaZhINEnJ2eoZvsN08l/2IOEEVZ44+bSNALFrhtpNFPjaTrSrF l6PsmcFmWgkjihsmc2qsups9jYRpTevrQy2UqaEoA1Vb+Zt6yBwJdq5r2vUM1hRghORtxAHWHCK6 /T2ulb4vziH1aVCV7gZ2qC4ln9lR7w2RSCq4NdTVDHUVD+0QT/F5zzd1K0VFb4OZ/6dnQMT9EAIv HFowDFIDNeesI8ljw/tC4rQO1bcuvnICh9/BNm6vto9IcLvrLdyulnsdx4B5cPxsGEct+NjOApA6 zjQUgkAscgqL/BhbB4iC7jGC6CkHjOn0pAr9F0CBtoi1Ns3A+lzCioQt6cDcN9jpUA3ryc2VdDbK TLm2wz55oD7tZjjVcIqef75y5UCAd6RWdxrawX0ew0pFgFD0eCxjaM8f5uuL46JsxXvZh7iAV/zI qrlAlgTQYbI1s56KP3Txp5jTlj1+2RmWPJS+FZUaveYdIVLLVz9+Q+QSBLs+/csU5fR2Pwx290vA ODz5QYJpNqQUzxOPr9Z3dpdibMmSxIY3kOvrS/IMvrv8Sx+/kEZg3GqbsXBBtBEfyKzi6gX24IUu fJoU2E6qnb9+IEfpnz5EYyfTzWXqRlfA2XqR25WApdN/N6o5V8WtgyNQMn+a8DdpS+nV4EGrgl+j PqBzj8EkHqwtZDdeA3Mwn102WMobiYuK45XJzhJydSMqLyLH8MOHDM3ixjUEaGFFpBULCkU7G/R7 AZ6wLajVmlzDeFgGWL8ssBgLrdutC0yyMXbwy9/ZEJxjzj2689nEERxG6yIFM1WbYgAK6DpO+ICA VAmNHD7jL6hJKqSk8nZ5Cjo+A3mf8SdeVb9MB4/CHnDm38mcRg64RpVlRBVWifCtrVuJD9xq55ez NJiahlA4v8+wIZ+NfbuhBEkj77GbB+ywx7s+Wb3fApO0oyxi/rHqh4M0XplZwItVqvryyOZKdA15 uq3qoS8WgMgNdcADWoxnSEH85MukOJDZ9+pwzajNzWpoJbrTxWuXWhawcYAKdxN+nmZ2JtAHZK4z 1SJ7CCNed+5885JC2NG89Ajkcq83EaGNq/gB+ASDApcsUvTU8/qyTTDjBvGSDhS42bay8Ia7rY0v LAje5lKuO7D31QXmwZ/bXT16LyO5YDUs+NDx8sxrKba57z9MJ3wlheYDaIBhn3xWa/sf6cVVtFdU 2ugmwIHKnbixIB8GKXoFms0fGoLjJdzaDNA1iXYhvkurRfPmRXayYoozDiu7PXY8X4ninoKz9ncq oGukrhJBso4gh5uSGDmdvTMRjJn5IjV4bptGVgyEIrzp3suPiIB+93nhiN2O/+QoK41kZemI7Hmi wcahiRN+DR7FLTqV3tFnRT/mAzkAru7MSWxexmYT+oulGWmnjRH2YNjaUgbeX4xzD4j/b8rfFZyi geb/6Rtr2qfLwZjcKjsN+oDCadxMcYg8dMnG7Ehz6A3frBo9GGfidHDYXeSEU7kshUNcG4eMdAgJ 1Im5kXWaIn4bZFqTvSKCDUmkON/f8ZYGKranDqUJw44kowrLObueewIOj1LxxbUzco34TOoxBHzx mB+jPl8K695Z3eFiKp2MBYE0egSdCNSmMDHgVFHs1ieOESTQjtRQAAYc9DZu+GZ26nCFC4DvpE3M a0spVv1PAwul1WLY8JbmZGHoAFwWpSRykP/9DB/Ehr6DDOylSKLKZ5pIop2ejCJ26z2W8bVRyBtA e9TQbcrc3IQ7pLedjmzJjyhdAWG2WBH46dNrEj/ZoG3SCo2pBSX+7nNX5VIGevoqpOkpeYuUxN4n PuWanBzad80J+yAug63sYu0KnpAw14XWC7eyhEgbxvI3is5LS0vzp3G5FMPI4LhSsdgwUwMYWu+F MTRcHqQcYKpOUMjukORgcPq+2lK9AV9L3lQD5srNmc1VONA2wJn8QhFIabC1fqxNunm949aPcd6K TikNvFUjMBgoz1Xe82j6dHtBSZGQHi9bQPUwhRR2WWyGzTNUYks3q5E2me0K4MqUDEjUZS6ROfW/ I1MvqSjXwi+QOQjFbOe6qyDXrx9pglgdcUPy8ptKCAj8OpGbi5VZ5hCZxdUimWf/CDtcYBUr+/lz QQMp5NIJbm/PZFOIeCMuUUpUGwGJZDhpl/FR/awZV3pLPKbEzLxIpVmmSpe2cUDnxbbdL/ZUBt/1 gHdXhMvMMwU/7c2jTifc8HiBTulbKXMoInUlWI5pGoUEqlTWdodpEpUOnaMjGqMl/yz5H5s/IYSj gML6zkKRN2anBkDBDO/v1k3+Ytm8pSKfZNCHMS7NhKG7I2NYWZKfljtT0tR1jfv/AZ2R4cX8mQXy 1rs9DP09NiWBBiRtop/RjiGhjQuZm9NUhx3vwI6+Y6DS0gS/VNVs4OzXOoRn5T0T+zyJ59gv+Bbo E4OjlNGN0cBU8dHZL58o9J3kxURrTTK0iaJJOh5sBI5YcZzt+Xld7JPmogSmb5GcXeKr/PFmgShK cVge5QHtS0QnnlIdeD9NpynhsxcL4pXnnPS0bl3DjEAn4TjCr4MKS7sOXgZ1+C/gG09mdFe7Vf6S P0n5D57biLM28JRyzdgTvPS+1u6RnFRMb/6VG8inP3f+UfDPZs+B6Kcsph+YBS24xrFhA0yVYfcJ SuXxIeBn16cT2Wlyl9LTfJqqWLHitFtSNF59plkQARlSwErut9AJIbSmL1qcWNk6LILPtgFcbNR9 /JNDrdZz5NeuKQJl3g16TJT4Ev/8OLrjhn+mI7lV9us3lzEu6bQlWfvdjjsEXfapyGQO7Gjs2dS0 boW/Cl5BMBx3L1lSAteyVY+gEWHD4dFfkQ5Q2xOucErRr18rTuadEXjAk2bdWbDIj6Fm5pz1d+89 MrpWxD5Z/4ZVs2rlcVGTsrNHYV3RfpgYQFNhHW2DfCUPAPGCu8+k28nsFG2Gd5CdhQRHum9oEqhy /oEuV370oChJGj58HgpKqJ20CNASyjMTqmGjoX+0EhO/SCJ8F0HCTne71WmobOcP+mlunJHf4Odw xbyXaCVQ/SAtfGtIfcCNAdwOmZBtkC8huJoO1n6hBNVqVJSaB3YWWwdNDBw2GSaXCNgxDXyWh4IV K+kOadKu9hffcGNoTfFbXTtCQcw/Qgx3YZ+W4AELcDX+IuAlY/UjejMYTTQyRQUwfTSJAQIMkCUo 2t3PTNPzDewJ8B/PwiczouxMeHBkJgFSHEny6Y/VNauF/NSjfdhS3tqP6UpnjUKB/wi4CVK/uB0y W5i+6S9WjjGh/b/4f98EKasVDlz26DHyJtpSIWI/pSqrf1o8qrLZ55T6pPc8GQ94QCFgEGnb45Cv zSLwSgHbLfKslJFiLGc4a85JU76bvJ/wxukVm9e/YRafE51VPCyFElDmD3EBvTZPV/Y0q1OQC982 CO1+0059szmT3FS96W3Huamu2Jl07DOf295HzBs9c2uDsuy5H06Ms9yCpG0gcm/dkTaeUFA7U9kg JF+f4YVenmNllktmxrilqwd6Q42zYYil/TO7w5nnkAArp1mVi35vWGcclOOpQSlhYz2XZlUFt/SE MqLGuXXv/jLcgfULjJ1bqrWL5LEQ+bGx44tE3Iuoi75Xloq+vR0NSHzRTDa2Lisg5lDvHeiqAuF9 7MQqt0k6iekshcAw6Q93T0WXFh4NuNxhS/m58aqa4Yc/GZY54p3N9RqW8EkPjKhJSqwE0pUwSpHA 6hAOuZw8TH63LB/ZG7GZWN3fPHLiZjI9U77aA1vfM9wWWYKJ+pbwvp4WmRYIy3K7n9QHejxqnPWF WqAOlghwQ+yimhRzRODQUXeKyE7d3wytPk+0ygm0Xyu7s3uqA9K1sMAvvr9yWN5K9j5RBQNA6hik fDD+wSAy2VgmEx1/NQNQacJjmRXaB08eJC1GJgYz/+cZIJtFQ6m+l3fRFcqiRCAR8ITDPqUMsmhx ZB2DLJHUhF4P5w0+hU/rCs0oJkSd1lG5Qp3wtRU+0l9s78z3Q20xDklEh4HcMuitqb9EjTLmeA7S msJHQf4n6knLCL3crJKm6f/IdlqSN7US0oTQqTOi+U4l2rFrguoBTD6h2IkCMTw2c0Z5/cB77eEj GJ0uyYSMEEuHIyCcubuYaMbWnNgVXPzBL2cRYg+DPz2+N0H90GFZVJcVwSnSt3OHkLDxWqB+xXoN 3FmODc+K+ZGkx0GsS81eGlOgEnCxT0zHi/U7cxb00Ntc6AGkeb0m30HevfYh7s6JQTeHY6vJRFNo wrSkW635zwPNgsq8CJ2R/Bidgwm64CYaiW6DHxwaA7q2VfW5WMEBkEuvSWS3Fqq7GkzqwXmPIe5u UOjYau0uonlhdqaVyNWdpMWqzSsQn+A505MZwnbs2Aoag06uPO0ezS+oXiOwnRBd6gPuF1W1No+N nsq13KqbCTUemqIEOfiH1VFPEJaBjCPBd4eT8L/Hd+PX0wGwshJinC21JxgpzOzTmAIAMp7cJJz2 nBqG3DLZVcZb5YkD2x4luSZ9jySXCsfc4KtO/Jqe3aOLGXMTDWRytqSnYvA6cQ7LZj3fGs82oC+Y AXJW1j7C1J6N+1xti93eIUzpgtiSFjJb9mgJfq8VG8RodJX1nVKmxckzSwtR/9CR+EUNVRlEP5r1 AJwdfsVW7Le99Ggt1n37OeNHxgBKcqsJgxQu7FH/EQROOnloapsABMm76ImONWYMH8Rwe32+DFnl NWYKrPJsNbjFuphSvocYb1nmc0unTqChO9sGJPg1bfFnciSO4EtqCt2qzv9TW2+vsCqE+UXZCGLS FGSga2NkljJcMwDqM70GRaZzNBqNsKcAIOykIT1QtX27EFmuWLa0sqJ87jWnD+6aIx+CF47Tdn+c okXBc9ehCQSfoUipq0rLarD3MCIQh3PNK7s3ywFnQUc/BjcBhSPfb9AcjgzUsqOlE7p19yNuf3J2 DMrf6OIBtx5fYXlTIu43JJyLO8aFU3j4qQa9HEyhVjjlQcTfz5uPaRSkFuEQCAPWo93u8vsUo1Zp SfN4TTElhl4soGRRmyYnmv5S5eH0a0qL5gp2J/GbLdcCwI/IuJwnDTAUlhfd67pBx3i8ME8vi5uu 0bIdGhlny6ukPhtfNyKJmPAdItPASpAVyv6OrtrC/kMjT19Uf88R03wXygobWhw/6nhTOJVo91hr X7BzTNvzbxX6BkRyIJrTs9tSmPtCutwOl5tir0rY2SGAa5MOtgc/sGVoKjU0TMEF6smTURY8G4KQ Zbr4fW4MHp14TVTCt1SdwTt3tNEfivEA/ldMLoL1w0DatdBR0uGmkvbJZaK7nCgr+WmqWw4KOCmf em2xGvTn3nSSUGKNDnmwwnE9Exk7wyEYDLszuz1gIENeDnSIVhU+vURVCLC3fF0XNWcxZf8fjQKL 0UsxMUav6PX15/E35JMqWWdTIgtf8Kyoi7eY0dcJiXuoe64BCqpDut7h+mlEGpgaGtDI4HBcabHE c34G501giJRuA83OStpYNrS9S7AiXUMbwK+ji8Kx0zYjpmj0Lv46h4X6oh1Xa1ypYSqYNhvRvW7P /yOX4beNEyFEyLUMKlBS7/pGv3IvEHmG1HrZ7LfZlCO1PbBbkh8p0PMckBtyK79OU279StI+nbjA II2HCwShl0UIrWoAQBP5p+KOXnW5c7xENbj2TOY/pFFLx85KO2C6kn5h6YPwGLg/L0ivyGdhlIDG qj/zZX+IQQO4qRe4L9Pd6sI6SFAewlxYJyjif1dEsWxBPFcG+HoHhDlMfmSMroX8VrXOED5jd0f9 DiVJUDTPLV2zoH8yprwBt17PDBF10l+B6OJdtH3F50K4iWlCPgKCIuBriaT5hVUfpGLwNXxnl5kd OZ845rJvwiIVLLFz5YJAGuqdLOdcCgJ5HmKV0GNMS+gHNFC26W5Ok8pf9MHfrL6RngS1kDD5ZPlW OSxVUweh3A9y/rMoO68od/8yc30rY3XHzPu4nKS5tD61fVAMz+LZU1qyUXk5uv4obJ0nxVfJssoM YYiWM5pxfayk6t5uejwZJl/Y4nWfAKRvOSClJ7YSflZgHHA/acowkYItCRmvyPzfjvv311XDyL2q bduaw1XCXsi60teLojp29mQADflB6AIGjMno8Q1g0I8Pu/Z61GfOn8IOX1cdxe3YqPSU/34S5N15 y0Uti3Y901ELX544ZSejwKWB2ixgBg5V8i1Wd7BUnhhFv0INVVJRW9KKX1t+1bzFfhnB8E3mbW4L 6hFcnYt8dAb03DraHifao2nQyRIkr0FXhMVzjRW4FAu1FvJioFwpgH0xKMhUE9c3EfTqxgEaMFOX v6C6waRpzEXWq4A5jf25lJN51KmYmAI6uhuE//FXgXiZuDWT94xYRmTRChGBx/Nga6WLf4tkiB+J WZfBzNJfooOpS022zn37XjBwrJj+Ecig7ni19i9eMO2W6P6Mo12rbGzCyfwO8zQBxZDbkbOC7UqY Lx7h6DApSgM9GLfb9FPZ/q/ZeDYIuhZF9oabKQYJHJkW/ItBh169M9GpIoOJJg+a/tu/JK2QtayS He2iSGqPC/LcaNVUCeb9qp8jNHnXMsTBlxQg1s2yEYbR+tvLFFuRCil90Yid1+TV3BwQm3NH3ZTQ DenRf7CLZ2VZcPnw3Q89LBIA5hbyln6MFQHFU2Zo/gARmAZ28mEuWAJhNuUNkSTUsGmeCaQyBjKu XGV/abTAFKRO/L3N2UVhe0N+VcMT8Kab4hjnv8rcRifGZaYISEYt8O+MLRdNoc3VH93SitKesTWp 1upWNacd7jVPwWKP5v4we1HNGhZp3mwlyj3QvFpiqQO3MCSMGuibDv1ssSVTb+3cTtzbd0EY223i gE+ZB/Lse2qV40ZCZkN67zkgvxQRaOySMNQ41qINawhBLdAjEvy7YtKnrNoE3r+AXXBwNLPIRx7g UTRs8xE5qV7XZW5Z8/S2qWB3mLCo+wOM11JDeKiNSbMG3VIRiUwzwfFHy542uXo8Z5KwfQue1kSB KB+r7hZEozwpkZ9ZIz1kT1D9NiFEFROW7ZQmmD4AF/82u0bcSwTdxA0fmvgmqQ1CcDeFvSbqgknx jrT89JN1sHC28SWZ2dW5QxQyeXQYN4aKNW4csc1K/fNoKOBLSLaNdarL1wV3UV4aq7HF1BnuKAD8 p3lhONe57al/KWb+CGPWSv7GDZkQemZMj3fbIHFNFdRVbd4a41iy70WRdJNmr3kWwtFkd++1n0hA UlbxPh26FyWj3D29tsbSTw3q1pjGihZcSzKLX3IEWYwhcIDmST0j2816gDFOTggxwe6gNG5lXbNj Ti0JOqgESKRmYaBnPzv6qqs7vl7lDdZJKsHRk0m5758Pr/ZBTFmETS33zzT9AEBMQ84UeyCP/tj5 QmwddWvO0SmvYXjebpwpI70XCDEAbL0+BzGQe0SiSkBX7CanDDMAlnX0MNewyQkfT+81KlZ6lB2V Hq5iWQwoGoO86SXwLNAlQRBzLe3JDT7RX1PIbVqMPqdfYRc1kM2lpVfLGq46L6pIsscsys58jr79 S9SF96hbjCgNSH9JL6n7v4fXqUlG80hwBCplRg== `protect end_protected
bsd-2-clause
6e7e6ac8a12600e7d325555c7b5ce098
0.925456
1.904474
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/async_fifo.vhd
19
33,343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DoylSncttFMA3kx042gUfpgfS9f7wYF6CWxJheifm9U5oZE55E7a0/gn13EV1/Vn6tAoLpUpkm/0 hmdlNetDYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nsjL1A4AfS+U1MlmYTovZuA+LXs5hJP3SunimigW7xSFqc+G1o1qnLbV4BnmOncmqUv9X6mR1dbm lvuLbnkHJpdv3qype+E/DkwUU+uuHlSP7/5qiYqLK0/kXVQ9CK4RGY/33UuCkCUXhFP+4VquDr0Q ctFJ3ADjSF9u4KfkLp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e8PwETDI60MBXnrgCDSTetYRVktLV/+TTSXZzS5MByZtHEX2iao5JK/khM4FDpq/v0uNsNW0rhjn 1dIPd1mlQZEDfzGgZ7rgxmjzboNMUH8CMdtSuB8lFy7Tjd1hDXqhliwc0PhPBGYBs/YEff98J5pB EaQ7x9e3Dm3lUX43BX76qZ9cgUsaVwP5tX42M7Z1CZ11+5f7kvoiSco/DGzJuhCbDcHoQ2NjrZeO tRQwYWFDIi7vBls1ETe/q8cjQLCZThAhSFjjijV74aEYat0gpNy4Hxz/UN0rUMO/XCqC2k8lo74U XZlHepR+ABhyrwVFzKEwcRDXuuh6ogUCrZ1mMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YvHkp5oDmh1yxPKtyY+bCFF9nl00iIDnF4JnEfzCQKeCjt2Tok2cPb5/9L9T+H/cQ1x5qpJZSOJk cf36KzabCPbu4/9VIe9vwmzzbE9Ndy2Ov8q4+HYXDGn/u3gDUJZcIYEnVlc3E6se6bxCrEZNyRYc iuoolgurhXiPk/HMhX4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XZ/Rjfda7p8W+LhE3BcXwsLXrN7RfTJezMmvWQf9ZKb6JJ7gmlPk8WkUFEwjbu79kr2SMWbEP0wO UouQmHkylGRubs4N/1VfavspwJxzO5pggGGBLKHkmxqVxAWJEQ3Kp5uoaJSKWxqKIRLzeGXsW4p5 F/e0YM5v9fK6K2B07V0FxCP6WuqrungKJmSTj1Ji3gWd+VJATYp+hkh4HPUA/aDTgCzwwIaJ6QWy QvHMQKHrEHbRztbzfLMH3RPC4Jl5v7PMeYTnCv8UcX2dwujd4zD00VIt1jMD19vjN2WZ7U8Tl83Q sPvYlUbNQVTnqIBf7mqYAoAlbAFXbg0t5zqPAg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block laGT0Nu3dViMwWvR1e/9rjSs2vM+vpJpe7976O/c0WojRYtsDVl3+DvTDQqhipgMQL2AVMlMa280 BtMCDvx468iPQdrPqnhTHwDKHtJ1iNASeI+N1I6sxBo8sJrXWAJcWadcRZJKd5qScn7OZm7W3+q3 wB5aBijYvGCq0LAUC3LRi8nAkTBjXNIK7QLLUjuc/dHkC9992YjgG97+uJ19xMFzr+TaDdmDDj2g 5m+b1UtgAeNe4UU2wVxFsV/K8BNhbplMDbUpdI8tD6skklnZsvM2m4KEUTh3cou2JBMmCrEtIz8t J2wa57iLo7RvrrADJTS5xrFTj1ZNjsNYHVY0V8eDkeKQ8gk+HHwKpDHMk6vb1OxY2V7guEhlWgiW +kfamQ1dJM/AogLTppuBxP7SsgnicVW7h6EiVrpIxipoeP2THy6N/GzlpWR9u7HNP1uhOJ9Uwgpo n4rfSJmcVmU12opanKcftFVdG6KfUQBH0iHmDmg9kitcDsWRE/dWxuzSRcPy1WBXZb5DMnig0o8Z P/7B2onWdNW+tY6Al0sBSaxG8Th1GJzGnDO9slev2S935T/DSQmlkwacCcT245ZVy5t5kw0sVvBl 5OBMA48plczyCHwyjRgwIeD0QIlYpgIU5o3yM0ZQX3JlN6r5kuv3snpdA672t1b2WG13Qna/+0A8 XR4eXcL0ptAGhuqexJOuES6ZSgUZchXafN+S1wwcr7YNRnvS70JuxeyFNuaSbk1/g9EiTJoL5C4n +NY1AIatGlGTlgtL7gJxSMh4gcMHd05ifq1FFX7I1orpdksxutycMAXlK2vEvbGmwzMU0DBe2BNB 5bMG1e4mXdCXdeuUh5vrP6rwgBfNS6O2TjUQxvVFMQI/H7K+czSX+U+2iwVUmOfVHwrtF8GI9Yey IcHlyFHWLMHAgiTqElOTlhvCuC0niawhqCXcOO5d4ud3zTg8Y5rWdpNfgL0aH6oTkCIf99njeL5C IZi5zRVyDCzZ2RHQgBMjOyk0P0nGKM4q7+JLs9yWusXXPHDvioTwR7whdl04R3BQKWVH59mp/lfa eaFfdVVN+wQbPQ7wZh5m4T5+B69Oe3qF8NMXqobctBNaBVUUPh92MINcG/VKQ4biMDYwBCRCulZu LNiK53OAp2pmqWMa2PqvBt4p4sAEfKoBsO9hgrTjRNHjg1CjGiupaugzDIIvy+GVfUCzCExqjp1d oFYNt45HwPBDOet/0hSzDdu1ar6Ux0BQ/Ys68xYxG9MczS2zIt0jtjx5roDjSo/ZJD8/5/TJrGP1 dCDrJCXKLMGYSh3NwncxUy+DYOFioIjAEEvzUZ+DONWYPuCP7+OvcOmIrq5EfVYDhPlQqPpsgJb0 8dxgFSG+7vrnmt6fmg4Jlr+AbV0l8BGryM9pWt/wqRdwiTC/yqpsI/4X1RbIY5iOb+uh5xbpmx6s SVXozXdeHacB1HW+7wn3bYCC12/ehWRr0IlMnHx0dPwp237ZxqYjqXVRKev3Z5yyeZFf7vJoIoAX smg68pcByeUk6mxlsxe2FcLQMV7i4U+9/DoaUF+ftAeDNiJmVGMoqmVjidgKO7nSAEgDv+E7Hncg fCfCCoW2tghPbZr68dLQ8mwO8WiXXrKDeFYigHOgdGTUcK89t1mFsb/BX3puFKZ5Vi2K7Z2z+5bO 9Rj6H8OAT/oQmTBkha2Ionkso/N5/EuOEE08MXrGHfREZztA2kMeRl0f+qISevlBS5DqOE5XGpoB KM41c9UnJq+m7osOBZmyKPYUUuKLZISAhRDdNw9elXg4XYZ0yceHiUA+iGU0/a46TTj6GAuRdXMl msbNzgkIIB7TaA8Wle4ofYhCDTfYjcjDOVsyNXOfAUx/QKgenzcOV3SqRkYGfsJ3WdBZuQffcqB4 fX4ZyXogsVOyedtIDeni67bK1YkdZgfkXVmP5HWQA83zbAwtVfvA0zrotoDufaprocP7FROla/aS qj3Ry0aYkIHvItNkCI8m4cLmUersL9qQLIx/9/26q3+6xrFDil/EAcOXe2wFAUvukADMsDOUm/aC Brspx7d56Gx3NqFN6X2cd8u2d995CW+aMa/M65hEcHXPEkz5+U6nrBzVNPofMuPFbfjHKuhnmpMu tbdOQ68tXbsQzpHlKQ0idcqsQVcBkSkStSuWsp+OmnTVLQh26G3aj3a+EF2yckBsBS0uEqTsyCKX iLs6+votvN7spZENhlM5rBXY8R2n6fkR/cZgBDQbRT+8sxTParP3CsaPG+7ivS2fr+mgBuJHHOiG eAA0YO8EvQpoM6mT17yolmzl5P4Wo/bR9frA0K5qBhX9oeM1eGdmEUzRJKyW2GrpJyrTqPMWUg1N EBhG4FrG42vBO/9CPrbkXB4A1owsPBcLdazTIJtO8dW2dJrJnO4EB6FM96WGzLP7qwP51KLqswiu xmx6a/WT24RV32ASOPahYqvyqKc+F98DDHMGhSvJz16lGf4EPGYiLZwGXRPDmK301ecBlXJNrYGN 2C7JDaaR+CCjEHixFp4G2HGQW0aRHqQEVRSFqc2KXmY1/xXkCVsEmxnAjuWdYXHAdbyDtWXxAVtW ET1d6ZpgU8o6gBWgBpYkS/l5JfIC75cXj6dNN7m0LmNNMJJiu8ex2kzVFLJUHTBvv3dTPjG4mmpW 5K/+AJrUBJcXlTNZOWvI5aKVJum09XV49Tn282S0NqDiHjaEus0y/iBigvmszq34zm+yRPHzDZ/v r1u0Or3GdogLLzBhz0zoc4Uu9kqJdzfWnDbtJY9j/pyJ0A9O3cn+ua/n0MxNHt8SoxySZKiJURR0 Lw9+AMPJUjrBeE4jtJSlPUM0gHdvQXwTEbCI8rm34H9nja7h80CUfAsqDCuD7iReMnokrngTOaf3 ghBBIKfr36wBCByLgaovE+i0dkdcQbBYYI1rWlvEMEFZs/okDOemZqwFZyNxSdmZLFt5ycowJhwt ImkZltkeXZmndHIfmleg5pal+K0psy3UUgFDfYOHD4q+faIesMA8fS3viqQZmdAYc8AVvDoJCXWa mJK104YlSIYP9EMkfeYgfr6x/lJ4YLiIlDjzorDUIQPDT3krZ5go1jAqDMqFFVE9bt6BgjKBAGBr YUkwgvmtKJwKRKs/Cm7HkZeFV9ZE7V5u4h079Rdf3Kz88OGtLWThTTn3aqUY1he0/hUfCvP0RnIS /EKGXbWJpJBxVghclpf/d90cDzy3rkHf22aeFafUOim2FXql60Vf6/2rvhGYF04q2IE7GkijvFlW w1ipGGVLc8gSmzOTOEZ/MdOifI3KYcc2AfzHQ6g28Xiz/XKAlXgr1yNzhrXmaHHMXowTMTFu4UEs zKOh/hmJgHngh6h7A95SUJ2EEF8sMXl1uymHJLFOteSD2bvMvlCOAR4jOppTzvt14sZrzoSdtabO 2sDBknRLZGrYRp3XqaH/3qDPwCQ+y669rnZ8cI+BtXQh1XrLpb/RBftFGAJYr+a748d9L6dPYh1q +UJSeRYgPtEcuinIW9IB6m0b4sXt7oBTYBS03u0IJiF1PONmWM4nlNztyy04PQSBJN2AqlRM82Zj Bq21eu+cVD0+T2KPhOveOKX4TBzsfTqOrjBT7n17ysFXm569fm34EKDh6BB6drWBBF+NXDkNzyTh Y1hvx616gNRSmcuo7hybAgyFw/BFQqM88zUWXKx1H/PQ+ld5ibw3vpAE0RlxS/SEWR4JeVoiebf5 m7+DMduQBzDWUGqqYrJmkjB/5OkbjmYdXA9Tn58mJNllZhrJoy6g9JL6UPFepbQE+c3+vSXiIAsL Y/4y8N4u889/H1RVrGrmS2izK23aEggR9tZCHzWNvprC4QVqoGpRE04O2gxd+pNmYC12QUmbPLdb BT9g8X9Dgm4JSpPn+6z1siNIaC3hOiGcnHZJMwsAL6M6RP7bj9u40u1MEehk/ZV5C2L2f5+YHPU+ Ur4B0oaUvXX7Ij2V/ntB35/DcjDObWeFTRiJiDRZuTeC3Twz39eWtBybkXtqlMh+x9rNugWDGqIP 0sqzVUfW6aFgp59OjC9BrA2OihQL1vivronK6gcmYMnjg6mF/YxQ2FZRcBOTTA29rsmlzrfHr8wa dAyKUhL1Z2mUZzwSsSLSVY+QzzEYopO8gt/XXvg762vVnZ+aVFY84pl+/YNL9UmNEPgMCGn0pS0/ AB/wBOXgRwk5rcfbNfsDg1tthxy4UjRKL5Jb+yQHnIpg0t2yYXdNVLgCXlE50RdMVWB8Lkjy2W+4 2oMWdjjBlIY2LYw2jTi4WeXFg6FhngRxXFuYwVuv848zk8vDKBWeWz5p8t3wx+aZIHQXHDBwLi0t TZCX0IR63Q6NzLGNNQFpEGDZZGCZQCkPhLN3MIu97NPWQAFXK0QsQWUNRQSqILeDKNV+WIhoTKI9 fYTRw5F9SeOK/bf5QFoWO+m9/w3Gc0uGPp6T1U2PsvNr6Q02u70XEsWVtZED4/w/zpdEwCEfxXf1 sGT0KxiEIjM+PhyIZyx5dIrEQqI5L097jyfTpoZBsO8k/s79F/ZbO3kXr1i08F8sYpBqiF6vyMhi zhFtBQ57OI2BqS0akCThfOJaLJSfaEiMoqMffz1spiQTWpfFgwmOwGp0ZUCRJSWcglXGb68WSDfc hEOdzt4HDm2WX8hveAEEb2AWeX1Sl4VvgU0CQaQMfZ2udewRR2MlRWvDKkPUaGuRtZM0yE0Bwn/O atXmh/Dnh1hor3hyAZdc3ORlQ1LejXHke5Mq+lf6zumkh1SevRdC/fldeJCMtMar42bdUgdRYLjU RSMOB0p3LCWSHjSE7tL2TjmhBYbPNiSzr0CWQlAKJUmsF3arL9iKotsj7IDGKhLsQ51N1zAbp7v9 KVn6PJEaK9w5KuDKUdkHmSi8kjefjfeG6EfkCr0bTxaHzFzS8x8uepkm5nIS2dHx7usRmasjx34C JbX9fgDaUHBfWbftSVe4SeEh7v0raxWH4I2OQ5BNOvLDcxPYdDwRoepczeAqBRn+lHeLPli+X4oi qplY8GolqmqgzIomA8QAn0W3G+VSjAiP7R5f/vyackLmJSN3DdqnjsZqBn4I2r1XNN9B4TtKL1pR K3IVxRahCYznJO8DKvwUMYOmDjAVkYN8Mbpus8uZOUxpBofL7NrRZoPjjb/D15b3Vm/wHreE8OhH FD5yzD4c+EGX/yEZFc//sXhBZGmmkGDAAYa77CRlt92VJmHzwfkKJfl/0mXNmyW4xMA+zjugK1dy vfJ81fWc1znqwQ26nD1xXLtfekCBkguTQ9wIdZsmSY2PykRxJub7+3Kyc1/UVVjUyZSSdkBE9Wov rMBa1rjmcjYlbRnjfEMmBgQIqmYEMFM8jfufA0k05lw3xbhwGLCnq1tAMC9ie2psuf6m6eJ1T1hx NW0zcSu1UEjsuKprChrF9E1M5BMyf9H4pArA48QdCqgcErfqa3r6gOzEtdRXD/nIMWqZzAXBodYD EkENqOr+Okh0rocHXkHPReJTc5m9KWuPXAwEHIwbN7irnk135RgTnvMVgh9a82e0eBd5N+GqXo9m y4pr3aMj5BuuBxnqtETK5oCr25/ObqUXgle+y6V1qq/NyRXQu+9Qr6T9NKaK83o8ZC0QyYeq+j5c AsLQYedmFBLlbqgtr0sxCqg+z40EivDN2elYRx5RwjXgn4NVVS2H7v+qVhutoIHJkSFFgkhLGfEP JjI92iKa4G3ycO6THt0vJhsYLlIz5liBaQdDQEnklZjeSQscc2T2DXIF+WwH1zI3GH8jsREuiSlc Worp/4zKS5Dovv/T5s8tZrkjdNgdlQXJBptE3m5CuMICyG/9XHWeb1VI7N9ZJSm0Kri2R8M2ljAR +XsLjw/RiwvM3wRolHuYRjI/MHslzeE10BZvKFewu/uuaVDzl38mMJ7Y110TE5dnbHQkEXMZELEI 9D/tKByZF81pK+lLKO6I0EA0HawocnwbxQmqJB89JnVP8U2BsMJrNx7EAP00QRPtQhv59AOROs3v y8rrPD80w5g9izOcvMC14LOdgeq02hlcpgvgoSdoNEZlRl6cZiBzc9xK1TKajt5vGbk/bxWh9/eF 65caGPh5c08Oh+CNSQ718IkzsBwkpjeA17R3pjpQCPuYFPAOtquWYui24aREIqBFQKLyXvg8yKSe vEBxZTKJEuB6QyJ9dHtLtdFox4ZNClM7w+qtrvF4VEJN71A/ivZ5Cbd8j2nbsIyQUoZpEUw14MmJ ZZfkZmXKVJcb+qGe5wp+jezbrb9jaVTsc4RuvmpEZMJ1XBzIXAWh60vbsv3rmTRG7i3AIEWsoThM wRYL6tn7S5kzLXo3L0gREVDw7jnNyvWylwh/A+K89Whml0cRjT/bp4DTuaMfbrXqJqj0DMGTBERQ TZBy0w6oOLAgMz+EwpdIcHZGY4q7nDO0mQ5ph4Kw3iZnxUIz8Vek3RVzqHSVg4uh6HuV44jl8L0g iZNtNqT3Wff9fliVg4hOJG1IVMPul62LOkEs1mxvuePq39+LQLGsg/G0MJsFVSiVlNewSDSnVfDH T4zOXxxprdnJfmjwYU/PDPOdTrbR0enkMCWlZh4X/g++4ib5sVbdwg5FWmhS0PbtIMV2BavcxRyM zb6+q0T+cSz1T5eK5LmfIedf242S4PJzj42jkShC3UFnt9r73lYFvOCXKZCUmU9fGILonEGjPblp pi+qamjeGdbJgQjFEBFOm9c2qXhr+4TQNVhd+NTmAqjby+ONDmC6B8StkGUTxmbIxpfPP6lCl8Ok /twkKSHYLB8Kgjhn59t/7yq336VlTCDi+4irZNYyWJ2gRbXOGUPDo6T7NnxK/r/nb0OPJ1iLzA5R QJfR2noKVYlX0QeM/KKiVexY/3FrNiwJIvreVsw+FoJigDTD4Ai2V2stJBY/HpC0c9rUnwfAk08t +C+mzatKm67SpAFYsAZ7Zj62zNIoLnQTnPQxHGuwuJ0EUjPNqzSVxWimetpp0rmo8EKLCZlOLBUs H0rIMkjHVSPFOYLT8Pv2/kwfSJ12Xk3vnHP8/5p+QwxnSsOn0OWahL1JBpvRuOO7kPir2ji4FJi/ DjkjCS8Z9jxVcUh/EDjuYnno+X1W4ntdux1epDrqDkmiFMJN7NFBfcMoP0M28Flyw5FInLdLdhFc 4ZW/Oig4c+qUn5k/qrJSToS/6HnjvFs11G9Wj7hSzpQj6i7Ek5cGPexS0U4TGDjcH7RRtxu7GRO9 2ukvnXNugTcrn0Dg4C60ms7FcHDBAhlgpNA8AUSJSndC33PjgbdizoDYzW2X8P2QCicWM6kmT59Y cfsWjUrthfpU8G0D28rqiwVyymKAdizFJ3CR0ANQhMqM7mSAMBcfF4+vKYBhhS1BWnbx6c4cE2hY llUGJvtwKtlVVQ5rnWyEuN11JCParx+WdO0cd9p/SVPOS9ATc/UGVWlit3SQBpHXvTvZ6MA818LU Si6rzjwegzNrjuoCdD7rrgzpZao7gLFbesy8ecAhTbZ/VXvNWokSF/im95DseEgJ2b5BNmLiWR16 7oxE8VJISjI8L4WGXRF6co3UxH+US63CFEY8Pj7pUfR7IMrQQ+CsV5Vem3wZYMILF2+zo/CwFb6l kuRkLkxKRO8x5bPbe5FlrP4tzFRR8rr8CvCwx4EuLKWHgF0JumAu1yjrlvf17Lj4v9+8lv+wwruX G92km3xgXcI25a5n6C7A/rk34klCmaYn9Mz5t8QcvwsxPqlja3hOlCUM54MKZMR3zk+HwzDpNboP JVE2QWWHE09dhcaTjPbu2kTsv4lGveiO/j/RgpUMxk8WsXWveGn99Pihx2GeZ3Gg47npwqf641Wq WrK6jw3VLZn7XtSknVwRWcAjfRfpsVijk3R0JVMyPTOzA6mcQkc/4iRRX3oJ5TOldiP1Ve5/S4Nk o87MgsK03RMIY7Roma1x5mEP5yA8mkKwqQYvPY6xvvb6r7BhDd3oBPgaAVusWCRZ7g8t/j955T/9 /5nScOuE+UGnItDM4BdsiUQyXQAH3Ao9sDTlwuohWqN2XVXCbZ1tj+S0U+O+m+pUCxWoZBAByF1L L9jTRJBe4J0UmyRGPCkwVBOT3xz7T5EOxPoOaZP1T3Zlngt2gZo3L7YWPAAb/5KZtPaPoJiPt9vu cR+k0PyG/6OuluS7JDP2foXa/EHzuOB0RD6l31psgOsO5hLfhJUH1lYd/NPNJ51XQNy1H8lmy5z9 N5Qv/c4BdEugHsLMcD33Xtv7umInnBKalqd9ifpL+HI9CYlTPYGo63WxRF9y/Ww+5akkOxNEISKl rOYcvBFbU93MqbtgKV/RjT9U98Zw1oFSP7hCQmRMuXh+1P5n8MqcG2zNvw0CuXJXPtuPnkR9b5B9 WCAzmr2DBHEGodAkPf0RRbhLRNRHUX3XIYx7dSS3sIGWSp7n+RToYIFzHqZ8hA4zSuphy2tjR/kx Ek7asb9Llv/F8vAtSvp0tYJhVljAzF9pak/I+8DxwqIFMg/kERVWLyv+oArKCbkfVwWWwA+N0/K9 meq02WocptsUq4rmJFS8tKuc8MM9GEa2bQJCiGuPAebyeutoi8fUQvRFKoCdmZJjcxiUTgCf7z4w iAmHPauirl65HiOk+t8/L0iVVHUFQoSJnIi/bp8kRufv5XX6LkvaqAUHj2Ika/G0fzC9ykQjqNUx tJqF9zrFiNraSfAjIQ/Ws0wGRxBJufk703lCZyPu5lfSq2KqDHUZlpyvdtCV/P2ATvO4AlH8rPIJ WQ9W7VhjGLIglXxUCCPy2zYr3YLmMhufxkW09DHKsMujoAUMw7yw7fsDN21kIcS62MUzCm2VjqzM acL3kkUW57g1pViCo2e782pmNYPCmzUZRD6vq8Mguxx0b0d2aYYeT69liMC+ARkrO6V23nZ1rbka k/R5gwdornCnklvxGA1dke3EPL42MFcZ1pBiiVfn49+sOQ1thiVEfu41DtuxWIUB5vmEAxKvWZaa /mH6vac89EKE5IlQsr2Jsmd1opVMqSIBRegNGFdRhrgdrVl8eg+VoMnSSFJ4LYQQ7ldwlNQt6lyK DgFtncyEYP4pMj7ygysEfmJ3hH7MLXWXVJsxGlHTUHR6VlGNHtNuTpzBQ/lMOSK9eU2qdSr0NA2d a84DBow5GfWrQOKSEOWfVU3Md6rtSgzXyWGrILocNVTcDSNszb6B7I9Q/i8XvY3u1DxgZwd9B1Ml oj+N8NquABnVipBNBttY5d4BgN1NSa8TJtCnZHjKA3qLDO41bJ8RZ9fWL7xr8+wGlyY10xKeeerC R1mtQkJNm5HPLEBfLY/AccAaHF/npUioun4yhPUpv786Lk0sgdEP9E572Ia3zhGAUIZ4WixLrP2G hCtjRG1GeIzqjwdbo6MY/NVgkwNv/jwBVm3A7mxu/dXsKgbUMnWVxVForS5nbmgBob6qGN+ifRfp MniBcqxYRX2LLzqqj6g/IUhByk1vRUtPW4CNcXCtj3u4zs8phGeHquYDa7znaEWdnV/EKYPfBMDR eghhe5/JF63XM7jAjnd3Ti+lK+uZKzyTZf91yWWiVYtwYIbUX/k4/ZUQkulrNrudrcD01s/CnO2t L0UtninBzfJOMhtiF7QMWLSJfW7pWqz/gXljW/1za/iDOSUjKuS0zRTWdA5rzyO6dHe8iU9jDnwZ 6hjPAVQs7VI9GtzW1Y6swKzDJ1WOQRJQv5uMeTzPJQm0I34G8VCZHt/gxqmxCPktSQ0iqDgJJu99 a8e73+vO/SNu3QtI1htg4b0akLke7Z6ZUe6g/5hR1dFBgNqi/g5jHjcULQOD9SNES0tL/BEpofQ1 MAObAsBpUtQaefDbk/giv0z6VlZfAJApUOPzAsT7xELwx+0KXRNIeIQ/keMuj+/LsHtH+0IoV0DQ Ng1aIsvvYF04Crh6erZyFwQl3ho2kNwyPcaNc+QmDwaVGoVO66F6ut3RgqGMYCENbA6pXSSeuDvC TIK1OhcnBAlq0sW7ds2VmKhOq1YvKeXd3H9RPSOqf9amEW33p1nlwwAcjhnCYaoEY92yL/jzQzqb h7P6u8DiDZ47Gwcw3D+CN9E4IJpluUG401D+N6IVCtlEEZDIlioWN4lK+Xw8QEksph3oGVBOX/JU omJCGpynTRQ7wTsD1E8kPKtVeBpMftO+B92HPLWpoZqwFm75EuoR9XOGqPz4qAWgUxS8Oht2M8LZ r6GDbEmWvxfkPJEGFYgvIgbkB2IuIaoZAOhiHXV6nCFrAQDrzC5qd52jcMMQcxsAbFH+YeNW+ofx dwdaDu/n9vzl4I37k5xMW9SR4mebgYniPfwYEYY8FSkBiNMYBK1Mq0dI1BTtlzo9gDlI7bVtc45Y 3WZIHoY3loJUHx2Cf7/w+SIcts9vK81QMxaagahZ3jW5y8k+ga+sVs+tlv88vPxTUx99YDTtnFOV ze+nzHLg66fr2rgihzoZprthdUPweyJrX+Smwo6s/Z02qeiR2OsDUsfDbxvG2Ia3D2+/jzQrcG/8 FDj7pWgZ5lg5YYajyh9/e0wqRGfVbrxQ3GgTLjlxzEMEaVW57DznqZEt+YB8wxur0L2glEBD1dRy Fq9evIIUBsGjvxCJ6ftvbrLLkS/hCD9gkLIxcELbs58syxuEZs8OokqTnQ2ZCAETOM1zIMJ0r8Sm 8lObL6QiWjBpnY3K0qkfX/Zm9hJZ7eaVqyRsHkNG2D31F6g0lqYoeEMPOh5KL1mF2ikj4KXRpXiY DGCkgg897Y2tOgzWSIxYFGITpwIKG2BZ5cXlBT4/m3dXtMmuQYDJWBLMNTVbLmB9BOnxPTJN9JfC 3OLS0KgZ7/l5nPqGS3KDEkRWJJZm9PIs042Og6L3aJ4ie7Msp/3WcYtjTTNGDt0VID3tVxURuuE3 8FoUqv1hnfYllrcs6ZhKp5iR+p4Fwu2lkSYeOTjuaITuOHkB632aRCwtHWaHdFsuw2Bc2cOCJOUO m3mWHmzMwFYFMg/V+mKWsgE4fGbOt3n6vnqqFVYzGFV5PQSM5xYJOEcTcVw6MQrEFBVISdDro99C IRHnZLey1NtSwPLZx1cCpv4V8Mto57Ncwki8OOWgrk1c7DK7ZUFoVw5jdBW5fi6mxECPcHtuALU1 Kv7ogqx7j+2C76pwU/qzC7incIzywgwi/+3K2ipoMbu6Y7DNQm47nsrY8RrJFhw55V+eazcfjTIC esmC322zUK8qA6CBLR9CzKJ9WIyJPZtQu/QzfMjsWIRYwPebTxPvI9TYL94FULU6N6Pc4zPijlcM Wy439qmJTFbGjJMpUyxz2NqQpB2LHmIkmdWkBf/G/bXnHcXb7rvboj90+WJj8tnuPuOsXR8lTHN0 ZXMGdpYoFmBOf1uQlRpeOBnzNM1aZHU4ywZuUP9fE+2fb3EWU+u0Sp26+RED7FFiTn62TUvrHhsD mjpECd2PR1QIwcvuYciqGpzS/+nPn88fmQtkFZ6fIop6g3ypQK2r7L4obDmfPr1fCmeQNg7L6KnF /mMUEbvQehO9JpTW8vO4Ed6HYyHjeayHFLaj7YeVGeVObv5WIWAQ7S7zgwF946Cvv0bt/YP6acha WJTLfa3Agx1TlKQcCM1GnflsBz+r0/+keCV93cCTH79vVO9Xhb73wOA7mSP/PRvxYql2Q/GRZFJ7 enqqtV7OKDZc86gp9nChL4msbLR/bujbgZr+9UsopGJWgiVcVK7lRysOWn7nhruKKXvWFsE1NEK9 bloeS0u7RTbxlfF0foZzPNIUqXPGmA32EaYe0A1DnFhlYS0nkfVUmMBS35Uz45oI+vO26QpIS6Mo L34bnSEVz58E6jN4/RVCmrXMjiotRoHN8dj0yPy1PVb3W6GWtbp54EHpdDbm+TSJCK1NxoEfzpTu qdYQ+sn+XCIfX7ghGt/km2Bo1CG0uIqUXqZfYR1s1zc/7e3re/6j+P+HtNgFJZvmRneeYxnk5sO6 +Kjk/cdxbEFi5KKY0254eE7PBD0ot1pelk1D5bAjQIYqfxV7Te269GVOET72vp/slwCxQv1Z3Zpd tyuoVdmCYbVlkpwIwlqc0FdYOzXxUgaNDSAROZxe/mPgopKjGQ9U/zFsx74zD4lyrYVd/b2yHY4f OCiLlEO6k4bpP2GyJzlg17VZad2jwbnVPUuG4JxwWGcKNQUMaPi5kQWpAhUXBzFJqRSTeMpk6QiQ Wp+06k2JMUVE7gGsQcu7ET3JnsFr3VzNYCqudlEfh0qI+j3VAMm9KUJ4DX6z/AACWMIl4WyJ/Tnu 9SgfBz5OwIjDzxRJfEjuqbxSzX3tvAkx9T237pyxzVHjEtCQ/DI6g/T1hZ/ey7kVCTY4anCQin/k iif+a6p7iPqbXVy25f4giIL0d4Z5Hfl8cemT8bKHhSaTxEyXXL2FIlb6No9wOBHwA89aGc26wEYe KrNE78jjvu9/GO/s0poamJdK86y1f1NCG0x8Un89Z4GnAiUXhEeT7zu7nXw7XYumYyUmHPHljUFx spR8Is1CK0mRLnt8ejn0I8QWELHcj1M2iDem0m/D0Yiwm8/IZcOJ4TnMtOcG2JC5LtGi8lVfnwgR 2Bi4AxUKeL1uLOOsbB73Hz1LgSFW+nuKeZpzjcHvSBddAm84sltDQa+1z5Kp+flInHQhjWZYPEi1 9Xwqjn81jWXewnIfA8xfF8RR30WZPIuAfaW9k8FCAn6Gh3rwYB7rXQ/Fa7fuoWpLuwCFI/PnHc2M 5LNx0/LsLLhvoLVKkJ4oxGIxImRVFd/hm5enDCUzLu2yl0nIoXaPOD4Zi7NEvuUf8JGq3jZC+tlA JXMPMtYplTgXKL6i+ErRir84KdGV9irYu0K1hVnziujohS/pI17JsrKq3WGu3V4LYAT/zajf0v8t n1frkXG7jHkoSfqiv7N6psXGzbmG5XCAIuUD1s1zmxx78xOy1RGkQZ/Wbo44VPkNg5Zs35UfYpgW wiNs+ff0eRJTFRh+SdMhaPXoHI5jTUZ6FZqjmzYuV9mt+w3S7cbuWLfGinZbb6j0NRI/pKvq0xZM MhqKCFD5vUNHKMZuckFgH5bfNJJtmE2c9lE2/qXVcjK9WQ2wW5PgTaQ5KXFvnkq90s6r05cgciCd fzaPyZHUPem8eiU3gvrd8tK40NnG6O/XRpXEyoUKq4XTNjBM06gmG1uc+E5Vuf/FufhccggX/vTS kBoCGe6XrUQNqGtX1oxrzXNkDbCtY7vto2coMmtcwuaLAf99AfcTljatQtcOyLfBVwEuZLV7xvie mG3zJw1qvzHcjg9aaJEGZks1oeL6caZAyXMUfPg7ZN3KgQwwFvOf2oxIbPrXncu6Py3Pkh4oUPPN mRjsUEwxBaJC2p0ciI8TSGcQ8KrzAes4byAJcG4CwjKhQorArS/ZdskpqTQ5ltPzkWbl/6B1xRcI 6Ew7z+em/ALCxs6rEYh++1yReXydhFSa6WoWw0Rot99r+OJjBFl2JoS3fwSrbBA0BvF4s3upaezq fmi+toNxj6GJkJS9mdVV3GOpCvjy8XKWA/tetZE1wtRCd+44XsfaRhL5vlU74MU7b+1BrE6UUnfo 43OKuBBFuSLCqHsP3xM6QyqCpPmkw5cTMb5erQ8YLVtJbKCzDjzWxXgyNp58c7HHS8ByVqZMYyj1 gSB4c/2Ly3tj0AUDkvJKIoQ/L9nREMjJj5QXIvA1XCLK6z7Rd3b0nweIAvQ+FOZyX/pX6Hp2fJVl y+gSXxujPiOHkfvTN6M03wCSwRttDXYer1h6eWLpeGOFPX7RLiUH0kyuxtrG2mB+j400iSYcgBWr DA924kAIKZqKNN3YeqPsfXP1aqqOnZyopQEJdXHZB4ZLlILzToLlbtpaG19bXtZOEzUwVCkqpdtu YV4MkfAVcLXQUEVATYFLojp+yUscAYDaKpXG28RvHI5pbIM0jkvH58HgvlgiSyagRs+zpR0ixpKR sveXjksfR3YwlYk4JLp7gjJ0l0taYj+F/AEFLQfHfN3iFTknNyWyiKTpEfb9aLh657c7jcvHvUi9 wujeP08xK4sXaVqLGsftqp5mNcj5UEfuP9ai/xS8L8gUfbGBX460SErLWH2IK63/1XCYASUEkgfY smcNzibaog+wOG/H5kGgnMmOjyU2Hf7TLWL8wd+c+Wz+QO4TGHFuuLeR4dVzAyDBQclmg/UeK4nN Pwh9xUY+GGiy/r0PHnDgd8L26fFX/YtMMkiaj+xV3U/7NAQJc+o76ghoBklBBj6x38TCytAoHUbO 0UWC80TkZgPzBCPrx9cUTuS4VW5Wv9aaewHgcQJDn0jpDx7lCLJLE3fVWB8s96+bEY0herScNgku d43nfAHZ5P5HNy5QE9ARBI5JTF+loTQ95lIPuCBH5Oq4QiNaNx5RS+v4S1/HPbAASp5kup6uA4d1 w3/Pj5HfWkArHuuXQNKLUm+wXmMinU6Ubr59oA1wvCYuPvj1SNDDAg33WFcJwVRi5BgvsuYc+WO6 vHsumSDoqmQ8g7hkG9JfpeWqkhaci3Pj0810Er8feDWuyPNwyGabtda/TPWWlbRJ6Ma1Ykk+bIw3 vbdbORXDYGQwd+8ntvYhWPids6PL8sL93Q1EguRhMli0EI0MmdmCMCHy2FVi6oNtc4ZycsN8HMTw FirmfX0rwOKLqLemDZhIBK64PSpnqpV03GLfR6ro5P9OVK7r8wUpAkKuS8iJO6v8S/k04Zrgp/gs Bi2IIFnERPI/geNG43pYaVDgL6a5SfnrXybzV4g8jfZg3JbeScj88kCOHX3WXWdBzA2rpxCzKyCq rsgvhT4cLoHmoTufUbnKdYXTKU6dmaPRSZ+awIzPcnLWimhPpYq5IjjrYOZ71IEj1AlTmOZ9ITx6 EgTyxAHQ0BduNU83f6X71OeXihA2Aw4lE3Wb72JnTYWLEOVbjT40P04NnLGiGh5sgIiqHuK+cojU KF/G9G700zbSCHJ6nkGJWS7P1kHD/18DoVHxc9CIe/Zuq+mzjXpZBdgNTglRvsVrdfYvrQoA85Yp Q3Ic7qNITRhgqCaApirUaq+jNg34stPjz4/rSwrACIFrtwGGjQEVndGeCNrhsp+Nycx0Mslqco6o ujsHq5GLWndvUFbREAez47++hns+5QjvoTHrxV5B24nXPIWpl+VXKEgbnXpsdTrQqZXWo2Kd20wu SMulkfki7/9mbyI+SfasDuuwHaoWUrBMTlopZnmGqoCEnnXbENuJsDHAGTselE6dzrccvgabqnEV 2FWUusb1BcTAry+gS5J81Ny+J3kzgwTAAxyAgwbWKnLsqd0bkiI9TCaGjvPOh/LdU5yjfeweid73 3RJZx94Cnsz4LHf3j6bioDymsP/XKklffAK3XIMEfstMdxhyVz9b8fvaRqgWr7LVCU3i1MoWU3/6 AHMS1KflgePw4nmapcVkzLBYzvWP/Y4Kk52RSS1w3iqsDBC53w+04SgiRynR/ynfT0d1L8yrO/la gV6wuZqO7qVV33B005l6yHUazLq75RPdPt5/uUf1Tq8I5xelV4wAdc5JikUuwqMKqJTjLxLs7Eoi I5odlSh8Umzm754snXLwWNnntOnIpRis7PxinBs3KBshPZzowTnWVUnCFcf8aZ9q8GNBK0ghjOpM hGDhZw7TS07BN1tWW7l2dXnNpMnNXzJee6iL4wLYGjefZ3ipW4DdGOs8RFpAigD8DA0UBC6GNlfm dC0g9aaw+4r/CG+VhIfrZsEkah2fZkr2T/MUnKlXFmQhr/6mgHXOaT4/2jcUl+1Zl8PYgaMLun1U oJz/2rAOu0MNV2qt/ML1Z0OlrEtjpX+MXfvUbJZhHt5zu7X/ATbaXZPiBDAPi/MJ78MOvnft6Gap ll9xFCC6C8E+1d+ka7yfsfZvoBm+aeh8B3czkJRyBbuyRfno9N5RC8GmQ8M1osN1ELT1XcOZNiE9 iTJVsyqgLpb3YF7flfudpjow2Ht8KEVT/Ka4Z4PKy7qfC4rlJDQ90DtmhqzOVBgrV99beyA/QISO XaLEHEGa40XSl0GxXEQdeAHROsTNk0heiVzxOXmZ3pbrdAxVLfjvx4cAlDe5vP53F9aTa4mMzlbB I453gSyOsUBUbLiSrjzOLraXNHGiieOJZL49hzn6wB+L7yFURSmNa+KTPRr1SbCbL3gN2ZR5gG4N pXKvoviTWj3bnJKhWBhhjMbj0oWRFhl7K2Sd8wyKLNTe0rMb601TndJbTFpspkDo3HcIfRAzIYtT y813uaV6UeaEML+pEIVw4OKJrxH/vsfSm3Ry68PvZMyMPEMCoC2tfhzT/aV2yJmMWINvgZRg5zNW MF8863BhUagllXrn2CUfYPIFfnWOxz7f2redTOthdW34YkD9hPucPPajhq2hC5ZqXCjMjyGhpJRJ g5m6Fpdz/us3wyDj/ag6tiJ9uyQnwIYPN+nN1K7nlj4tTUbIsiaR+JXfhunWGvcxmYf2W7OkQbEi y7DB97y3Kb5H3GePXzQvA5j/RNwnuqTQmDg2YEgSYub5e4IMNnSFPbDVDwiBeeTajQ77j46b7lCi vbk4lREfIT6kLQhNVPvhgI5NWFkScunEWoN5Fx52rUn8DxzXhX1gFGASeb7p0apbn/Azc9YiOL2o VOneNzhTlLTi6XEywuE53he4oNSltG5u5N/ydeysYQnPQlJu/wnTC4PkWUxJUG9GpBcwxhneNMjD Q6cuNBEpwB/i++v/0jAGnOgPDRlJb1bEomu5l22SYeQvXPfNd/W89GQf1Wf2ozGDNNiiSlkhe4JO /V6ucPeaQVSfa8XFr8wwiFnRCF1WkNboNCcLqBR2wILpBQA+zk6ZfcXbKR6nCmbafW4ZKAibTCvy RC5qyb4AdExw9fecZ1nP8w5SyoVj3dJqRulJlrrtjtzftoNJ3q6gs4oxS02tuURtiVsTdY+btL+E he3hUtRmDO8PLMtZvOkdx/EBcDeqK80ceaRzEMJwXOY7w/Vrf5gf85LUWJTH5Y01A+FWVR7d5tLI 9HSnncphG78N4ZUrHn574OZzJhOggrV5F2ewmsT7P2KpL+8ffucJSAOEL1jfpurc99E7nzgtQrYa dKbyj/JJN04CAF5k17bi75NMvtudLk3v91xr8vnm+wshEu/unwATtksh5WUJbRztsEQJ++r/8Y8J ifMR0tmwyYEllLi6MoE9e5TaNJEpwCVXnZObywCCNwPfIQ0FcqgySHuLHWzjTytjSYrK0MMyFZIh mG+Iyh/xks3tkOU/g1ZESQy6EhQMpNV5D+68L5PLq9kyb2gaLrY5M1VkMtHB7QI6HJwtKETylnyb ack3djxq4crMdyf+oCu2Cjb7pfsMc9JFKQOUmRFIphokPNtdljMuuJhfOLhJPhxIgkWAdrbFTgv3 EecvTIRg5Ow351q5zIrARFE6JTO7kYxabmCHRpsut0j4uPbHiiCC49jMSyI8K+4jl6ACPSgQuDOe TwapfKr9ER0L8M6OJ6c5GhqfHMY7LrRnVTLa9xvdDhizzHNVjxcepMEfW2A455CN3UX2Z81Dn0JY a87GmLLQWj4vvoFa88PFldW71Rg+cXXVWwECRzZJ/hHAUXVfIcyC32QoWoYz8lpSreZXgS/zZfJu sBseUFRXTNlHaVSBTOAMtzHFOWWv3TuGzB3fAyw5/gIzfxdgAlrX5KTuVpWviDnxL83dt7g5c0B5 YG1z6zSqAt817eoYZFH6iT7sNWkCSTF2A+jscXWSnExXNz34NT++9jbRg7gUkNMAkEDO0P28QpY/ UJPcHKAkpUhoj4r1dVoNdDHYvE/AbEL6Fqn77+t6MXI0AGcXinZQPqIW1u8fcR/WzD40+Cl3WfUR HhsnohFRVfmxmJ9ufWrqHJy65LB/Dv9tweii3jFT06/Mt2yxcCKQYso2I0N6+MhR1y8biyJuz8/e SV9ftwLmHg8mgPUzVxc6pto6XxsX5ufhMk8aH+5xxhzV1+0PV5xt3VpHcvG2L5GF1yXUlf8gP7l9 339wOGbZLJZf+g1PSJDyUXqIOnTKdZO4nbmGqmmk/3ksUSuMnMcLDX7P0Mf4MHQyQIUD0fjzz1Oq jaB4GSboQ7UfN7+t6kgncBp4E1Dw3kBglaQ3cKqG5SXQoLWAvjVJZAxDZyPwJAvJiI49CdXK80tW QYMpuHPxZpSP44QkK59gBYT+M7WUXbZywH8kOxibyt05nadPA0M9XuqWt8f80Ftfhxrgcdjtit/I h3qcAuQr3qKeW2N5tDfIle3Rx/z0w9DkGx1jqC/joqZmo6fEmdpplYiAqmfA10ibypYW+XTiqtnC Tf7pZ+cdBxiLWTMlCsYnotRcmnGt0o0k+6ivsCpP2QlfS354TCq9VCEzwY26Pgb2pXYgtBvawFtJ cv06DNMnfN+o9UANEKrHWXm59k0BtKFx2E/2NooCXNH0Yc0kJ3xQn7vwm41LdG84YZnsxskVPp5k uwanR5sV1R/3dX1S+wRUuvD22b+jXnUa3DEo0D5f/Li/jfypGhePa1rtmcFeQHw7lkXitkYpM2wL TvPO1IIGw9Xfv1yKiSspfHO+J/cpvVN+peE0otzniy2swy/peE3Il5g4rMJp+Q+PeEkzjtzJD91d ytwSkKa/9MKndJT6wu1EBP3bT3xE1VsTV/9Sc4pFlIMiA3JyjqDc8lnnoubWvoRFrjyXo7E6ynZh +xXJNgnaBd+2oD+AA12rG8+uuuM+Wes31pDGkfO3hD6EerKinspL/YpuXvwLsfOl/IG+kiWjtdi5 /JJ1ET5TR8EkWpx8rAFCjlZlxilD5ylqn6IrvwBekkADgSgBgC8OfXXaMXQLrVmvdCVuma+/uH68 wYhEA8tmjC65lmxXM7jhZtFzChHycS9bzHQCsnQQgj0kmgWvSRqT/gmdBHKstYA238Fb3kmQDqXy kpbg16vU4Xez6k0RqI2sGMbevMwxvZ1KWgFCExihefuoh7A+OoEg6leURALcCrxTrtRVPfoINnZl iR2vrtrmDdHvdXVOrdXktWdjTNYjkKSmPrQbZRJ8zrmieSpSK1uHTVOsoIExq2FD1JE++NAWLTLV 2mM/et+5afD1SJ+zRvaTn7FMK0uCvsrXPLv+nS8RZraR1x2Hk61tf1ZdSFaVPRSKO79nDigMdK5A pSy2luxLJSNYIsqsjlIGDDnH/NXhsUCN1hoG4QO17/P94XIG4nSN9+/KznxEytDOL15QLPiPCBbq SdMqocFqi1f4UNxlQk/10AnNA1r91x1MhPO3btqotB24xA0YAregaP7efJFrcPTYVaZHjGL8JK5/ jOetXxW0favD1qBMGWpPl6FHuI2/d8qdJUMelO0Gz2X9zGseXdijoTk/CLhMP0SBEtYuqrgahaDH DjhZQc8oeCxaW+g5pE6I5lMy7zDd9e7j6rgSSCTocFDOcIV/CpOBaX2KMfsW+YDbnk92kX4mFLOq JmSwvJyfmozjmdbiR0y0tHOC3JBNzp/vvbSGySeQesKQWnV0YXC0ljJy8YP22YLQmiD7B9sEMZ9y AKlTs+9SERxVPcIwHJdmgrr9xGP7Id71jvWDfdruFMHUPeAxMTLZCLtPfgKKn+ObW8nW4qTvI6U2 jAQtktdTKvoYk7CRNB5tYpexU3lPSNm72/6TtBNm+05o51fVZOmXfet1hfimeQ3pVjvclDAyqDsC 7Do/jYtl5+7nZx1ApqFr1xlOdONt0sH0xEbUe/D+oJN72JzO5Zsab3P+a+/ZEu8F6/DGntibkXbT Fd3OZmUX2d2LR3LxBmEEVCGJReSeKY37kPJJUTnQpxsPaPOxlVX4seXSvsbVX6DTbWMUxsnAxNRz s4J/57ay7fNryofp3Fk+2jVbGMdKKZCkQjeH1FD6cuuoEluwR+5+p16LjhCx+uFPXbXurMOYM2EF /pyCREVCXr6m6IfWjOPHqLTK5x9efVA55sZPxYKRMY+9n8jXgjyELcv9trz0PI6b8+i5cGGpTA1e plIZUXhcbw5pzFSnXAeDKazN05YyhYItvIpfoX5ZJPH8pOnpMDFF9I7aCKmJdwxbL7ku2JqA+9Sf NoH8w8e3yx2IVnarpaUXz1HZguNqW5pkpE47t7uMIrvCJL4iTtjsx2yLjm26hR2F5g11cfnXuKu/ J+dKz8/0EeSJOxQfLh0hdqoZtIOv/PmZL6CTS/p9nRrM3+a0U9wq+B7H4Ln+C6ch36K3aqnayrD4 w41As35mmBh/8suVBVpBM7U//Z/O9ohJohPXxlH6xPvdi1/zl1keNhWIO0aUfEryibGtDBpBS63f snWPb7Or2h1hmzej62sMPKGNI5enCm7lrhLxizB05kmFNUBP025Se2/+pHA+9J1YDrov8swbaFjN QAkyKsNcCwXukWRmPibH2aTpgKDJawhRf3x+GubV3hmsXbx2hajGbhK1m/mKjxf6JMSP2AtVicXF Xuyktn3o3g11S0IfWu8IQFL4k2BMuqxbz3CBk4ou2gotuNoTDmT9+O/WQrLOrl5ffehJN5zeySY2 4E26bnnDyYlLoqs22+EPwEON6niXdYh7iXAl4nTBec83BNlyJrghmJmERkyhhbHxNyfmSvSp2jrs VluPgbXuKHZSOejqnCsLvjx2PsPPo7NJq0FHE1NiqcwuJ/fYNY8w4gLS62iC0c9JHvg6a3zK4XSG IaHwifNA6IaJ+ZCOyq/6JcKyYNqGSJAKMUw0qtAW4c+TJas1MsIaUtSXnhVSTJUG+EnrL9Xn9n9U fRMN50zAbe0VQzDP8u8rEGIfsGuCKWPrnLXPfn92alPCbVTbcdY+w23YR2SQmQ3oJLMcqGCK3FbS nzct6bxGCd9horkpZQt9snQDuhx2b2djADTXPQOvBoGU0Y2rVPVLb57riL/+uZcmuv1fi1jp3PHc G9uCkGg2bj9KSFIY2YYwYWqQ1EZ2P78oAaoL5pdH1RRn4HqysxoHJ0tK7qLoIGn/xIZy6JExprs1 V1KLMlemA1q0vt+176jPJCRGWjLXYjKhLIQB1VlShQTU02rEflQW3PzStwFRlZXxhhyBiycRABeD Z92n2nA4yF3hdh7ChHL6yFDM+qSBoP3EZhF+tdE/kMLZPQfKcTlZY0WERoyGmR64bmIXpMNKjTLS w3z9RYLBxZoy+FcNDmO7bHXBzN0ZrIDCFdJgHVlARMahiO6GdfVluyEtXArfbCoxcQdl+2ad+ssU xISILuOhm/aIcO/Pmu0l0wLCf8pGH0N+aAITrFMXY8LMob2Xxd1jDAkUQVxMN+T7fFAUpGIeo0MA eYrvdT/Zqz68JNBoT3vzqwYohGKvpI0zdIUfsH62zKQKHcHPDaju7GzcryvU4wWqdipLfa/jN8YM oJhylguKrSriMyf4C3qFXXM1DYULnwx/v4oTXJymroTciUL6T5lGVF0tgLilCWnbOPoxUx0+j9gk VekaNbeKRjdNXf18xJQg0Yebzkerj3vGFFjg0Mbc8D7K0XHU4RJcpAWvFCeX3QP5yxjJtSraOZya FmO8Q4Wk17dfUK6rD5iCFZ93QiCv+upVXFQ0hoKIXGgZYTUo45LNody+1YxOosuTSLS7Dyt2AgxO Y6fO4iVtHWcHyHH7VVScBicc/miRicHyUz+rkPAWZiwV1QCDzihV/vPQgaYWO4ILqw3TvlIclVdV dJjHFPwW6WBiJwEZJAHuZ8B5ZVGdrM2e8ppS0Oj4ax7pOWbYt4s3FOr78WkL5yQ46jLQHugfPFPr S3sG/UTwJMa/nLiTNsC4/46dGfLo5oaMeZy6UYTAu0VVIXfcm0i1fOq0NhXJuGIyFxRKzBjn284w GhwXXUkDzyQMY7SIUk6W9ZRk18HIgIatliQjwV4SQ3abqNmUHbIbaZ5pzKccewjUvfchOs4PQ5hH HsiWjRIt6WS5sB+bVcYrRH1n3U9TUI0+Gmwd7gTeAiuvKqOYn/ZA/Ad5d6TGcQAoDB6jK1otTCJZ 1gLPMY+XoNY6mN0nduECI5FeQv/wrQHYfOQIR9breOHjcfmKaUJJoDKy0KcuDCynf/cdZvF6ecQP FDnfaHfcwBmqnF6VRhD4Fl941rflD6+1XfBI2fz1Wd4CP+0pqUyNf8YILNm/pukc0+EqBudTNXUc bFBp95oGXhueIuVoSnT976e6EnTqtRatQtxJbvx5ABRgudtrr9sDGhcsKkwkVsjZgO56EjvP0mSe e8LmZ7sSxP2RR6AIG+SGJZ4EZoDvYr1kpBa5+nlOjYiXIJbBMp5zDcB6J7uOK8T8FSTLdL6vID9C F3r8QIlj3mxG9HGzxskxYFda7L8EHrdM5Bxrd2LjeL/QwgxsXCt6b7zc32F5Da5jFTONHJE3bVGs bfpPOirrogL8ZU6gbyGDPhqMwkSJ3a5Aj84qGsyiccYVZkw4gFbkx/ioqDol7W/MpBF02ViNjNEd h6t1Hn1Q+n7GBOldN7rC58erLTpJ0kOCwtU8Ivg65imlsyVwF3Z0ZuF1lCN0JKY5cjuZEQHiyyia QV3brW2PBtC648BstoG7lthrTlWjNdc8q/Cl6guIFWTUMF9RWNBxb2i2pLCmMDO6XiZyvKuqVSCT eNq2+2GrSoBMNhGh0lJCBRRee/Vann2kBd+AXIDQ1rqOCLDsqb45tQqaxs4bPmYMK8xJVHdLHrMV f6sKxfg6unUn/u6gExGKeQFK6o/iOURYTDh+STbQq6XxI+aEvgHt+s1jsmre/spGWCaHDjmrDJ8Y SagYHzwI42U3U6jmOQ8yfALaX2+aWvktPTLEMhGCbNBv/P8w8PX+pAz0bmS1Dk6iRoZW5ZOp1tA9 cmPR2rGH2xQtxxY5kqiVrjiKUqa1ZzKYRpJoK796Lib4rvJR6omvL3qIabUtnut1aYIcIHdCtPK7 yqS8wEiJp1+meLil3f8Tr0Hgns+f3fNLVwTbJIuCWWQ2TWQ77DGJAe3C035KQj3+EIzJ5vaMrE3d 7OVlV8HUFIyKUHjgrGRCa7VpNmTphME+cI3mgWYY5xojCCwn5pCbI01uq6cczHr5G9BgKL1ZNiob UKflZWrtuLD4kW+VHVdmAdD555PJS87Y58AeftnDYtMUQXNxp8NnjsEos0wblCCQvMCl+c2U8GVG dBRzHLxrDbO54QxtDgfLLenACKxQgRZ0aj7ULqr0bR5yCgH42A/1gMu0cU+ICaVN2I+WGqVpKYZh b0XPMdMIa1by3hetJjxqDhed9xzF4O3WdXUaepsd2qleQsdZMBQY0wzvI6miww6r3+q3vuz1bU3O ohhHsSOHMzwyr8O0bn0pTSw4bimw+G5uCDV5RuMQ5uhwvfTAje+2Z2OY2q71gSXSgdcsBbiuYfhG DOfuXYRtvoN5vONsSLuy9tUy4dUbRTags+f+XhA0nDgOe02uhvM7vr2GiaemPi0eIyG27SZLyguh XjoKUNgOJGPlD3H56XjAHUg3cwMedqsZjyqSgJ8klTobt5MT+KrlvgOLiPZexna3fENIKaXG3hQw 7Co31NxCutFWdsBFTcXkLHlTnY0yQ6yDys6xg1/t7vXZXj1qy2Y4t7L83/dRwmuKgHtnDyhPFwCd AaXdjaqXEK4FUc6MMRft6yMUClYfKJth9A0Oq8K4jh2AScEFOSyXl6+7JqRol1FjBszZnGOslWOB UK/2PVwQE0q9/6/wVuE+WNHCkXx6K6qjE2BsH0UpvSUcbSUr9XXJtAZIwZ8AZDbewJul99hPD9SS bQT2q8P7F4KUlExUXAwb37erQw2T409oOI+m68J5XUprkNr+Vn5hTB4NbotdcyXFQmlrvVgxoKHA WAvScLmnxdxt3DJMM6hII242FIM1rJo5rUCGH8Rj7jGFWQUiHCrRBDfxbe1qnm3jGW/JS4Qn6gkM OgBTzG0FTeSlJOhQ9HjlL0LXwE4ODKR88XHPESRMz/UPvTFoIJLevUZYU19PZYttP6j0A/VY5YeK gwFS4kEw6BD2ijzaGUEKLpflZXH1brt8PnqwYLMXuQMW0WaFUUTHfvCKGb1NbeuGnlRBP/z00j7n 4m7uKybm56a5vk+cG7/2K43POdHingzVl8vyTattWsEZubyp3qaStOPyV4f/p4kcys9asyhvDjSD cH+Kcux/YXRPt59VTAdHCHD1Ub+bvobfo6xa1Ha4O99rTVmjJSHz/fmwAdd5BnL+ujcgtPw16wWj NQEY+4mmYvJFy78LQiZyUPe/OMVoRKYH/6/FCAEG7e2y96N9aSb1OjKwH1NT45RQpEWf2ZFJU8tu +vmwWFu6lZE/ekkmwyx922W05c3G2Mld4Fmgmkcf6/aQwFPqRB9LzCJLvFsqvWVciha/VL0tnd+8 /wsGLVYH57eT8Yo8wZaMrOqdQ2iCWTHLj5pwRszi67SU2i8Ie3cwvFY4hW+PUCZg3R3eDQBTm27c Wm0J2vatMLnxDZCUndw8PO5V6JRbrbpViTCF/5NWTJiLLpnlvWUilcO+K7onj5lny6UNHCtwr26n k8xyP4I/VTLYAR2L8YBCN6IJAcS3PalSQntdLpvMIFBkA/4lW9UnRw50M39nxaolSRxgwZ+9owk1 kQ1BsGFhjdjOiLnZh5c8c6m5GsXPWG49jZhSZOxS7sDGiRGWShU9SkJU69zDBmvgSZZ8EdRCIGlR LNyIO77pnS7ODv20rOOee0Vhi6yvrzEbdsYgggVJDRDHl+UeeldYBss5Gi/fZjB9nuMs8++iF7GA qmblLKAk5DGxN8MtyiWlQAuir4vHm/j3WChLd2cMa55O/dQvFxhoavQZH0mlhzPeYE5HTf06BYGV 1fbqGbEltEU+qfXoULP0UdhTlLcMq/XPezN956DbdZwZHJRPZ2DaFQpGdhAQZtJhLPidY02faIsv X0C40WGHX4b1Uaz8xEzF5aTuebeALj4TNWlPcsQcRRbSRzs9sE7TEgMv+640SueU/EZCLVAvWDYD byCAPy+v8IHDhzlfqrUbqM5zELtF6FNkbwU0ESNhqPpK0e4TYqQLCGqoNqfVLtRrg4jHdN1r1dLn pkyoqcwBsJe3DRJWZJcq04YlBet4vvaC0dWa7alfP5dCwvuLYN3Q/mr0dABw3jy4YSanCkVcXlCA 8bSYt7ul5unNrORrhziHzoXE5yHSMhPHc0TpMxxuWkQ1cZ7mWSWbvhQFTCWurkUqge2GiFCQ5kPc FjHGCdyY0FG03xGLv+quw6yXZGkcKlO4af3NAfqm+ti1PhsSxc/WwfPYbF+FicsLjJa2ae/NeY56 EFs3cqziwjF+MyLdFXfGJATfVMQllviqlsMzLOsfRWldLGzuh6Tg+SMBP26+FFBo7vt2cgvtkdE8 F70SryC2E+BJ+o6+urT+tCv2th+KOPMfpbuHlanTbSXtO9s8H6VNe+ijGmPvK2q+DjmKf0nPNV84 1BRMC4HPj6ZQLMjRA4YGb+5WEUPd21HplPyCTDXyrjAl9Q8kx85HfbNuAVyGn7uXNn5XTOjDk0Iy Vc/LlDSMGn02gWFBIFic11bBYHhhG4QezAtpdIJARsSmIc2AnCB/4PDeVwgt7ZlDdzN8i05u7Dr8 z4IL4QIqvlmNAsrmCac1WhQeJbR1XvqiakuAyq7Lf/eqwpJ8dy9QP9E5qbLH0YsRsrpvzoDVd9Eu 0VSQvHBAJ7E60UwfYzWZGNaFrBZJmai+UgBKeLx6FjACwGBbaKPmPmPkJrIpGccaMJf4VOkRtndE gyi01txE8cMRfJz0V+5SYsBPSIvBpQmLCWSCw8CZvWaBGTJ2npDwOB4rI9DH6ErVVmkRaVog+Nv3 mrtCwErQXOLUdJg4YD+MZ/XDq1R2v3IVbzscck265LK/4ORiIeZsT6eYIzQ6jCJco199rq0FzMuU s4KrAJiRtMSHuS8ltviWconhwvPs+9ocIq9dwuqWQLt/e0OVHG0HYkX5N6kmCJu/EDpLFL20FcJ6 p3owwMbQCKtmEJaYMwJHfzdr7cn6n7jqdNFibgA9w0Vx7iq8F7eK6GRZXgUwtW4jJrMxn7ddOyuM stvZuNxRmbAMg+Lq7IorgHjYJFWpXUmETo31GCc4x6wcsHVYrQzkMOGfLA3Hfw+5nEiZ8ZN04p9I dD+Z1z9QvkRmpyiwRhQfx+/6xanmXA2sMlvXK1nllmULLTGSykfIAOOJeaGzk4fkkBtUOdUUaKY3 vmnH5aeSsfFl1Bti83HWXrJdoQJcilM4QFd+IUJ3aBMRVM9CsLicfk9DhTkmXNoRkKbt4DNi441T UxrEFblBfKjFujuVOJoEi7Ge4seNRlowyXl0+JQKfGFqUQ3L8GcBoh5gB64x8cd4Gw8lto9FkiVF dWGTOOVq/UfcqoKkePSXGR61FgB8FuKYgVmaVa4bEEfw8xBxcHUByYy56rb1x1WbkTDZI1IZjwUq Vqn4MYk5HZU822NNQmbaTVQFBzPcu62wg3uAPdup4xTaT3qi+yC6T0B1oTnUO/oY5HE4OxKqSMF5 NwHTZg/OjQ+egYE6Jm2jaUZix2AUnItZnZ8a+z9zc18F+D7vn/i1uMS178kdNbIXJxWs/B4N2JIq sPq2s2pxEBXmJLx7kmrQaKWcxvNoyYfkf1FOCno9f9iKWTfLw+BLPhvPrDFKconzzPSGb/jAZ48+ UUE3TKOA7hCR6neZajQVuD3zmYDDvd64BaLkTtffWSSXKsfPQXAh7X5SP3hLDhLgmZ03mcoHeWhU BgB8Ak7m59J+OIzZUYNiL8zT6kV3x70SPXT1q70HGwLImAeQnx714kDplIGaytIASdbzSaPrCObU Y/s00+WmfxjL+EiFD5fB/lsew4yIGdYmsbMkyCaIQstn2VbNn0a9NAIVs0+jOx21NEVFmVFJ69CB zDzIiP5P5DTmIIPLtMR92ggfkWH8/kea25i4uB+1bUluLF4ElF1hd/zBt/qI07f/A9piTOA+iys3 kvTdFq2AK5/i4/P/kelSUxncBQiRg0Qa4Deto+j9EnTDYpaXVcX/x+zAnumWBoPahXh7L3ZHuo5b zRAhFpQw4oZI24c2FIJAQN5Ax/C8ftFTtrxboigZZAIshFZbk8Vk0CKbKZic+qW95IZJekBtm62S c002EPySPS40redQfj4fw6KJuoFkqVNFNffOR98xDgpiy4RPCWs/muuQo6yaKjJfxUCpv+7fZFnn 1FECrwA/W2/ycm5tT7sN2wCXLm3f4Tb8Isc3EsbTdFgXYTKddfz2vtgoBlGCQBMtN+641cSEfk8v bX9am7gaWMYqy+RmSdgkNfYdXtno80iWn6cWzAOd8ceSpuKC4CzAQs800MVF4Zmt+uc0BiAGqkQs qBx7FWcf+evJJyBh819hNEGlDUiuKcTPo7+Ijp1SCwn1yJ0VZGd3ZaP4f9hq1SBqkRklc/aY40yJ 9pcL+DAHGUIfNquZOXMeCV3XkZfwCaSdKXjVnQ3l1JZPSQSjPwFKPmOPaQZ8oAkW/0Uljt53D/hd aoC6VsdGcg82yE0Y3bIGTRiSYqMtWMiTepwnhA6xuNm5fDKp8yIgzCgwLpDJT1pw6imV03c5R/Cc rF5W1R7XJfc4ku6yewS1Ww2J2QiD8AzarhrL56zuABznx2KrJaV9ofYDpsqQ0pREF61MOFDIOxl4 yu7rIXnVsiiNDS3umyT0r4vbTvA5waXEoR8L7MCg6R5mhXsNLvLQVr0+fEr6FfjM+3ZIqId5tPMH YtmCKkMZ/ifcbo+LU2ZoMgiy3LYfllQmU3ptPfh7i6BYJf2UkR7gkBIB463puqZQq+8c0fP+8Yty JBC/YGBINIkMIG+fNwDcQFHfJw/11OcM/fGDnojOufyRQ5t4xcWHH+8X9IEsHF/gC23+ZHO/SRTg IEkk23eAQ0rN/wZI/mYtrV90FSD30AzcdYwCu3dXftV/7RnkHsun/KfX9DN7BF5XMtmuxu/wAEuy zoFgt0JNuRzF/GVSq1w7GcqO5TqPwjg0KZg3rnqPmbxzHdjlqTZ/LColdStu+MnSkCuN0TcqyWRi w6UgAnecNrkTaEvksEUdNauMC6LjzRVFAgnXbTWcFbr39mgh0s5lhKSkQp5qIAwgZz2O5iDh8p9q E+B+olTXW+tQV0P/EV3UBOZn1sqtpXOsINx+CfrHNEg/V3vX03EktCSJRbYfeJhKrVuE6j+4DsO4 Xo3fOn0zb+EhKmkoRrNI26wwXlQ4iFrP6M0HxV0RxMad76K1CxILhzyCKS7Xhrte9mShRNBKXlPe Q1xuPKh5O93wzhCeZ5ETxbCNqhaDG0BGMgOjpX9+swJ0LaOFSyMPStqeXtxaDDCJ7d2VqomCbJTU II4qWDCZO7MAnmZvb49VML6dcY2VB6lWY4OGV3FBCFK/y4RmeANo1jYQfqWlu17KCQCgXFeNmLGl YGUp2js7P0DN0bslMn5I1ubcRZhTt9h8UCG84frAzgussx1RyUU4DnlL/4uxI9hExRs6i4E6vQ7J SVioz1UMZ1u4iJQaHZCQvs9bsbTNsVQ5QgWwjnyAfzJlbIHwCZ189e6MBw7hmMj9aMitC0vEY24I b5h9lfmrisgqmD2WixfquUgibIIy8wKS4FYsnQcfIXb5hIy/to5bZqgnNwwT7f2jRDeh2yAFJHJI Zrofy1qmOBAlB+x8cre7cyJE4TFk63+paqDV/EDd+eSYP03XpaDzSZ9mpaionBJK6zo2BGWh8C1h f5Hoyv5crbpe9S2jYIWe3bm64lFWu0w4uUiS8vxf1RLmE1AltTw4Q3+MAxR+Kjhs83zKIjpsQT2g qzc++SFxeTPyDhixZy3vUx1cXV9Pmh52AunY2hbRaM51V2N0XnTXKhUaq0me53ircxjYYjquJtYX L4igFi5TP7z6j2UkAr0IsydlrE0NKYFQZvHriQvUpDKVEWVnNCegk/CD3TSvG7TJqRZA4vsPKKsr fq+Sc+VfBinwG8H40IGrqF5BSOMC9wPmKOIkYY3VMUfW7iLNszbg4uhgj7D41CUSQvHWrXHjgXZL TxnivMbs3atHPS8V4t0xOvDEXYSNWRmU4fqoTu/j5i9lE6e4EFfVUdjz+H0W8cVMGHtN3flBNEs3 K1syU1/TU3l4d6Y1mEnHn2oreQAVR9Z9R80VDQFe5MMDuJa9u5+Nrx/RjgUgXP+uNRTIfFXrHaOs GiZy+8VPyqtF0U6a5wAAVaMkK/A4w1JwD6mQ3Aj/agE8skflFKi6jpRWWTun+oICzsYLbmtklLSZ oRgfDFAlMDPhLh0JD4Lwxy//iZotU2QY8ePwSr229TB2VgBeLepdP8uN4Sdmkp3VNOXZd4SXVSN6 0DT7MD1gKfH3QGZGJAYEHfeCRk3f1sqXwfCHlV2M1UDvgTpJI9UIH4ZeE4x0/ccrLBjTZumPQYFM 31dRn8idOj5mqsaGS0sDqgKi0HwB+X/tAy0srNaFU9f6Os+vxlsrTpjxgH43nW+ZdDKuV9auT0fz 3A5Fe4p4+MsfEFwmoJmI1z2aUiHHhTCV9PsH8pwaNST9Y8n+xFRFzX46IMiTNXuw6r6i3Swt8AuT 3SwE4zpVQCQKjXKkOCiYzID9FJSNX2Rk0CgZ3XXII65/6s/UEHeYffhFBXazd+ed+urSbiroGeGP codT42hYl43J6HjcYd6MQWPAlOf8u/r5SHCOy3S78y/nEogBrptiWLFhxA8fi/dPGVISgwNRS2t8 GBGmu2QF8b+yHGwA+aL185dUTIOCqEcqayooGxgU64YBM8lKgzfdULcvkWP5k0FeNnynei1YPlPu GFmw5Fs/qxkSSZVOOQWET/MJnfsppz4W2jAFJ9j7e3DZd0ZxFOaRiCLZKpu4rBYIuA8PHL8RzlOz o5KpI49j1Ini+msoLAIqTmDTpQTHFaVC8SynlpppY55wG8Lgazp2ymphw07VNYqX0C+6hImBGH5k KoYPuwWRxiKSKHnPIvy3UjLNd2TcyTcxSh+nUtnB207jT0E/1wTlG8vmEFWishxnhaLU1+Vzl0kW z+2vPERGtXIXP8Hm3zMGkadhuQWvtqaREjaHqefb3OLEs1a2jeTQnJB5H9qBDGDQ0cs9Zsp9uymh 0WPq/teZ3Q6rfu/Xo+1xB24YU+52i8rLik2KZ9TAZT9rPhJckj7OO4Q9/3DNlNBk2tYrR2OuvBw0 HFdASV2FdedW16qbgDQ+g9J6krwO0MVLnIc2yXcSJPcEPUwhtB5mQVGubQTdISIGjWLW2aaOiURn llnwsn+q6pgrio1HvpOQVOd9SYRjaF3FeOnxi/s11Qa10IFMqWD3uEs5FI71keCSN1+epaorn2NS H6CMphVui5fMD/tyY4yPMzPTzyGh0CpxSvplW6aABK5E/mTzZVZ5F9N50gwYzRkKtiimspcdYq6t huafjvFi1Jirkdy7GDEki28XNjNMPCk3MTglIHaPJTnoy5GIO7cDgLygksB7UemdvOSuyKvgbqwT AKbcRbamFYd4PoOQdVg2+SQvGRENsOM9xyW9ip4FjOKmE0o3xBQ1bpBmVlru+HtY+BXvw022BG/C iwC6Rx34kKqvsovRIJoyf1RazRqN+5dS9lm1ds2aOk74tCJ9/+BVYOKlTEPVVce36sfLxbuAXuDI EZqrDWysPyB8VFGs6sE+f63Nw+2UVaPYnelKFtOicXxHWjgVanK+ie2/nphjZGJqainv2/NJug4A 48UBk62/+Mv275JWCvhrm8lG7TrS/wW2lMw0Gn6z `protect end_protected
bsd-2-clause
df9ad0e34817253b4be6421120721b1a
0.945596
1.825913
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/bufg/ibufg_tech.vhd
1
965
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Virtual clock buffered output. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity ibufg_tech is generic ( tech : integer := 0 ); port ( O : out std_ulogic; I : in std_ulogic ); end; architecture rtl of ibufg_tech is component ibufg_xilinx is port ( O : out std_ulogic; I : in std_ulogic ); end component; signal w_o : std_logic; begin inf : if tech = inferred generate w_o <= I; end generate; xlnx : if tech = virtex6 or tech = kintex7 generate x0 : ibufg_xilinx port map ( O => w_o, I => I ); end generate; O <= w_o; end;
apache-2.0
feccbbb254a1edb857cc175b84bc3cf6
0.489119
4.004149
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/prj/sim_gnss/config_sim.vhd
1
2,741
--! --! Copyright 2018 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library IEEE; use IEEE.STD_LOGIC_1164.ALL; library techmap; use techmap.gencomp.all; package config_target is -- Technology and synthesis options constant CFG_FABTECH : integer := inferred; constant CFG_MEMTECH : integer := inferred; constant CFG_PADTECH : integer := inferred; constant CFG_JTAGTECH : integer := inferred; constant CFG_ASYNC_RESET : boolean := false; constant CFG_TOPDIR : string := "../../../"; --! @brief Number of processors in a system --! @details This value may be in a range 1 to CFG_TOTAL_CPU_MAX-1 constant CFG_CPU_NUM : integer := 1; --! @brief HEX-image for the initialization of the Boot ROM. --! @details This file is used by \e inferred ROM implementation. constant CFG_SIM_BOOTROM_HEX : string := CFG_TOPDIR & "examples/boot/linuxbuild/bin/bootimage.hex"; -- CFG_TOPDIR & "examples/bootrom_tests/linuxbuild/bin/bootrom_tests.hex"; --! @brief HEX-image for the initialization of the FwImage ROM. --! @details This file is used by \e inferred ROM implementation. constant CFG_SIM_FWIMAGE_HEX : string := -- CFG_TOPDIR & "examples/zephyr/gcc711/zephyr.hex"; CFG_TOPDIR & "examples/gnss_fw/makefiles/bin/gnssfw.hex"; --! @brief Hardware SoC Identificator. --! --! @details Read Only unique platform identificator that could be --! read by firmware from the Plug'n'Play support module. constant CFG_HW_ID : std_logic_vector(31 downto 0) := X"20190524"; --! @brief Enabling Ethernet MAC interface. --! @details By default MAC module enables support of the debug feature EDCL. constant CFG_ETHERNET_ENABLE : boolean := true; --! @brief Enable/Disable Debug Unit constant CFG_DSU_ENABLE : boolean := true; --! External Flash IC connected via SPI constant CFG_EXT_FLASH_ENA : boolean := true; --! GNSS sub-system constant CFG_GNSS_SS_ENA : boolean := true; --! OTP 8 KB memory bank constant CFG_OTP8KB_ENA : boolean := true; --! Coherent bridge with L2-cache constant CFG_L2CACHE_ENA : boolean := false; end;
apache-2.0
d451eab1460663346ed8c071400fa3b7
0.686246
3.904558
false
false
false
false
szanni/aeshw
aes-core/key_expansion.vhd
1
2,455
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:25:50 07/14/2014 -- Design Name: -- Module Name: key_expansion_module - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_unsigned.ALL; use work.types.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity key_expansion is port( clk : in std_logic; reset : in std_logic; exp_start : in std_logic; exp_end : out std_logic; address_in : in std_logic_vector(3 downto 0); key_in : in state; key_out : out state ); end key_expansion; architecture Structural of key_expansion is signal y_1_2, y_3_4 : std_logic_vector (1 downto 0); signal y_we : std_logic; signal x_comp : std_logic; signal count : byte; signal round_key : state; signal rcon_in : byte; begin rcon_in <= count + 1; expander : entity work.key_expander port map(clk => clk, reset => reset, y => y_1_2, rcon_in => rcon_in, key_in => key_in, key_out => round_key ); counter : entity work.counter port map (clk => clk, reset => reset, y => y_3_4, x => x_comp, d_out => count ); ram : entity work.dp_ram port map(clk => clk, address_read => address_in, address_write => count (3 downto 0), en_write => y_we, din_write => round_key, q => key_out ); control_unit : entity work.key_expansion_cu port map (clk => clk, reset => reset, y_1_2 => y_1_2, y_3_4 => y_3_4, y_we => y_we, y_end => exp_end, x_start => exp_start, x_comp => x_comp ); end Structural;
bsd-2-clause
9b45c93d840b9e7bb43ebc620b67e4a5
0.498574
3.67515
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_register.vhd
1
49,420
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_10; use axi_dma_v7_1_10.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 6; constant BUFF_ADDRESS_MSB_INDEX : integer := 7; constant BUFF_LENGTH_INDEX : integer := 10; -- Buffer Length Reg constant SGCTL_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i_64 : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal diff_thresh_xor : std_logic_vector (7 downto 0); signal sig_cur_updated : std_logic; signal tmp11 : std_logic; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin buffer_address <= buffer_address_i_64 & buffer_address_i ; end generate BUFF_ADDR_EQL64; BUFF_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin buffer_address <= buffer_address_i ; end generate BUFF_ADDR_EQL32; buffer_length <= buffer_length_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; --diff_thresh_xor <= dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) xor -- axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); --different_thresh <= '0' when diff_thresh_xor = "00000000" -- else '1'; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Bit 3 for Key hole operation ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH - 1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; GEN_BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin BUFFER_ADDR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i_64 <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_MSB_INDEX) = '1')then buffer_address_i_64 <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER1; end generate GEN_BUFF_ADDR_EQL64; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) -- elsif(bytes_received_wren = '1')then -- buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
mit
f16236b878647eff56f7fa5447696f4d
0.433853
4.421976
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/blk_mem_gen_v8_1/blk_mem_axi_regs_fwd.vhd
27
9,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block US2mB3ZU2xYMwSgf2KG3QONmAU5qxOR5gFmXyP3MzegSXblZ76jq0dw3DGi2XivflSREvQG+tGNr 93kJJN9RHg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cVCcDe3dO8A3aQlcacvtDrMlOeMM3iFulWP1GnL0AstVpxpdCCRRxU3UHiCxbevv+1Dnaf6o7WxT G4MiJBrZR0NZpyZrN6elCTa1aex/x1et3mJ/kXtaSnXZDYRGWgFlsFwFLktb6kdkyrjtbx1rPCM3 CfbtCvTObEIGzIf/FJI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ybpmXaWiA2h4ouUhToF83n5FZ6mSwY7i2SbAGhh214jlEV4EAw60pDdsC9S1DXRUJs2H5ijqRHjq O6r3TnjNUgOULu96coukm/eTQWKkKJe9Aqdi1COsXCRXpY/qPst8iFpcYgvP7x9BLqj2FuOVCOp1 vBc1X163t+3g+Wnu5wdB02cYtsPg85Aym4KDvpdGC2+lcbTElJIi+JurCHNEVSPxn/s/byKj9Aee BWqSso/XFdRP+TM7huy2D0efcTINLjUE/2qeG1Z2VdFBpyOvUXxDlOhNEr+qAiw/pCiqNyrHCapM TfSbH498t2P5uuhd9n2zpj2CUOFq13OvODvHsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o4nr3qLm7Rem+yVuZpGX2Dwzye61TgXXpiZsrYTQhxAIOttLQ5qy48oMqssSkd1Afuq4E1AgeeLD pr9heGHoD5AjWxk13hv9r2YUI3BND7NaVLyrx7mIkF/pxjMjFTBF3rI5FZuYgxY00aftrEFjG/AI XeOeb4w/KZQIUde+tJY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHlANyrutuNgAtytsZMPMatpxiEBkM3u/gDZ64fIbSRqU16FBJ0WguNKCot1/TeXAq8CSJHQCt8x 3wxDlxfMsEEJdw5OF5Pn172rV07Ce6wZ30zB83ou1uUKjnNgy6pYqTworLe5Tj4SYl9VY0bcZ0g/ rN0niMih/6g+8XwbbPNRS7in3icwjpeqxdXwsRyEX3dbCrKVz4LXcfmP+ybNfKunFSp+imrzoFLt cLJF8o/HdEoH/59p1whEdIyNin1+Ra+5d2hGnILLEgUP28LNS8Xr0dqjxGFNrkIDmtSmsmF2E1fl JbLYu0fIIENjFn9nAJCzGQU523347ABwMPcyhA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328) `protect data_block o9U+AsHog7J5leFsl5pPk+ZHEKa37F9CufU9Tg7oO8cn3pKjvXjivYfHZPy6Ak9lIJ/g8MQ/uCTK Ehrbnhlng/9tS4nwvy1jeqzV9p4Wx14vetUZIH+RYNl/tKHn10mg7gwhajzAeDIUrNMsFnoFx+fh CP5tWTah6TibDlGo9SnATjNqGHF/s7qSik59t6DEZ+HWQm4Kug44ul8KvGmUIvaAZ9lSfvD+tmzr QWN5KMd/d9Ft5N6FPW89MjNwor4yoMmAQ4MqruxG9KbOkDKB+cw61C5bDfOjZby0KAkPC4tT54AJ +nCMTu8XLudFXsOLT9SWzI4eCiuy+a3Ns6XrGRNt2xDZ8Jjh4LidJoj/D3PIefXlfjIWFJTZPubw vLhdnExMO/SNb6RASjhmuLlnvFYhlvJGmgBWEjTlGkB6k9DTSi7sQahQwPwz4UATwUdru05UU0gv 7NYfLyuSS0cG90Kbf+GK/Slz38AVcadSb9vuxwfCfjd1Mbrc1HlFxirzGJEy/lQd6FFDikkLumoh PO3R4ykYX1vVB/w4BUgHRsQkzLDEkqAhbzq49+GAcJJPzNyfc1ovM8PiNHizOISDaZwP/b3eMBOb XwjKXwf14ybsbOTqI38qtPFdZ63R84itp8+2xAhoKZh9HlxnKxRGCeceXs4uM/uL917PspBi0DHS NPXwJMEFf+6+1jw/eMWmK2vxzH49j7vmiCrG7BtuUF7TItpH4Kw8LmPMqeLOxgaS+wHVtJvm+PjC Nba6zA2496Yg8JIgU7UW9GKShPjVT8XQISNJdVDcgQSufc66tSU1mUqKmysmYw9dVq4JybfSNie4 DiK2zunlVUBBHbPp+OSAkMD+dultBRXWkDXULOG8g9MP4H8kRxD1tef75zoQQxQg5NENW6I6eDn5 Ly+mvgxx1zPNToL+kFkWsX2AuVkDVcfa4Y75JtgLoJkCUocg+ptfcXI4Lnkl0MDp9AgMGjqVjbj+ gZ66lKcI3uhDKzKTlmpqC698PGo/oY6QMMTFCcd5IPolqJ7BpwJZkZMh3E/O5EP/Vx6NvM0C5beq HhWZdfsOJfEhmWAR60f6TroqR80i02NoPRRxd/9q98lk96zR7+3iBlDBtUUK9fL4NVS2qU1gy494 9I77UnPcN6drrKUShrsL9drWIn+J5nlfkjyMZRpEvqL77odjBuEIflcUYwVwVYW6rRVSEjGmjPGp fdS2uHRG3JA8O7Twf3+ADsMNn7MWKxvA59MWdumzQrIElClapI5KrnYnpNBheoK4dLL48DfbIIkV H1aFUWm1eEY786t2dPwV+YAer8o9PRUqd2GiMd8T5hRImFmr3igbUZL8442RsYvYHFHfvi9GLZPj C7Tme5T3JJYhVZ8jjAlXE7zpXYnk7OLWR4/R9jVka8bLRq8aE98O82ykyg2qZfge3GWRYWpiIydc 4608fPTitKd8MrIkH4xN6t7MvZaZFBfEqyKLikrRtkkmbXb829cdwGpc9SPjQydkysKkRNtPN1Mo LxmpCNExbGBBX1SRyUq2tLgEQ+BkcO8uDbomByZQ3gqUrOzNVi+aw2sYRljKs8KXrD/+NLDCX3hc xzE74GDfPnMN1VldPssWJMKdrJlx82sH/5Quaa1qjnWLi0lTN1fxfU1NrUgUQODom44mjuKSrqin jQPE81V63s70Wf+oHNRGSbXHCipNTZ4S5DSVMP08cu5kWIADsDF90HdXQibDu+6nqdBJfKbkT9Xw 6pZDNKqHdYGj1sH8hrg/7kdXBUcpVtqX14cFaVf1UxrpfcmtFYxbDGcE83ZMbWZ6MJvtTNKhLHyG zBMj983s8QucGMNEuCtSxus1MNt0VS+4uIqZXi0awt98ztjLXFnUV6cl6Aa06zHYWsMTcaHc81mJ qAkBee5KPCjF86O+/izG3V48nunO/LYTfbcvQPAT1/e0TapplZHJQB/8wNfUDb325HneNhXC0T4Z sY7b4960dY69aQZiMlRQvq6s0HgiD/f/rB6T6jvg+XcWrgVz7zOG23yv38EKMR4i57POx/LTZRKx I2EYDxzwkFVjUqST8u6ISNAU7/iSd0cEnXZsvHwgmwp7DV2PszKX0SEege8TkpdmDKamOnVEl0P8 MqBf9LdGRCOz6selCYeXT4xN5EmnzxcUdVyd3IPpkWS0T4Dd4PTUweTC5fLNBEJ6rULazod44QOB klojcsVoJ+mERB10PivE7j4Uw32Nq/AUt2SXhHFDm1DlBRLOw9ivdGkGfGkwApvsG0nSCYYYfCta kUYf+DN9YwPR3d3tHYuotTGc+a2jZlQjWNFbGq5SDo1CZD/4xgsFyKV5NwRllYvktkRY7Zg+qKtJ l5VEbad7xYX+11AfZN5wfGs1Hq45Mjl9gcCcB8Z8ewVLfznMi0uiRjxKl5BNuqLVXuRn0pelsiNk E9BVauYF+WiXnyuhKKGqYBMM43cih1nkoPCW5TQNmzERWLMkLEZk27a8oLBpm629HPvAare+Z8N+ z+dT84Yte24f8Hm4+XGHVXV09pC2uEXMK4eIeBcOHVjFS8k2eZgALNhL+qGd6qoek9PqQ05S8LdP aYLsrVi3zXYURRZelvoWvAZ+RkPhD1idFyMUwapTSDQmsOIPetalwa7n/NDLFT6ejQMukFtrnIvF EapTMDL2i4VsaOXg4khw0dB4GsGcKVnPXuVzMHF3K4DqK4PpAh/XHve7fd6xkoQdEy2jd7kR98w9 RRIIA9n18bOjNHUqLefJQD3MM2K41RPFCBMWEqxi13S2Fhn7dEuAoCFyvi6g2aPn7rmh0GR50dKr FixmX7A0jBq21nu4ssOyXspJrX/gRaJIX0P41ONcaTjEM0kxulX7P9nvesimbGpp2CXOnT2Gmk1w TDilSYwWO07Kv2kbchii4asVi/SZCyq9ZZyH+Fjk0JouVfggNVmc1UgZ3iB3lMlVUvLOTeXIxctC uW2ySv82Wzl+RZ3sOo2muiPD/k35N3/dcU6kCqIYMsMxqKdeaXDqmxetuK2+N+zdy9UBDqtRrr12 C5g1cb86tbKfCLVay7i3QlpLmmSzdMLAYF9s2gYOZY7utcHjekXzMRr+nHhLJNIJv93es03Ej5BA BNDHNstPUcnnXlBJ72hNc2txIdc3zvHFw2d3/k5E24K+B8wqE3sL758pZZvQkEfBh2hTJxiJsa90 C/Xm8cMxRDeAGYtQX+0fkB7vYK0qaNLChNUJmqVo2u0PzLSBRWAW3XwQqOookZkP6Ble8/frnWNj jf7h5dcVWSySpJlAwi5vzkH5skwLPSb+EUeEYE1e+h6iCPkhuz4S/eKzou8a2Eir1MoNosu4/J/X wp90P392NCJ2GFktdv9YAIOfYYZEDA9CeD+25K5J7QeWwdGCB6lnkLsOpn6t9GSuijo4Zi04K9cu sZlPwIeRb79Fn1GDqanMYAAdomeExZEINcl823ZEO+Zc5tRBnreTRmBFVdxtHuTGMuRuW5vvRpKw gJsCU56FJPGXa+2+zc3EjGAPbPUlF+sPzdj5jAORYY7Nur9M9yjcm9omt4WUNhkwcvtOx3InVG2r TcKlmDIPq7RmYkPt7tZfqvCXprkhtg6ouBrddKz03gCdXPDaLP9lzh6dMjtcP51m2A1W3cNccuB4 ITMb/6M0aB95Q6Yxfu9GC43qsMvLJh3U+LnamfqbdM+gTwcf0gGUc+HXjtz4xsX2QBSEbeUSyEiI Jwsi3uybqbqKOrWsTOQJnFPJOlkDCPQD+f1hbe5AWA5NoVHJH+j/9XGsLkSBN74B7Di3dhOg1l64 fRufp3La90RjZjbBqhFcxace7tXtVn0SJmj7qaroxLZaZ4uiHdag3oTbFB755L+/4kM1O5H2gzLG oqEbricEgcHa/WGjuwv+7xpg4l3Q5D5BVekbzlg6UfwZ48jwD7W3lCBHkFhOVVm195iBET48mnHZ CD2IntCiy2ZFikVkxsyUBB0Zbtma0mQpljfFapv5JOwighssd8LKGAdd8OiQUVWtUTgGnclLQngm C9eyIYy1ffXIvdlvEifOfgRE5U35E6Tk2dxONgPsYKLf5W9rJEre4LkDIYHZEY5O72aqpivrrwYz wEw5A7QlDFx7wR1FLPFgg4giZqi5K8Sf8XOjjJ5/SJknbQTjSTcyBsS9MFGbuNxnBhD4l28bDSjZ 9vN77KOifcfPSEuCPQ/SS7ME/SniuI1foQym+tAlqBc1OJ2werNrzKrQWW61CO1EJ3n95cYXR4ee LEKRQ3F0CSqTrxqBw2sTL/ANBTK5+8z2cwF+EFn7/FCBiFbvHg6psN0sgpKHdUm7xXyhgaMheDSg vN5xYIjBg/cVyoP/9wn/9k9J3NjsKOHYsf4lkQh7QNBIzob7Ywt2jkByPsmgoWxYmQ4tyRc/nzLK zp6MiTyJ/bh82uQnpCdA+so9o+wzlfjd3j6zR4T7ry2gwf6O+MTD8KDJ64t9Vt2WvqnNSDZoJ05e EdBE0BYMycjAt5yEhEC85xSG9efwRdIEdkmbVd8DoDJfbI2ZKnz1NC4/EP7+6+6J2A/YQivTe3ZM eIR6yUCLTfxE+ZCyK0riROBd9eaXToH9hQH6IztXNiO9zabAf6jaWVleDqo/oYTn19riMsTW7yqX RsLOK0bx+e6yMAhBG1BfQZyhLf9v5XefZHJdmtJmvE4sMH9Oim7lzdIQ3TzsQWcV8NnEtzTvXN3G B5VNPO2zIT6biTk9vJnBakAzKbKYgoFstAU47UbvO2/TGrQxJYG3YtP7NKqmw/LBTXpec6y6/D61 akdpGaOdDtf9R1zQxsMSQhGCU1moC4+tsLS9v25qSs2bie47VnbtSPmwvohnmnLt3XyjfKL6Dqya qLiKFIKZ0kfYYZWdvckzvE2DM0ap6fVPRhQlWTpBF4xx1B+yrnaJoFsalz20CLoWi13gTpG7DLNL bWr2j4wQVOn/fUi04IBc0CqK96uVu/+8Gc368mkDGmKR/wQ1AwUe7pwqSD6hVuoE4X7SuMKT6q8f KPJOJqAkfEwpUTAPhaDN8rR95o8cFzcPodTwfszYkqLch5qYwh6jrti2epvaqaAODuGHujDgR9bE qfoR4Ss6D0hB7jR43b1F28flI3C2JCq0rlHy7GuMNFCMWLlDT8fIVhf8ep3G1pXu8hSJz1PGiQvN Uz7bs+b7whqZwFWWtPaNbleAUQ44ooCElY86YkOY/E40U3t6A9fZP7MOTVEU5S7d2gk8lKbI63WJ bCXR20D5lZ5UWxqp5kaaFgMrdEO89meQJC1FwuoLnC7t6x7oAwsNEaGfhZRMxwck6ZsvaDPhS+2C QtxXobuAWWws/NYapSS3u713mZgGz77zek4IkCQDTomrvshUEcdOPaz7UnKxY6aElMusvTf3Q1pR g1TyvSUPg/oH0Uqb+gMJ5GARnZEOC2iLfA/efp3AljTlLAd8po1/zu0DiIXkPtCe1xrEa3+77hDy QQ4ndfarzasi/GpLnYZkzV+/+CL5kwHQFVRWfvX0HFtAb6RFyESe1JVj813OW22haaduNBJJ85UE N5+y+yEAVitjLbPHi0gnhvIh0GPXgBCuLtyQkx8SJf5ELeHY/h9U4mp8N2hMdKDF3aX3PJTuGkSU /2XZskgWo8ppp4QSzZ8rQtcU1uD6Fc4tFkSIwJMscm5hbkxP4Wr+LNrpQrUPdCVPbMpouJQVsFjX YGbhD9pGfhYGfffrnWD+dAM48Fx4DG5VNaCzjra/+8qnT8DsvJO7QP9WCMzJKWjcrsDwvzmRnjMd IKxAC5UUuE2jMBxBWVYsmgFAYHvQEN+TqsLP9eOJ6lHaLFnw3OWwfDt7nEoWlcnzOeFT04oh6JBs M/b/DX9KXqwtVxlzsmdkVQl6nf1AmIzhEPo67XcTWwfWi01icMoIhzaMol11mkrRruf4CmfsxVZm qIWDlgtz2KjpcnEpAHzlJ87Qr0wWPHBhVRC92106EFMESEBBy5T7XqM696h7QOLON3EH3fo4XxFk u0dvXAlddS+uq7yyLZsKg3GxbcaRB9Aau6a8iiwsk4Zg5HW0cCEX60HD/1hz+Aeu/2xIQeqaY8EZ PhfZUUi4aOdwiX7rDuD8vj18G62Nm7aqOP4ktlMeoU/Z0HoMeer7vUfHmqn0vqmCcCoccHr4rQ3L EF1vJ2+luEtNe1bX/VyjEY1WzUSfAwYOWBjKEoa1tfk4pQ1gW3Tv1IWqw/tpQCtSEsLyVZeAnVLo kyrpVs/Km8UcHXhEr/GwIdX518LDrlnXPirXnq/FJHDJgry2QcXiLtsqxZrI+k43vDOWz4/0bKs8 esy9EIRg68igB3YeR0dEct6cxLHewEfcOpqyPA+Qn5uE38QuktFc3zky9Xz5OLbncUcnPvH75v6L UGQMWIoVZT8djl3ESdVcouV3NwMCX1ksRNQbrkQ3COBQ5dz0zMFlOQUQ6IbJ1d+dy8Q9vZ1MYZjr o7GUKUVnr90eza0/k1y/6wwurx1PaBB5lABeyU3FKdFKRiwSVdcQsPRRd778b433yBGCIbI86d4a UMlaSg0QBGNn3hKZic06cjnsJhzgQ09vXgaaYXL5mFzCagl4dN+K3+oAcO4bo2D843YGd+i/Twst P51xBmBXyuWj3mg1OPY0T6CI2POQU4gM5K7asvvDwftX2n2LaVPB0/w39Nqv/XqvEHPS2ZqGGxEE D5CN+Bkrx2LlXQzMtqXx7EV7KY5v+AOCc/QTVuL2TAyv5/IxMMRc9TyDTeJuWzhXoQtmJ9Ob7WbH jbR6o0Ga4HbEOqkCxXqc3vbsD1K5Fv9WUjm8Po/6vNJvV6xxsUxFNH3lsFavvGOM7erAcATjNCGC gz2pfvuQFtyJBDNo2PSM2ZF1SO/aM83WkVSfUeblU9NSfXfQz3RHJ61i2nXbk/KDvGzTA3D8K0Rm cbg/JRfRP+UT/w+DSCw6QY4HAuHTg+pBrRzsTLM8AJCIx2UTc1yixBkcq7j3sJjjPUmyoSPt3hbC VAEYc0GafYanOw1fzEJ/8bGNb0491MoMch1MOhNwQe0yiSRrhRuEa9VqS4AJjUbDLg67oG6Oby4C 2l2+hurOFVwVqQeNLaszbftUXYupQVyqrF4k `protect end_protected
bsd-2-clause
95576adf39d3b5286c58ac00e3c322f8
0.924777
1.915128
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/fpu_d/idiv53.vhd
1
13,884
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity idiv53 is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_divident : in std_logic_vector(52 downto 0); i_divisor : in std_logic_vector(52 downto 0); o_result : out std_logic_vector(104 downto 0); o_lshift : out std_logic_vector(6 downto 0); o_rdy : out std_logic; o_overflow : out std_logic; o_zero_resid : out std_logic ); end; architecture arch_idiv53 of idiv53 is constant zero53 : std_logic_vector(52 downto 0) := (others => '0'); component divstage53 is port ( i_mux_ena : in std_logic; -- find first non-zero bit i_muxind : in std_logic_vector(55 downto 0); -- bits indexes 8x7 bits bus i_divident : in std_logic_vector(60 downto 0); -- integer value i_divisor : in std_logic_vector(52 downto 0); -- integer value o_dif : out std_logic_vector(52 downto 0); -- residual value o_bits : out std_logic_vector(7 downto 0); -- resulting bits o_muxind : out std_logic_vector(6 downto 0); -- first found non-zero bit o_muxind_rdy : out std_logic -- seeking was successfull ); end component; type RegistersType is record delay : std_logic_vector(14 downto 0); lshift : std_logic_vector(6 downto 0); lshift_rdy : std_logic; divisor : std_logic_vector(52 downto 0); divident : std_logic_vector(60 downto 0); bits : std_logic_vector(104 downto 0); overflow : std_logic; zero_resid : std_logic; end record; constant R_RESET : RegistersType := ( (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), '0', '0'); signal r, rin : RegistersType; signal w_mux_ena_i : std_logic; signal wb_muxind_i : std_logic_vector(55 downto 0); signal wb_divident_i : std_logic_vector(60 downto 0); signal wb_divisor_i : std_logic_vector(52 downto 0); signal wb_dif_o : std_logic_vector(52 downto 0); signal wb_bits_o : std_logic_vector(7 downto 0); signal wb_muxind_o : std_logic_vector(6 downto 0); signal w_muxind_rdy_o : std_logic; begin divstage0 : divstage53 port map ( i_mux_ena => w_mux_ena_i, i_muxind => wb_muxind_i, i_divident => wb_divident_i, i_divisor => wb_divisor_i, o_dif => wb_dif_o, o_bits => wb_bits_o, o_muxind => wb_muxind_o, o_muxind_rdy => w_muxind_rdy_o ); -- registers: comb : process(i_nrst, i_ena, i_divident, i_divisor, r, wb_dif_o, wb_bits_o, wb_muxind_o, w_muxind_rdy_o) variable v : RegistersType; variable vb_muxind : std_logic_vector(55 downto 0); variable vb_bits : std_logic_vector(104 downto 0); variable v_mux_ena_i : std_logic; begin v := r; vb_bits := r.bits; v_mux_ena_i := '0'; v.delay := r.delay(13 downto 0) & i_ena; vb_muxind := (others => '0'); if i_ena = '1' then v.divident := X"00" & i_divident; v.divisor := i_divisor; v.lshift_rdy := '0'; v.overflow := '0'; v.zero_resid := '0'; elsif r.delay(0) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_bits(104) := not wb_dif_o(52); elsif r.delay(1) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(1, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(2, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(3, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(4, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(5, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(6, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(7, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(8, 7); vb_bits(103 downto 96) := wb_bits_o; elsif r.delay(2) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(9, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(10, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(11, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(12, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(13, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(14, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(15, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(16, 7); vb_bits(95 downto 88) := wb_bits_o; elsif r.delay(3) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(17, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(18, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(19, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(20, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(21, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(22, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(23, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(24, 7); vb_bits(87 downto 80) := wb_bits_o; elsif r.delay(4) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(25, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(26, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(27, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(28, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(29, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(30, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(31, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(32, 7); vb_bits(79 downto 72) := wb_bits_o; elsif r.delay(5) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(33, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(34, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(35, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(36, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(37, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(38, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(39, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(40, 7); vb_bits(71 downto 64) := wb_bits_o; elsif r.delay(6) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(41, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(42, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(43, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(44, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(45, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(46, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(47, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(48, 7); vb_bits(63 downto 56) := wb_bits_o; elsif r.delay(7) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(49, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(50, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(51, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(52, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(53, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(54, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(55, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(56, 7); vb_bits(55 downto 48) := wb_bits_o; elsif r.delay(8) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(57, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(58, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(59, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(60, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(61, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(62, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(63, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(64, 7); vb_bits(47 downto 40) := wb_bits_o; elsif r.delay(9) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(65, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(66, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(67, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(68, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(69, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(70, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(71, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(72, 7); vb_bits(39 downto 32) := wb_bits_o; elsif r.delay(10) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(73, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(74, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(75, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(76, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(77, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(78, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(79, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(80, 7); vb_bits(31 downto 24) := wb_bits_o; elsif r.delay(11) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(81, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(82, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(83, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(84, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(85, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(86, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(87, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(88, 7); vb_bits(23 downto 16) := wb_bits_o; elsif r.delay(12) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(89, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(90, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(91, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(92, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(93, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(94, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(95, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(96, 7); vb_bits(15 downto 8) := wb_bits_o; elsif r.delay(13) = '1' then v_mux_ena_i := not r.lshift_rdy; v.divident := wb_dif_o & X"00"; vb_muxind(55 downto 49) := conv_std_logic_vector(97, 7); vb_muxind(48 downto 42) := conv_std_logic_vector(98, 7); vb_muxind(41 downto 35) := conv_std_logic_vector(99, 7); vb_muxind(34 downto 28) := conv_std_logic_vector(100, 7); vb_muxind(27 downto 21) := conv_std_logic_vector(101, 7); vb_muxind(20 downto 14) := conv_std_logic_vector(102, 7); vb_muxind(13 downto 7) := conv_std_logic_vector(103, 7); vb_muxind(6 downto 0) := conv_std_logic_vector(104, 7); vb_bits(7 downto 0) := wb_bits_o; if wb_dif_o = zero53 then v.zero_resid := '1'; end if; if r.lshift = "1111111" then v.overflow := '1'; end if; end if; if r.lshift_rdy = '0' then if w_muxind_rdy_o = '1' then v.lshift_rdy := '1'; v.lshift := wb_muxind_o; elsif r.delay(13) = '1' then v.lshift_rdy := '1'; v.lshift := conv_std_logic_vector(104, 7); end if; end if; w_mux_ena_i <= v_mux_ena_i; wb_divident_i <= r.divident; wb_divisor_i <= r.divisor; wb_muxind_i <= vb_muxind; v.bits := vb_bits; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_result <= r.bits; o_lshift <= r.lshift; o_overflow <= r.overflow; o_zero_resid <= r.zero_resid; o_rdy <= r.delay(14); -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
03107822aa203820b5b6e839d6289b11
0.57822
2.854441
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/ethlib/types_eth.vhd
1
35,905
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; package types_eth is --gigabit sync types type data_sync_type is array (0 to 3) of std_logic_vector(31 downto 0); type ctrl_sync_type is array (0 to 3) of std_logic_vector(1 downto 0); constant HTRANS_IDLE: std_logic_vector(1 downto 0) := "00"; constant HTRANS_NONSEQ: std_logic_vector(1 downto 0) := "10"; constant HTRANS_SEQ: std_logic_vector(1 downto 0) := "11"; constant HBURST_INCR: std_logic_vector(2 downto 0) := "001"; constant HSIZE_WORD: std_logic_vector(2 downto 0) := "010"; constant HRESP_OKAY: std_logic_vector(1 downto 0) := "00"; constant HRESP_ERROR: std_logic_vector(1 downto 0) := "01"; constant HRESP_RETRY: std_logic_vector(1 downto 0) := "10"; constant HRESP_SPLIT: std_logic_vector(1 downto 0) := "11"; --receiver constants constant maxsizerx : std_logic_vector(15 downto 0) := conv_std_logic_vector(1500, 16); constant minpload : std_logic_vector(10 downto 0) := conv_std_logic_vector(60, 11); type ahb_fifo_in_type is record renable : std_ulogic; raddress : std_logic_vector(4 downto 0); write : std_ulogic; data : std_logic_vector(31 downto 0); waddress : std_logic_vector(4 downto 0); end record; type ahb_fifo_out_type is record data : std_logic_vector(31 downto 0); end record; type nchar_fifo_in_type is record renable : std_ulogic; raddress : std_logic_vector(5 downto 0); write : std_ulogic; data : std_logic_vector(8 downto 0); waddress : std_logic_vector(5 downto 0); end record; type nchar_fifo_out_type is record data : std_logic_vector(8 downto 0); end record; type rmapbuf_in_type is record renable : std_ulogic; raddress : std_logic_vector(7 downto 0); write : std_ulogic; data : std_logic_vector(7 downto 0); waddress : std_logic_vector(7 downto 0); end record; type rmapbuf_out_type is record data : std_logic_vector(7 downto 0); end record; type ahbc_mst_in_type is record hgrant : std_ulogic; -- bus grant hready : std_ulogic; -- transfer done hresp : std_logic_vector(1 downto 0); -- response type hrdata : std_logic_vector(31 downto 0); -- read data bus end record; type ahbc_mst_out_type is record hbusreq : std_ulogic; -- bus request hlock : std_ulogic; -- lock request htrans : std_logic_vector(1 downto 0); -- transfer type haddr : std_logic_vector(31 downto 0); -- address bus (byte) hwrite : std_ulogic; -- read/write hsize : std_logic_vector(2 downto 0); -- transfer size hburst : std_logic_vector(2 downto 0); -- burst type hprot : std_logic_vector(3 downto 0); -- protection control hwdata : std_logic_vector(31 downto 0); -- write data bus end record; type apbc_slv_in_type is record psel : std_ulogic; -- slave select penable : std_ulogic; -- strobe paddr : std_logic_vector(31 downto 0); -- address bus (byte) pwrite : std_ulogic; -- write pwdata : std_logic_vector(31 downto 0); -- write data bus end record; type apbc_slv_out_type is record prdata : std_logic_vector(31 downto 0); -- read data bus end record; type eth_tx_ahb_in_type is record req : std_ulogic; write : std_ulogic; addr : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); burst_bytes : std_logic_vector(10 downto 0); end record; type eth_tx_ahb_out_type is record grant : std_ulogic; data : std_logic_vector(31 downto 0); ready : std_ulogic; error : std_ulogic; retry : std_ulogic; end record; type eth_rx_ahb_in_type is record req : std_ulogic; write : std_ulogic; addr : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); burst_bytes : std_logic_vector(10 downto 0); end record; constant eth_rx_in_none : eth_rx_ahb_in_type := ( '0', '0', (others => '0'), (others => '0'), (others => '0')); type eth_rx_ahb_out_type is record grant : std_ulogic; ready : std_ulogic; error : std_ulogic; retry : std_ulogic; data : std_logic_vector(31 downto 0); end record; type eth_rx_gbit_ahb_in_type is record req : std_ulogic; write : std_ulogic; addr : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); size : std_logic_vector(1 downto 0); end record; type gbit_host_tx_type is record full_duplex : std_ulogic; start : std_ulogic; read_ack : std_ulogic; data : std_logic_vector(31 downto 0); datavalid : std_ulogic; valid : std_ulogic; len : std_logic_vector(10 downto 0); rx_col : std_ulogic; rx_crs : std_ulogic; end record; type gbit_tx_host_type is record txd : std_logic_vector(3 downto 0); tx_en : std_ulogic; done : std_ulogic; read : std_ulogic; restart : std_ulogic; status : std_logic_vector(1 downto 0); end record; type gbit_rx_host_type is record sync_start : std_ulogic; done : std_ulogic; write : std_logic_vector(3 downto 0); dataout : data_sync_type; byte_count : std_logic_vector(10 downto 0); status : std_logic_vector(3 downto 0); gotframe : std_ulogic; mcasthash : std_logic_vector(5 downto 0); end record; type gbit_host_rx_type is record full_duplex : std_ulogic; gbit : std_ulogic; doneack : std_ulogic; writeack : std_logic_vector(3 downto 0); speed : std_ulogic; writeok : std_logic_vector(3 downto 0); rxenable : std_ulogic; rxd : std_logic_vector(7 downto 0); rx_dv : std_ulogic; rx_er : std_ulogic; rx_col : std_ulogic; rx_crs : std_ulogic; rx_en : std_ulogic; end record; type gbit_gtx_host_type is record txd : std_logic_vector(7 downto 0); tx_en : std_ulogic; tx_er : std_ulogic; done : std_ulogic; restart : std_ulogic; read : std_logic_vector(3 downto 0); status : std_logic_vector(2 downto 0); end record; type gbit_host_gtx_type is record rx_col : std_ulogic; rx_crs : std_ulogic; full_duplex : std_ulogic; burstmode : std_ulogic; txen : std_ulogic; start_sync : std_ulogic; readack : std_logic_vector(3 downto 0); valid : std_logic_vector(3 downto 0); data : data_sync_type; len : std_logic_vector(10 downto 0); end record; type host_tx_type is record rx_col : std_ulogic; rx_crs : std_ulogic; full_duplex : std_ulogic; start : std_ulogic; readack : std_ulogic; speed : std_ulogic; data : std_logic_vector(31 downto 0); datavalid : std_ulogic; valid : std_ulogic; len : std_logic_vector(10 downto 0); end record; type tx_host_type is record txd : std_logic_vector(3 downto 0); tx_en : std_ulogic; tx_er : std_ulogic; done : std_ulogic; read : std_ulogic; restart : std_ulogic; status : std_logic_vector(1 downto 0); end record; type rx_host_type is record dataout : std_logic_vector(31 downto 0); start : std_ulogic; done : std_ulogic; write : std_ulogic; status : std_logic_vector(3 downto 0); gotframe : std_ulogic; byte_count : std_logic_vector(10 downto 0); lentype : std_logic_vector(15 downto 0); mcasthash : std_logic_vector(5 downto 0); end record; type host_rx_type is record writeack : std_ulogic; doneack : std_ulogic; speed : std_ulogic; writeok : std_ulogic; rxd : std_logic_vector(3 downto 0); rx_dv : std_ulogic; rx_crs : std_ulogic; rx_er : std_ulogic; enable : std_ulogic; rx_en : std_ulogic; end record; component greth_rx is generic( nsync : integer range 1 to 2 := 2; rmii : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; maxsize : integer; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxi : in host_rx_type; rxo : out rx_host_type ); end component; component greth_tx is generic( ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; nsync : integer range 1 to 2 := 2; rmii : integer range 0 to 1 := 0; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txi : in host_tx_type; txo : out tx_host_type ); end component; component eth_rstgen is generic(acthigh : integer := 0); port ( rstin : in std_ulogic; clk : in std_ulogic; clklock : in std_ulogic; rstout : out std_ulogic; rstoutraw : out std_ulogic ); end component; component greth_gbit_tx is generic( ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; nsync : integer range 1 to 2 := 2; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txi : in gbit_host_tx_type; txo : out gbit_tx_host_type); end component; component greth_gbit_gtx is generic( ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; nsync : integer range 1 to 2 := 2; iotest : integer := 0); port( rst : in std_ulogic; clk : in std_ulogic; gtxi : in gbit_host_gtx_type; gtxo : out gbit_gtx_host_type; iotmact : in std_ulogic; iotdata : in std_logic_vector(9 downto 0) ); end component; component greth_gbit_rx is generic( multicast : integer range 0 to 1 := 0; nsync : integer range 1 to 2 := 2; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxi : in gbit_host_rx_type; rxo : out gbit_rx_host_type; iotdata : out std_logic_vector(9 downto 0)); end component; component eth_ahb_mst is port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahbc_mst_in_type; ahbmo : out ahbc_mst_out_type; tmsti : in eth_tx_ahb_in_type; tmsto : out eth_tx_ahb_out_type; rmsti : in eth_rx_ahb_in_type; rmsto : out eth_rx_ahb_out_type ); end component; component eth_ahb_mst_gbit is port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahbc_mst_in_type; ahbmo : out ahbc_mst_out_type; tmsti : in eth_tx_ahb_in_type; tmsto : out eth_tx_ahb_out_type; rmsti : in eth_rx_gbit_ahb_in_type; rmsto : out eth_rx_ahb_out_type); end component; component eth_edcl_ahb_mst is port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahbc_mst_in_type; ahbmo : out ahbc_mst_out_type; tmsti : in eth_tx_ahb_in_type; tmsto : out eth_tx_ahb_out_type ); end component; component eth_axi_mst is port( rst : in std_ulogic; clk : in std_ulogic; aximi : in axi4_master_in_type; aximo : out axi4_master_out_type; tmsti : in eth_tx_ahb_in_type; tmsto : out eth_tx_ahb_out_type; rmsti : in eth_rx_ahb_in_type; rmsto : out eth_rx_ahb_out_type ); end component; function mirror(din : in std_logic_vector) return std_logic_vector; function crc32_4(d : in std_logic_vector(3 downto 0); crc : in std_logic_vector(31 downto 0)) return std_logic_vector; function crc16_2(d1 : in std_logic_vector(15 downto 0); d2 : in std_logic_vector(25 downto 0)) return std_logic_vector; function crc16(d1 : in std_logic_vector(15 downto 0); d2 : in std_logic_vector(15 downto 0)) return std_logic_vector; function validlen(len : in std_logic_vector(10 downto 0); bcnt : in std_logic_vector(10 downto 0); usesz : in std_ulogic) return std_ulogic; function getfifosize(edcl, fifosize, ebufsize : in integer) return integer; function setburstlength(fifosize : in integer) return integer; function calccrc(d : in std_logic_vector(3 downto 0); crc : in std_logic_vector(31 downto 0)) return std_logic_vector; --16-bit one's complement adder function crcadder(d1 : in std_logic_vector(15 downto 0); d2 : in std_logic_vector(17 downto 0)) return std_logic_vector; -- ETH registers type eth_mdio_command_type is record valid : std_ulogic; regadr : std_logic_vector(4 downto 0); write : std_ulogic; read : std_ulogic; data : std_logic_vector(15 downto 0); end record; constant eth_mdio_command_none : eth_mdio_command_type := ( '0', (others => '0'), '0', '0', (others => '0') ); type eth_mdio_status_type is record cmd : eth_mdio_command_type; busy : std_ulogic; linkfail : std_ulogic; end record; type eth_mac_status_type is record txdsel : std_logic_vector(9 downto 3); rxdsel : std_logic_vector(9 downto 3); txen : std_ulogic; rxen : std_ulogic; tx_int : std_ulogic; rx_int : std_ulogic; tx_err : std_ulogic; rx_err : std_ulogic; edcltx_idle : std_ulogic; edclrx_idle : std_ulogic; txahberr : std_ulogic; rxahberr : std_ulogic; toosmall : std_ulogic; invaddr : std_ulogic; phystat : std_ulogic; full_duplex : std_ulogic; speed : std_ulogic; reset : std_ulogic; mdio : eth_mdio_status_type; end record; --! Latched values set via external Bus Interface type eth_control_type is record tx_irqen : std_ulogic; rx_irqen : std_ulogic; prom : std_ulogic; pstatirqen : std_ulogic; mcasten : std_ulogic; --! Enable access to the internal FIFOs via system BUS (disabled default) ramdebugen : std_ulogic; --! Disable EDCL access edcldis : std_ulogic; disableduplex : std_ulogic; --! Physical address. --! Can be changed in a runtime, but become actual only after system reset. mdio_phyadr : std_logic_vector(4 downto 0); mac_addr : std_logic_vector(47 downto 0); --! Tx descriptor txdesc : std_logic_vector(31 downto 10); --! Rx descriptor rxdesc : std_logic_vector(31 downto 10); --! EDCL IP edclip : std_logic_vector(31 downto 0); --! Multicast enabling hash value hash : std_logic_vector(63 downto 0); emacaddr : std_logic_vector(47 downto 0); end record; --! @name DBG access unique IDs to the internal FIFOs blocks. --! @{ constant DBG_ACCESS_NONE : std_logic_vector(1 downto 0) := "00"; constant DBG_ACCESS_TX_BUFFER : std_logic_vector(1 downto 0) := "01"; constant DBG_ACCESS_RX_BUFFER : std_logic_vector(1 downto 0) := "10"; constant DBG_ACCESS_EDCL_BUFFER : std_logic_vector(1 downto 0) := "11"; --! @} --! Bus interface read/write actions transforming into these commands. type eth_command_type is record --! Tx/Rx can be enabled externally but they're cleared inside of MAC --! in a case of disabled Descriptor or in a case of BUS error. set_txena : std_ulogic; clr_txena : std_ulogic; set_rxena : std_ulogic; clr_rxena : std_ulogic; --! Set new descriptor index in the array of descriptors table set_txdsel : std_ulogic; set_rxdsel : std_ulogic; txdsel : std_logic_vector(9 downto 3); rxdsel : std_logic_vector(9 downto 3); --! The following values can be changed during initialization stage. set_full_duplex : std_ulogic; clr_full_duplex : std_ulogic; set_speed : std_ulogic; clr_speed : std_ulogic; set_reset : std_ulogic; clr_reset : std_ulogic; --! Clear status bits commands: clr_status_tx_int : std_ulogic; clr_status_rx_int : std_ulogic; clr_status_tx_err : std_ulogic; clr_status_rx_err : std_ulogic; clr_status_txahberr : std_ulogic; clr_status_rxahberr : std_ulogic; clr_status_toosmall : std_ulogic; clr_status_invaddr : std_ulogic; clr_status_phystat : std_ulogic; --! mdi interface command mdio_cmd : eth_mdio_command_type; --! Request ID values: dbg_access_id : std_logic_vector(1 downto 0); dbg_wr_ena : std_logic; dbg_rd_ena : std_logic; dbg_addr : std_logic_vector(13 downto 0); dbg_wdata : std_logic_vector(31 downto 0); end record; constant eth_command_none : eth_command_type := ( '0', '0', '0', '0', '0', '0', (others => '0'), (others => '0'), '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', eth_mdio_command_none, DBG_ACCESS_NONE, '0', '0', (others => '0'), (others => '0') ); type eth_in_type is record gtx_clk : std_ulogic; rmii_clk : std_ulogic; tx_clk : std_ulogic; tx_clk_90 : std_ulogic; rx_clk : std_ulogic; tx_dv : std_ulogic; rxd : std_logic_vector(3 downto 0); rx_dv : std_ulogic; rx_er : std_ulogic; rx_col : std_ulogic; rx_en : std_ulogic; rx_crs : std_ulogic; mdio_i : std_ulogic; mdint : std_ulogic; phyrstaddr : std_logic_vector(4 downto 0); edcladdr : std_logic_vector(3 downto 0); edclsepahb : std_ulogic; edcldisable : std_ulogic; end record; constant eth_in_none : eth_in_type := ( '0', '0', '0', '0', '0', '0', (others => '0'), '0', '0', '0', '0', '0', '0', '0', (others => '0'), (others => '0'), '0', '0'); type eth_out_type is record reset : std_ulogic; txd : std_logic_vector(3 downto 0); tx_en : std_ulogic; tx_er : std_ulogic; tx_clk : std_ulogic; mdc : std_ulogic; mdio_o : std_ulogic; mdio_oe : std_ulogic; gbit : std_ulogic; speed : std_ulogic; end record; constant eth_out_none : eth_out_type := ( '0', (others => '0'), '0', '0', '0', '0', '0', '1', '0', '0'); component grethc64 is generic( memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 512 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahbg : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ctrli : in eth_control_type; cmdi : in eth_command_type; statuso : out eth_mac_status_type; --! Debug value read from internal buffers suing external bus interface rdbgdatao : out std_logic_vector(31 downto 0); --irq irq : out std_logic; --ethernet input signals rmii_clk : in std_ulogic; tx_clk : in std_ulogic; rx_clk : in std_ulogic; tx_dv : in std_ulogic; rxd : in std_logic_vector(3 downto 0); rx_dv : in std_ulogic; rx_er : in std_ulogic; rx_col : in std_ulogic; rx_en : in std_ulogic; rx_crs : in std_ulogic; mdio_i : in std_ulogic; phyrstaddr : in std_logic_vector(4 downto 0); mdint : in std_ulogic; --ethernet output signals reset : out std_ulogic; txd : out std_logic_vector(3 downto 0); tx_en : out std_ulogic; tx_er : out std_ulogic; mdc : out std_ulogic; mdio_o : out std_ulogic; mdio_oe : out std_ulogic; --scantest testrst : in std_ulogic; testen : in std_ulogic; testoen : in std_ulogic; edcladdr : in std_logic_vector(3 downto 0) := "0000"; edclsepahb : in std_ulogic; edcldisable : in std_ulogic; speed : out std_ulogic; tmsto : out eth_tx_ahb_in_type; tmsti : in eth_tx_ahb_out_type; tmsto2 : out eth_tx_ahb_in_type; tmsti2 : in eth_tx_ahb_out_type; rmsto : out eth_rx_ahb_in_type; rmsti : in eth_rx_ahb_out_type ); end component; component grethaxi is generic( async_reset : boolean := false; xaddr : integer := 0; xmask : integer := 16#FFFFF#; xirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 512 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahbg : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; msti : in axi4_master_in_type; msto : out axi4_master_out_type; mstcfg : out axi4_master_config_type; msto2 : out axi4_master_out_type; mstcfg2 : out axi4_master_config_type; slvi : in axi4_slave_in_type; slvo : out axi4_slave_out_type; slvcfg : out axi4_slave_config_type; ethi : in eth_in_type; etho : out eth_out_type; irq : out std_logic ); end component; end package; package body types_eth is function mirror(din : in std_logic_vector) return std_logic_vector is variable do : std_logic_vector(din'range); begin for i in 0 to din'length-1 loop do(din'high-i) := din(i+din'low); end loop; return do; end function; function crc32_4(d : in std_logic_vector(3 downto 0); crc : in std_logic_vector(31 downto 0)) return std_logic_vector is variable ncrc : std_logic_vector(31 downto 0); variable tc : std_logic_vector(3 downto 0); begin tc(0) := d(0) xor crc(31); tc(1) := d(1) xor crc(30); tc(2) := d(2) xor crc(29); tc(3) := d(3) xor crc(28); ncrc(31) := crc(27); ncrc(30) := crc(26); ncrc(29) := tc(0) xor crc(25); ncrc(28) := tc(1) xor crc(24); ncrc(27) := tc(2) xor crc(23); ncrc(26) := tc(0) xor tc(3) xor crc(22); ncrc(25) := tc(0) xor tc(1) xor crc(21); ncrc(24) := tc(1) xor tc(2) xor crc(20); ncrc(23) := tc(2) xor tc(3) xor crc(19); ncrc(22) := tc(3) xor crc(18); ncrc(21) := crc(17); ncrc(20) := crc(16); ncrc(19) := tc(0) xor crc(15); ncrc(18) := tc(1) xor crc(14); ncrc(17) := tc(2) xor crc(13); ncrc(16) := tc(3) xor crc(12); ncrc(15) := tc(0) xor crc(11); ncrc(14) := tc(0) xor tc(1) xor crc(10); ncrc(13) := tc(0) xor tc(1) xor tc(2) xor crc(9); ncrc(12) := tc(1) xor tc(2) xor tc(3) xor crc(8); ncrc(11) := tc(0) xor tc(2) xor tc(3) xor crc(7); ncrc(10) := tc(0) xor tc(1) xor tc(3) xor crc(6); ncrc(9) := tc(1) xor tc(2) xor crc(5); ncrc(8) := tc(0) xor tc(2) xor tc(3) xor crc(4); ncrc(7) := tc(0) xor tc(1) xor tc(3) xor crc(3); ncrc(6) := tc(1) xor tc(2) xor crc(2); ncrc(5) := tc(0) xor tc(2) xor tc(3) xor crc(1); ncrc(4) := tc(0) xor tc(1) xor tc(3) xor crc(0); ncrc(3) := tc(0) xor tc(1) xor tc(2); ncrc(2) := tc(1) xor tc(2) xor tc(3); ncrc(1) := tc(2) xor tc(3); ncrc(0) := tc(3); return ncrc; end function; --16-bit one's complement adder function crc16(d1 : in std_logic_vector(15 downto 0); d2 : in std_logic_vector(15 downto 0)) return std_logic_vector is variable vd1 : std_logic_vector(16 downto 0); variable vd2 : std_logic_vector(16 downto 0); variable sum : std_logic_vector(16 downto 0); begin vd1 := '0' & d1; vd2 := '0' & d2; sum := vd1 + vd2; sum(15 downto 0) := sum(15 downto 0) + sum(16); return sum(15 downto 0); end function; --16-bit one's complement adder for ip/tcp checksum detection function crc16_2(d1 : in std_logic_vector(15 downto 0); d2 : in std_logic_vector(25 downto 0)) return std_logic_vector is variable vd1 : std_logic_vector(25 downto 0); variable vd2 : std_logic_vector(25 downto 0); variable sum : std_logic_vector(25 downto 0); begin vd1 := "0000000000" & d1; vd2 := d2; sum := vd1 + vd2; return sum; end function; function validlen(len : in std_logic_vector(10 downto 0); bcnt : in std_logic_vector(10 downto 0); usesz : in std_ulogic) return std_ulogic is variable valid : std_ulogic; begin valid := '1'; if usesz = '1' then if len > minpload then if bcnt /= len then valid := '0'; end if; else if bcnt /= minpload then valid := '0'; end if; end if; end if; return valid; end function; function setburstlength(fifosize : in integer) return integer is begin if fifosize <= 64 then return fifosize/2; else return 32; end if; end function; function getfifosize(edcl, fifosize, ebufsize : in integer) return integer is begin if (edcl /= 0) and (ebufsize > fifosize) then return ebufsize; else return fifosize; end if; end function; function calccrc(d : in std_logic_vector(3 downto 0); crc : in std_logic_vector(31 downto 0)) return std_logic_vector is variable ncrc : std_logic_vector(31 downto 0); variable tc : std_logic_vector(3 downto 0); begin tc(0) := d(0) xor crc(31); tc(1) := d(1) xor crc(30); tc(2) := d(2) xor crc(29); tc(3) := d(3) xor crc(28); ncrc(31) := crc(27); ncrc(30) := crc(26); ncrc(29) := tc(0) xor crc(25); ncrc(28) := tc(1) xor crc(24); ncrc(27) := tc(2) xor crc(23); ncrc(26) := tc(0) xor tc(3) xor crc(22); ncrc(25) := tc(0) xor tc(1) xor crc(21); ncrc(24) := tc(1) xor tc(2) xor crc(20); ncrc(23) := tc(2) xor tc(3) xor crc(19); ncrc(22) := tc(3) xor crc(18); ncrc(21) := crc(17); ncrc(20) := crc(16); ncrc(19) := tc(0) xor crc(15); ncrc(18) := tc(1) xor crc(14); ncrc(17) := tc(2) xor crc(13); ncrc(16) := tc(3) xor crc(12); ncrc(15) := tc(0) xor crc(11); ncrc(14) := tc(0) xor tc(1) xor crc(10); ncrc(13) := tc(0) xor tc(1) xor tc(2) xor crc(9); ncrc(12) := tc(1) xor tc(2) xor tc(3) xor crc(8); ncrc(11) := tc(0) xor tc(2) xor tc(3) xor crc(7); ncrc(10) := tc(0) xor tc(1) xor tc(3) xor crc(6); ncrc(9) := tc(1) xor tc(2) xor crc(5); ncrc(8) := tc(0) xor tc(2) xor tc(3) xor crc(4); ncrc(7) := tc(0) xor tc(1) xor tc(3) xor crc(3); ncrc(6) := tc(1) xor tc(2) xor crc(2); ncrc(5) := tc(0) xor tc(2) xor tc(3) xor crc(1); ncrc(4) := tc(0) xor tc(1) xor tc(3) xor crc(0); ncrc(3) := tc(0) xor tc(1) xor tc(2); ncrc(2) := tc(1) xor tc(2) xor tc(3); ncrc(1) := tc(2) xor tc(3); ncrc(0) := tc(3); return ncrc; end function; --function calccrc_8(data : in std_logic_vector( 7 downto 0); -- crc : in std_logic_vector(31 downto 0)) -- return std_logic_vector is -- variable ncrc : std_logic_vector(31 downto 0); -- variable d : std_logic_vector(7 downto 0); --begin -- d(7) := data(0); d(6) := data(1); d(5) := data(2); d(4) := data(3); -- d(3) := data(4); d(2) := data(5); d(1) := data(6); d(0) := data(7); -- ncrc(0) := d(6) xor d(0) xor crc(24) xor crc(30); -- ncrc(1) := d(7) xor d(6) xor d(1) xor d(0) xor crc(24) xor crc(25) xor crc(30) xor crc(31); -- ncrc(2) := d(7) xor d(6) xor d(2) xor d(1) xor d(0) xor crc(24) xor crc(25) xor crc(26) xor crc(30) xor crc(31); -- ncrc(3) := d(7) xor d(3) xor d(2) xor d(1) xor crc(25) xor crc(26) xor crc(27) xor crc(31); -- ncrc(4) := d(6) xor d(4) xor d(3) xor d(2) xor d(0) xor crc(24) xor crc(26) xor crc(27) xor crc(28) xor crc(30); -- ncrc(5) := d(7) xor d(6) xor d(5) xor d(4) xor d(3) xor d(1) xor d(0) xor crc(24) xor crc(25) xor crc(27) xor crc(28) xor crc(29) xor crc(30) xor crc(31); -- ncrc(6) := d(7) xor d(6) xor d(5) xor d(4) xor d(2) xor d(1) xor crc(25) xor crc(26) xor crc(28) xor crc(29) xor crc(30) xor crc(31); -- ncrc(7) := d(7) xor d(5) xor d(3) xor d(2) xor d(0) xor crc(24) xor crc(26) xor crc(27) xor crc(29) xor crc(31); -- ncrc(8) := d(4) xor d(3) xor d(1) xor d(0) xor crc(0) xor crc(24) xor crc(25) xor crc(27) xor crc(28); -- ncrc(9) := d(5) xor d(4) xor d(2) xor d(1) xor crc(1) xor crc(25) xor crc(26) xor crc(28) xor crc(29); -- ncrc(10) := d(5) xor d(3) xor d(2) xor d(0) xor crc(2) xor crc(24) xor crc(26) xor crc(27) xor crc(29); -- ncrc(11) := d(4) xor d(3) xor d(1) xor d(0) xor crc(3) xor crc(24) xor crc(25) xor crc(27) xor crc(28); -- ncrc(12) := d(6) xor d(5) xor d(4) xor d(2) xor d(1) xor d(0) xor crc(4) xor crc(24) xor crc(25) xor crc(26) xor crc(28) xor crc(29) xor crc(30); -- ncrc(13) := d(7) xor d(6) xor d(5) xor d(3) xor d(2) xor d(1) xor crc(5) xor crc(25) xor crc(26) xor crc(27) xor crc(29) xor crc(30) xor crc(31); -- ncrc(14) := d(7) xor d(6) xor d(4) xor d(3) xor d(2) xor crc(6) xor crc(26) xor crc(27) xor crc(28) xor crc(30) xor crc(31); -- ncrc(15) := d(7) xor d(5) xor d(4) xor d(3) xor crc(7) xor crc(27) xor crc(28) xor crc(29) xor crc(31); -- ncrc(16) := d(5) xor d(4) xor d(0) xor crc(8) xor crc(24) xor crc(28) xor crc(29); -- ncrc(17) := d(6) xor d(5) xor d(1) xor crc(9) xor crc(25) xor crc(29) xor crc(30); -- ncrc(18) := d(7) xor d(6) xor d(2) xor crc(10) xor crc(26) xor crc(30) xor crc(31); -- ncrc(19) := d(7) xor d(3) xor crc(11) xor crc(27) xor crc(31); -- ncrc(20) := d(4) xor crc(12) xor crc(28); -- ncrc(21) := d(5) xor crc(13) xor crc(29); -- ncrc(22) := d(0) xor crc(14) xor crc(24); -- ncrc(23) := d(6) xor d(1) xor d(0) xor crc(15) xor crc(24) xor crc(25) xor crc(30); -- ncrc(24) := d(7) xor d(2) xor d(1) xor crc(16) xor crc(25) xor crc(26) xor crc(31); -- ncrc(25) := d(3) xor d(2) xor crc(17) xor crc(26) xor crc(27); -- ncrc(26) := d(6) xor d(4) xor d(3) xor d(0) xor crc(18) xor crc(24) xor crc(27) xor crc(28) xor crc(30); -- ncrc(27) := d(7) xor d(5) xor d(4) xor d(1) xor crc(19) xor crc(25) xor crc(28) xor crc(29) xor crc(31); -- ncrc(28) := d(6) xor d(5) xor d(2) xor crc(20) xor crc(26) xor crc(29) xor crc(30); -- ncrc(29) := d(7) xor d(6) xor d(3) xor crc(21) xor crc(27) xor crc(30) xor crc(31); -- ncrc(30) := d(7) xor d(4) xor crc(22) xor crc(28) xor crc(31); -- ncrc(31) := d(5) xor crc(23) xor crc(29); -- return ncrc; --end function; --16-bit one's complement adder function crcadder(d1 : in std_logic_vector(15 downto 0); d2 : in std_logic_vector(17 downto 0)) return std_logic_vector is variable vd1 : std_logic_vector(17 downto 0); variable vd2 : std_logic_vector(17 downto 0); variable sum : std_logic_vector(17 downto 0); begin vd1 := "00" & d1; vd2 := d2; sum := vd1 + vd2; return sum; end function; end package body;
apache-2.0
62fa698e9989e64e66564711cb51a572
0.544799
3.173782
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_mngr.vhd
1
19,061
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_mngr.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- -- ch2_updt_curdesc_wren : in std_logic ; -- -- ch2_updt_curdesc : in std_logic_vector -- -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_updt_cmd_tvalid : out std_logic ; -- s_axis_updt_cmd_tready : in std_logic ; -- s_axis_updt_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_updt_sts_tvalid : in std_logic ; -- m_axis_updt_sts_tready : out std_logic ; -- m_axis_updt_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_updt_sts_tkeep : in std_logic_vector(0 downto 0) ; -- s2mm_err : in std_logic ; -- -- ftch_error : in std_logic ; -- updt_error : out std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal updt_cmnd_wr : std_logic := '0'; signal updt_cmnd_data : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH +CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal updt_done : std_logic := '0'; signal updt_error_i : std_logic := '0'; signal updt_interr : std_logic := '0'; signal updt_slverr : std_logic := '0'; signal updt_decerr : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_error <= updt_error_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- I_UPDT_SG : entity axi_sg_v4_1_3.axi_sg_updt_sm generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD , C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , ftch_error => ftch_error , -- Channel 1 Control and Status ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_active => ch1_updt_active , ch1_updt_idle => ch1_updt_idle , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set , ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set , ch1_dma_slverr_set => ch1_dma_slverr_set , ch1_dma_decerr_set => ch1_dma_decerr_set , ch1_updt_interr_set => ch1_updt_interr_set , ch1_updt_slverr_set => ch1_updt_slverr_set , ch1_updt_decerr_set => ch1_updt_decerr_set , ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_done => ch1_updt_done , -- Channel 2 Control and Status ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_active => ch2_updt_active , ch2_updt_idle => ch2_updt_idle , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set , ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_dma_interr_set => ch2_dma_interr_set , ch2_dma_slverr_set => ch2_dma_slverr_set , ch2_dma_decerr_set => ch2_dma_decerr_set , ch2_updt_interr_set => ch2_updt_interr_set , ch2_updt_slverr_set => ch2_updt_slverr_set , ch2_updt_decerr_set => ch2_updt_decerr_set , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_done => ch2_updt_done , -- DataMover Command updt_cmnd_wr => updt_cmnd_wr , updt_cmnd_data => updt_cmnd_data , -- DataMover Status updt_done => updt_done , updt_error => updt_error_i , updt_interr => updt_interr , updt_slverr => updt_slverr , updt_decerr => updt_decerr , updt_error_addr => updt_error_addr ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Command / Status Interface ------------------------------------------------------------------------------- I_UPDT_CMDSTS_IF : entity axi_sg_v4_1_3.axi_sg_updt_cmdsts_if generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Fetch command write interface from fetch sm updt_cmnd_wr => updt_cmnd_wr , updt_cmnd_data => updt_cmnd_data , -- User Command Interface Ports (AXI Stream) s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_updt_cmd_tready => s_axis_updt_cmd_tready , s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_updt_sts_tready => m_axis_updt_sts_tready , m_axis_updt_sts_tdata => m_axis_updt_sts_tdata , m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep , -- Scatter Gather Fetch Status s2mm_err => s2mm_err , updt_done => updt_done , updt_error => updt_error_i , updt_interr => updt_interr , updt_slverr => updt_slverr , updt_decerr => updt_decerr ); end implementation;
mit
b35e616d9b5e578977ff402c261c42ee
0.362468
5.095162
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_cntrl_strm.vhd
1
25,041
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cntrl_strm.vhd -- Description: This entity is MM2S control stream logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_pkg.all; library lib_fifo_v1_0_5; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg_cntrl_strm is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary clock / reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary clock / reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- -- MM2S Error -- mm2s_stop : in std_logic ; -- -- -- Control Stream FIFO write signals (from axi_dma_mm2s_sg_if) -- cntrlstrm_fifo_wren : in std_logic ; -- cntrlstrm_fifo_din : in std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : out std_logic ; -- -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);-- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_cntrl_strm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_cntrl_strm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Number of words deep fifo needs to be -- Only 5 app fields, but set to 8 so depth is a power of 2 constant CNTRL_FIFO_DEPTH : integer := max2(16,8 * C_PRMY_CMDFIFO_DEPTH); -- Width of fifo rd and wr counts - only used for proper fifo operation constant CNTRL_FIFO_CNT_WIDTH : integer := clog2(CNTRL_FIFO_DEPTH+1); constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- FIFO signals signal cntrl_fifo_rden : std_logic := '0'; signal cntrl_fifo_empty : std_logic := '0'; signal cntrl_fifo_dout, follower_reg_mm2s : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal cntrl_fifo_dvalid: std_logic := '0'; signal cntrl_tdata : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal cntrl_tkeep : std_logic_vector ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal follower_full_mm2s, follower_empty_mm2s : std_logic := '0'; signal cntrl_tvalid : std_logic := '0'; signal cntrl_tready : std_logic := '0'; signal cntrl_tlast : std_logic := '0'; signal sinit : std_logic := '0'; signal m_valid : std_logic := '0'; signal m_ready : std_logic := '0'; signal m_data : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_strb : std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_last : std_logic := '0'; signal skid_rst : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- All bytes always valid cntrl_tkeep <= (others => '1'); -- Primary Clock is synchronous to Secondary Clock therfore -- instantiate a sync fifo. GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate signal mm2s_stop_d1 : std_logic := '0'; signal mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; begin -- reset on hard reset or mm2s stop sinit <= not m_axi_sg_aresetn or mm2s_stop; -- Generate Synchronous FIFO I_CNTRL_FIFO : entity lib_fifo_v1_0_5.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => USE_LOGIC_FIFOS, C_WRITE_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_WRITE_DEPTH => CNTRL_FIFO_DEPTH , C_READ_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_READ_DEPTH => CNTRL_FIFO_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, --req for proper fifo operation C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 1,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through -- C_USE_EMBEDDED_REG => 1 -- 0 ; ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => cntrlstrm_fifo_din , Wr_en => cntrlstrm_fifo_wren , Rd_en => cntrl_fifo_rden , Dout => cntrl_fifo_dout , Full => cntrlstrm_fifo_full , Empty => cntrl_fifo_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); -- I_UPDT_DATA_FIFO : entity proc_common_srl_fifo_v5_0.srl_fifo_f -- generic map ( -- C_DWIDTH => 33 , -- C_DEPTH => 24 , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- Clk => m_axi_sg_aclk , -- Reset => sinit , -- FIFO_Write => cntrlstrm_fifo_wren , -- Data_In => cntrlstrm_fifo_din , -- FIFO_Read => cntrl_fifo_rden , -- Data_Out => cntrl_fifo_dout , -- FIFO_Empty => cntrl_fifo_empty , -- FIFO_Full => cntrlstrm_fifo_full, -- Addr => open -- ); cntrl_fifo_rden <= follower_empty_mm2s and (not cntrl_fifo_empty); VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (cntrl_tready = '1' and follower_full_mm2s = '1'))then -- follower_reg_mm2s <= (others => '0'); follower_full_mm2s <= '0'; follower_empty_mm2s <= '1'; else if (cntrl_fifo_rden = '1') then -- follower_reg_mm2s <= sts_queue_dout; follower_full_mm2s <= '1'; follower_empty_mm2s <= '0'; end if; end if; end if; end process VALID_REG_MM2S_ACTIVE; VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then follower_reg_mm2s <= (others => '0'); else if (cntrl_fifo_rden = '1') then follower_reg_mm2s <= cntrl_fifo_dout; end if; end if; end if; end process VALID_REG_MM2S_ACTIVE1; ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready -- cntrl_fifo_rden <= not cntrl_fifo_empty -- and cntrl_tready; -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= follower_full_mm2s --not cntrl_fifo_empty or (xfer_in_progress and mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= (cntrl_tvalid and follower_reg_mm2s(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH)) or (xfer_in_progress and mm2s_stop_re); cntrl_tdata <= follower_reg_mm2s(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- Register stop to create re pulse for cleaning shutting down -- stream out during soft reset. REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_d1 <= '0'; else mm2s_stop_d1 <= mm2s_stop; end if; end if; end process REG_STOP; mm2s_stop_re <= mm2s_stop and not mm2s_stop_d1; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast and tvalid need to be asserted during soft -- reset else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not m_axi_sg_aresetn; --------------------------------------------------------------------------- -- Buffer AXI Signals --------------------------------------------------------------------------- -- CNTRL_SKID_BUF_I : entity axi_sg_v4_1_3.axi_sg_skid_buf -- generic map( -- C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH -- ) -- port map( -- -- System Ports -- ACLK => m_axi_sg_aclk , -- ARST => skid_rst , -- skid_stop => mm2s_stop_re , -- -- Slave Side (Stream Data Input) -- S_VALID => cntrl_tvalid , -- S_READY => cntrl_tready , -- S_Data => cntrl_tdata , -- S_STRB => cntrl_tkeep , -- S_Last => cntrl_tlast , -- -- Master Side (Stream Data Output -- M_VALID => m_axis_mm2s_cntrl_tvalid , -- M_READY => m_axis_mm2s_cntrl_tready , -- M_Data => m_axis_mm2s_cntrl_tdata , -- M_STRB => m_axis_mm2s_cntrl_tkeep , -- M_Last => m_axis_mm2s_cntrl_tlast -- ); m_axis_mm2s_cntrl_tvalid <= cntrl_tvalid; cntrl_tready <= m_axis_mm2s_cntrl_tready; m_axis_mm2s_cntrl_tdata <= cntrl_tdata; m_axis_mm2s_cntrl_tkeep <= cntrl_tkeep; m_axis_mm2s_cntrl_tlast <= cntrl_tlast; end generate GEN_SYNC_FIFO; -- Primary Clock is asynchronous to Secondary Clock therfore -- instantiate an async fifo. GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate ATTRIBUTE async_reg : STRING; signal mm2s_stop_reg : std_logic := '0'; -- CR605883 signal p_mm2s_stop_d1_cdc_tig : std_logic := '0'; signal p_mm2s_stop_d2 : std_logic := '0'; signal p_mm2s_stop_d3 : std_logic := '0'; signal p_mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; -- ATTRIBUTE async_reg OF p_mm2s_stop_d1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF p_mm2s_stop_d2 : SIGNAL IS "true"; begin -- reset on hard reset, soft reset, or mm2s error sinit <= not p_reset_n or p_mm2s_stop_d2; -- Generate Asynchronous FIFO I_CNTRL_STRM_FIFO : entity axi_sg_v4_1_3.axi_sg_afifo_autord generic map( C_DWIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1 , -- Temp work around for issue in async fifo model C_DEPTH => CNTRL_FIFO_DEPTH-1 , C_CNT_WIDTH => CNTRL_FIFO_CNT_WIDTH , -- C_DEPTH => 31 , -- C_CNT_WIDTH => 5 , C_USE_BLKMEM => USE_LOGIC_FIFOS , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => cntrlstrm_fifo_wren , AFIFO_Din => cntrlstrm_fifo_din , AFIFO_Rd_clk => axi_prmry_aclk , AFIFO_Rd_en => cntrl_fifo_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => cntrl_fifo_dvalid , AFIFO_Dout => cntrl_fifo_dout , AFIFO_Full => cntrlstrm_fifo_full , AFIFO_Empty => cntrl_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready cntrl_fifo_rden <= not cntrl_fifo_empty -- fifo has data and cntrl_tready; -- target ready -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= cntrl_fifo_dvalid or (xfer_in_progress and p_mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH); -- cntrl_tlast <= (cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH)) -- or (xfer_in_progress and p_mm2s_stop_re); cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- CR605883 -- Register stop to provide pure FF output for synchronizer REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_reg <= '0'; else mm2s_stop_reg <= mm2s_stop; end if; end if; end process REG_STOP; -- Double/triple register mm2s error into primary clock domain -- Triple register to give two versions with min double reg for use -- in rising edge detection. IMP_SYNC_FLOP : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => 2 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_stop_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_mm2s_stop_d2, scndry_vect_out => open ); REG_ERR2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then -- p_mm2s_stop_d1_cdc_tig <= '0'; -- p_mm2s_stop_d2 <= '0'; p_mm2s_stop_d3 <= '0'; else --p_mm2s_stop_d1_cdc_tig <= mm2s_stop; -- p_mm2s_stop_d1_cdc_tig <= mm2s_stop_reg; -- p_mm2s_stop_d2 <= p_mm2s_stop_d1_cdc_tig; p_mm2s_stop_d3 <= p_mm2s_stop_d2; end if; end if; end process REG_ERR2PRMRY; -- Rising edge pulse for use in shutting down stream output p_mm2s_stop_re <= p_mm2s_stop_d2 and not p_mm2s_stop_d3; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast needs to be asserted during soft reset. -- else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not p_reset_n; CNTRL_SKID_BUF_I : entity axi_sg_v4_1_3.axi_sg_skid_buf generic map( C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ) port map( -- System Ports ACLK => axi_prmry_aclk , ARST => skid_rst , skid_stop => p_mm2s_stop_re , -- Slave Side (Stream Data Input) S_VALID => cntrl_tvalid , S_READY => cntrl_tready , S_Data => cntrl_tdata , S_STRB => cntrl_tkeep , S_Last => cntrl_tlast , -- Master Side (Stream Data Output M_VALID => m_axis_mm2s_cntrl_tvalid , M_READY => m_axis_mm2s_cntrl_tready , M_Data => m_axis_mm2s_cntrl_tdata , M_STRB => m_axis_mm2s_cntrl_tkeep , M_Last => m_axis_mm2s_cntrl_tlast ); end generate GEN_ASYNC_FIFO; end implementation;
mit
97bde914f228fe51dce0127fe52e6059
0.441835
4.114525
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/fifo_generator_v11_0_comps_builtin.vhd
19
32,006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bdlZLEAewQqpv1o7OoBr4R377V8Hk5Fd8+q/Az6G9nxroFaOnD3V9+lWQZaiTQ+UR8tYlBixiDT3 2rrbvlUYqg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PNj5XhRRPylbuLUnq16m36512+Iu+tuxUNOB5vui/U9Vyxliy5LDYUjGyTrkosJ5RLmSfgYfmdaq x3GXyG6MVOiZo15XiDmGz5Xa3WMM3TuUhfpzNItvR+cjVJcfSX1Vpo9/m4Gf2HbgWDY8/uge9Yz+ pdDWTg9IqOS1f9m0bhc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tfy6e9ewB1av8IAVBQg5F0wJVpezM47U5T38niEmKqoHE2EAQIsVtLXdGuC0EVCv8iR27vcg17Oa mBfBXWB60tzPu8Q6DSJi1RmV8OgW+NgUvCiTMpLKqqsw6FnhMEK3lQVXfOtnfyh9msybPw9byzXC dambJMmCpKtH2TBazWP4yb5ww1Nsz/1jL5i1zPiiJqwiUek+yJBHinlLsKOdmxiEOjEIxiuXMNyg LMJzb839xkVhlMYTWXZYlSQVwwm/sLGnZ2Znntlf9sYBoE6D2vYri/PUGcfI5TqvvhrwG3MMHoTN rPYZvU5TTqkZ0UHzprP9ZbAAvBMMlhHGjyKLgw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block enscaK3Um9KpWwQm1hA2XwO16XJLOAeYZ3URNnasJSAORmdXiuv1QgNvxstTqRmJdf6aiVcX+SBW QAS4XOQmaHblVVCTrTFxq+i8/M/uWIiPlKdwfgcbq6W9GDVZEH2g71B4sNE7sbY88daOW+dsFMn8 evKdCCrOhrfApxD2w7E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qn8TdDpu0TmAhfXr6OjdWoz6rfyBW7fFZKyqPOjjqWteCvm3OM0JlharuS1oWtO6vCpto2FAzG/S BlRFnD+qM3W558gotDG5xKLXH54U8vJ9P7HSKDrDRZfcvgzYnDlLOZYqIhF3QcOp7QlIfdgIFJFF P1RDJ8d43uSYKR66QV0gPXuT19+tneyhi0YpcaupqD9/Z/vQdGHiorXfqzI+zmAX5/7dF89mvr3v Pvp32AibqOZJekU7QCnp4VkIAFQi2sNR2R1SirejbeSwa+gfCdYZC/MT0OFTfQjM0uxBSK/I4IyT gWZgfuPijqASxDrsrURmKezc4hgCDujIExBWaQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952) `protect data_block 3720zAurmsgjW60V7hP5jyZoOpDRGQjPNH5YywQSPELWgeGQ3NBAz8+c7rHYAMIMsNuxXkEFHaCU zPPcMH9cI1cAXEs+sh30eU1utZfJbmafnIR9yELpklT+rYow/re6dAYb4N7SrQdoR6JZr/5Sxwkm x3a+e1tgc7lo8+A8hVtoxxzdXSn42OPzUYMR92aPt4KBUg5B0UFuH2Ei4qFsnef8lp7YjskaYDm/ Qg4FocOSG6rsaieot3LQwOyMckelT8iqAkNVoHp/BL6isOxgrj0myIL4iWsebM/BSdWwwwoVkJLG VIE1+y1QTOko8QtMLPhHWitmTX6Y2RyioQZbMl+q/h96g+Ee8IQqb2zBOWe+2kn5RSjfEdkGIzbF 4U+/6JwWsGxAM3XQ9KydfDt2vaNMhEAnJkH/M9wpi/V4sfrPhWbxOcywv4bLn2Zs0f05hJ2D06k7 8kIfxSci/rXxrYVvIZTJTUtL23l6CuQ8/kzneKj2alAxugc3WlCf3PkOrbdRBbVhYCp0XdDmOOZv qWexDotJZ1mxvJyKKGGObHjJK9F9YBdtZ7w3v6XZkBkszPWBLUQSpYDJHSpbWC7fQpJyPzn3zEfx 2iOWUFfpp0+IjxbwrU2rtXkRPHLReEao0HJQFB9F8Y20sTAbmYRxsKCGm9y4N1fSZ+JRRtVnBMvZ uRr7xiwtwqjxvFtjjTcfxqQTV6vgIl6ARhoyI/OmZQTwLlwjcwJTMmoVbEvi6afCpjeEwFzcqIJX GqaydO77nm2Ko2yq4PCjeK8rDJkRxW2NQjZKUGKkHv16POsmYsRu9QQmGDh60viU4GxQBeTbvnVx ZrKwOw7LlGjp8DYH3QiRMa9p2wMbM2S7M7eoMjIhweqkhrSkKCk7m5LaOHuwa58hF1YGxgDd3bgx 3FzK4ODqrnn4XbB2kyd5cZgqtemFPT+oPj12MSf+KL25YZMk1SN9/Jikm70sGxAz5EcGMVFteXQv ds0IC0SLXSC4AyHJitmqTqKx3aFfjgAejN75bEsPm6deXVGXib24RNWA2L1dGcwEfpW6riiec6jI 7WR0cqxHFJOVB8FF5DEjjRwon409YIy+qT8do9/bcpFluFw8dZavO6wAdDuYAn5vT49XjB6+C6J9 MTm1fdFSsr5yHLztPOodtf3HH53tMCIOAnEA0i0obsFUcP7RnHL2ZzDQM+FIZp/ikPh4NM84y4bJ XoB5THsjyKl2a2ycDyZfEM5DrKisbcXQ3/zCkYxMSAF1yTaHf/Wn3475klyRAL91qlr6Q3gaCg7h sEMnktV+59XRczWudkW0tSuKQnMadBBm7xR2enA1THIH0K8wcBec1Ag2ub9Ke8LP/pa+IA3bGCJp /9etfHIPaU2RoesBQx3xC9rYIpLeSHKNhtuidMpV++DpA/ENogLn7L9Zo7YSnFVINUh8DnoLCHFa rgtk1h5joV8n4CH9BBUV2NtkdG06G73AuXJuTntefbmYWxDJJLqle3P0WceE/TFyh4e6u6Ubt7L6 bYfin4mMASxI1GETKKcwE/V/1nyH3/DPmMzNZD7B4+hbZnomfpjFNSYb3aplISPSJlEOs9l/DE+h kmfjEX8XOKR8ERpctZdjWrikhLha2uniyOYH8yvOKfoehR6DDqm7lS9RHESH9aN8LwGa3WhyRBrO fYdCDrhVYMKjeRCvCPJtiiy83Bt0XJx10yqSPOAobPNGSQAGRyJqdbycbmAFz3oAXGU5WJW5lKVm EYxdpJXR4Bi8j3HVmb9gg3iRZ6sfAuWnVX3JvV3mjOlTGvjs0/V0JWU/9ApcHBiUmXNtfU9uvNCP r/IG+7WeKgMx+Thwjsc1Y152aRB/+I4FicycpmebyY4wCwfEkNcf1fPdqEexQ4KQDsIWn/AkN2T2 aQFzRlgoXKVLMMWI4Q1qzvtsesRGoQ2hsDUutWSkFOVhe9/NNmATmPTeYebDgdcDQ0TKybwDCucG E1ytzCoICQdGOQjZNYYXuIYfvUfXgtjWFGNcxh3QOeHzMYfjhn5U0lQmgqSmLSrSQyQkhWQm3vCa ttT3CDToi8X8H7jBXDwiY83xtk42XiTR5mnlI+jSvwrH/Sh8Xyfvh32HHzGY6/97wxcKMCOj384X KUJayqjha9nMDRtRGuShiWAlQopmcN2iYumlDZEqn7qawlTWzC77O8Hyoc8q0SzbaFiQGKZE8vyt naOApS3ISWAmDdFs7GFv48o2fkqbVvB2dZrOmx5VJ9FUPYOG3Qz5kAlLKoI1FlyNYmShHpVFicXj yPuLlp12tcQb5q1YryD4kWutESwP3TigJE0f1FiemklAkXEGpcarguhQ2ic5j/iQ4WU2veOdyH3L TUCbclgB11LvsTc80XIYoSJIGNB+NIDKJtkvIGN59s5oV6TrMWcwbH0rbYcdBKoixg8HshO6+60x 08XuWAcRTzcnHC/B6P51IR3bKHbMXlz105kPjsFPaLaphFesKGCVLWnqnV9FhvrYOXIRfDilmjKO TZPBh6M+u3yla3mJkhFPK3DTatBgglOtOGVk4aLWpZzT9a6pb/TBykFF/ixnKspwKNWRuZWECig1 IENmcfz1r2N17Tg9wX73tobIN132Xfe7R1wQufRFnBUR7k0Ga+Zdmw3Hge3PiHU2AcxR1CXvZZHQ Lc96RnFeQM6re20KiVVaA25e3YoPi19Dx++YBUEylHEwlU3QWKlrFrPH1xCoeYix56vts21MTTR2 QBPZcTwAr5R5l9ipmmWs2QuaoF3roEUcIFgNADHSGF3epgbO0xaCXvUpuTP9AJYvhSmc4w+Sqivn p2Vw8kNuVswulkgYStXjeWMTLd0mKHoc9ZTPG/bS302vM75biwHRlAnp2bXS7vxsHVmRP0eDpRKQ RD2Zzw4mG3ubd9d337E7X2CIKhtnSAG4Xa0QOEeIQEOs9KZIQUJEUikN3gjW+UoWE1m0UgBm8U8i seUri9e94G6BmIem4m8SHTHBV2ShbrHWxuofGnllapRUQ9eNwxBQHllDNytbbUg3C+zQreed5EvC FAQ9Qhe7qA/ukGNAiFb/EzkzI6u/KEZPvHo1obAUD0jGD4o1ab4q5cvfh4rhnPZ9l7Tn75WmUj1u ioV+LRlcB0ZUJ4tY9HUOygDACt0nChAoCC7Y/yncTHSwR/wWqVL1kn5X5W0mU1Sz2FpgvvUaqlgU yy6LNv4z7a1jy88wyDojgKW3PUGUCShF+S3I8TB244ypvIfVMyCEygpzNy+jemnD9IPMKbLOipCP 4U44NzljVgeYHCUe2QfoJlVlre56XA1ORyrWc8tK+yAYOAzjzCpYB/ifzC3XGWKXMw//MsgkwzG3 V9pFMAPu0pEj9GOcOGxvXIolvgU4eYsIpg29UyQi9HA08RZIIG43ZV0L9xPaxr95QLsnoIEAaVRn hLaxnXUHdoyVM1a60TBRYCD1Uzd1Bwd6e/+hVO60hS+U/TeP7nOAQ57Gq2tbGYSPFJFvEzTDcalT OOmktXDMRucul7mP09X1IOUT1zyiC9MMKASOz6NS/6qof6B1Vna2VIOGpmLzmlulnMO6U5yAA8rh +II+qrs1wxqWxRjI3sDMA4KXd5esVII7DjerKTij2c9VE33A67Chm7LEbtppmx54zBpRikc9FicK sknYk6sVwK3oSTltiRUpWY02lQvIgZPKDVGBfs1DEWVAiWoPwnVMkdqFSzSRaBKwXbndX6UOciO6 5NA6giXUjvPMpxudlC+F6d5EzoBQLxkI88bv/ptWDyEcqPbIiWypCuBuJ/zyAb8qqTqIn20c4SZT EpG7wiRvEpoNztHXkAqe8mgZFJDUC3CBJ+qYCiTYtkJ8RugkokwUqRvxsWIsecEcRVui6avYmDqc fhMkOiEAgsB9+J49+ba1L969Cx7u9mB62HsTCy36APl0hPyrM567PpVO1cQJ0SaKIYgMS3HXFiSB R9wwDuLfpr+n21dCt4XUm11yo91Qblj0sMU5WSLoQ8OEtzcF3JCRHyG3kLk7M+EZy6gf3a8lYSdH sB6CxGZeq3neDhmAza/u9Oh+X7tBmZVeMvkjWkgeS8e3bKST1seDutn/lLCreujhPX3oRy4kzFRc kdepGVQ9nV9TI9MKeeH3ngqqpYzaMs2r8uAOZozgDZVcpzMheVEkzsj6yIDkhJaU+yGZswgGadum tPM5jMsCvroXd11E4jzD2Oqpoj39UWXldOIDlq1VFAuuh/aRMt5T/DBtmAVtF/cVcXn1IT+d9Y1Z C2yd9602K/9EnjQONmZas6oTZbpSdGcL61ToQ43fTl5oFVZXfFXIgbB/N3dRMDJglybWEFkj+Xn9 NhJ0+WYy/MSVO24vXOwSlqNMuWVk2w4uz1iRZHs7ON2vYt0oCKRG5UfIFz1i2io+7biI8o+OPnTc baQ5HUhQZWIotBs9vc7NwKZTo1BCM0UXwlBj9SeeMjKBFe38awnuQRUwVij5SAe7cMk+VJGxsK9Q IH3QyoK5+H2BdGys2EP6blBp+g4p3SbUXzRdS/wjlTg1oDnSQ2QU0BbHs9HjOCkB1YOZRUd6Xwrq JAf1xBQFtjEvM2AE6dZN//gNaVSEvV+n/u/N4GA80aurPzegF1hwvHOFmWyiR+pO4cl8HasbBT7g 3s9li4+ZAyh2PXtiyYoVbBxICFiNhmKspI1g8yF0MMPimHtCbcTOPN1XUbpDXAeH+B/R57T25Sjy XW+NDe5wxX5jzeytvCw1o3y5GovNQtUH2oWbTnMBovm6fwNbbNqrzsc8pQuqw0GLT4qX7KPtzdwA sRgE5Te84R0EGGiOqnogQVheb144BCutJ2Sp1F63cQhy5baPJ5Zdg5bbiZsWxX0r8N40IBBXZm1w o/O6qUpwSJHiJblej/FiGLWUM/2Q/H2Q7reRjuPlccPYyiFJh7yXeapjIZtbqAZvBz3hKG6nAYL0 gdA3WlqQDt9UKdQkEIBw/BDfFOzC6PIpJfvbW3Op6Q0PV06W/uLfBI8xrHWKmTqD6ugJcu/SNvos fyLG99n7YPRcUtUdxDLwIaaOIeCdKxcV8clR98H0eZYz9EooCZ9/9vuyNaWeoerF3iYc3kwuVE9P euB7I1d5R3VzsRENBGw7wP3D9HjGRwl+nJx5QeBsYmIRaZN/37pW3OsSgXHFidX1ujiUWoPEmXRv eYEcZE2NxiWPX99n6NWvrZZjniS5cxUIAjC4F5GodmJfkNCZ8Ycnk1cW2FBskm0PrlFdWflEPZ8G LERyC88Iw7JrFG92ELkTyjNntfXPZF6yt4TCNIUT+8uIw/j6dw4nmsIqju1ocZnlWfOfcIT3PMAj KYS5JtSObBN2gIPGdRppnlOtKTMApUn7hhkuBDUNnovH4ZDUtMKx/lCajRToHMQTJapGuLaS5mK1 e6mihcAculloB++HGN/P/17l3fuxfBI3+1N/3+QdFMTyRfRiB5z9tH+QV0y3IeJITP1+cj1kX3DC +OuxGtlOy/yeJcJLol/wG3ycKtfzMIVe4uKJZ/rK0qd99xK0gHVvCMiYSrHXUL2jF19gdEYu9pqi /CapVPpiDKgnPPQY8enVQxu/xKHbUucTbvYxDs8ZFxyMJO7kY788k3R+uLhhMCFiiZGsuhAoZZW2 UyCiQEH9mcvgZti/ujx4hj3uwOoEhbMfm+9Q+35LMnJKw+nt4r+FXUBAbQmtCzwUU3Y+MJEbil7Q mZJttA13gglN1zTF69mSkdYm6odkEDu/X04AVIiuIPj15fYWm/hjAvzw/ocVMx3pmGSvEcg3NnS0 imzSmu0jE5Sj31NBvZXMKE/Rv1Mz8vub7uQRX4J85UEFtsgZKW64dqWM/TAsIyAUg5j3icNKv1Ry MwcaOaru385QwX0mbYpXrvN4AcPTBTQ5lcz5yH1+4VCas0t2ms6oiMHoOrnjtZep0LjcUPa3rZa5 PEmhd5/qHh7YePO8LteqyTaVHlEBFi3fpejw9TNFjr4N5qlMem5LFbimrtDlQkLROo8QdAUuyy6x CwmQxTt85x0g8jBMj2Ny7nBulS6XU0oK6iLglS5d5AdwclD7E2jGOQSyPHpLNycEwxGTmZQENqz0 1dLIoBnYLBA7Fv6y2HJERAbLRkq/ksoAEfvc03BYZVtoZTn6QpOTg40pIomiq3qPVLJgPofWhWNR gBbB2Xq++v80tbYn2n0whbVTM1E0cGZAXH2nVTFDejA1ekIaFJp8XX+Z8ABVXkUY1mFB4v0EM8iv 9m6JwqhJE93/sFkklVgAUVPf21QZxA4/FWcJwBB4l45BRtMkpYa76Dz8mxKhm7yi2ugaN3/PYYgd c8lYJU1neYCarNFf/9vTygH+ksuRQKY61pmq6vhxM/sz61TUDO9Q2UeSjk78xp3VW9eNd8CgcYPF 8/53waLX8+mqI4DgAs89PpXppVoYmlbvUTPDtRAZDIkGkUMdCMQTPoCZOm1/Pfx6o19rzxo0BpcP aSyyYQWP8xkFXJ0Kpyx/4eDGYCCgxMFeGp2jRaZc3BFwq47vEfpsvyEBV3oI90awg6VamehV71I0 U1OGbyASZEKxddqC2X/aw+dMXii6Vys0sXhKicGmN3w0hmePO9ZR26q8HQNvW5PFtxAf3laxAz8m G6D7yAwAsu1waN/OUudOOV+kvduZRN3ecjhL75hQcKapzEf7y9GI2l7VX2EHSvEHhK1KXNoR22bQ UNvHWuh5sHPnsLgJaxBODRbfq1C+0s+W1way78EHvGT0hZ+SdefcWm/3OFSv9ZP9dMpLyvKWnXw6 QggMCJc2CZH4qIZl1BCCEmhdwSVBp7smGGxAWMelwWE+BdGWa4/o7fuKV85VaASQ5bCL6tF4yV9S JZOzI6E9vbQyATvRlfoZaFkv2n+1DZR80ilThA9+GIZBVYW9JAgbX2xuttXe1vwA/sQfG1U7wwDg KYAliOC18LozFOVti6UFDP7rn29izMYOAcwvBpz0VREB2I18puLNpRn3dm+hjG3O+RTdDRY9S4Ig sXdjeKGPXJo4ARrMtxwG7WzBqhofJgq3I0M44z9+C02FLsHs+WziIgDmm8nmfZgeJqB9GVCWhcSE CJY2uIJs2iIz8CvWCf/Dao6hwZWCdeEgR7jqNu/QawaJSDheX07ehxz/t3rzKCW53zsoglfLdTaL /LG3Uira9vekJ4slfXaIS05Rfggg6e0ucvqCGyniFp9NFRERcFPzT4nljolwRwgQYP5kwDClTaF5 c1xGWOQyy1TN40/QNX9Z4gEp5eYfdnwrwSa+FAMa/+pPEuc90h2vgKo3252zIBqry1e8l0rHROWN tZJeZ/JS1ji6RDQSN6LPhkZzcPgeFrDsg41mnP/vMltaiNCbesTvWAy6Rv4KTv/73fGlNggMIZ91 dVEBUdmJoXPEKxSnPRp/DHB0t5aNyN0Z2Qm1xJP8AaTIbnL/ppWzENpiLa/MJAbJ3lOcXqLFsZq6 mYKs2KD9ilz1FyUeGV9lf1U8rEsjea2oXbe5WA5FYcAevyogtk8MXAzDmevVgRrECIY2Fo2MoFjI JUvsGiw6fw8eMKwP2dtKUMzi/znjtLREZEzTfL5HDpAGjOyGi6u7r7kI7w+NcguZdEeCCJSHCjjm /boo3Nh14xPjzr8dtN59hubLuHw0cJdvllU45+PAerJostVptc3oAcYEcCCHMbDl1wGb+kNWgAQc GwNtad8SrZmO0YqWgdeZgOXECBgxI207GgNd3DLVyeQihHK+y6ndQDld1uMrXL5wYi2XuEzDcir6 TkGZjeeVOBwpER2LhPh+rO+KgoyI61+T+p+ZCbSObQoaR3Oq/dKqbckkK77kbg34T+K1Ud61WuT8 GACPhiT3Mfa3JOq2AzROAGo3SdtpkhaB9xahWbra6AfoRhW5MfdeOMfqH+wIU35FBNcPRfq0OsaA mjpBEr6MD6+fG3G2w98DgqD/m63NR2MU0oCUDSqoAMQpd/DPEWxF+XKr2fk6aNRVnQKqBT4yWLYw 7T5yhkgP0oCEr/aSDMBGmq/Ui1nGT3cIYr0Jr2IMwM1V8x6PI1XjnplLj7lXK7pdNO9bLWDbYeUZ Resbmvu3/1Ll8bUybnXzSB8l34h7ATijBiTQ6ldY+6PrSassHU7WlXFNLqFASHYcjkTMFIFO1dvL FPd6r2I3vKCowdWa/ot8p+6Bu4c4kS04tc+QGBKJ+f1Iw70Jcnl/QWSf0EkNdJJZopJileyq8L+q PEOp7MvXEh/qfM20Fip0ypqBUmkmN/V7ELEci5N4z9ZU8UMU8cMnTX42HpzOkXrnfvGFtm6dHXQW vlBLqVsKUP6GabSjkTCUI6qtj7R18cmflsd28y3HASGroQUNCi7+t5S2gOr70tBi2vykUpeXL8UH OSKOMkXmKiInX93QXaqzQyjD3H0zrrhrYvmKm7OOSUkDpuTARCpSpueAtSENWskyyMgdkG+LVVmM p9L32lt6uwdXZhsN2KOXKsescvRXHBBkLU01QurEWU9nMz/Wxn1hmvI8SpvGibLRawS+1XM/1OJO VMvYhjaKWhyhiPgguuFwiIPoQBiWBy3tO1vyma0dZEkYtfRc5mVkA3Wbc6HP9ynL8O/6wGOxypFg GBGkshRC5Q5F9z1x5w4mLx4cmRiTwCcyXMtWKbSZEM7lO2vSC+ABhVPP7thTvZfwBj9VYt9AOoaG xekEXIagM5Vap+AhhnBUTHCNp6IKU5SL0mcq5KZwJi97/yMr6Kl6WrxF+OPZ6uLhuNhTmXBbgVAM FWp3SMw+DPS3HLBXioevMPXRjfahQatzvIr2yELquRu5VCqMrK/s4Yy98pmFQq2COZj669hCE9x7 W/W1XPtLBPUSV9Bkogcv3q9GyvStwlV2FpTtwV1ZMKfwGdp2GFDuJf6HyOX0woEctn1/St8NPPjr GaI0nXP1RbBgzyBQylauzSojLDh8zfETA14i0rJYyIaA1379fWBw/ioWedKGXOXPx6qdHynnv62n yu8DzP8S97hM6DDyt44o40hcuA78Fmp1Pejl2r540NtJjB6oUxFE8QTCAQ55sxY0mu2D9ufOVKYs 7nB78gzeyJa2eUALVLPZ/Sl/dSZDkfyB3BwEo6QScemDy3XK9/Mg9NcIdFe+1jYbi/Nuzy2PnKzh ThIoS0eZ8NEON/31qtj3Xhqrhk/t8yYu0DwDDGFyIwr/I3VQ3irH7vOSw+33Rb7cSPGRfIlPG6GX IMcjcanXn2XyS/7fagZ5nMVq3V6U4QeW2jFvrO0zP7duEwAWT5Ra6f/mEV2pzAt/C/4S8u0tSGNc cuF3ZyZZZ7cmS3ijoMSv97uHpLgQM0+cEOYn3VxCUPNjRUmqAQ2i5f/dN4uzguGPUMFTZEaWutUr rADoIZ9CqSXMYbAUrKk6JOz6XIP/K25YBo5ghK7YfQT6rlTPIYCqK1UPeSW4GwAk1f907S2y9ARo hlbmElOY2S1ffKgJ5M5obTy8z+jf4b1sRRT8rirmlCukXBVFcjcwFgMCVaztyVpWL/uzRfofjYq9 EozYJ6Y5Mql+3zJmWnEG/a+LTpCSQeildITbEHZEn+8AIeXTGJLQNLf1oZjULeHidMT8Y7scY3I8 5TLXqFksBK/3UpQl9vJfXmbgWDg/1uS/E2dMfTqgjpxxHRJIGZlyoyXzaiPFNzPxQZ9ah5qHt+48 oihEMNkcXiwurHMMFGUPaOkbAlpDFlcvqSNRqwyG1ScwSYUEYvACQbVAMFN465d2sV9LgCzrYTZt Oh4mvJW8qBjRhqwboMgCdXndhhF+mvdMSI+UHuNJnFcOsfAFdo03KxLd2MlTBKnbbmgqqM18/VOK zFYJ3NVDOqsSA8bfFUcri5LfnhSkYQ+BIRgEBf3ZVvHQyq/KsSvKPMjHETPzB3pJYIA0w5PYSsnm o/pfHQpz7Ds9FX1SmkibYPgvNLmRwO29iaiyhRl0QxX3xyplvfb9R7vGYi7qaujUaBXYHCdZXQju fyS1Nd7SQUxkMiT7Lvn4Z9NEnRnPtvz/p9BkytHG08XSj5qPxTZggBZp7UvXxhWFKzD5GjHztGgA 4SDikRcRGiRh5TYsGGJbVRBYo3+YprwblKK68iraL0p/q6TZRZysbEb/jmIfYTHSyi8x5p5JmiSX cU3H6u+Q3/pLIH2Z7AVeR+Huao490TikIM/q75cUa5RRKPgb06HnjSV3BwaMJa5Bp3bImZNZngJ8 YW7TAeWNwAi5T6AA0nhzlPfTjL5mluTvHU5LMfbu5lXrfpoZUPLjHpzU8Hv594fNR5DgGtGyPScN WfdS+Wb4+G2qV9ixJbEMFRU78APCHk/yI/MMEVBYcVz9xY9Fv+jm6iwiLBjng5BtnF24Vrn+8Lcl KEB1zdkD8tnLJvOXnkiq6E7f1zlhYXStGY1mVsKxeLYMNCVFe07l8SEcLz58M6Cxfgkt/UiosQwF t726ArOlrmDwTgxENsX6pcsxWCDHpBlAAoN69LVyIrl99qqRKZTVlNw8f2hpjFyYdaholTLI7DCG kdmRZuw/cE/6XK5Uw+JqEHt0iMcR7M+HsbFBScW75OIOycMguAlzGYMUHjwvml71/8M4autwy1WP eZnpnr5ZM1+Mgd+DSTjOnTYGrugsbmv2arqvjqS01GqiXT9iz0NnhtRfNoVrJJU6xte3BphgD8N3 Yjai/Wsk2yqaVmUzSUKTF/nodbBbC+KYtJpTURverPqwF88KSCnxrf+xZq0YfTqRrtCy5moACZAd vlyvzvIB9iMQFddBagi7W94V1x4Jcn/+L8lYR5GleE1RLEEenPCXgzKfnQOJ8AhW0YfRQXBrQaga XTJrBf0F7lOyMKoRlYEdISYjbIyIC27nNBUBWsUaRzdh+8xXijx0FJsfy8Wkk54Ofqh+v/GJ67gY 9n+rabMChPC8ViJT6onfrTRXwcy0Pm7RAskQUgxZcKhm5bhkgViaP2HJu0QOJDLnL1Lbck/dSBzC S8tRzwJDnLEeQiUjBXeagMxDMXcjOoq4Ml4SKww4rzfogI31mrDNXcCcWXaYsV6oKxKO+9xC2aYY FqcOR78Iikvs0/HSRrahcAGRNbJu9cxhrPPERhc4OsGdi5fNKzyf30+lUb2d+xMo3tFwnD5klZYt 5AXbFBPZI2z5fRQvRIldtfb0I5fvxpaS2lr0M6zbv3suJ0t7zLgPKtHHxtsUSe5whA3dvGPzHjqA DSqwDbdVpCGjEL748sL2YpucLXxjNr9Ia2QH93Y0OuVRX2HWC5xFddZS6Tr8MCVDBPArdZhc3zzf WCfiZwhuPUHv8Ln1rJtPpO/tL04JcDMCKiXufz2xvov9JvSjZGQi2zMxBy58sB8wYgLm/z4AZvbz Xta9S406J+FcpTKXFN08p6SVCxSVixDoLZmaEoog2aXut8zIP0H8MORLTZN5T2eAnWalfXfvd8e7 WV1HtL16y0PtF4Xm89+sFtmqgGB0ymtRqP+SH0oMMxWWKxWjYHXUISSq0l0mj6GlW1dGNy20dvTX U4ULomm9+zXl40ddsz5R1BsGfSuI6aNLc+4UwZzFJjbSq0X0Bc+jrjZRvOO4r1PnfwqaYBUPuKwv 47GSmVkWk/sO8J1/PdUE/1YU+51d5DYOUSStX18FWFoXRiofEDJaHXY2zfAXcPJvirm9xlCGGpAE ynU1FuPJGkNdKQoRPmZXy3wKAyWEVI3DEFcahHzCNKlVKyOms+5Odc7CowlROkmaI9EqU9y47qM2 v6jh91ngCoOJZOIl9ibUavPLvbHkTeQzzlRzl4dwFpoSSNbMVDh99ZLiNJtjZk432tv+nUI16F1f acAKUwFXz1D+l24ga2Ou2dMctni3cKvLjUKnpDt6Hy8bWTsYsMW2iaumvQG/18ZW5NOFcWbzGCbZ mxSVfpLIj4Ssv6eDaCsEXizN/zscQG8zz+Mn9zGAo3IfCPiMvYxjtTg4szGxF9C2bRYHS1+oAfME wcK3XXXqzYcNDTHWs+hQq5ns9+ZHbFwWs3oqNMPe25yoZ6U15+dX8q/YYTu/eiUxJ1O+GRxS96rN /OxfrihMAb5fPTzWrwezXfdpMOV9lJMMJULwFhc/rYjSEtFL0z2qOtVHm8y8WCbmlM5H0OtRc4g1 OmzwMYiavoJJc1baltg0iCcZNd7hGhEKFz6izgZnGDh2mKzH9K4wcCgCHWOZ/0Ls3L/RK3kd7ZH9 3nZqB6ow8chs32oTgAnTJocxH0rH+02w+I3hEHpxhf7+mrXSL3KtFExZbCmpA8zumVeXIe8xb9jQ MJAwLJuxaSzrBVcsEPc6gyvvqg7W11Irf6DIvUcYtSgng34G9ENhT/uvEW7kfhh5Aw7ZNImiRZm0 0o+pmf9qBobc8YF3XhZoZcXI370AuClOX0sC2AC+uTyL0PexILhBSPVxmj/3j361FdUxy1q05LBn Pf6t0VpjgUVRxzeX1aMF984v/tZ32kZks5i43jBklew9ppk7LOUa+XDfOndX67OdNnPLHLP6imUt skAGHi+AnaDte+jUFHcZ6uPexPUE77KvxBcB+gVX18F465K3kLE+FwPHPVOKXhEQWDLmg1Z8bTHj 8CmtJ/Mi6PNXw1wjK49/HBYGSih1qQdPCLLRxUUVzs06+cCRmFDqi3RxsRgOn/4d95kDjOKe1vnz sLj2Gyd14DhqpJxEX+NPStYvinJh6cSMTjMmyTBsiGcV0DdqBHcSFsi2j29ufbr0HOEJUEfEx2U9 uPIMQFVN3mSAdMux1VYBfOn4mLBEq4BrZBH1NAXYsP4qnNA6E2iwCnjsofOyee+LR46eYZtZ5KZx qRqFxfEm6Kf5hpLGb0DNOZOGpAvfh32YzgaMoZn34+ng6LaCqFJZKKfrusx+PnS68A/JgY6c3Hfi dQ2R4exvpXZSUTpfLaTBYgzNLtQzcmCjbbSHFgVRNascBBtI3lqt4Z9yY2mc5S9CvxKiyVbJ8qvU yGaR8PxKAMhgfUgVqMsTW3I21VUpn1k4SH4xLwkdEOcIr0GcdERi6pPEmoThZnvqWcrmhMEvKIp+ yyPlMqVbwKFnXPFXw+E4PWkI6bKNuC0XWCH/5y8jivxyxDiVp5a3gR70+R9dl/spmQb2Rg99nsgS EDN29oL4MtbTapWJK8DK+0nD39VVMFHMzcstgMupenRB7Onk4XeOKyc7W5Jl1Z7Mkn0/RycTx4bp Jj5cJI5hsEblKaALwjMBExY75xtOMfIoEl0eI+vGoEBtgXBKsl1uEPVITcQBvd/Xarv/ukpRtxqZ FnXU+4hc5G5a6Xfsn9Oq4ptSDSnIqVanqfdzkvDYlwlJAeCn6vydDifBPed8mF6Or6mPuABlkjrE VQdJjCsNvWIvazrmZcLpLYbPZHhgWULvqKBJHVTOIDYKl0phu2bysr/tXWjQHi+fq0fZ/FMEaXci it9uTvZw94r9BmneAXDlCFSUePXTm2Q97xCY/Orq3Pds4o+1eMTZcqAB9s54zg0sr+218DSDDwfs 8hq6vU46nr8plSbqJf5BlT6gcqF++IElJOFd9VdzhQhTJkuiX5P0RKvtKivXMc5YCgsKsp0jE7v2 uoZ2AtrMalM+K4i51ernFm1tHZuu5qCvTQ9QuKbpz71xDpVgl74ChyDK2KTWeGcdTSeZ6qBmvedS YSgXJIc5mH3jLP1UPPxIbVawL2qvCc8UdBdixFVH2X4+XF+UuVgqpQnOWFvC+53b6CAFayhlNrvu IyCD0TcOrJNQb4bsA44w0IpMg6A01W32xSyBHGhlaviWDABa5CzLHPEc5hyvLHnRCsqqBaZVnaRx rdi90LfaLAKg21e1I2W1x46QKYy9FSpSPh3m/s6P4OETRJ53KRPSSkpPPyjI85AD1NmyJSObIw4y emZRMP8AbEFZTnRH5bc9ayD8ltlR9HqVu6kQdkv56Yy8cXXCk6ypkKoW8IFeHy8E0mUiDff34FkO Y5rFvZYMDOWGNwqcAJcln+to5PP8GVl7x9Re3g8FOFD2SDlq0ebyQvrCh9FadBvapka7jwjbX+Bb ozzUm5ysnWxgElRg/WJH1iFua3jUfo9A+/WwQmcO3KiJ348jIv6MZ1aI7wKHrbpIWbgra6YkXzi7 2ktCQUyd+aYtLq59TghVzqrcmjrqdogZ6OrjT//mI3bXtANc6oRIzRwPSWsQbE4jaSS9dTlhIiLD /pHwvdZFtUza0/CE77GJ5BR1B261mCb+Oo2k3lIkUcK3ufTh8K4I3FlqnTeC7WqihR0/Wv+Iuka9 dlJX94/1X7Uv5EbOn1kTgRuDjljb+hjWELFfB3Sp2cHFvFivbqgnoZZtEkev1sMeqNNZDJi6DW+k xIh+c4vioWO6ocXw0xiF6y/DnJmgkOBkvSmrfVVWBMlEHyOtKrHiJPGHNoIXTwrAhbZeFy0vRHYp hZzwF/rScm+yetu387FevneG8codC88Xn1opOaroKwbTyRf1GjMPrWu05ewbbVqrPZvfTD5bVNNb Bl4UiqeGv7BaL/wN20YF2Sa4UonAgHypYst/4Yu4ezLXZPuO2ezuSwarEIw9mPlBdIgX+zr7vSca K95dgEEvN4oahSxStiolOrfuM2Dvwg2ihiwF5gMerhOvcJM9A0qH0p130jNN/0KURb2DmdJBHPrW l774NHVA1vtGCLAuMFNUb1VZD+qB5+hmXCDhZGJ+g1IwNILLJ9iNOmzgKSmqAzDFMVpcVU9wRBcj /Lszl/k5+/Hzo0m3RKF7wow8GgaSZGKKbXTe06ZZ94RdjdqAxmkw/2MHS7V7vKiYt4MYNgXF5hnr OcCVUcc4fcpUAWJZ/X6LxaBkNJkxozqe3h0bxwydonvhtO/nbjcHZZzXfKJsCFh+DmjvXvwzYPjk ypM2B7KVKGqEPdtsaFwH5yQ5fmjUfOjxbLOCAkeXLwuWRfege3vKV9OC47x50wW+opcim1O6vDc4 u4b0+/J78EFYL0oomGOTZ5iLroxxczvN80BnAuXhmBhL/bKPUo/i75vLkLAIhK9wP2H3NNN/C1Lo SvXLJCpBntLfeK7mOeSQ1RDlIss5YGTYbi8mSATRh2A8pE64EkFTtKXtc1Zbo7aymsfMtEWWi3SI RPBUPuI3oUAObJv/GK6dk28GIDBvIGMb/SN23ljdOqyrL/WttiANsGkHs7ub6JkKlJ4vS/5j0KqU P7W5lks99qnWiH5O2Iu10Xu+ROfuRnxDks2H1uPgW7cC+l1qNnfrtgd2dIJUZkO64qsKugotlHsD 9MbF1v1RToqUjNY7gWdPwI1fSjHmnIVIv5wgcoXKuRuUdog3s+EGgqw0VM7wQe1H0pq3TRHFblwL U0oa6BPjac4LkCwwTGN/JD5D3WV/1tZfwW5RTpYbCiDul9f9WvICg1yYAm9FedaNFsFqb/23sOs1 4EbZi0KklMaABjzRukhf7xzkc0FevCKJiB06+x+89KZKNhI66FemHxCJLV1Vy3BRmZKGVXEAsu1k 7gG2gS8Om24KD92k1G5OC0WdvAbAflnNh6MpBk+ldMoeQ3oZiLuO7U2IYK4H/EiGRSOYjJN+U7Xs R5MBTPvK7r6N3tDN/mvXfdQTdvUONFhNoVYdYm3KE4s7OuOeWG0dfblp//VMr2yS03gq6DslrDi6 T7WbXtvfXchxKTUaTvQj8jPnTY1ZUC6KvGpzZx1Yd46pkyAdhRQ5L+D0LJ4E6c9PaErnNKV4mg9T GXIe4u4WMMFypKqjK8xTGGi+3RXi7HDLZfcZKnLyBMYDeJMYbbV7imKr79i351yJw6vs3553pKmP XjWfXP8ADmGHLTSICKH6UWsIxRw14QbmBj7HdlDIrlTH6O+BKe52OpxKXL9gB48Wi2ZYeF4OKq84 DQ3jUnWk6pZrs2Y4Be7a6BPEQImv7R9nQN39i6YAhZaygafZvHskKF+Kmw1JzCFKa+KqEOSaZmF2 rKi1z31Y//Ry1/5nrR9D9GsENdFqrroIwYHAijZXNfcgEvi0SvIfa2BMgcFKx3XZGPa53KNouuGW 0bV1X3kkjQBqVxoToKV9nGBqMVS1TarZLwzs5U7aqlyPWGCxc229dKq+Qh0Mlc4Q8pd2/3OwgA2p NX9qQKrZ5jfZKIp01RO7f8FJ4tcIlW1Cuz5KWOlyg45ydSlRLF18YSt0PZPIHjFeqvE4C97EYI98 OtnGRpxuFN0cczzQJBBCFeuQXB7yb6LmKr0b3hG8RsGmrxkr8N+DNuNx+ySGarL/hqQ4N8Py7IWN lXpax8VmMb4EawzPUl31EleWHe4jyPGRMEx/MSIBGg+V6c6M0MS5LimeQ7n8OckdFE/JOavQN/Gu KMR8BG2bqvHGUPgL1DXgkil3Fb8dMh4Ht6OHoK8V4OOJN08OiHUN3LkyzcRURTQhcxkZ8StAfNKL xUjDdj9cgjJiGKPINkzhXkQUzcATKSPelkWgrqXGhD7Xc4ln83CwydootopmICFmUfHjb5HxyzWF KIHYz3qYtI7DEN6ejtLTGnL2hQpLoyt1DoW1tCWwkYorZpihy0yD6seaEYCYi6tlhtcOzpt5hkBk bYoMRueL+zaixR4Ssg+wZrKtL8IZr59MxHgdqXdUpMp2rsEqQaFYvP5O73lWB1R9BEhwBk1cCYVC NrjaZIFPmM9IYK8nnSAPmplrBGWjFPUMMbO0tg6EsweOBygo1prBGFu6Zxzb6ToPRrsSrcZGr/dv epNpDjTPSc9heb+65RAgeYOUiPsCisaQahv8Vug0TW7IVPluN4iKR6kt7MHL1LOWPLNvvcAOhPnk 41Vw+DG/y0kwj1LCvXn05QR4SzrIwjcETmF+zDkxqL65a9F5bN4WfZ9UtFODdl7vje77wTNDSl9w tA2nhczQVI7ZMFtYf8kMDSWV1Ct9josZEvqrfSTnfUoqkjI0UhZ+UVFeP5ZEpel+caOTy95Usphc OGH3PxgttqBrFuV/YScE38pjI9H3pEXmNtD5dbvltwG2T1E+y5mjJreDKzu3ukpcf6dOzCztrh6F 7n4fj2wtQrP9JhV27NddeNv2YYTkO+6BwpY1Fsj4iSuEpyFYwYA1+SAVFozhyIqdldBa+oLi2C38 wyub9q7hEzTKyem34ecy93x9zmAwIJKGLi6po/XbHIgnUE0QCVWGpZSVDuCEnLjhCvIZWeNwMNBb z1PUwA9+fIWvls/vJa9rVudcvUruZLWnEZHkiJqlFudZqGFlqmzBBAF8a33h3IGYwz50TIDHmi2D h9Im3H2dm7XugQD2wxScyywuHM0+JvfQhMKSExttLbCSVunaPfGWRh5C9dfonb6mveCAaTDEOz3p q4YmTYrwOiJ/KQIhs67jIk29T4j6TMeg4ky2bIanHL+TCJl5k3ZWQUgODJKzpMdQAcVn0sfN1l1D Vg7SWKqFQkeug1PHI9lfXBlfehyWcpp/M1e2hxDGeKDCOf81VSkFLgxoOaYuPSxDlbOCkepBrAjM gMqqc8SGf+LH//K1vqSmtxIPQq3+EfYA8F0mamVyNzp+9RxgbxJFX7O49k5LZZRxJIYl4VfmKlfw ItXuXgFAvnRA8dGIjrlShq+SmlXYTsj5R+gZOcJZTRQUyRNFA0jKaziKo6zUd9JIuBz//E+uPtvQ VyQn0Yu6SJhrOhDuY52rPhBZBrZfYssSZx3TjRWIbqxpT5rsPERztYrGPnlsNvw9pG9w1QOfbOaq 685RZYDwZGIBStiDlw/angrzJiNzLls9UoIDFIcsFdjag2TCt4/cP9EdqLZao6M4256fMQDPNHFG LQu/C4hwaDaLy5+hZASy6qrUlkYFKYkcdRfQjjQJCsAjmvAI/Mjpqtkw2dzwW2pSWFM6eWQ56hDx erFqoNrtUOUzjeQ0ZPS8lTxGGGNUCxgvpx5/G70XmnoEebm1Tq4uK//0NjMkgcmanLFn7AGR24ny sRniqr/8qYRnhARpjzR0qXJEcNBi2cCKYkLrjXlzVr+dtg/8/Qt42TevVVpQXVmiLDwjX9vq6US4 Z49YQfE/+ueS0xpz3NiuUg6hlXpCRoK9J7iE5JQ6L22deGwu2WSVeYmYL23oEBM0ecJashmFZtLZ QRO4ggvERHx+F9ji4UlpoNKOBPVxmNyOplECUUuczks7imh1GhIrBJZkYZS8IXJxVqnKwtdtISPW zt3Awf6jbej+s9oGqTGsQgako/XPPqNr2bA2XtgvxOI2u1Q1tt+ZFyHGu6ZPV5usAHx17bIW+Hpy jacCbe12sHv7B7fFIk16VlcHtMMN382C0mvPoqvnUrShMZuSFWkeWow4A571Ajk58HVaUD+bKCeK byaBi3YHD06t62Dt+iYWPegnCJCW7eX02Kj/7plaUq6FiJgJh9HcIbNPH42CcbiZURkhnIDajRES Scd45TCOL4MqpS/Mq+amGUAubKcZnpPuAm+WFKOxHpzBQkykJrX4+3jMuZtV/F3dOTcwDsOhNfvV jf3BnsEZSxwu61Xc0fFum4/uWo+g/TwdwFiIqb/E5T//UF8ADv8d/FUdRn7vKiJFGwPeLBf1dRtc YOVnRhPEavlUG6SakC+EvkvBQrhPOp1w9n8EqncaFtKoS7RDP3ldGBy9+yBRgSTmoKvWMr2vb+k5 iDjEVohi1H2Gjo//wljW1j1C7w3LazJA0VI71xS5jAyvnIlzhx48uwMaqaQLl9UUNG2IE8LbKxzh sP1zKT83Xm/F3WwoqHuuXThzde4a7bTIUADF8QSkrhWl1uB2lHWD4CE3i6oebSNd0KI7qM1Zp+KS vjPYMMUN+wSoqOrOVtXkCCe8Q9RVyULRtp/2XhmUHLAwnQM1d0ADnoXg5+8W20/DLAyGUwxCDVn+ zT/Nq6cohAOXFCuWTpdEkKO9zRnRNupkpDP3JXzccXjPaOFYjaVXJWnBi/hB0DZZXK1i6oS7IFhP JyifULSOATpmU2A8XR24s8c0svdCU6QMb05fl6rRjSFBpZ3mYHUP9cqFKw1yeska1SrHQim2YGZt xGy4nva2fEQztUrUViCNTz6UpKqhMQnYcfqA9/4e9brhGS4s5RzDgo+Zb8NfzRq7J51kAV1Qp1AJ Ya6mLK9cuQfUhs1SMP2dJoYXWM2wYr0vyJ0eq2+bTCR8RDkLgNv9Prj2Ybvgkq5B2n7UulnmVYUi +mYvjZPoDp7N3BKcR6zDFydRjnGfTZ0Bdp8LjqpVXOdUUcUjmfE6XVAmYmPNj162U2qxHnnc5/dd EonALk1BjXHtstvonWxAzuBxHRk0dxsN2yEk0igqCfYivwA0hZszFii3jEWM6C4BlH8ebiCVjfkR 54GaSSHzMDzX8U3SBH8IVUAQ9K/cmL5Sawzh6Ci4mcXmCdk7u5vPj9YZdRf/gADnQ2TV0E1kuEng UOt0YHJhRlRBdvoqPtG8WUersSh7r/DgtgZtBUrbsdBroXETa7CmAw/o5p+GIIDtHHX+TuZajgsL 3i799xcJzRZaS6Y26sT58An0pr0K19uOSc2O/bh0ML1SjEtpLKeWl78tSMtnA4Sh1JKUy5Lk87It woczH8S8H5ZqRRu3nDPLlLqbDxqUWto8T8Y4kySuDbb4UJswqtW5Pjr+dMKIo6zBJ19TZFrI/2JS +UWvrwAFeN9blWuslpVnESiKb9flzw7m4RfkIVWE2X9XUH/WUdEN3XpX9fkBn+5C2HTIcUvqzWE1 mz1hyvhznpY2s7JGEk1qkdU/r++dXWf+fBxGNrGXk4PqO7jOyPHfNPxlYqx7+ZIYQ4HHV5Azc5OQ wsqKteA8I0vw1mrkhES5ncaEpI/ridccIJW3nRdzRZtzUaKaHnR9kRU6VC8VQBs8zgZiC37udJKS XSPdUvb4n8kuA3CTNyKWs2eCkAJjVUbml36Tu6EmSd6Z749yeRcLSSRsn+gh/s32EFjrNVVnoGCY u/mqUaJhmW2EUZZ07+OOQSBG0FDrNtUpyck6dl4HEVQ3eqc46DezRMs6V85665iR8yw1XDWLIjU6 gRn9Pygd9O1rjdvfiOgSSoBR0vPWL8DrqqFpQUBVXleMz5YHNdnG3uCttXsHJ/s0mnCfzhVc013y wFvAzB4UCv0CmTP6Nl3mOvvDV7/PmKBR/W2YiclHVkVywYKTA5pvk3KFyFH1Apx+9u3QiK89UnBZ hP/f7CaIW7grK4v4h0TfyiMiHSll9Piu9n6GMN/4Mn5l/WbL42jGhZyeLCkag06GfS+XyHKlucTr iJ+QAeh2HcFKM2itJM873D1qBsDezaCFHFxz4DlOcHdC2Mft9PxGNXaUnHaoeDLDQ3ncver3ZmQM beIXkrDceMKzgZWIn+qlrnniDDNivGFWoVUd1msJgjD6q/zB6AqVjPyKVHbjRzMGW0mLYXRC9x7P /U0kuifizsUAfjpArD4zdg059vDIQYmzxukbw8D2j/z4relfE6IG+1yTUeB3QREdmeUcY30Mhsqv tBueokviC7upRSSbM2HToXxag/0AM0wiwi6yJFAef5JZy7pUoR1j9j8rs5cmaACIOwX0rF3hZD4t wc1xq0I0igtFqgStR9IKK9oEz7IGRlMbactbC48vK/lwiLqpjNDrfgBQhEWsi55VdDxdgFtEGn3Z Nz5m7FHrBqrAz2LlvEYHT2wf9V547GjaCh5RXzQBHNqxBOFQT6YaySsTqCfFfy1BBEHk9JT45Nm0 3sOIDQ+4piMq13/7ytd0g3V/fZOIkIDLA6aHLhbPv6O9HucBkL1S5ojiQ3fNQTcMEJg4Km2YiHHH UnAm4iUFV5fz6VazyKRIq99wxAe2Fof5eiRR7jce2Wm22M/UdT2F/pCrKuIouAexBXDwePMr6Ue1 7TTHaAAs7LIuo1k3knAo/ff2yHKd2dTaDBkAV4A2nvCzMuPp2KQbu7pqu/9sN5RCbHUXsNwg6rwl ZLZ5LN9rnnUwHO3gLeam62lQ75FEPWr3au4XWFwqlS6nZdA1Sd1mKCff1NIiKgzkS/86seg9AYv/ YUCQjRyR5SXWVNGf4d3UsxLLqPfjAmw3arNiKTnoHSFxaq6LuoX6LZXjSpj//Ees4vyu6p0oH3v5 N0XBs2dRoZM3gRoAwvYBOcz1dkyU86hs/c0n2mlUBofvMv/grFyA03oJfh9SFhCDJmgqE4XLjou1 VZ9Le16TFNS6dWw703kVaPpelEfLojaVinoJTquo2F5dywANgu4TZUV/xTfPV8S1z/CobVoc+sDq hy4V/p63VaFqmDx02NIrr8149Xy+MdYhTJEwkyK80m0ZHcixZD5auFtmYgEPKG2WV4MO7jYgtMcz 2TrVDQ8b0aATA1zA8L4E8VBxnBT0q9FmqJIGl1G1N4jGVNLciwig6yHsu0dkzyvV3Yukv/rj6QDv 6X97qGP0BPGZ9QKcuZIySR6OhyXjzuRWu059FzQKvbNnX8W8wVHovaG6PgvDj8+ANLS7zwqITl0D gxFLr72JAucsqDXCdIlHwPzmR89T3/5QgW5pAQEpTCPTIn8TGhngjkYf7HkKE17WBVb6k2cTEWJE liQErPt2+mXb/8UJ1/romHkmgIWD02oNWQxly3ziOa33XP65ftaCdMp/fQsTRMb9UayOjWer5iq+ kyMIZlZafFM1/EJ8VX1dhTvt/vC+EbczlN8kBbnk3eLO5g2EmnBHlsi10XAYqRptbg4TKsANW8X9 xmoBvF3lkuM5PXecV2g+RsXt8N1WB5BeryYrFknlW6+BP/qEMVd9dGlaRv/WoW5dDRMHtBFplojh F87GcVhrVFdwbjsxKad3k0jBsQ+m07F9IqAYqV9+VOnWfDqSJSgYxvpPdqdACvQDsj9oF0cdKFWf VP5JBXaK1cAjjynB6BMP98q6Qh1hmik1np/qKNopL0Z2jyjVQBL8kxkIMQ+9t5fvIptTfRAruTkL beeWbStPSHGfI8f0cUAZ6Pf7k2q07VuPR82AWkE8hZP+0/FipatNScCatvF6Ql9UlOBi9zs1O6/b IRdpZyAp1mPJko4RFnBEwJ0a+L/qHsYWAeixXD8UvdJdIPQxyrswUIDhYfGx7Z9BT4Df/p9NrUph i8e2xnTZeZ9LYsTQ3TaGz4UTVVj4yEWR4OqGFZHcy/L81CUmMI8CIKXKtpnlffoO43YQ8gncV2OG K8dU/DrEUJEknYC8tsSWq6QK25/ikP5dXEsFzSUeSptgRLOUW2eMllHTwcyzvtBd5Vezk5djR7Y3 VjoEteTTDLB0v0XhwKbD57fa9x2aL+3rOXWp6Bn+RRMk+H30OVt3vFVY/IKieF8rQ0jxO6aAxWr+ bHx7Ddy0ON8ZXzuJTOT/kVXALqcFvAlQjLEsd3lwlsE45N7t3BfIbu+n01gIvCD4YtiQVBikXxHf JyowKc8qlv2iTPL2ak8fxQh7ksD6mFvXiQ+VeyUw+W0hdRuFyRswgKtNGFKkoGKabncysUebRfBF A/Bk9UPNUqf+fi8aZIk6y+Is8t/udQVGGIFC3kymSHm/nLssVPp4dZv4QqIl2CeAX0qVJa5KzuOv 3u/bMIh9OMfuTJ/tw59klZjEdZl7yVYOzApGdLe2a45am4r6CfLbcvLFvsvAbLLpCOuuk5X+HgIj HsL6EOPfB7XS9y2AQ37bdXYykOewDE0yVUh8IxH8P3aVsWI/gtRuUFf+efjH2WKLDsQyq3gOLR3s Nf/UUjcaQGo5xHwwXbh1TvLiNZeEFoOlSsyEfu7MxITHLmBkNQojPMIfhCFFF53DzKiSP6Z5iQ1o XPfSQEWOyGwD4v4DqGpreCBGy73qE4RMMYjud9kqRlO0NyUR03suBV5JZ4RzAv+sYkA8Idnfa/JR EumbldJxk5RLPVC/HrQXaUfdBgitZFBTF5Rn8Y3MfhujDVJDzs0eOTiiLtp92rgzMn5zCkXHxsqk kYF2LDA7y9AVcf0iPDNDljA4rXhHI9LFHdCVWMaqDq/N46l0AQZFJRcNN0qaYacur5Ufsl+V/qG9 WUJPFQjW31G4dqI901VjMvGTLkK39XQuSxmrKiTvuu9CdUJNLg2nK+0DJ6BiVZGQETiw7DVYIzay UF3dDQeZjbqryXpseJMrkuSfFudWI76LgIbHjxyfGKGmbjTO48pTy1r/8cgBsXVMkrtsJLSH31Bx hcXluGdIrP7OHu4vJtG79D1L9MEW5lwGOFYqbDRR/fSkmh49dwdXt/ai3ETnnUCxABIWWPi1gz3v UKhU+52LDK17i5KbQg+7la8hidjaAx3TKjwtBqWKnydKWee5C3AQTRUzS7CPumsYc7G2L407kekZ xq0xn5QLy66DhJpay7QpSgEuFniUXX8P4Vs4MdY0UVIH7b2H/QVSmkfNSYZ1UXR1E7qwUhAcptfS 0RuUmxPB/mLb6FNqAALaWtBjrjfieabpB1U4PlDd0Q7EXhGJTUy/5Fu8k8YkPNVv7TVjjSz03RNc PtSXoXpMVxFMrJk2BXwYYnMF2ln6euKQZcIOBs6BKbAZmlwNQqP9EIZ/3pX47vYbTRJZt0QyQBxL chTt1wpIr8h8Mi2BzmLWsbsEmbg8T05z5zW32h0Ohb/qnbwh4rNoewfLv55TgJBFrShoes2l4Qob nqxFadYIprKR9+7H1k/VSFlCjFRBZQZLkyFSt2AyUQuMfjdkcOAPi+beYLtUjRks+XZTUewSK+FA xQaidDHnhnA3s0FRtk1BHaBbhlrybDqHbigQbTlO89vQpWPUpx9pc+87hmtz6WICVjZSfWZrAMKd njL0WlufXUSX6s1lwhzICO5fWPLpIS32JIDNuqhLLcUQD6SWXa2GWGfCX/TZunw2W9hcmLdGc9Gz KjpIY/qP+6SPFeC/+LIeizW8Ic2mfNbTgWZOj1MOmmuzOYgWylUCYHiOuOp4NEiQv6fwLtmoL7fC g3FqUhfI7bLLM2R9M+++q0Gqee5aHRxXTg3aNCCMb9ahvqOz3tJYcPpF3k9u8TPyjWwWUvqvtjm1 iDqGyHL6J3XgZsouStrt5VZrT5AosormV7aGPZFhKDAT8zD5denQmrRP1OAh34qyPux5zwr0B02H N51Es8Rt8d+OiXbtLQsuPGGS83NhOMHWYsk9vb5+ySb4u37qZBaf5Fx3dJpsEOwMZFZFGCjkbdQD i4ShqmjjV3LEBfrubz+74hWibWEaHsH45nL6ndRrcaPk+WFN6msUT5s3FOcBjIGO/42zMTAvM8Zj m6QKF+sol/sSWw/9nEf2LU8gax9dJzEbLwP7kmhlcTNde8DByguI294naTiR7CDXOrCr1dGKOHx/ hNUSQ3A9fZK90KRD3+wMYdtdTehHTlMWnitsi3MFLaU8wqhJ5q2HvSmNLNxKqsCeUYoSckUhyKC8 gfq8lGYBKAGDwXtaMFoWh5Muo1aVSgfOzjukENtuPa26mXFHCdUwYnTNpp+4DyB4NZftJrC4CxbZ NyHP86Xg0FbhoSijLj6tW49gVcurjyYcx4I8UHp5cZOGgKvFoSLxwNwL5HwTzSsPeNrWHBnt8Aju TcXW3PyPWM5VkMpgZVu2wQVVFiIAYlUgg024ANDH8f52Pw5W43D+BDduBhP7TVzxFUEV3ugguqHy OMopSxG6M3X06VlFquiXJntOyH7/xHaVgYI8vVe+cxqML8Apkv0an5J1FbQF2cO/kvwrTFbyHR0E Vae5B1CrO3Vat6YklIW5nNaS7NTxCDx5euhraCpaygoeL9j7gh8EAawu5es3m8z2ilg5xNScmBH/ 1oQRQglNad1UqCtIh4qLEBTIjMsc/0nJ/dBoJsYyiuVuSCri5rz5N1AxnCMSV7G+XTOaOQb2HaGV PvVuqPrfAV1bIdnlZdHpck4d8lpPZLjNfY9OgXfaZTwSJxhM8pV8e3En4LLvoMV35CpGEXHsslJ2 YC2N5SnKwa4+wI3lN0xrkdVVTMzgjWkyb/U1PElxhNX3A6sMuJTis0PWFTZ4K/ujtehg+dkgwYaM XDMe5Q6KIsv3jmU7GiMVGTZvgJdshfNjyycoeyBtIneHIpcucdQ6iwltuReaNPnwrvu6LIahD84z rHWk4/n6AiSp3SrxBzTrAyZn1yPr6FkgX4fbeFApEduK7IjVq4ztH6t8ERVUt5iMF1VcDmBPDkLb QsJvbDyesqmTt60L6f/exwBf2SO3TLwJmeU213ap7tYxFVpSuAvHfaemtr9fr1NNW3Ua8NocB3Qs MOmyhBsr4ZZhJFwoKVreLsp1lON1fySvv0+1SQM5UrKglsPvdmp5jSqRfeLZYoG2sjoHKeWkgNKx ArPQo3nKs+R49VJlPsy2AlPq3cmHsNnPgq2IgK5rjcDYcDPoKaY25weZcLJMPbeuZNE2nfXE7d9S XXJI3ZrOf9Kfyl/T8eKBGloPTSkSTlndzHVzPfxMOuJs2yi7OYWFe0miibeoQU/eFkcwB+C0lU2w RT9nUabNk3T/PSkfcAL1SwQI3T1a1dpU32jNFtgOqLsMNuM+PBGnvggZz6w239tVR9CI+qgkLEUK QG3KNPUyeN95d+ixPTjRwUpEOBaulUpnXued3ArmP+81StkTVbyMB3r3JkDG7zudYpkD3/J9u6sz T72GRTubjI6a7R8N2fHluAsorYCdQ7tj8BdDfyPI37NGTqL6el2kIBP1sEY4tExqurJhA0ai/wTk nayGFi/0Rr+KbRn2XP0LpAsd/fryDj1Xu9NftTG7RGRmcii0S6vM/gYuqsrtl6BrFmDfXW18s+hI r50L0j4XuGgbXI4Vccv9ue7u2gWDLFkXBCNhjEbc23PJVduPzaIFXpyzoMkC27eTpS8c5QLronIJ luDcwkFQKPVZJZzTMQzkoe2W9e2dn3H89OO/ahCC/emDVFF6iSJChUY4TZ7MY3JM6r7OuA5j6PyB Ud58NpJPffCoQ3x+rKcU8pr+acivdz7fycA42yPuA6/WqIvqd3ZqwDy/+X3z2pFbk/zZjkwwF/jS pr5VRHXu778wdbXXLP9/0r8Lm7pzs6jbF+dLcx0Xjatq9AgCX8eKRftI1TinzsbvljdcoTOfDo/u 2pJVkyVSBkAVH5thRwlQsuThLZwVFKj4nzWI3NZbIyNHVucE3cQB7qx7HdPvwXo3b2k958sjS8I+ RnIGifDpN/3I8btgcp5DjM8SdMgI+8KmbVbct/5sbiOmJfv2YnqcZ/nqyvY1nQoKBdqtrVzQ8tHB kMS0bEZo8A0j7l/DAbLAMYkzcAOoeNyrVmVu4lXpovQtNT82j48gdzkgRuLrNMfVOAk/umJTL8DX Yfq8noG0GKfTVuckbHARK+bBV3dLUWAkUDuLs/p8rj3urn9z3wLeUR2JBN123/p0RQgRVGFZPNwE fbFMaCSxEomHOBcaXldGHVJt9LTDy+sNW+WSuoViaVqn968Wu76Zr2f7bPidPUNrdwxYwxPZ0dHb BYb522Lwmf3mFf6ny7xRJZchADpa6W2wjzuW+6/2eVOpr+lQJ9/YVKpat6d+d8CzYK/mlY+77ctx v0bRnA6kMTHiKghbguM4BU3Y0JaK91HNC6bnTvuCie+gD6hfYesX+3QU1TcroTtVhXXWH6lqcgVQ VRILDVdIivXTJma7nl/U2j/fJQJ3mdsy/wno1fdJv11SufBTg6zB/iU/AaKBDdP9a1dkKXg5sbZE hQvPen8rje5NXerF5XKnJvoD4atcUiuM+eSHvbaydmEAKSa5xKyv8AwUjrNPw9R4KYFGqo3GF7hw r8Nibrg4TImnA1+nUynUXI3P7Y+kEZT7hA4hq5KzBEOZf3koMWPdrY2e7JS5y78f2U2VCabGdgiC +YNIJ3Jr409cOuaxDct2QuW78nHDGMbM4Y763jtUbAzwcpXyFgeXBMt8b7TIUH5t1Begil3VaVuE +nbkfdgKm+7EjGWpmbo6v9oFHbDO8hA3ERhL37Lpm8b2f80Zc/cROxToz7PgFCbJ03vrTTwubuV1 fRuLsODyBPGNOFtNbQBiLuuPXeBp2njxR5Ev8K3g8mZfmj6A1V2+ifgQiRsQ6e37XleMp5YPb4XX BikzejItCyaSWhVjoR0LaOHYfL+AYkSD+iuV0HLCHFYJZhUPSt3VpxxZ0IlAdz6JnGab75AlU1e8 iJs16Bxu+N6W9hACR3uj0ayieNVeiSF+dMxjkWzNN+TGzPZpFSgneMgkLJO3K2KixSFazOcKGGNp BlQMfF54UmKJEde9MZncNkVDaMaco16ecSEo92JoPHE1UrOC4dmvKChCOsky2MQuxLPh8w5yGPsj zJJSfkCUJnpKit/NHeaT0RVQlYJXxzm/hM05bc4Y7UOAODmMKc3P4Ww8aRhsePhpldtE7Ckz0vRb XEcqR9LMxPnX2hdAAIQlLU+7QfM9YngNZScnwz4U2W/b1o3wbv2J3i/oNfZR4htPvE0XJ+xwEL33 0glXm/EsRj9vB8o/jvwknpdbrcKPFdl9sBzo2AHMMoyd+DariE+j2EMsVgENUUQiUSTUhz7NHPBi ApCXpdX6Y2OODecSCwK4GcQw/DHakIWgLDDOm0P9a038bOZKyBGXKboL8fbFP1ZxMYVThIvkcOed +l0iwcK/x2QPAQMWRZaoVQODTjWnPsTN8R+M+dUOSoTqL6b6ZBaLj6QZTUYMYWrr0+wVo1weFSef HUl+GuDqU7JsXA+gtuD6UWYYjM92oHBjWeHcWs4Nndcy8H9m/+WuFyKlL+HVx1X/sZ7albVAIIu/ t0WGxfT+wXyzB+LfQQ42N/A+VPbJRRpIJmSTmd6WTyoj3ixbtg8pEXN+E8DgDii+c4jef2wGZ6cK 2pdDrdw/oB5Ug3h3KY97ZMmx57Jvk/ugRKtvXTCxHTW0TSk6jDyLkJOCTriZWJZN1twDyLt97jKh AFNZbZNybLANKvBSsPebCUK2xADtNRDPDYjwDi4ILm86z6ots1Xswh6QVkGVJeustZOo9HbLP0Q5 NxTWBwypf7noSy/WmHIq5tlA9f7y+x9f4sLVI6HPKCtRiH82ggZcxZwF3+EyZTYeUjQEmlXMsFCm o9GpTqJN1cFvmeSzYNluqEzPO4UrWDyjJp+vvVjA5u/g/1AKS+ha4IXbIY/UOALC+wHZc4RIbpds b+76m8xA6mkLC63tnPW52Qisd1LSWBePQ4LG55IPhT4P3z6BjNXmTZHoeu/jEn+Orj1BoGz2E4zJ q7beI3A5FeZcXSUNyCl9Wr/Zhki7k6EtoZ5nDx+3iodvyihJND+acPD9Lnw30KwxE4WD+024AN+f NyjzRrtMZul1dMW5MYnm1vuRWkm78uGOAZCXggtbNbkLPqAbNrV0VsQQlHx4pnMCnnqSPzWSLtAZ /DPmG9SurMbeo5/3dnzw48WJ8vdhZQWmSGUyqRbQKmzYOeCP7M6iOfkHrgI+BGVuonjGrHm9do38 M8ri31XdtqZBNPTdX6b70pn/nx2AU4zHd2vIevJYfZPM23et0PI+UkzuNyUDS5s0faHYDbfFRu1d rqglayaMpUGc13+DikF/yfekjI7raPrvo+U0TV2z04JJiLKS1s+1f5Qf/m5+bd5XuLWZklsL8NPo rJOPT2vKe+M63fKKqeGLjy1E0RyufMyxbUlmbztfvc+VviFJnei7ljf2bo2NRsOhpvo94aGv+ZDh 7EKRpa1/Fv3NSsr2SlKwfQYLiS18JW+XI53eWWU0Y54OQJiMIsy8QnEIVHBr7NvAHZEre7WiA3VM QEvjp3ditc57zmo/c+ahbxPM+S4WsK044OtYjHb04loAkK+3H8SJ3+XGpk64enH4u2T2YKr+IKgG sAiyvu3EN2nXaZkAOVp1Atg4oMmlYKjsKwfXk6fxrgpB3rFkRra0ue8xiB9/woCezQ7I2ZogQFgw pol10w8Wcr5SyvNiQvEuCpcbKbtqOmORw/wWJtvzu15zYvwjb2JKy7XCKX2v/LoFYIznkigRyZVg TBsBkNCFty+8py/OtmMCKW+QizcVqN3K4KItDVjEjtVx7D+Oqk13772IjHD4AfQN4YTwWJVF11wY GGCBOOw738Tf4ItIF3DSeXZL51LB6GDFpOe34YIj9EPAGSBlynRRkafStckuvbRf+h/uNEbByCBT Qnb7pYEhMoqejgPWCpxnXAcP70Y/EA17+vk3ALQZsnrIfIPJOjlO+Z/4h1qUNWHLwiDwIF73449R BjycTxFMi23U94Dq2ZchdGD7J780IjyABG8SAY8hpDi0JOS222VmhebLRgS9OlW6zwMtHriHHNeZ ubOj30qL3obaizkYQV56m5QFyT4sfYis1PSOMtAEVRniOfjo+3C/8iCLLLrHg07RyE558N0XrrWI XuA3zF/h9R+j1vkm4Oof6M1KxexBJESv0gbpQl3UxlG/FSzCNO5Q6E81fe/Y2xGvaGkd/mDkvrz/ 1k/c81PcAQ== `protect end_protected
bsd-2-clause
c628090b9aeaaebc5761c31259a601c4
0.947291
1.836892
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/misclib/axi4_gpio.vhd
1
4,867
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; entity axi4_gpio is generic ( async_reset : boolean := false; xaddr : integer := 0; xmask : integer := 16#fffff#; xirq : integer := 0; width : integer := 12 ); port ( clk : in std_logic; nrst : in std_logic; cfg : out axi4_slave_config_type; i : in axi4_slave_in_type; o : out axi4_slave_out_type; i_gpio : in std_logic_vector(width-1 downto 0); o_gpio : out std_logic_vector(width-1 downto 0); o_gpio_dir : out std_logic_vector(width-1 downto 0) ); end; architecture arch_axi4_gpio of axi4_gpio is constant xconfig : axi4_slave_config_type := ( descrtype => PNP_CFG_TYPE_SLAVE, descrsize => PNP_CFG_SLAVE_DESCR_BYTES, irq_idx => conv_std_logic_vector(xirq, 8), xaddr => conv_std_logic_vector(xaddr, CFG_SYSBUS_CFG_ADDR_BITS), xmask => conv_std_logic_vector(xmask, CFG_SYSBUS_CFG_ADDR_BITS), vid => VENDOR_GNSSSENSOR, did => GNSSSENSOR_GPIO ); type registers is record direction : std_logic_vector(31 downto 0); iuser : std_logic_vector(31 downto 0); ouser : std_logic_vector(31 downto 0); reg32_3 : std_logic_vector(31 downto 0); raddr : global_addr_array_type; end record; constant R_RESET : registers := ( (others => '1'), (others => '0'), (others => '0'), (others => '0'), ((others => '0'), (others => '0')) ); signal r, rin : registers; signal wb_dev_rdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); signal wb_bus_raddr : global_addr_array_type; signal w_bus_re : std_logic; signal wb_bus_waddr : global_addr_array_type; signal w_bus_we : std_logic; signal wb_bus_wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); signal wb_bus_wdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); begin axi0 : axi4_slave generic map ( async_reset => async_reset ) port map ( i_clk => clk, i_nrst => nrst, i_xcfg => xconfig, i_xslvi => i, o_xslvo => o, i_ready => '1', i_rdata => wb_dev_rdata, o_re => w_bus_re, o_r32 => open, o_radr => wb_bus_raddr, o_wadr => wb_bus_waddr, o_we => w_bus_we, o_wstrb => wb_bus_wstrb, o_wdata => wb_bus_wdata ); comblogic : process(nrst, i_gpio, r, w_bus_re, wb_bus_raddr, wb_bus_waddr, w_bus_we, wb_bus_wstrb, wb_bus_wdata) variable v : registers; variable vrdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); variable tmp : std_logic_vector(31 downto 0); begin v := r; v.raddr := wb_bus_raddr; for n in 0 to CFG_WORDS_ON_BUS-1 loop tmp := (others => '0'); case conv_integer(r.raddr(n)(11 downto 2)) is when 0 => tmp := r.direction; when 1 => tmp := r.iuser; when 2 => tmp := r.ouser; when 3 => tmp := r.reg32_3; when others => end case; vrdata(8*CFG_ALIGN_BYTES*(n+1)-1 downto 8*CFG_ALIGN_BYTES*n) := tmp; end loop; if w_bus_we = '1' then for n in 0 to CFG_WORDS_ON_BUS-1 loop tmp := wb_bus_wdata(32*(n+1)-1 downto 32*n); if conv_integer(wb_bus_wstrb(CFG_ALIGN_BYTES*(n+1)-1 downto CFG_ALIGN_BYTES*n)) /= 0 then case conv_integer(wb_bus_waddr(n)(11 downto 2)) is when 0 => v.direction := tmp; --when 1 => v.iuser := tmp; -- [RO] when 2 => v.ouser := tmp; when 3 => v.reg32_3 := tmp; when others => end case; end if; end loop; end if; v.iuser(width-1 downto 0) := i_gpio; if not async_reset and nrst = '0' then v := R_RESET; end if; rin <= v; wb_dev_rdata <= vrdata; end process; cfg <= xconfig; o_gpio <= r.ouser(width-1 downto 0); o_gpio_dir <= r.direction(width-1 downto 0); -- registers: regs : process(clk, nrst) begin if async_reset and nrst = '0' then r <= R_RESET; elsif rising_edge(clk) then r <= rin; end if; end process; end;
apache-2.0
feca7f85d0d5fd06fc7eff89b61af5c5
0.595028
3.178968
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/pll/clkp90_tech.vhd
1
2,149
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Virtual clock phase offset generator (90 deg) ------------------------------------------------------------------------------ --! Standard library library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity clkp90_tech is generic ( tech : integer range 0 to NTECH := 0; --! clock frequency in KHz freq : integer := 125000 ); port ( --! Active High i_rst : in std_logic; i_clk : in std_logic; o_clk : out std_logic; o_clkp90 : out std_logic; o_clk2x : out std_logic; o_lock : out std_logic ); end clkp90_tech; architecture rtl of clkp90_tech is component clkp90_virtex6 is port ( i_clk : in std_logic; o_clk : out std_logic; o_clkp90 : out std_logic ); end component; component clkp90_kintex7 is generic ( freq : integer := 125000 ); port ( --! Active High i_rst : in std_logic; i_clk : in std_logic; o_clk : out std_logic; o_clkp90 : out std_logic; o_clk2x : out std_logic; o_lock : out std_logic ); end component; begin xv6 : if tech = virtex6 generate v1 : clkp90_virtex6 port map ( i_clk => i_clk, o_clk => o_clk, o_clkp90 => o_clkp90 ); o_clk2x <= '0'; o_lock <= '0'; end generate; xl7 : if tech = kintex7 or tech = artix7 or tech = zynq7000 generate v1 : clkp90_kintex7 generic map ( freq => freq ) port map ( i_rst => i_rst, i_clk => i_clk, o_clk => o_clk, o_clkp90 => o_clkp90, o_clk2x => o_clk2x, o_lock => o_lock ); end generate; inf : if tech = inferred generate o_clk <= i_clk; o_clkp90 <= i_clk; o_clk2x <= '0'; o_lock <= '0'; end generate; m180 : if tech = mikron180 generate end generate; end;
apache-2.0
0083b5c720fe3b6524ddbc0a72a84907
0.503955
3.421975
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/mem/ram_inferred.vhd
1
1,588
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.ALL; use std.textio.all; library commonlib; use commonlib.types_common.all; entity ram_inferred is generic ( abits : integer := 12; dbits : integer := 64 ); port ( i_clk : in std_logic; i_addr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_wena : in std_logic; i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture rtl of ram_inferred is constant SRAM_LENGTH : integer := 2**abits; type ram_type is array (0 to SRAM_LENGTH-1) of std_logic_vector(dbits-1 downto 0); signal ram : ram_type; signal radr : std_logic_vector(abits-1 downto 0); begin reg : process (i_clk) begin if rising_edge(i_clk) then radr <= i_addr; if i_wena = '1' then ram(conv_integer(i_addr)) <= i_wdata; end if; end if; end process; o_rdata <= ram(conv_integer(radr)); end;
apache-2.0
d086c13bc7f94413d73a5f5b347daa09
0.678841
3.385928
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/mem/sram8_inferred.vhd
3
1,340
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief 8-bits memory block with the generic data size parameter. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.ALL; use IEEE.STD_LOGIC_TEXTIO.ALL; use std.textio.all; library commonlib; use commonlib.types_common.all; entity sram8_inferred is generic ( abits : integer := 12; byte_idx : integer := 0 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits-1 downto 0); rdata : out std_logic_vector(7 downto 0); we : in std_logic; wdata : in std_logic_vector(7 downto 0) ); end; architecture arch_sram8_inferred of sram8_inferred is constant SRAM_LENGTH : integer := 2**abits; type ram_type is array (0 to SRAM_LENGTH-1) of std_logic_vector(7 downto 0); signal ram : ram_type; signal adr : std_logic_vector(abits-1 downto 0); begin reg : process (clk, address, wdata) begin if rising_edge(clk) then if we = '1' then ram(conv_integer(address)) <= wdata; end if; adr <= address; end if; end process; rdata <= ram(conv_integer(adr)); end;
apache-2.0
fdc48924f9ce082b659d33195124aede
0.578358
3.661202
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/logic_builtin.vhd
19
30,579
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QA13xX+R/ACi8km79qumYiCoL95/JTNXmw/Mv/Sollu1nSewLnwk6qQvytLuy2zqP8g5ZHUfDkXy dYJVTyRzKA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nii8tC6PWRY1wcl+Yj+dJQmorGaa82N6txtyUcQdtmyxn18ohe6n/SpcWdMXBCN1HiV+XVlZhDEw KvXEmx5H6nBr5/f6eVRIc3k7vZjXpluRFM7lDsLgIpfE0fW00UnX/0rMYgmxn+5+4dG7smGpX72S zm4Z5q7tYiBa+z76ex0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block yppU6wpcO6vEUEaOZTTT6jS7XbaY+e5Jeh6nknICBRlkmT5DzQmd7eWK0ShMWSlNt0Fv0kuxSdt3 PRQVKoJayZoHlh1UH0U//6ySDV8PrR8ZKYbnb5G7lC3+6hAsVS0WEHoXFsxe3QTXWezPX8OXISSE YYTVzXqeBUtBDqueK1cvQyMM7IWnXgyQ/0dRh7UmnEpiOonlQALl1eEnWSxVZ0L5cd+jDbcSlWqj VgoBh9A+IbjGjOjE8FOaFLUMzvKXmpjNiGzhwyN1qXczrRlE54AWkRUECVVEGR4zuEA7VTQH6H/B e1HQhNsFNtK03nDJRyhoiacaeHGOBo4yneyZRQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xoEHrB3Q0Yfcf3MYYTBHkrbmS0WN00JVFDeAhGuvxPP5kv5812Q+oIM0e+z8RwGLEwQ4F0j3UPw9 LR04YDkbyd4XfjRJQED6GhUyhlVHkeZ0vYn6D/hB6y5zA45LPFz5aqbLudigfR6lDZgyof50XSaT wkqaJ1dNbsbYXDGYiiI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SZoZou8zrLQYkyuoYxGz7q7TKCLXDf41gJHR/eNOYbjhVAUcJLojwHpmGq29Knnj056DtiEpAnUR HkNwqIIUQ/PzBp2ZRgLcYUhgAGFauW9u5fA3Qe79SJmVAKU55R6eP+5h6YaMx1oo7Myp8ZHgv9LK 0atkww+rNUFhc/kS4ivaypKADJgY/Slv1X55We59ldg5OMI3+jFcKD4Ow4Gbs5tHnIUzKQ507yjR 1wg0oIoTMEm7GhN3wZnee1A7XeomsW7IrTE+3/M1cRWhdrj0rq5nqrI9yilbmzqQyqntfJK6N8Y0 QQNZFJ8oCjr3X+2kFBb+Pd3/scpZe1PtOU8TgQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896) `protect data_block nLAzd6tD/6N1xeuFIPhzEQzyt6Ku1YDjA3mlpYOLPC0m/bRyjQbNmJtiEmofL/CbUL8pX7SL8mgi QBU+0zdta42eIxLDUURKTtWe8dppPr3HZo8r4f/C8TLsQf4RD7QV0GouBiPeFQIjr4/M01WWilf7 Tyn6RtjtffHFNDLNvddK19bmaK8R6piu/Kpz8jCVCVAPEQNZYXP1C+7k+M+4c+4u2U3t+GW9C7T1 01EStW+6qVi14dc7EfHKv0wT34zWlhn9yX6JRVtXbQMqhvn8LrjCnz9Zbp48RZzMN4BkowfOcphR RSpZVzg2AvuBFcD0zlZlEMUy8w3MlwIca/c9wjZYXLFno6QyfCgjsnzkEir2HqtaYW29G5SttkS3 6mRqGqK9YVlcWmfoqfMmcWzu1KwrUHzWmRlxkt4EIqGWS7K9VRzGYB3LQUtIlNnd4cvXrnxIVFvp mx1o0V/bn4w3Ulle+qg/n392U9QP2jzLL2eN7i7JOvx6jCGIt2ea42sjxS4Y0FHRT7djl4I+F1Is u16Ybxq7aHpKG1dwcwHxK26FDjNUt3UQ/hJJ1co6AKRyhq45aMd9Cno8dJbnntQrP5xKoB0gubRE 9lHoDlFzIUc7Tfrzo3zvgYHZ+XfUExSBZY+BFS55OOYr9fvcX1XnSVrzMtzfb1GFvU1Tm+CnuWJr 7Dh/ZgnwY9t5yWpsB/hRX8o94mOuemehcdi648bIY4rGqPImAFjDKsVtsT6fGJ9RLEUnW57WzT9g LhzFC8YnRYcOYtmNxWraKA1v7M7oPmQuXvmVRAsHj9JrgS+WO+x5tmBlDfMtSQmJnZqyw9/ZPdHM p8Og+6BUyg6jqao6Pu1xCvu7A6BZGqClbiYWfucsRJm8v5ex1ViQtsvnwP7s77Gnz8KhfE5NsLtE gf3G2DNW9Nv7QgnCmyS0Sj8CJ1Qam8UNIzUvcMnjcmwTFMS1+MuM9pX51vJsOFyd7R6GfNwbrJI9 WsY9BSVaSunki9wuvGw8zHFxAYybVZ4Pgk/cxrNynsQVVN64+X88woztR7cNRRR38XPbvbXEciHm ckcYHN63dqcAq/D3ecYZ97I78W2Q3LHd6H5LHlbiTKHbLmXP/3Pbc1iqsn3XlFxLRDDGq8eUlw03 MPeo6iyQaDxq97ui9McNr8SlKlWTDgZI3ANV1LXlQimIZB2gVsXF3uyi49OgPYo1SV7Tp7ZHdh1s HYQS6vLEBaPLoiPsWr5MYcmPsGz0ADZta7/JdC/jvurFPVeQ5BYoEB5KL3FPCQ9PKLxkoyOgfnpB zrsHV5Ydxo4S/4lPhLyApq8zXwzMNYffr2FiPmk9UFFU3wd64uw1ockXJHHQDVj+8A2x/3W2PyMx Fb8YIdgr0KyzHe30NyPL3OUU2kPSrZpJFEcapuNh8pMP/v88fhRRcoelCgGhSDvAXRyfm30isazd nigZR+63l7ExudTIdSYpF4KVLrD5ven8HEH6UgJwjpyaPb+TntOPa6ZvW9E8Y+efKLi0Bz4qcjUZ a7cKKL25/Yt2ASpIRUa/OgfjWqODkfVDaC0S7CODedFwXEtB+l93q7ZDEgDrp/jHLhaey4rtD9J3 Pd60FSRUtxsMDijt9zPUaHAWCGFK+clFQB3r/iISFZyxblkBIN5jNt1JDPAdwaJ4Oq81taBFUJ7I dFcWaLRdUm0+VCoHZZ4nzCz+pVd3SyOrXfXwV8VnTIK+qSZjuHluk+Y4YwtmUQPt+FJ9Bb3M6Bs/ pb+prl4R/TmaDG8kJROOxG+F04NXFo2r/Uv7oM1vpJmDEuoi1vDNe4BEOO9vbIPgTRRHP2wv6VqO volj7ihPfIncX774QC8BIWGzQxgtA4/cJ09ybFXTsbswmEUFVrm+jzfK50Af86vD71HIMyUsosro nTkf2qp3g4yS0INZOFCaXmUyUjINorfaVntOt3r6egsNNoLNNkMyZieESgG9U29AOHeTg0r7+seQ 7aKUEIZEq7W7MOUEIPvy2NQmXDskpz2sqt8ADb8v5WKn5JpMqGIY3N8d6LgMUAInyejzD+7HTHsd EmenQ6utwaewitziz/pmEQJK4ViDmMpdISdotQj+l7saL+8dTBmxaeEJ6ebiesxrkJUPEuWnb5/D /h8m2Mzuc/U1/VDbO6rwxdo7ZB+MAikLhl/UW0FesCcDRJMj71nSR4q34to5idMFICGxXOvlERqx Cm+BPasUpQzr8ZF2wopCZlYpAYguSrqUonT/qjKQbPduUahOS15T9I4hrv+rZPmfiLg35Z6aIvuB M3z9XgTZ3+vaRJiBR9ROriDhx8mIDGo7xMIX1q0rT26R+VB3Io+9mfnM2/sHYiYeoejsap4SihD/ 7lCkb1EgiuJe+Lrq3DyGz34Gq+ileYeSjhBVY5Y6xpT9sRpJ4NkaeQJVuY/LWA+5iXHDCcXb5l3/ kAGEKnA/4o+MbOBbbRfqm/WTFoyCYe9dOH8t4yo1LWShnGlN7hwKfOK7Ss8y+6AcD2k4wg5ejjGM +VPUHyVGPGEZfScrg7C4UbVQSdFzX0ztN/whCqZX29VLnZWJtXXy75QkrDCfcaPHCtkWXMLncl6v 8G9WpceODmO1SpuyTDBTaH3fzzrbfhSvQCdqD3DRtvb2FM5+UsCQhkNo79mjEWLgyn3/xhb7uX/L eIiGiakFk4/EHG5JkPuqNeDFAUgZ6NaS1P9E7T2TJr8trSaVnSg3Q5TUVFHGpBaspwMOl+3l5Xhr 4KLV5FUS4ruhsTsK5mwkqWcpXk8YaBhGummWPAaNxRrPcJMt/CW3MgYSxUEWAAwkCUD5ZL6EZnAb VGG+z38z0ENSWtkojT5+A/czpwHhv2PdLGxdU6SwpWwQ9rUwSjVgbpaw6Q86avNBOZltTnp407dF w7AY6aw9o3gaKCOktzmCB8xBVb+Mt5drkgN6mg9JpJzLYEyK7ULKjfnNKHgGXtX7cw/LikAO6MKG 6bD31uCieHbz+ealZhRvJNqkzKNGR20RQJ34CVnKXRrLimYAyr8bc5nejUd9v4u8WZSI7A3ljd2C YJlOyH2o3JJGvXuQA5/wKG221s2RV3RmR5090MawiVx4LUw3I1dOYaaagqbEt9y89kAGRiRGu8p6 HZp+chpKxbEgVzlfe6VmSzS1PN0M5rOJ6ihk3WFjviJKdy0wR3Vd1qj/J/h9pB0jTGqg6aAJqBc7 2Y1qR4g+7hgOh7LJtV+x5yFg8matX8/uVNQuCtIGU7d9reFIAbrxI0iZFts5P23pqwy5aZzoFD3R vj1MN1soDoAFfHB1f+4n32oEHTPa21P/IHxYGTmTKOh2VHA0wIMZ+NSAUtJWH/JwjsJPRzjIB7c6 o5+6TGw8K7+Kf1xOkCC968HDuTGHvOcMHzIJ5YPmJr1khUqq5cxsMWyGJ6b+Z1CjAybJpkBTl1UJ xWuU3qC+hzAbon5yefuxbr0pkiRkKAjWZ0/dGLnMIw6wXv3ElS9zuZyH0GrTzUpnKgutTWFW1ipj vivHHsL8A4AOxLzL4v0WSpyTNk6DYKu6QgCWeNNS2+XbDIT7WQXpzcF9R1ngKoDFrbTJ4y3aJASj IaVWUYzQenRCLThylTaTji8d08gVO/KAaaK0ncg+jh63mydh6SVlVv6yMSzbdAE3MvVBWW4E3gM+ Nb2Xh/t2/Gg72kUNDsFv54nQQG/KPTfwfGo77zk4HQlmIVdzj0Pj5iENiP2IMD/79aeRfVgXNEF8 bNFFec2+VsdZjXPiBKlUG1vL4XSY+uRbDAX1BRBKzBbBEILryA4oj8GIyHulAoYysZnCGMLVlC2c R6SbYFHrBByG53X3zSzjcMsCSw+QXDGSzcIfi7OYBendlylhV9nFpDtJNblHU3WQahkz6rB1QbVj 0PkPJ//Pdwz3O6/XokwPHL+tZMmAM7PrOcQqYnnVCKqRpicXscbNS8PBzSM9obuVu5fC8luTTnNO gJm5fG1CJcf+oylv97tOIX1N0ZISvHikdeaOkPKSjirzRbkeNVR5Ulb59v8HG50Kb8um2W1X7aNk WC8YOrd1np6rKF/DBx54VINmbMWFeCWqqPjVv6fFgkB/+h5Bs53Uhn/uclhzIqoCmhX7isHYJmN/ PmLx3FpGtty7eCxm1kI/8tjGl/+//C/avj9RQxel9nbFGcVIu2RsSshiPpfWOqjFv/XeeRZLpNWE 2QVrRZ38N6qcj9DKPhUYkxFb/oiU6pnHKwWrXHT7hFj+q1jFtaTSV86PoVAJzMBB94LUWjRngOTV sR3Cm+jGoAzb27S3yh7Js0B0zqUNaZlV9qkwIdnpt7mmAh5VtOoAfe/w8R2yZS6ae7clUTJ4mTQ0 IurauXHG8Re6QWUt0efzkMjXyyVhNsPWpvKeHplX1xJDleuI/xXsL375QPnr/pXfGIpMpLZNeArC WrEdbdWvfCG8AdH7G61bf1wm+Q+vYpXpb9GxPRzplaAJuQrRQUiNAJPGT27DNMtdtNvcC6+dC+w1 N7+iMLYIfWfvQBYOkot5PsL6l5j9IYdy2d8IpsKiE1mT0dhSoSX32BXpIuYmDZ78T/5m+S3g/PRH zmMV6mYNgDCLjyYndF41eTqqzBVqEAXa6cmT5JaIonQTaLxzT+k6zdbvdnBkkr1uIviXKnc0THew gjxfiibkHUYhz8UzKrNqr+mLDfGn/qcg4+y3vT9lX037kU/G9G/uxiKJtaPWYaMrxN1/+etoqE6Y H9g8JYnP5NWBxF1LntWqDhU5qYJmlLIYWLT1TjzGRPVZznuEdxxUndoAB+KAUzpNBgOIpXgSJVVW T2csPCRgcvnNlfrXcwdo4S9fl8LVouTt1Z0y8xQNp+FrQKahey84h9HS6LomG7fwi3NL4kDxvYq3 PEKzYbXLCL57bzjKso99wBl9PuUW+uSwOvorNr3/34YdDrHRlXLNNhh+yg0p7NVsGH+5mcqbgS6Y IdCXQXMpEkKZfIOzCF6GNZp66vhNPsh/+myQYrjUceZA2TUNFgdaIf4GwzIml2akN5n9YicV9XQH 0ughtoH6BnKxqtKSHKCe+CXea+pIeNgo/YWJlbqzibZaawkIE3kFEl0rkpIr1IYouHJNSGPb4vcN BI0kbV+RLGHq15HoWvyrJSxgUjkHJp5qdcPK+2V9Km7Qh/HW+Ae4nrjf9f4O7sSz1vW1XmXfpq92 PiZaQLr4WOEPHpIcT8heSL5BILm+jS1f074zmN7ZQMA+FRIDJLbdKDwaM5fvlD/eQfIjXQYwdcEL IW3syGnMSoy1fp6wO1OUAP5E87aCK54c04Z43tfU97Aiypst+Dds2SN+mVHEb4LzFxDKC5rZURdW a/n+Ay/lMR6WrzBET341hG43HB2cVYJkQyYSPCxjWTduFb3mJ5KiPBfJBQfBBvIWUb5SGLQ4BCpq CbXDNIcifKy2nW68jSuc/0Ea2IIhTpYgWRvnvcA4ckS/nprsb3YWpZYa0xUZBUoJVRgb3DKDZqH4 QB6zre9fMxXTWApwRX5FjcKi+50ax317TbHhzyiCMvOEVEJPqJJjbGA+9a2fQpMuAtMGHrD7vxhJ GWDZq9D24YDrGU7RJXHrLRJK3bYj0Qqyl7atFQXpo7/S1C7bLCvd5PNn8jZ90UU1ojvc9dEuvKOF SPMEIaE/X2t+7JrhtZNwEbygH17f4vAFASS3O4M+KiszKkSpmvSm9neMEbI3P8gjd+euNW+qKSwH 0s3on2PBtUPOLfWb03Owa41A7wy9bIqXE074i875M3D8bBujeTbKQvJvLooIGpzN+khx6Ap8P5Ti s8JvjQ4Fu/hmNOjL+bhWmjNemLKbRmjV7bXdjpb8Cb7EeEl9IsU6olCiF1Wj7EMjyD+XTFB6oOPv j+e/Z/BbnPFqeNq4iyCyTn214y/LhTPBW9t60eR3mvo2xrC00ekwBzv/RPmihpp8h+iRbaMy30ci QSRTQiFRJZEuq8ExZnJg6K0Bk24co4fXcLwNhapLvJKtRWGgimt7Tg2/uFBwj1oo9pNCQQkC5UL6 DQHk1JJy/Pr/0QZ0S6X/v1XXLrPM365wb+J4qHruEswgDXWBmb8BJo/lZPKJdMR7pHZ7Y8tfMEAL tt50yNasIlZPJFmYXTBDeKOmUVeXKE2SB6R8/9Z+IHquP32lYTwnXFMJMnUdzSROvVlOO+kMoNVv ivNQhZdgpfHL0AnkSC7NV6Zj/2JtsUW9eH0PDglPVEfCuAEAvQPVJBxoprxFF0FLXwvbgSxvoV4d bNdUnZ82hGfM9SaMcIjKPZKHbmbiUAsVf4ar/ptmcp0V4Z/J5itQX1yXwrmFE1csQWDssfC1NLV8 yG8GGcuYkpUTeG+iYZQ6q4UbAJYE3FA56l1JvoSnnJaK5FBJ+9EFRFvGJUavYX/BDJxL10PNrm6m FfcwAh2F0if+IlvhZ4ssP3pLl+ZlwddhsKRH0ucXjSxh5dYLq400Z9pYZMjMVPP3FWH4ionBZxX5 Xutz7jdSB3cnMz4toJ0wi/hRj7yq/oeVE08WuxheSHNQffEtVtokBiAZfxWPD3FopjtCYFQgchjS /+VDp0Oi+D6vdl5kd4H5+WYK0Uom+h2RE0T66qGykUaRENsObKyMYMs2GgJ8FxCwhyivcP2w7muV 9EwuZepO893GjvUy5gWRicIaRaJQrJLgpjaoar9c1V3DyEbVkHQuvxYf0Te3ozeIoh6ECiMAL1lX bPJrvMnPSzMPCFR1DE7gBJvpNp0sI5JXcJBrclErWoZkOjOwbiyBPQpsDktityEhq9U/28xqeY18 cu7D/bvQ0I3dcPE+bQfB3M5INtofEPIJuHQ0X46y94uNiQk5Takcd7JAA2Vv0ldQ0KcKXSBkRckS wVWdoAiuQPsx7wP8NfSy4j0pivctOVlWZ+2AYGXT9Rsx3Ic10sRcOOz54wX9b7UOg2SEGafT/FA2 xfiyWrQ50ax+VAIaXfSZtJMyAkFCRbWinr8NSenQRSHlAcB06x6vx9qXy1tpoRCbAfewVS4o1ZwS fw7ZbNnWiJJkTvjetjEsXYuvM6BBLyJncz7vkykuBTNBz04+6/Ct7+eea6yhNk+pIDoxeXC07YML d6P3y1mVRmzbQkDHp2aiajraJiJA9+SVVUdFRxCcCb9BGsrjSr1fndxRo4LjJ5WAnZ80cR5w0Ct1 21uK3n7o1xKek8hxqCPig46EcnPWfB1pn7GRJyLBLir55SCVal5nK3/dJLk3u1It942Kdle94prL U2bAHWYO4tb5Igqulx+do5PA7ds/BwjBc5ywyZqxRehBmh69wyR2KTQ2qXo8nfN9VxnUdSr0tjwf 86JGX42xahy1iGtn8RCK53ow6AVFaUb4CYta/1yW90fz2+F9PDV7HsfCUJiReOFCuthMNJPq0THS Gr5LyMgeCB2n4d8LdYOv3fyMCDtcCngQqpmWxYtb/HEo4HwY7yQgM/x0NZ0MBD03+789ZYxbyIIa UyvPHje0uXVUuCSDwRGmrQv5xiJycZ+bTY3PEXWTyBI2XW67gzZiox3clSAUCzRx6D5pnc8tjGc4 K9OIE7Wyk4Mq62AMxQXCXyM1CbbaXZe2u1yQdEnO0JZvU0mnhbXaHJ7iqcFF7XAHhDVSLLy6XT7m ADZolAZFXI2K8qfGUS0KGaPPoj3XiTMAt7sMuNU53objSw473mJGgY/FKSExJaerVsCTcuVjUG7H VYo3gui1FCvIIxiAoElOF1L+nosDgFx7aqhFATNI1IebUuJzBswFBjJBKKwRvOW8uOheLOZPKIot WBYBSRL44iWJxK+mQ0GaIwtfrqwjvbNzPl93GzUB5QBYPHSfjw/UFqj7qpJaSgG82LI5DRJvlcxy 4B4Kdc1dvZICt5ucxxyntdl2MnRiHWEVFPz1TUAOiUJ1l1xyopAX06/Iwy/ZXuR9bOXMvmvETOPF wSwQnFPN/9C3EwQVcsdwe6nBuvX9ih/LdsB87RrJK03rchw4TzBGThip41UOWn/xX+EVh3GlnDQi Q4qa4/7V7tEhymIHFZhhkDpydu/cP0yvAZeSP1Xjq1A58jNOrU6igYQc2GK5zYaR6P6F7pS/4/44 S5FjK11K8GW4/85h5dHbrEQRAPkK2QdMLPrmd7nQgaVX3p6/C9w4J4//b7ey/kXFF77JHJJszW0i zm3GnozNFwxPeuTD2yxlTpZVopGYruicFywGuDG6uCUujkul+JEIBnNP1Aq+PPGqeXtR17XLYIVQ U9dNTXceC1gZDcHdmQxbOAO9YwVWU1rARKnnUylb5DZneWOAjVyFL/piV4BkZ0HzQ68vWUxhHhI8 44qDeHUzW9naJmaTloNfbgk+laeFcGhWrbwVgCsAuDNKw6M/1zsgDP2+FcWzhmJOzMl9hbDv594/ smhxackiFuCtc0lAvv/UF8d0itMjc26y6gnKOLAxke4DnEje1UeqMRCW+NoquLCUuPJxuKZGWhrY hBwRDtOSDRAtlkpnvP4JtQkNt3zYn4L55I5jsWXC7lKwpmtowLKtO88w125VzyBhrpojDmI0dP97 iHviMMhpYqT17+oIRSKp4JLbmNg/xlRlQqmQLErEysCL5og7fgnA1+pKtRSS09AK8e40F6sMWmM+ asFyZ2hekc9MlJYXKTo85qqKxjyqKHpdYCKWPgm0IKWJLC6oP25PHwB8Ih0zkHD2+6T4YTxL9xFO I8pkW6y0+rLaIIMmBVCvdcxYpWwp4nPLQ4KsYksxTA/nuVa74TGCS6GZpRTouqHnTfI2mCdBOPhI hCgm2wxRjfB1/GKQGg52JvtvVuFhxcllXImtjuq5/8YuuiW6lvcRJiKIoxERp3YiJewOOdraPoJ7 KwHqhNIrgKtOKoV4zM8FLJiejDCioO0/YLLTwAUuT3lfIxBWeLzJsQI4PEJQaCHkdBRirr3XwoZy 7n/7hYhDdUxSOJ/Jiw06yMvQf7ulfRG6Rw9Xg7E83medIEllnds/K2zR+AEpGK6cKMOIUn9GL5Ql hBTpAa6uuAHup9r/zDLML+mMD1Dr7CvkGqcXObEDXBnAXQHw38Y1AvHRSD10JsBuU5XDu0S/BQ6E XVXuscSYbrSj7DYOnjlJ0l7wUbFs7Gr2rvMQXBu6Hmhzd9+vjaCDL3s2skWl92NDsfvgB07IoTjF TtX8dNo4vQF9Pa8M7zBxKklqCYLN7lgJk++3wWuXgYejzNPiEtE1kF/mwnSj+Ja236fZqtB4ZaA9 OUSVOeqQBuIG1v3xXjNuL5nETkMPF8UiMJUEkN/C2yaCPQ1wkMVtDHJamfDGdsN4hDOIeMjNFtyK dHfoQ72G7guQnmPcZDnCzcMvWG/EcP63MMnjV3BQJsrd58MtDSU7DUHUyQPk6Fch7GjWQNLa3ojR UBKi1PSgiIEy9GV1JrQmNuco3NltSMEe/roaOmnLASWETGJ42/XeVYBXwP8Ld3HI5gRRqYXU1fmD cY3v0e2x0+3hYn19VJQcYLsls+B+W23xwI51tovIx/1Wt49sFLe+5wwucVrl3RFV5pI6mETfYZaf yqW1uRz6brKg3ZUzpwSCcLHZP513smHySlbYJ+99c6bZ6pt5mv07r8LJPBws5Vh6nSZGZ3xMoOGB V5v3JaVq1LWJq1LpGA7z6KCcapZ8Mt25+Eh7V7guzQ9VGfMgk+d7ACSC4BUKMAlWOtrxultX+3Is h0zvahE7sZiD+YCkuwiocTbqNBd7FHIH8pVkUbh/kqSH8SFmewvSJWJsDkxqUcEfdqQw4ebSxS8s gsZjbNY4D1tqSXlrPK9LAGEzBNJuH4JrgO/LYH8txfyYw4VBu9/UFvqOXVBukykhyCrD08sQXgJM 4feAi5ZYX5whfycVMe7MV7iSVFTJJDRDz/KCtJv7c7QOSlwOJ89SJG3oIEqB2qowcQXD5dzEcLIs oMECtDHu8lTGO1ZbCOTlBKSOQERFx/84rm4MzRpMdExBQIY9bXC5nb+m5+LbimCuOoz5JKjB6+iU 53GE+4gKZObGMoSg7o/ROhelsmhRjBCXt0qtDCGYXMLAXhU8xtPiwuJM5UVLFuaQhLnIhYHKnK66 dEVJr7brjdM78V3wjQU2QVAOq+fZUVCG8lYm+Z1Ts1qvlt9fwNCcEBsYu+2iOZib7DBfXlmSbH/P Ij7SqBNnRB3c55Q/LmUrBLDP2HGHqNyLdNNMPktbTd1n4mxmtBDzN/9Thm13BiDM8fnA+kwLZaOt pA9yaDW0iwb5VcvWOqWgXbYCnIC7ypoPBUY1de1s3oGEX2wMVBZbovKzAOU70PkGBJjBVc1QFU4Q VV0Js0sAAIV+y3uTLm5jBgJkqFNC0R6qxkiaOBjJKJqlhKHqWgeXtSOLsHk+aWg49Pt3ebVoDuv0 F7nBPDYf2lCQnVPXe5UMtfDYBS7P5aWccg5/dQUMvmCXEh4xVUg10VxbBWOMABaZtWaJBU+vgYTp IwYcErUKyBUA0g1PMqQKBma97Hfr9Jifc0R6sFsTmlH6LyKVvsb/s6og5MTO2HtgMMUHWS5Wltx8 7ZYY+s/LZoQrcvcEvow9v2wh/iD/V+zjOQViWdmpx2ZKxKNDkje2IQO545J7XINyZFNKJdoZnPl4 rK0OdB07O9BjPYU4ooJprpOFyg+YDAsMi5KKArIvu734UUfdCt3Tx48ArR/TrW9CVg8ZO7c9a65X MsJOeXGdI8p4MgWK2YjArnzM8+jZAYlNHSDIcXT+O1HiXIAmm+/W6EdL0uvrGuLENtwIhPTybOK3 39WqE2foxGthsaA7wZqDmA/FurKwr8PJ3o2Tg4IiVPuyyzksLW4lA/cp4dJiz7VFeWJWyLGkQskU dbm9+zpiaIRzpvtnI8/CRGJstIPYdxIXqnr3hAKD5hr9GgGqfvmcUeBvmBAsz6FKm+RK6+mZKOAM 6b+AzllJu2I78ZHoUxm9DgbSt5L53eB0gfzR4sAgfLwoBl5nBoNBNkgm5xSPm3kvZdY1pDlC9FiG sfY1XR4QH+nv+29tUEx2JxEAwZCIL7l9p4tUGibiidk3lJZWnbYDpytyLspGacTF49TKylucFj6T FlUuUTaIX64IvnSeAQhpHSwJRwYEep9nrLZ1/ok2GVjHBxyrqJcbdVxhIQ143RH18nLPmzcyzfVD YSxEL8wR2ykG7FC1aCJF6/Cs/ztlTnacb8ur8L/fak6kei6f60Fnkd1G0NfyqdkQIxR70y5KM1Hk mPcZtIb0cfRcZ6eJV5IWN9Ap4yUFLzO1rOJcg5wdk3t9ZmufqHIAXDhEtV5cXU6mTTW9v+X8c1fK tE8/SUzDzN4RRGCSN4JP2xMTg/jf0fmlugCeRnCSW4gUd/Gvo0SBQW8CLcqZr3/tMamADKrBg1rr lS5YWhrDntOrIvE+oyZnI9zPDupzhfh1NaeGQaTcyJIAkwRFTmb02A46vWJtrHlfyEQQ5MgfaQIr AGUiPHB15Y1NDiX8cUzYWg5m99YL3pjyuMOzXv4O4p8csMhCA3iBNYQlQZ59OgXBuGR93iwnAMAo 4W4Kzyi6UOLyhZ9muaSn0qmB/Hz7aWTDoTxxwtmi5oCe97kBKFi820Kooc0HmILnkxlQR99/lr+4 8SYp7Zu5VW6JdLJvebuNurzVsLMBg3yo+YAbsNnlZZzQfEN/NfmglH5FXcu38yfBevzq9m4qCPEG VVV5sndGRX4AySwISpsO63gL49cwgzbEM/BuL/lrUAKK/2xN1mAy5fOBhi3M7gOQfG4556Odl1jq 0LnJJptC0OIHIrhQwmjRKMM/3uVXinOSqBJjbYMXdS/sgS1EhT34RHnugn3XHDdBNe8fjYM2hfjG XHlAo/YVaExdjy0kjwIymFDfbe89REFJkEWtur04VqWa4tMomIm+O/81vQiEU4aWY8/7ROKEBOB5 eEPEzH4YoWGhHFd3Z/268kkfbvFvaP4zX8i9+mw7W53ZOTBgSmlucBDacuwBlQfX9s4kTwzg0gPa 5U8egwW/RS8EGogas9GXBf95y6/hM3GsQ55tQRimrsMmfcQS6SyN4frYBJWHZyEjPLYraMfiIGPu 4R+pbEuihHkdKDOPz0lCIfXX1NJiLFsnpj3mu6du2OAyRGnoyhVsS2nal0q3OVqSG/zKQ4poXMR8 HtogboN0K7DKcLYeTBWViST95SXaIf2f6PilwlG9/sdGvDJnnfwcXk04Ziz8U6xCqi7EBoNCcujw ohuScBM0WOfoZcoQSqqwxrx7pwLsW3ijLhEnGYtpJCMTrtclrWkpiy8vmkOuvFV46r7iqdOWmL+T qxm8bm/vvZpMtmhVpsmVwqUUgqyUiAUP0ZFIz/e+CffjhQIMFefnX6vTlQrRASw4x0wXL02dUJKv ojSXn7DTEvwzubqVQMzaMNKkb0m/M2e7ehJL8DwVTUev0Dow8mQmY3tAFyixcuIcNx6cskJnyp6o q5mzTTjDXBhYub3iwP241C32XqsfRn+F47KIM0LW0FGnEEhxoJfKC/x5HxBteFm+5eaHO5AxQFr3 l0tLNAG3pOs5dvZkg96RLqKT/nad/DwPiZRry9d/ecWIrnN17j2COQoPmCBWNnbZcqknVzgn9kx0 +es66q/31FY/YOI4llXqpRHB5HxnBDrgL/iWTe8Qqjq404lxTI8DH8t93cQ3uOrFMkdPLZ1U8j+J pjLz1hB0HBpUSE5fpy+UBI/O5dEgHdCgWgGQ63XDNx8kW8Fx3K9DXKnIOl5ohzNfOE0dQlmIDTdV Vcgvs2j2Us/Lexd+JtUTHHQSEJrhkebruYi+yV/g3J0AY9v0RiBkPxhQ1d+n4aOFpNmxO5U4DONK 1K8L7b9YRPCbfVhTXiQ/BICrIRMl/5iFsIjW4YxSQeeDhl6xfmp6I9SiZ6oJK6FHAFXplMOOXslB glbcHPR+N4HvZaokA0SfzE4ODyfHr//J+ar0LOvJEm8/Wl69YCIlHziRMChCMLudOn0DSpK5oUgU kMdMfzOVXtXXffQx7D8FxVzQ29hsUaiaGiqS75E8KvFoYvghT2n82JSFVyv0JgVhPshSmVTMMTJa IuCtHVsQJRpNQ7fdy7mSBkaf7AgBGYboVv1fudJSgYB7g6oHVQ0GaQ6vJOHYJyaiuR9iG1C9t1hR 94PKXuW9KL5Nnt9/18LeEeuIY+zRIRtvzbYStpEncaUqYjKer0EtLZaQBYBtGtab2NM/F8WrnvRE fzfFilssfhlaOL/wQItv3QKBREtwdkDLwt7YLsMhniJt7XHGD8RVWD1loPOe9mVbheo1xL6ctgON 6FnRg43OGVi5YLz5CyWfBsqZ2o3zRTmLL6MvHW6Bpi2Dn0xBNLH5yUSfes0b7JZvEUphK4XIvNLH hHKfaMVltekZO15axKJIvqiaChA7Qc6hkclFcbCrLimvmcuIiTmr64BNSWJ/YVxS0zQn7aVfg7nx hrbjBDKRexSouLIw2ijYXSjeJ6eIydjaLGjGA2YQ52+7JD2JIQGUVDWay440AJ++XzSAhvh72zQG ideLfCnFc3DsQlAZF2yYnO31TTmpuNfvXkza5C24A/dHQY1mQREMhFELjZa/SD2UODyEjpicChqW kuiL+6cWAlHld8bjM9dwQruDLqY+7wJJW7thaOmOq0jcxEwhximwlA78bKeG2zGtFaMAniKUgBAI j/9d3LhgsYd41dMxgJ+6ophFO+rfzlOterNzeF01aUer5xXuRID1GCR6CbOmDg7MAwE/dWcUfR3E 8PE49cpMwILtyE6+M46LSTu9ZYdIU+7UwkZ4QUaq6jpFKHgNQCM7iJATwD0kCpVuCOopHdpqpl6m 0J10tse3e1BvOMuJbiwDt/Hu+oOhOsE95RF75ZXnIbJp0JR20UybaB/lgEoNoIW1jxZTsQqOLa/P ynpyUyXWH0lB/PrDliDbprBTpi3kCWCnTUd7QHgLJM2Bb+09bjHkdiTyoO3wNPR0IBe75itWiulL UXB+5MfSkHkXSnAHsPHNzbvLuA3dQTzFE6ZWc+d8+w2vuGKNlHM3k3310p3ydDDz/vBqxmc8J/HA S/1E5+lGm6u/lyQGc89VNO6Xh2vRfEKDeLXS9ihz+vAOBYNUguDlzKCpFwfR7IDpZ/eUBojdq8Ds pKrhHgC1aoAOmpx1Cmj/+gu4xgRE4m1nxzxXd7tyFgkrEEZliEpIKH00G9k1BYCTMQ6sn0C5xBqi BZnAabdtgGhKf3CGXxOvbs4yIaZDUufGxx3IBh8lktUbGi7DzSYOfjNxIxamRMweZfNB2C8p4m29 wwhDkqHkLZtXNOtnNXKovf4MPCnipJ23LIW26NkejMC0i3eQqHqxFqocdwVM/XzIJ0XkSKlHtxm9 wvYE4fAu93rwevJ1ItR6ewNW930BNPbmxIR/IimAws7+QBmL652vSK7lKGnI9dRSZZc1wph1gyc+ 6J0tdsyOrM/qrbUwzUbnPpeoivHeCeuk/LRBuGVOZLtjlTc2OiCFMPWLHEcDh55E3g7Rg91XLxy4 7YJmPgl8tRYz3WMT2slzhZZe8JqOduvmKVQwhU3fTx/A4nrR81xN8izFDY1Qv/0I9GqcBpqEanPM j7tzQjcvle1ShR6Eg5OlT2fPEsG3bl0YtHFCz8NWGy/gnFKnr144xOOyIVGB03UwTGCVpUzqboxF zDKMN+7uPOMuCkwv31A6I8LWqIQV/Ua4/cbhMJzU2xKKB6MM96JW6GRAd5PRB9vAERqtckzxNk4l dX5rRukiC1Srg/ghSXwUkkLhLHx4BOw5yWJa18WfQEB4A8tbaOV3cLEe6ckV1QRE8Whpwleg8ZhL CGlz1tWPSoBYrlHkukuvxeomAGMsh4MgkC6iBU4J+l2rRmAOfN9+wslfqrK9X0uOsq6DvMyiuxya TP/UfDE3fDofsByUjR7RokjgKjoZI6hH5uZcrAwm+VFPbVyMqq/PvgQCphq9h7SsSOYQobKgEbkP o6bsdjtq0QoWwbdmP43GDPszDrP3BpH2u5+ZQd/XxdrfOwXHNbim4Thcnf1F2tS44pR+OEee8ClT 6d+//StkBqVT1Y48EP/U11QIqowt0q1crfPMJi0d9lUozG5dmShsHEGC4/y6o5NCdNDi9rsCxM6B xQyQKLo3GURAVsJw/Zq+7uVodCUkbpqro4LYk+PLADfNqgki9Hr0iXHEzKLtJz8Yq0Y2GkglAdVX VO9xTRybProhZeXFse1qT2l2+8hyxzREUUPGSG5thZMOP/bQLJTV7+JD+5BuDJbMHwYyloDxNolF JizckK42Qn6feKN8usl4qRLMApLnIHrmabJ2F45soD0dj3UDeR/pjy4PDjVHBTFkR1TMS0qUkttd W45OhRlGQmDmfJdYxu3xmiPgCumhV3mjKz2zJKfSOGUoQIXnEuHh6umq/hOagQvNN2gbcY0uJLWl i9eSqsUv8sDs3QwlhaQTAX9vp8YxAf1R1sy4TCAg7lAl7Wiq6lUdpRsyizNQYRZrcRtnUyhFnleD IijQez/l1RxhzkNfpEiNPA82POosMRfY3NOZdddAT/zo6liBEuqRkQLx1GVXFbXDc3b08Dno3xdW NXqev5u1ycJMbMdRw1C3z8A4DPDz+VJw0rzND0mOQWpLnxdl17bIkApGF/ULWpJEM8ne8WFLaHK4 exgKo9z636D0Zmxq1ubXcYVmeCxyGSCRVZh+6xIB1LDRjqA2kyvo79KI4Gfi6XtIutXkL1NnkP5B xLBR9WpkyodQxQPPv6hRoi/cQeUvtpm5cFATpIUCdbDV3td9o5BJD9f3zBHXmfOt8HP20/+ae3Li nBeYbq1FxxgSr0VPSB9HY+r/N9H17VKlDihSHon98pL608fA7mTH+7wr0k2OcrMV4QGheqM1NHTh yR31lYiiIbaUb3y0wbCbOmxMDTpypLqmeMKcivzJeRIOK65n7oPJpSWTBazddR9GrAFJ8YjME4gd Wfh+jsnn461/cdCxhJvM+JBlwdeM5z1oyaN2ZpyiJFudco7H4a9z9YPJZAUWrTIvN/4DMIYY5Klr dJw5C56BdIY/uqSndVL/gmmeKcSyvMmPqkrdYdvZUQ2Pov4R3mRbf5tRy550dH34yjL7nsPaI2UW +p2CYTHK7Y0R6LPiaspHmUfawHcJHLrOyIbyVC/1bCPU0ynlPlk9a8vs7VoadKBdmckril5mhxbU JCTDJwY2KRmIGumsebmtTICaL4/dw2Ym7/bgJJzlzAr2aFz4Qpb4/Qw6hVCIVjk1vOdSxh6LvZPv 5I8xk3pX/jMxnxT02ThqgaH3+6/Ut8IM0DTChpAGTcSAx8e6nsoRMOuyARsHrnte/CsfpamWdE6w uWuThQHMKLSSi0rXTInBlUSptm0r3KF923XbPFiFBNrtuWt1EIog++2ZMC04rZEfIBmzjXCEjNdU O8Yu8V75qt9zCp+7wVYFc0534KwdZZsnl5nSIrM5mLg6ZT3kCOHlGhbsiBlxkrl19gK0zr5aism0 ofmIaIoA7xiU7TIxdtSRV1F67SNKhTJJ42InszYZ6FOHnWRPOVT8s0gC/Lg8t3ijs+Bmk8ECN5C2 hRCzM9dJdLega3Ld3ukfhrJv7RXVZ1g3QhQcaXZKHLAedJDu9UeUe+4G9ejKQk6VoR/Zatmwbo4L lDz6y2UZRleqSmq2B7z56FWW1yDk6kkMkuDlGvKky0BTQB6axVxyk32a58q/7i3GSyEIXLM0o4sP 6rRNdg4InTtdQdu3MWhe8h5o7rlPsN1DHcM5jvWftLX6gMMU349DPaogRXRATxOUUnhU0kJpEIi5 +1EwBkOAizSQkWTlNDTh9UfnH5NfT80FjqCCzeXhwBhGKWZYh8tLTWmNv5Kid3AweaGTZyLH6M7L Hl8ONaqJ+jc80TkisyTZQXbINGX03dBtFdzBhYCgrMhZFTDwlRxHnF9dpwDlD0q+1kgC3alHDiJk 2Dpd6aAnxeJzM3p+z3/BNRqDS/XRtX9SKXnMnKq6uei2YZHNhrVvpHFXr5oTg+n7EXP6zkNt0jh5 aBNxooaOgzE1mfm/WeUrYVqxl7+D+BQ4mFOXF1JUuw1t/JwrOmbp89vJmvm/MHAl0icBpSJvjpko 69YrkQ4LamjYp8n0PAMk4rFCbgoT/AxOb2ZUMnZqdtvN5QB6byPnqaCdh78I2KATCk2A9MTty9UV peVqcKCsIJr+sAHVAKXlue4fUls6Gc8rm0yATfm15fd+0mKyRuQ0yq6WLzzzHyBssRHTciVl44x3 a+1PcPm6uXMzzk8UYSL07WO4sSk9SQdPETLNn0ECuLAAFd1fQWEJ2i/jvyNQMnbirFMuj5qTy4nV DNKCdIAlHLDqL6MI/uhelxy6QhdN2Utxf/DrmM7Uk4PPSzMlqNL9T9SNTYxJS3kiFEi+4YRSgL8L G0NNHQaU+R6mmqPrWbDOqiKSCrpYoOCGvpgGJPCBORJT5DQCgRZN4wjVtU696tOkThMpt9Q4EMqK 3lk74mnlfjwMlsf8wChJWVlgkqI/JSRLJexfh/SK+eH4oU15TPiEjJ9UQj+0H+CjUYoyGL/EeDHF A3GpyDj19YbMZn1egEpx2shpe34MmOFit0qZHuRCGZYKGB/KyCryYpUyUngbxzD+kQwiWCLzD+j/ 1W0aZBAjK5C3Nwz7Wyiqhxs/HC97Y4OyXLlkEleaEJEB/eOi+6K7G7sZrItBo0OfQXXx8BfY62jb YjLOwcb8u83HoBAOiBPSx729SDPIWwH8F3fB57z6dkpvY0hxY7yQ+tZ/H20Qw97ECdslrMnW5pdn SQCzP9fBK5IO2eA+NYzwCsqdU10gRk+ebfzyf3EV9KcdQKeNFHeI/o60uCvvDcAWexRE/hOTtkoD f381YKCV6oYSBoE7GSEnU0gku1ZwmnlIK7GECSkBVSD8u6xFlg04oAbi/XW3wdpzSyvhbIksHAxi 6NqD6mTydBQzavQKyy7EQnmmBefCm50g8p7vwHEcGvXPAO2niR16q4hBYTavmiAHE9VUUZ+aNQrV /hH6T5n9wVitM/9dqa9E1UAX4NZCumfzNf+8xtsSuIH+lIDQuWQtSFlkV8J+DfoaJKb8Yrk2ti+o Pq6Lu2/hhkuIs8fbIpizYuQ1KtPWjtSH2ER7QFfEF32xIQqhuO3zhJZHwyIKRn8dnTnldpY8diEu SDbHO8NkaQjem9r2qZ7wApY3zeRQeZVW4lUu8xg1WuZOrrNX0i9TLKAgWnRWoATfKiPvHEh/tEWi NeBb1/hepookNUCUl5GPWnySyCpjSUAX+OwgnoLMxiH2SNetPl/b9g+bMJ5zKY0vAUUgHBCqB4LV 0FuCnwhfxHPz92IDnJSs7KNP9xn799QqpzpqOTgp/ccYmmTFLWpsXQLUZIm8TiiADlFKz+LslSIy 3e6Jt8UZtJhqD4db7RH7NDDvOBX95+0tWxTCCnRvKlURX7uH+/aMuC+0ulzSvM8g2Zj66+jL0J1D Ua9F+nOGxn4Et8gWy3/K6wAZxy4PATv/OOVvgROeW2idaA2f/Q+HjvcfgWZcjeb/8XzEwmHQSPrK OPEILFbhjrpjWlcEukpYcE3ycm65HCxNfSNbkYYCZFQy+7SJMQaZELBDcQD6GrAa1JZdm4Zk3n6S +8b/m5vBPIKfHU1BwOnk6ytdRLg1bGdL4lKkGrJwLKWgClCb4UloMF8I5DKT+3iYFt271FKIGId2 jO3ZUrvNHw7FkogfqM6LDqV0b1+8lVJkRb+VCCfvSLYk0HA8ts9jYmUjSkkx2j/qDWKrmUTi5tf0 mwaHTjwlk7sOGB8G/gGx9I6NDW7+u3bpIQvwOAAP5YFw0rrStfiAvAdeVKbSV524dEF+Nl9kZ3Er fleUC2brxUvywRdvjCU2JyAwcmfk/tlOqzKnatxhMDMaB0zHZTQ4Pjelsxugfl1ZTRwLa7y86s0X wOvYe+Bf4n8267yZeq2w27lHjHDM/UvBcP6zqCPgXuDd5SHN1BddWHWEjQYqNpE/XHQvbdC+B43Q i4/UDSk4GpDLAldfpDvYV17uhWzcR5p1PboEDXg0WC5ES9zX9k+HHTZHxGJDNRTVn+LR168dMFTM hnZ7U8c7KcLzwZO9kC86prC/mGwhuzAb56vGuG0qyKWqHq9j33OPRDjbR3q/aGkcFoEd9qKv+nUh SdfL6SXi917EITRL2y/m1z3oGS+CaRUAjcU0qyaBAzrpZMngtBf4s+nB0SjBZKNUlbYnKUt3O3rt G9MRaiwcJPzM+SFO1T/Ss1FM9OKQFU9rsLBx3/1w6pjjKZ7BhHtb2ztO0JeZY7p8Br5XyOsh0+4a OVhPqdrNoO5Eo9eQy7iLDc1HVy+QUhVG8FgO1/DqcmSdIFGZiCtkcZFnslVbdaJxGIKJf2mZ3glw C1ldzp2dsfZAsqNYSA5h6O9rX0xLKq7Jd77mVe31GxaMZkzagjiC3/L8/itcwuEq55LENe5ZO1k0 vC1RqVaUhGNRlkbVyEgSrEZ7ZJtC5OrikfS0aAA7WMWEUuQztN2rsFumogAg8Hts2gnuL7LamdG9 EUviaNoi8yTlOBs8KCmhG9CHjawEQOe5He1Eju4Cn0KY901GrVpweqZFYoEQD7sdfdsb4rj76z97 T8wOEaFa/7rKXlq3anFiZ6L+DaCBHoRCqwZegRgG+vOq8cNT3rv0Q4e+mMSeoos1OngXEgRAHYYB yCo7FZu4nrTQAt1lphT4Vj4kymFFjpQ6pwailHgc+BUZqH/4Wi7KwQ9UnxaQCz5hu4dPeO1a08td H6irWnL40k3XQmPXQlR359Yv8Y0G5g4FtrW5kv8f333AQnYM6HyWNfN33wtZHRgS228T1rA2MF7D 4Ut5a68fC4UcUmNJq5v67xHBgYXOjD8FDl1EVl71ph0f3/f8gyNb/wMyZzsStNdypx1ln25qvJeT eoRyI3L3EwtedKjF5zxsPZcqihzC+psVnAJYEgaEY40JdpTnaal5HdlJJvHKLXaa4KC8zTBlDWYg NeuKQjKxB84RnoIvE3fKHRSOHCDvQ42Hc6wRbVa8MCwT7pclhd2GnK3CN0TYGFTGFnHjDRP99gDy KbRiq/RHcvgJb3pKVF+iy8CSELvPiCnY90aYayTIOizTQXrtBWN94Jwoo7dDK23Cno9MfPy72hZr YSI+9fMhqojnKnAAmIkxVdLkGVZ9eQtKc9DAAinyBN0FkNqErXz5ktJkFW0ladfCNtRkwhloybCl qW2RmeDhYsgvxD+GAJAk47JRVIkFwV7onYHy2qNJX23Ky9xI3ZOqaelhc5Ts0hc9Ay3TmoQA7mcl AE6r8brDfvUxey0SPokIOS5Bm5FVnh0DSkfF0wEZU00/vc0jN6p4LPOYznapNsQB5Em2rAdoIzG+ xOgWTuY/qtphRJw7ICAYDS64XZMN728XVO4LbMkGeaxDFNvjJHobyKRHIwUK/sy297wD2p1nqsKS PA773sH0056gzjDWtzProKK1t3Uw1z4TAS7l2p7If54ya9YRvyFQvcqI0/3j/Aj7CzIAm8NwxuqQ sHRQthlpp6DtQKzhPKWYphec1fgem+PMd6i2gaJjhJnzNyCxx82TBnvheD4+bM+e5AFAJrMWNcxb 2hShByg2nCpTYUT4vK2zLET2YtVEKHmBvrJuSeDX4ELWWEhDcJ8dm21vQwNtY7ntGRZ029sLCP8B iZH9Q6g9tSmCtEMF9FTMRxtq6SGZ+5DYF/HPuNyXeFEojfv3aPIcSeXTdDxqwoF2IZKHs7xm7GYj 6UouKl2T9Unb9REZ46ENsBgmalXcOKgmprcZcQJ4pVLl1oG7COF05WYo+dpbRa7Ko4X9UQJ+7jnT JLet3K3UvoIOIY4/9qGDhBh8aJX33uQxvh/5pQ6CVRgAaz3bL061kHQDL52/LbYgyEa0UsHW5RNd KjgiAKNVvnqtW2FBorMt9gU0CeSCQ6nRhiJkxe1bjqw1+xkV3C0jl25rLXgfSIrimfxP03hS5KoR y/jYgtOtp/gW+yVSJkE4hqKT55ntTJVP0/Jo67j8cUK++eGyP2zzLEYXHgpukEtgHhGDEpLTJR6x iy7Xz+NWNc2EAYK7+IuJepe4eYg2OT7SDkOklF70yEvq10nsQWDqVdcYG01vhQ3wGba4Xt6MyAFq ICRiWxWi6CbmU9BvDrbv5DNkpuwfJUao/0Ocd1+GniZyNOupFTM6RkFzvLTZmCwZw8At5jWVba2j MN76S//JCc7xDx0NrU3XOchp9f/4vmzOlmp6JxlAh+jg3w9Mh1XbtOJJj74llGNVj+iaVUExi/+T 7M5MXGb5puHNAFx4IufrJ5Hg7EEzCsPi+X8V94F5jj5Kxg+2MzU9U+5LxHNexDhUGTOmOSMRA8Zi CPsM68fFDfBwtvTcwY1WYm9Hdq1FiWiOzdlTTkcnZfVW98y7NVDZIGWf3Hxn/qPhVOHvwQn3tECU wgCBdbMMbFqQSPzia+Ua+bn92KOgzUb+/TpBVpDI12gfT/4IHEDchzp8U+jmeoQz7uk5C71Y7FE6 BUFcGIfLXvyKycHKQrCUP5CHgoTjyt2CdYQSvEuDWBdQOuARemjmvA4doXbswkDYv4ah/QtPtc6X ddoiSgrHU/ZMdewoTEeam/o+4q3VlShvLdRmXBN/0L7GqnB0QX48SQ45ViHsC3eQleHuewEbzWyt dJytGimVeW4EcOhl1RTDmiTL/XhuuQyX9r5wW3tYPU9TLRpcoQQORzh4yyVznNd3EJLcmPrDzI9B iP0fSIqIZvm6k2X/CPSvw2g+/fdwHYApY1Y4XVJ3q3qjn347a6qY6ingn2QZ9ZChUQCswHJul/Ge /FMOMyPchAy1VmdPQUTi4C3k2WmaL6WTMsjlIfTdmQs7pup9dkPe3on5iToXFbUVgIQuTgCEMLZN DiJjFMvFT4EXNxWDWAT5bjYw4JuWfwcM/bwgRtsxHojzyLSPnejOIgLRG1jUQbmXtifvF9Hf2iF3 1hWPvXsxOHq7EQlLpMbu2odVIL3s3dG4Jdhx1KyUJbj6CJYBHVnpeyMXHNSuwQEcSZfDpVYTmLvc ePdgnqknOqnP5UNT7wPoMF013XDL1csI+aOgoPBfbXn/hGDPl9cKG4nLggttDZVlSfBL/3Qxf5C1 Zomhg9QrPuvdxT5ZDAdTg2yCeNW18Aa5sVg0ZKaumHmFbImUkmEjjktdxe+MSSy/R5LKLX7GPwiP W60CpwLbx4PNtI54elkAa0V1Atkko/yQ/ceBDRASVJj41Sn2614nMzzHfw9g49jmpWNLL029QLS8 j+oJxbmx+Ni48K81NlJoA6K9S8SSST6ksqNT8fkrtgYMotG53FHYUZLJ4hULUmq+eF+b2thDOBDL iI8Yc5Co/019QwD0MUijKTkZe2G1AyEAc93kWyJoyA8hQ4j73TZpz+D1u9XQUgWB6VSdh7FmIcGd 1iyfSK1v2KjjzgGhvw5lSLA5gSVKLMPU01KZjQ+c7/V0YCxnD3OtLaNM1pm4rc2MbMjAZT0MAjkt 6DAtJzgEbZSgT2vLqeH3sb9R8JjL9+Ul6/HpaE8ZRzfbmda1C5d2BL1rxQF+7/tSYFZZP94ntuub tu+RGkcxfaI8fGPcIZqrpIh+V1yBMLEvbZbj/y9TIhjuFrEt2YIY9eAgT09VW/KtUOwFhzqfwc5q KCg74CSqSSpmV5YefROkcw1nd9KYH/TURIapYAevuCwpaC01l2FGhQ/9a6MlPpc9/DVEiN+PvJsz kLbbZYeCu1EYYV45sAzOQu4LFVamu+Un5Z4oxePzdIg6mBTtOUns/MwGo33vCJXKGUEDSLPyejzl M6oBR+SXC1e+ShZ2BptGr+kkG+PzunK13GUYL0Ejz0WIltfzBadCXB7mWM5cy/gYs4OGQCPauOTM Xo+DfDdZ+GpLgQeAnYOJQIGEugrHhbh6UD0LUHFn5NmuoyJdgpQHPRZ0CyKBFogNhngNnYouJZAR cvbVhENMKLFQQgQJ7QwKUXwXgSARq7bPfTVGrzm4zgB0SrWy4vuF1MUX0T7W4VB6JkrgH9qqBYTo Z6TEq6K6bf4I+5I12KQ+Q9T7KsHZ7W0ak/qhyxGbmOuPpAkvhdYRAKTVpHWMcWV2F7bP8zk1AWXB D154IjkA5/EVXnQ57zjv3dpD2nSK9Quupz5krFA/9V+OTZL/NjW8yfejzgdBXvAeaA2EFaaloER8 wGCRp671r7iIoCLk/ty1bbI3czDPKhSjv85pOxhhrxKmNVg1lndT11lmy0+Ue2Ofoq1jrFTg/X9f fCOqAqiUgM62kk2qLxYrczc4ZitFufnUFQJ9SV5b3FeusIwaxpVsyv3dAMSt6UwkkuxlLZ19WGRe oEGLkOHT2uvHOdLtue90d8FjH+95xxl2iFjKOSIQJqPcJ3PMaeBKqOqFOluAWAldf94PkQht55Oj wtfYOQzHtM7ZaVjp/LDHJLYEpBBLQf1EYb67IPonr3gq9KL79TTbjX9FZ4dkqIfy62ZlfhJeOyG9 RQcmXmUUsrpKAPaG16NDu38oMfNiOMf5AFwi+xbyX6i+zi7VuyuURkKOtQOOC5XFTnb+DUGMfb60 MnUJZVWjXvAsXagEOl8r0DyGSD5Y3icLbIKukKXvHGHyd5c3EfXZxL5oOTwdVwKkY3bfumfqN1qi OXtYM3v88yc5Lh5hwC8HL6FXOcu64qq0CoPBhqgL6CX+39gWVotPRcXg7QkyExaMMEXGr3qaYa7+ hDqZt6kXaRYzJGtFCOEz1iqjHnEwm4Ssjtriy2KYtsUYyjEEROgqw0cvT7v5dpXaUV04/CMpqrX/ Y3kvSdE3QQYCEQ118LwcbwEag0ucLxfhxqCU6WuU0SIkAxxxkTflLAEpnlCP9Ow0VWRXRK9tOcPv 64v9pjqWVaXJedrWQy6Z4MrGThYClY6bTEehFrnBAcERF1ZKEhDHB1kJK+eZ572RRZ8tDJtlFJrP hdbZZXotSaCDf2IahixsX7gFUKgsq8omkiytNP53NpCFLBLBb/oEJ+8iFYkIreGWW51QosCqiv0O +o9VZ86qzu7+nWGdkNQ2ip4o0wC02p+GF3KFS1pk3DoP4cLdhvGC+boqVEmKVHm8QpEHykjBsIg1 20QF3005UPB6JEokEB3JxP675k1XgDX3cKaF+8oouN5Ede9IFinR581Bu3BhTDcaYJO38cdMfkI0 cpXJQUJe8SwYgWxUWt/RvWTggYas4fYfSu51DXhLJbg+8q0giQTCtynTy12TL+8Nk4WUAnbxwhtH 95mfi+XKQ4ZBTCMfgrk8sEAS6DEennqeXN1Rbf+5vRKkC405iU5pkfwmak7aStTdcunrW+sfpM7S GerIxICxeEW9fjaMFpVdY8NVwblUAKpyOJZuISskIvqwcE8SgkfTPm/Gv6FTrS/vdYHmOayW8d9X veFi0pLYydP0e/hETSfnYcG+OD10Bj7vQpjZ4TmKXudpUFksRqaNCEwXkAk+4N1Kyx1OqrXI0dUW G4wzu7OxwJmzsc28e+uiu+qjqwaXUneW7qKnwPor7YzcGo5KVySMLGa/VJ5CvgCZq2Tm8qRt5dKx drX8/VnkIKtmG61Tmxxf/EFheFknGLjxgvXp2Xno0MpP8E0RNGtp/rFDA48uktYcmzCShkqAvunV 4saPGXWcGQoqxhGhRVyRlsS8vrE9hz5+gxfSyY44nFPRNFw1dK6mJ9nWA6BLf7rUvHSBp5FupfOr gu/ZKi4t4Lym3a4BlSOOl5Qb1SdFxSiOMDqFO+yncQkSZ1reHjEZRNxprxG9/pfWHPNOovz+hIxi idcEZ8aacHVv/1VL6CE51TQtNwr8TPHAddRLgVoZTXfN9jzBKJSCeaLUzM0G+KzZOuHecfB6gUcl J8SjZdd6TdYtNLpNCKMfOKEbL+gU3khDVgkqsNZOjwzAKespWg8DxoVFzHqgFJ4NEkX1Z89c/4R4 YUJbg0fTAAOnUWtS6Ttk7J+CQ15ZVk18+BVTKuNOa2UnFwra1htSNtvgvuZj0PXzDMuOO+rhzArL 3KFi1GYffsfXeNpSF2Y+RBmncQZCFGxTb+kFllt60QNIcw8i4K7ECihIZ1mvVHtjUErUFb5wPmJh HjFnaV2/oyNR8uQwi5vL+eT3RkLsseI6i3v6j+zLbTztNB6btFWCpA0okavw+FtlNlJe8b7HM1Tt Cj1NKGfddpIeZyY+imCc0NuKiv8Ui8hPgA2vWl62dB4u/4IagXm28k78AXW1vfSnAadXzKhxBmev zgwa/uCXxn4oPszDwTtj2IvhL+DhOu+qZ6YZ4ph2mYprYPAZTJameCWbJDeO0YEVj09jvj/BZy8e kjk+crWYszUAZqRe8tIXcsW59lAz1YJaVrkr/dIC1hDPsvT4GZHwUauuozgA0T56MVjYeIOkxCWN 3nrm1ltPOVrnSGszISMo5GafM8CpZzaS3WG4sxlR2bYE2j9ldoSoVEGx3jB56EPtH4uvhGXK/LAb ZFgHbxBEcdQKQUDB3+xz5S8Hz0RNCSk2Eadx3OHc4brH5TyQU/nIYM/4lFSVRs7Wq9ntqXVKjTLo kQINEaI72Mbr3gTXB43PzDEypkwc2buZS7ENr3xoGC5LVP7khAaXMr+NqW/JxTZzynUmGo3a0AQK tzUXcu5iqri4fKPV6yJH6MVLeciOQhsMnactTw3d9h9Cs+YJDGcvDEtFp04AHyIHd0BD2iM0M0ww 5fdbnUvW37a3XztgyM011xg6Zg2EosD/lNDVsWtfhcaPE8uoW6r4YfoA7qaPbXApJC0wMUfhE5g0 WpFLoXIEd3UGPmzTIRs0bjNALW3wYkcN8En5UZidOib7KyZGMYmXoa3vGQZm8iOwcE4jGE81KhOH dbe4J4FkM5NP69LWDkt63lJjRTEV29y5UtkgzCKtKBjWmLzMSkWDpT42peEwL/Dh5zbkdoE0pGBR dyyMy7K8LXUM4kfzSg2YAMfbqb3/c7ofIWRblbSDORChjcwV3Jp3nhGDdKXmNqolNkOt5yXNG0gn OTtriS5Gi98iQqy5T6kk5kt9RFSPOXD6N5IUifFgZsEU7+ncn4PvE+zmSWVaOMU+x1drtlrpD0qu rpKQaBW17oeozlNheQTXAHL9x7HdHS1flHewyhLjnDmKcrQYyCWdq1Ld/3OXLviDjAGT8ceNzhIN PBJe/VTE2ahJNPnC58zAxmb7vs36sxv//LOujTNUuRKZjLvOa+99pYSfIQ+Tiyl1fDnBoTnyDYmb tKTJDtsxCyffpYQNrnV5rz4eiC825KAnn1NjqiRn9yAEgsRXx11+8zo5JpRVaeJcA6J1/t6oHmLn 1l3VnPhXlasMHiuCu3K+B8mSTSXRRWVTN2Lk9VYOELk0YMY6E4ZAyyrrmjpcV4KQQnJv4AfThAGL ah79+l+oZ94DKdoKgpnVINXhyvEYa4e0QI2HH0QnlXxNb5fg7Nmjhf3B/Mdp4EK2JvXygkTheaHG RaFdSo540cGaRcpQafTvCUG2mS8uJVeFz/G04csJybyM5qK2iTzQTAsYzWz9hL7pAzVOAzqlRGKO EKl/rJ85hWX26B/B3BQXmc1Df7A00ip1dsXETbqZ5ax4r2ipm7eZqbSRnH4Y2F4hoAh8mDMJ+BcD L6ZXXeGGajeBwAfxjySStWoDdFDj/mCdetFhOt6vJQ8QABAy/4GUBFowPeX1DimokXkI9r+WPDyW GJ9aQv6Rh0XnmOfJbewo08UH3XoeavfkaI0XdZfXublo8heMR/JE8nFcBQXE5jVzuml4TbU3Os/R T/Y723+jeJDG8Et3ESiExP7fQMlEo3wJKy+RJoQUcuODMGwSQHHQBL2BHNH3Fh+1ZFz2Li9HfsEl U5NsdgRXXvHVmHDo2nxYnIFPEBClJ9UDoHTpIaxv2YfagckWXCdgxzVelArBv1zTF01NN3xxyuuM sObo//1hSf0wS1byzhaY3cZ7kAw28RX/bSYcAuKkx9YgixelX5D6OWmUTx7DHW9cxwcCchAX96P7 GC51Okq7O7Uxina11ojG3AjObZhyfQnE6ys/7mExEyculGHr5sdkSmZX4mRgsHJ+d/Mg3xLrmP7K I0nQTOdVax1aTb8Zo2m9bZ0sue6D1cBF8PyELeEHsFS1jYyi0NiFg5VBDkUw1AxTsA8pH0n3o0SP eS2BkDnivVmQU29xYzb2V+RXoDHvLpnVyARqEzF0xpB3nFuefaT9zzEovRfnqrmC9ZZx6GtVOIhn +gSgtPXu1Vo+AJ8yLElnBZNWzbAkRAkWP7ZRZHogPQ/nr6jw4EyeunQ58zGT37HEqZuwxhp9gjQX kAXKdc4c8q1lJZ3P0TXMb6v9rh3Pi9znYdNnyyEmYUpiFhbx281v8FTX9UhyAbuLJPA7DawVZUqr rRyN7vdv23mZiSSsbh1PmVRyjSouEkbn/MC3/2Q3rTvJ1qzlN31V6EjmhAnJpS3dWk9PcFp6kEqp 89Bs9GqYVuhXzPhklTB/vOy4l+FQkGZNzX5/Cju3g0rJBvnl1Jl8DI3WUEa7CzBHfbdENLWkRYu7 KcD5OEIBe0wCvYAQ4AnxnzimkqLenUvWUFlnG6+o1kBhWimEjJqiq2RJEs1M+dXhvQio1Apb6n+4 fmIGQZcu6/2DV+YHKm+bAXiSmEg+cJEdSrspW+RsQ1ShwPKirXyJLSDdOgyYd7l0w6Mj248mEDJ7 3KvxC7c5P9mSzEwPzUBOUhOpu4fOAlduCJGtB6fnJTbKZDCl61EDTf65oAW4F/DCqfQNR6shfZcH BQUlPCVCGzvwLHRZsOzfCJJ20kYsnCf5J+FkbXdkSYzS8cmKSIH4/0YUL7AVKvSm7w+lWS2nPIDo L/jDDhLekV/BAL0sc2J97x/99rCUFWw2mthR4GypfARqPLsWYrj1A8dqXpJZChKbOk0qzqkHmIlI J+J8ocM1KS0Ls0Tidwku64854g7VY8vRRwJxPYoOeYoA6w== `protect end_protected
bsd-2-clause
0cd1740958fd1585d283b2c4736184c5
0.94578
1.834263
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_wrdata_cntl.vhd
1
91,473
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_wrdata_cntl.vhd -- -- Description: -- This file implements the DataMover Master Write Data Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_wrdata_cntl is generic ( C_REALIGNER_INCLUDED : Integer range 0 to 1 := 0; -- Indicates the Data Realignment function is included (external -- to this module) C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates the INDET BTT function is included (external -- to this module) C_SF_BYTES_RCVD_WIDTH : Integer range 1 to 23 := 1; -- Sets the width of the data2wsc_bytes_rcvd port used for -- relaying the actual number of bytes received when Idet BTT is -- enabled (C_ENABLE_INDET_BTT = 1) C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS bits of the transfer address that -- are being used to Demux write data to a wider AXI4 Write -- Data Bus C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4; -- Sets the depth of the internal command fifo used for the -- command queue C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the native data width of the Read Data port C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream output data port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input command C_FAMILY : String := "virtex7" -- Indicates the device family of the target FPGA ); port ( -- Clock and Reset inputs ---------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------------ -- Soft Shutdown internal interface ------------------------------------ -- rst2data_stop_request : in std_logic; -- -- Active high soft stop request to modules -- -- data2addr_stop_req : Out std_logic; -- -- Active high signal requesting the Address Controller -- -- to stop posting commands to the AXI Read Address Channel -- -- data2rst_stop_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- any pending transfers committed by the Address Controller -- -- after a stop has been requested by the Reset module. -- ------------------------------------------------------------------------ -- Store and Forward support signals for external User logic ------------ -- wr_xfer_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- a single write data transfer on the AXI4 Write Data Channel. -- -- This signal is escentially echos the assertion of wlast sent -- -- to the AXI4. -- -- s2mm_ld_nxt_len : out std_logic; -- -- Active high pulse indicating a new xfer length has been queued -- -- to the WDC Cmd FIFO -- -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- -- Bus indicating the AXI LEN value associated with the xfer command -- -- loaded into the WDC Command FIFO. -- ------------------------------------------------------------------------- -- AXI Write Data Channel Skid buffer I/O --------------------------------------- -- data2skid_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wlast : Out std_logic; -- -- Write LAST output to skid buffer -- -- data2skid_wvalid : Out std_logic; -- -- Write VALID output to skid buffer -- -- skid2data_wready : In std_logic; -- -- Write READY input from skid buffer -- ---------------------------------------------------------------------------------- -- AXI Slave Stream In ----------------------------------------------------------- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID input -- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data input -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB input -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST input -- ---------------------------------------------------------------------------------- -- Stream input sideband signal from Indeterminate BTT and/or DRE ---------------- -- s2mm_strm_eop : In std_logic; -- -- Stream End of Packet marker input. This is only used when Indeterminate -- -- BTT mode is enable. Otherwise it is ignored -- -- -- s2mm_stbs_asserted : in std_logic_vector(7 downto 0); -- -- Indicates the number of asserted WSTRB bits for the -- -- associated input stream data beat -- -- -- -- Realigner Underrun/overrun error flag used in non Indeterminate BTT -- -- Mode -- realign2wdc_eop_error : In std_logic ; -- -- Asserted active high and will only clear with reset. It is only used -- -- when Indeterminate BTT is not enabled and the Realigner Module is -- -- instantiated upstream from the WDC. The Realigner will detect overrun -- -- underrun conditions and will will relay these conditions via this signal. -- ---------------------------------------------------------------------------------- -- Command Calculator Interface -------------------------------------------------- -- mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the write strb -- -- demux (only used if Stream data width is less than the MMap Dwidth). -- -- mstr2data_len : In std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the first stream data beat -- -- mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the last stream -- -- data beat -- -- mstr2data_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2data_sequential : In std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : In std_logic; -- -- The final child tranfer of a parent command fetched from -- -- the Command FIFO (not necessarily an EOF command) -- -- mstr2data_cmd_valid : In std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : Out std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- ---------------------------------------------------------------------------------- -- Address Controller Interface -------------------------------------------------- -- addr2data_addr_posted : In std_logic ; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel -- -- -- data2addr_data_rdy : out std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer request until the -- -- corresponding data valid is asserted on the stream input. The -- -- WDC will continue to assert the output until an assertion on -- -- the addr2data_addr_posted is received. -- --------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ------------------------------------------ -- data2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the data controller detected -- -- a premature TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------------------- -- Data Controller Halted Status ------------------------------------------------- -- data2all_dcntlr_halted : Out std_logic; -- -- When asserted, this indicates the data controller has satisfied -- -- all pending transfers queued by the Address Controller and is halted. -- ---------------------------------------------------------------------------------- -- Input Stream Skid Buffer Halt control ----------------------------------------- -- data2skid_halt : Out std_logic; -- -- The data controller asserts this output for 1 primary clock period -- -- The pulse commands the MM2S Stream skid buffer to tun off outputs -- -- at the next tlast transmission. -- ---------------------------------------------------------------------------------- -- Write Status Controller Interface --------------------------------------------- -- data2wsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The command tag -- -- data2wsc_calc_err : Out std_logic ; -- -- Indication that the current command out from the Cntl FIFO -- -- has a calculation error -- -- data2wsc_last_err : Out std_logic ; -- -- Indication that the current write transfer encountered a premature -- -- TLAST assertion on the incoming Stream Channel -- -- data2wsc_cmd_cmplt : Out std_logic ; -- -- Indication by the Data Channel Controller that the -- -- corresponding status is the last status for a command -- -- pulled from the command FIFO -- -- wsc2data_ready : in std_logic; -- -- Input from the Write Status Module indicating that the -- -- Status Reg/FIFO is ready to accept data -- -- data2wsc_valid : Out std_logic; -- -- Output to the Command/Status Module indicating that the -- -- Data Controller has valid tag and err indicators to write -- -- to the Status module -- -- data2wsc_eop : Out std_logic; -- -- Output to the Write Status Controller indicating that the -- -- associated command status also corresponds to a End of Packet -- -- marker for the input Stream. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- data2wsc_bytes_rcvd : Out std_logic_vector(C_SF_BYTES_RCVD_WIDTH-1 downto 0); -- -- Output to the Write Status Controller indicating the actual -- -- number of bytes received from the Stream input for the -- -- corresponding command status. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- wsc2mstr_halt_pipe : In std_logic -- -- Indication to Halt the Data and Address Command pipeline due -- -- to the Status FIFO going full or an internal error being logged -- ---------------------------------------------------------------------------------- ); end entity axi_sg_wrdata_cntl; architecture implementation of axi_sg_wrdata_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declaration ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- -- coverage off function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 128 => -- 1024 bits -- Added per Per CR616409 temp_dbeat_residue_width := 7; -- Added per Per CR616409 when 64 => -- 512 bits -- Added per Per CR616409 temp_dbeat_residue_width := 6; -- Added per Per CR616409 when 32 => -- 256 bits temp_dbeat_residue_width := 5; when 16 => -- 128 bits temp_dbeat_residue_width := 4; when 8 => -- 64 bits temp_dbeat_residue_width := 3; when 4 => -- 32 bits temp_dbeat_residue_width := 2; when 2 => -- 16 bits temp_dbeat_residue_width := 1; when others => -- assume 1-byte transfers temp_dbeat_residue_width := 0; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; -- coverage on ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_cnt_width -- -- Function Description: -- Sets a count width based on a fifo depth. A depth of 4 or less -- is a special case which requires a minimum count width of 3 bits. -- ------------------------------------------------------------------- function funct_set_cnt_width (fifo_depth : integer) return integer is Variable temp_cnt_width : Integer := 4; begin if (fifo_depth <= 4) then temp_cnt_width := 3; -- coverage off elsif (fifo_depth <= 8) then temp_cnt_width := 4; elsif (fifo_depth <= 16) then temp_cnt_width := 5; elsif (fifo_depth <= 32) then temp_cnt_width := 6; else -- fifo depth <= 64 temp_cnt_width := 7; end if; -- coverage on Return (temp_cnt_width); end function funct_set_cnt_width; -- Constant Declarations -------------------------------------------- Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH; Constant LEN_WIDTH : integer := 8; Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant CMD_CMPLT_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SADDR_LSB_WIDTH + -- LS Address field width LEN_WIDTH + -- LEN field STRB_WIDTH + -- Starting Strobe field STRB_WIDTH + -- Ending Strobe field DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CMD_CMPLT_WIDTH + -- Command Complete Flag CALC_ERR_WIDTH; -- Calc error flag Constant TAG_STRT_INDEX : integer := 0; Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH; Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH; Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH; Constant DRR_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX+CMD_CMPLT_WIDTH; Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8; Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH); Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH); Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '1'); -- Signal Declarations -------------------------------------------- signal sig_get_next_dqual : std_logic := '0'; signal sig_last_mmap_dbeat : std_logic := '0'; signal sig_last_mmap_dbeat_reg : std_logic := '0'; signal sig_mmap2data_ready : std_logic := '0'; signal sig_data2mmap_valid : std_logic := '0'; signal sig_data2mmap_last : std_logic := '0'; signal sig_data2mmap_data : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_ld_new_cmd : std_logic := '0'; signal sig_ld_new_cmd_reg : std_logic := '0'; signal sig_cmd_cmplt_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted : std_logic := '0'; signal sig_dqual_rdy : std_logic := '0'; signal sig_good_mmap_dbeat : std_logic := '0'; signal sig_first_dbeat : std_logic := '0'; signal sig_last_dbeat : std_logic := '0'; signal sig_single_dbeat : std_logic := '0'; signal sig_new_len_eq_0 : std_logic := '0'; signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0'); Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0; signal sig_dbeat_cntr_eq_0 : std_logic := '0'; signal sig_dbeat_cntr_eq_1 : std_logic := '0'; signal sig_wsc_ready : std_logic := '0'; signal sig_push_to_wsc : std_logic := '0'; signal sig_push_to_wsc_cmplt : std_logic := '0'; signal sig_set_push2wsc : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_tlast_error : std_logic := '0'; signal sig_tlast_error_strbs : std_logic := '0'; signal sig_end_stbs_match_err : std_logic := '0'; signal sig_tlast_error_reg : std_logic := '0'; signal sig_cmd_is_eof : std_logic := '0'; signal sig_push_err2wsc : std_logic := '0'; signal sig_tlast_error_ovrrun : std_logic := '0'; signal sig_tlast_error_undrrun : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_eof_reg : std_logic := '0'; signal sig_next_sequential_reg : std_logic := '0'; signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_next_calc_error_reg : std_logic := '0'; signal sig_pop_dqual_reg : std_logic := '0'; signal sig_push_dqual_reg : std_logic := '0'; signal sig_dqual_reg_empty : std_logic := '0'; signal sig_dqual_reg_full : std_logic := '0'; signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted_cntr_eq_0 : std_logic := '0'; signal sig_addr_posted_cntr_max : std_logic := '0'; signal sig_decr_addr_posted_cntr : std_logic := '0'; signal sig_incr_addr_posted_cntr : std_logic := '0'; signal sig_addr_posted_cntr_eq_1 : std_logic := '0'; signal sig_apc_going2zero : std_logic := '0'; signal sig_aposted_cntr_ready : std_logic := '0'; signal sig_addr_chan_rdy : std_logic := '0'; Signal sig_no_posted_cmds : std_logic := '0'; signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ls_addr_cntr : std_logic := '0'; signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_sadddr_lsb : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_last_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_drr : std_logic := '0'; signal sig_fifo_next_eof : std_logic := '0'; signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_next_sequential : std_logic := '0'; signal sig_fifo_next_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_sequential_push : std_logic := '0'; signal sig_clr_dqual_reg : std_logic := '0'; signal sig_tlast_err_stop : std_logic := '0'; signal sig_halt_reg : std_logic := '0'; signal sig_halt_reg_dly1 : std_logic := '0'; signal sig_halt_reg_dly2 : std_logic := '0'; signal sig_halt_reg_dly3 : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_stop_wvalid : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_s2mm_strm_wready : std_logic := '0'; signal sig_s2mm_strm_wready_del : std_logic := '0'; signal sig_good_strm_dbeat : std_logic := '0'; signal sig_halt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_sfhalt_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_wfd_simult_clr_set : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_spcl_push_err2wsc : std_logic := '0'; begin --(architecture implementation) -- Command calculator handshake data2mstr_cmd_ready <= sig_data2mstr_cmd_ready; -- Write Data Channel Skid Buffer Port assignments sig_mmap2data_ready <= skid2data_wready ; data2skid_wvalid <= sig_data2mmap_valid ; data2skid_wlast <= sig_data2mmap_last ; data2skid_wdata <= sig_data2mmap_data ; data2skid_saddr_lsb <= sig_addr_lsb_reg ; -- AXI MM2S Stream Channel Port assignments sig_data2mmap_data <= s2mm_strm_wdata ; -- Premature TLAST assertion indication data2all_tlast_error <= sig_tlast_error_reg ; -- Stream Input Ready Handshake s2mm_strm_wready <= sig_s2mm_strm_wready ; sig_good_strm_dbeat <= s2mm_strm_wvalid and sig_s2mm_strm_wready; -- sig_s2mm_strm_wready_del; sig_data2mmap_last <= sig_dbeat_cntr_eq_0 and sig_dqual_rdy; -- Write Status Block interface signals data2wsc_valid <= sig_push_to_wsc and not(sig_tlast_err_stop) ; -- only allow 1 status write on TLAST errror sig_wsc_ready <= wsc2data_ready ; data2wsc_tag <= sig_data2wsc_tag ; data2wsc_calc_err <= sig_data2wsc_calc_err ; data2wsc_last_err <= sig_data2wsc_last_err ; data2wsc_cmd_cmplt <= sig_data2wsc_cmd_cmplt ; -- Address Channel Controller synchro pulse input sig_addr_posted <= addr2data_addr_posted; -- Request to halt the Address Channel Controller data2addr_stop_req <= sig_halt_reg or sig_tlast_error_reg; -- Halted flag to the reset module data2rst_stop_cmplt <= sig_data2rst_stop_cmplt; -- Indicate the Write Data Controller is always ready data2addr_data_rdy <= '1'; -- Write Transfer Completed Status output wr_xfer_cmplt <= sig_wr_xfer_cmplt ; -- New LEN value is being loaded s2mm_ld_nxt_len <= sig_s2mm_ld_nxt_len; -- The new LEN value s2mm_wr_len <= sig_s2mm_wr_len; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_CMPLT_FLAG -- -- Process Description: -- Implements the status flag indicating that a write data -- transfer has completed. This is an echo of a wlast assertion -- and a qualified data beat on the AXI4 Write Data Channel. -- ------------------------------------------------------------- IMP_WR_CMPLT_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_wr_xfer_cmplt <= '0'; sig_s2mm_strm_wready_del <= '0'; else sig_wr_xfer_cmplt <= sig_data2mmap_last and sig_good_strm_dbeat; sig_s2mm_strm_wready_del <= sig_s2mm_strm_wready; end if; end if; end process IMP_WR_CMPLT_FLAG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omits any Indeterminate BTT Support logic and includes -- any error detection needed in Non Indeterminate BTT mode. -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_sfhalt_next_strt_strb <= sig_fifo_next_strt_strb; -- Just housekeep the output port signals data2wsc_eop <= '0'; data2wsc_bytes_rcvd <= (others => '0'); -- WRSTRB logic ------------------------------ -- Generate the Write Strobes for the MMap Write Data Channel -- for the non Indeterminate BTT Case data2skid_wstrb <= (others => '1') when mmap_reset = '0' else (others => '0'); --sig_strt_strb_reg -- data2skid_wstrb <= sig_strt_strb_reg -- When (sig_first_dbeat = '1') -- Else sig_last_strb_reg -- When (sig_last_dbeat = '1') -- Else (others => '1'); -- Generate the Stream Ready for the Stream input side sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested (sig_mmap2data_ready and sig_addr_chan_rdy and -- This puts combinational logic in the stream WREADY path sig_dqual_rdy and not(sig_calc_error_reg) and not(sig_tlast_error_reg)); -- Stop the stream channel at a overrun/underrun detection -- MMap Write Data Channel Valid Handshaking sig_data2mmap_valid <= (s2mm_strm_wvalid or sig_tlast_error_reg or -- force valid if TLAST error sig_halt_reg ) and -- force valid if halt requested sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and not(sig_stop_wvalid); -- gate off wvalid immediately after a wlast for 1 clk -- or when the soft shutdown has completed ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LOCAL_ERR_DETECT -- -- If Generate Description: -- Implements the local overrun and underrun detection when -- the S2MM Realigner is not included. -- -- ------------------------------------------------------------ GEN_LOCAL_ERR_DETECT : if (C_REALIGNER_INCLUDED = 0) generate begin ------- Input Stream TLAST assertion error ------------------------------- sig_tlast_error_ovrrun <= sig_cmd_is_eof and sig_dbeat_cntr_eq_0 and sig_good_mmap_dbeat and not(s2mm_strm_wlast); sig_tlast_error_undrrun <= s2mm_strm_wlast and sig_good_mmap_dbeat and (not(sig_dbeat_cntr_eq_0) or not(sig_cmd_is_eof)); sig_end_stbs_match_err <= '1' -- Set flag if the calculated end strobe value When ((s2mm_strm_wstrb /= sig_next_last_strb_reg) and -- does not match the received strobe value (s2mm_strm_wlast = '1') and -- at TLAST assertion (sig_good_mmap_dbeat = '1')) -- Qualified databeat Else '0'; sig_tlast_error <= (sig_tlast_error_ovrrun or sig_tlast_error_undrrun or sig_end_stbs_match_err) and not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Just housekeep this when local TLAST error detection is used sig_spcl_push_err2wsc <= '0'; end generate GEN_LOCAL_ERR_DETECT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_EXTERN_ERR_DETECT -- -- If Generate Description: -- Omits the local overrun and underrun detection and relies -- on the S2MM Realigner for the detection. -- ------------------------------------------------------------ GEN_EXTERN_ERR_DETECT : if (C_REALIGNER_INCLUDED = 1) generate begin sig_tlast_error_undrrun <= '0'; -- not used here sig_tlast_error_ovrrun <= '0'; -- not used here sig_end_stbs_match_err <= '0'; -- not used here sig_tlast_error <= realign2wdc_eop_error and -- External error detection asserted not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Special case for pushing error status when timing is such that no -- addresses have been posted to AXI and a TLAST error has been detected -- by the Realigner module and propagated in from the Stream input side. sig_spcl_push_err2wsc <= sig_tlast_error_reg and not(sig_tlast_err_stop) and not(sig_addr_chan_rdy ); end generate GEN_EXTERN_ERR_DETECT; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_REG -- -- Process Description: -- Implements a sample and hold flop for the flag indicating -- that the input Stream TLAST assertion was not at the expected -- data beat relative to the commanded number of databeats -- from the associated command from the SCC or PCC. ------------------------------------------------------------- IMP_TLAST_ERR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_error_reg <= '0'; -- coverage off elsif (sig_tlast_error = '1') then sig_tlast_error_reg <= '1'; -- coverage on else null; -- hold current state end if; end if; end process IMP_TLAST_ERR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERROR_STOP -- -- Process Description: -- Implements the flop to generate a stop flag once the TLAST -- error condition has been relayed to the Write Status -- Controller. This stop flag is used to prevent any more -- pushes to the Write Status Controller. -- ------------------------------------------------------------- IMP_TLAST_ERROR_STOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_err_stop <= '0'; -- coverage off elsif (sig_tlast_error_reg = '1' and sig_push_to_wsc_cmplt = '1') then sig_tlast_err_stop <= '1'; -- coverage on else null; -- Hold State end if; end if; end process IMP_TLAST_ERROR_STOP; end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INDET_BTT -- -- If Generate Description: -- Includes any Indeterminate BTT Support logic. Primarily -- this is a counter for the input stream bytes received. The -- received byte count is relayed to the Write Status Controller -- for each parent command completed. -- When a packet completion is indicated via the EOP marker -- assertion, the status to the Write Status Controller also -- indicates the EOP condition. -- Note that underrun and overrun detection/error flagging -- is disabled in Indeterminate BTT Mode. -- ------------------------------------------------------------ -- GEN_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate -- -- -- local constants -- Constant BYTE_CNTR_WIDTH : integer := C_SF_BYTES_RCVD_WIDTH; -- Constant NUM_ZEROS_WIDTH : integer := 8; -- Constant BYTES_PER_DBEAT : integer := C_STREAM_DWIDTH/8; -- Constant STRBGEN_ADDR_SLICE_WIDTH : integer := -- funct_get_dbeat_residue_width(BYTES_PER_DBEAT); -- -- Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); -- -- -- -- -- local signals -- signal lsig_byte_cntr : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); -- signal lsig_byte_cntr_incr_value : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); -- signal lsig_ld_byte_cntr : std_logic := '0'; -- signal lsig_incr_byte_cntr : std_logic := '0'; -- signal lsig_clr_byte_cntr : std_logic := '0'; -- signal lsig_end_of_cmd_reg : std_logic := '0'; -- signal lsig_eop_s_h_reg : std_logic := '0'; -- signal lsig_eop_reg : std_logic := '0'; -- signal sig_strbgen_addr : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); -- signal sig_strbgen_bytes : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); -- -- -- -- -- begin -- -- -- -- Assign the outputs to the Write Status Controller -- data2wsc_eop <= lsig_eop_reg and -- not(sig_next_calc_error_reg); -- -- data2wsc_bytes_rcvd <= STD_LOGIC_VECTOR(lsig_byte_cntr); -- -- -- -- -- WRSTRB logic ------------------------------ -- -- -- -- --sig_strbgen_bytes <= (others => '1'); -- set to the max value -- -- -- -- set the length to the max number of bytes per databeat -- sig_strbgen_bytes <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)); -- -- -- -- -- -- -- sig_strbgen_addr <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_fifo_next_sadddr_lsb), -- STRBGEN_ADDR_SLICE_WIDTH)) ; -- -- -- -- -- ------------------------------------------------------------ -- -- Instance: I_STRT_STRB_GEN -- -- -- -- Description: -- -- Strobe generator used to generate the starting databeat -- -- strobe value for soft shutdown case where the S2MM has to -- -- flush out all of the transfers that have been committed -- -- to the AXI Write address channel. Starting Strobes must -- -- match the committed address offest for each transfer. -- -- -- ------------------------------------------------------------ -- I_STRT_STRB_GEN : entity axi_sg_v4_1_3.axi_sg_strb_gen2 -- generic map ( -- -- C_OP_MODE => 0 , -- 0 = Offset/Length mode -- C_STRB_WIDTH => BYTES_PER_DBEAT , -- C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , -- C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 -- -- ) -- port map ( -- -- start_addr_offset => sig_strbgen_addr , -- end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 -- num_valid_bytes => sig_strbgen_bytes , -- strb_out => sig_sfhalt_next_strt_strb -- -- ); -- -- -- -- -- -- -- -- -- Generate the WSTRB to use during soft shutdown -- sig_halt_strb <= sig_strt_strb_reg -- When (sig_first_dbeat = '1' or -- sig_single_dbeat = '1') -- Else (others => '1'); -- -- -- -- -- Generate the Write Strobes for the MMap Write Data Channel -- -- for the Indeterminate BTT case. Strobes come from the Stream -- -- input from the Indeterminate BTT module during normal operation. -- -- However, during soft shutdown, those strobes become unpredictable -- -- so generated strobes have to be used. -- data2skid_wstrb <= sig_halt_strb -- When (sig_halt_reg = '1') -- -- Else s2mm_strm_wstrb; -- -- -- -- -- Generate the Stream Ready for the Stream input side -- sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested -- (sig_mmap2data_ready and -- MMap is accepting the xfers -- sig_addr_chan_rdy and -- xfers are commited on the address channel and -- sig_dqual_rdy and -- there are commands in the command fifo -- not(sig_calc_error_reg) and -- No internal error -- not(sig_stop_wvalid)); -- Gate off stream ready immediately after a wlast for 1 clk -- -- or when the soft shutdown has completed -- -- -- -- MMap Write Data Channel Valid Handshaking -- sig_data2mmap_valid <= (s2mm_strm_wvalid or -- Normal Stream input valid -- sig_halt_reg ) and -- force valid if halt requested -- sig_addr_chan_rdy and -- xfers are commited on the address channel and -- sig_dqual_rdy and -- there are commands in the command fifo -- not(sig_calc_error_reg) and -- No internal error -- not(sig_stop_wvalid); -- Gate off wvalid immediately after a wlast for 1 clk -- -- or when the soft shutdown has completed -- -- -- -- -- TLAST Error housekeeping for Indeterminate BTT Mode -- -- There is no Underrun/overrun in Stroe and Forward mode -- -- sig_tlast_error_ovrrun <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_error_undrrun <= '0'; -- Not used with Indeterminate BTT -- sig_end_stbs_match_err <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_error <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_error_reg <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_err_stop <= '0'; -- Not used with Indeterminate BTT -- -- -- -- -- -- ------------------------------------------------------------- -- -- Synchronous Process with Sync Reset -- -- -- -- Label: IMP_EOP_REG_FLOP -- -- -- -- Process Description: -- -- Register the End of Packet marker. -- -- -- ------------------------------------------------------------- -- IMP_EOP_REG_FLOP : process (primary_aclk) -- begin -- if (primary_aclk'event and primary_aclk = '1') then -- if (mmap_reset = '1') then -- -- lsig_end_of_cmd_reg <= '0'; -- lsig_eop_reg <= '0'; -- -- -- Elsif (sig_good_strm_dbeat = '1') Then -- -- -- lsig_end_of_cmd_reg <= sig_next_cmd_cmplt_reg and -- s2mm_strm_wlast; -- -- lsig_eop_reg <= s2mm_strm_eop; -- -- else -- -- null; -- hold current state -- -- end if; -- end if; -- end process IMP_EOP_REG_FLOP; -- -- -- -- -- -- ----- Byte Counter Logic ----------------------------------------------- -- -- The Byte counter reflects the actual byte count received on the -- -- Stream input for each parent command loaded into the S2MM command -- -- FIFO. Thus it counts input bytes until the command complete qualifier -- -- is set and the TLAST input from the Stream input. -- -- -- lsig_clr_byte_cntr <= lsig_end_of_cmd_reg and -- Clear if a new stream packet does not start -- not(sig_good_strm_dbeat); -- immediately after the previous one finished. -- -- -- lsig_ld_byte_cntr <= lsig_end_of_cmd_reg and -- Only load if a new stream packet starts -- sig_good_strm_dbeat; -- immediately after the previous one finished. -- -- lsig_incr_byte_cntr <= sig_good_strm_dbeat; -- -- -- lsig_byte_cntr_incr_value <= RESIZE(UNSIGNED(s2mm_stbs_asserted), -- BYTE_CNTR_WIDTH); -- -- ------------------------------------------------------------- -- -- Synchronous Process with Sync Reset -- -- -- -- Label: IMP_BYTE_CMTR -- -- -- -- Process Description: -- -- Keeps a running byte count per burst packet loaded into the -- -- xfer FIFO. It is based on the strobes set on the incoming -- -- Stream dbeat. -- -- -- ------------------------------------------------------------- -- IMP_BYTE_CMTR : process (primary_aclk) -- begin -- if (primary_aclk'event and primary_aclk = '1') then -- if (mmap_reset = '1' or -- lsig_clr_byte_cntr = '1') then -- -- lsig_byte_cntr <= (others => '0'); -- -- elsif (lsig_ld_byte_cntr = '1') then -- -- lsig_byte_cntr <= lsig_byte_cntr_incr_value; -- -- elsif (lsig_incr_byte_cntr = '1') then -- -- lsig_byte_cntr <= lsig_byte_cntr + lsig_byte_cntr_incr_value; -- -- else -- null; -- hold current value -- end if; -- end if; -- end process IMP_BYTE_CMTR; -- -- -- -- -- -- end generate GEN_INDET_BTT; -- -- Internal logic ------------------------------ sig_good_mmap_dbeat <= sig_mmap2data_ready and sig_data2mmap_valid; sig_last_mmap_dbeat <= sig_good_mmap_dbeat and sig_data2mmap_last; sig_get_next_dqual <= sig_last_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_LAST_DBEAT -- -- Process Description: -- This implements a FLOP that creates a pulse -- indicating the LAST signal for an outgoing write data channel -- has been sent. Note that it is possible to have back to -- back LAST databeats. -- ------------------------------------------------------------- REG_LAST_DBEAT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_last_mmap_dbeat_reg <= '0'; else sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat; end if; end if; end process REG_LAST_DBEAT; ----- Write Status Interface Stuff -------------------------- sig_push_to_wsc_cmplt <= sig_push_to_wsc and sig_wsc_ready; sig_set_push2wsc <= (sig_good_mmap_dbeat and sig_dbeat_cntr_eq_0) or sig_push_err2wsc or sig_spcl_push_err2wsc; -- Special case from CR616212 ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INTERR_PUSH_FLOP -- -- Process Description: -- Generate a 1 clock wide pulse when a calc error has propagated -- from the Command Calculator. This pulse is used to force a -- push of the error status to the Write Status Controller -- without a AXI transfer completion. -- ------------------------------------------------------------- IMP_INTERR_PUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_push_err2wsc = '1') then sig_push_err2wsc <= '0'; elsif (sig_ld_new_cmd_reg = '1' and sig_calc_error_reg = '1') then sig_push_err2wsc <= '1'; else null; -- hold state end if; end if; end process IMP_INTERR_PUSH_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH2WSC_FLOP -- -- Process Description: -- Implements a Sample and hold register for the outbound status -- signals to the Write Status Controller (WSC). This register -- has to support back to back transfer completions. -- ------------------------------------------------------------- IMP_PUSH2WSC_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_push_to_wsc_cmplt = '1' and sig_set_push2wsc = '0')) then sig_push_to_wsc <= '0'; sig_data2wsc_tag <= (others => '0'); sig_data2wsc_calc_err <= '0'; sig_data2wsc_last_err <= '0'; sig_data2wsc_cmd_cmplt <= '0'; elsif (sig_set_push2wsc = '1' and sig_tlast_err_stop = '0') then sig_push_to_wsc <= '1'; sig_data2wsc_tag <= sig_tag_reg ; sig_data2wsc_calc_err <= sig_calc_error_reg ; sig_data2wsc_last_err <= sig_tlast_error_reg or sig_tlast_error ; sig_data2wsc_cmd_cmplt <= sig_cmd_cmplt_reg or sig_tlast_error_reg or sig_tlast_error ; else null; -- hold current state end if; end if; end process IMP_PUSH2WSC_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LD_NEW_CMD_REG -- -- Process Description: -- Registers the flag indicating a new command has been -- loaded. Needs to be a 1 clk wide pulse. -- ------------------------------------------------------------- IMP_LD_NEW_CMD_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_ld_new_cmd_reg = '1') then sig_ld_new_cmd_reg <= '0'; else sig_ld_new_cmd_reg <= sig_ld_new_cmd; end if; end if; end process IMP_LD_NEW_CMD_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_NXT_LEN_REG -- -- Process Description: -- Registers the load control and length value for a command -- passed to the WDC input command interface. The registered -- signals are used for the external Indeterminate BTT support -- ports. -- ------------------------------------------------------------- IMP_NXT_LEN_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_s2mm_ld_nxt_len <= '0'; sig_s2mm_wr_len <= (others => '0'); else sig_s2mm_ld_nxt_len <= mstr2data_cmd_valid and sig_data2mstr_cmd_ready; sig_s2mm_wr_len <= mstr2data_len; end if; end if; end process IMP_NXT_LEN_REG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DATA_CNTL_FIFO -- -- If Generate Description: -- Omits the input data control FIFO if the requested FIFO -- depth is 1. The Data Qualifier Register serves as a -- 1 deep FIFO by itself. -- ------------------------------------------------------------ GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ; -- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe) and -- The Wr Status Controller is not stalling -- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is -- pre 13.1 -- no calculation error being propagated sig_fifo_wr_cmd_ready <= sig_push_dqual_reg; sig_fifo_next_tag <= mstr2data_tag ; sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ; sig_fifo_next_len <= mstr2data_len ; sig_fifo_next_strt_strb <= mstr2data_strt_strb ; sig_fifo_next_last_strb <= mstr2data_last_strb ; sig_fifo_next_drr <= mstr2data_drr ; sig_fifo_next_eof <= mstr2data_eof ; sig_fifo_next_sequential <= mstr2data_sequential ; sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ; sig_fifo_next_calc_error <= mstr2data_calc_error ; end generate GEN_NO_DATA_CNTL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DATA_CNTL_FIFO -- -- If Generate Description: -- Includes the input data control FIFO if the requested -- FIFO depth is more than 1. -- ------------------------------------------------------------ GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ; -- pop the fifo when dqual reg is pushed sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2data_calc_error & mstr2data_cmd_cmplt & mstr2data_sequential & mstr2data_eof & mstr2data_drr & mstr2data_last_strb & mstr2data_strt_strb & mstr2data_len & mstr2data_saddr_lsb & mstr2data_tag ; -- Rip the output fifo data word sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto SADDR_LSB_STRT_INDEX); sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto LEN_STRT_INDEX); sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto STRT_STRB_STRT_INDEX); sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto LAST_STRB_STRT_INDEX); sig_fifo_next_drr <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX); sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DATA_CNTL_FIFO -- -- Description: -- Instance for the Command Qualifier FIFO -- ------------------------------------------------------------ I_DATA_CNTL_FIFO : entity axi_sg_v4_1_3.axi_sg_fifo generic map ( C_DWIDTH => DCTL_FIFO_WIDTH , C_DEPTH => C_DATA_CNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_DATA_CNTL_FIFO; -- Data Qualifier Register ------------------------------------ sig_ld_new_cmd <= sig_push_dqual_reg ; sig_dqual_rdy <= sig_dqual_reg_full ; sig_strt_strb_reg <= sig_next_strt_strb_reg ; sig_last_strb_reg <= sig_next_last_strb_reg ; sig_tag_reg <= sig_next_tag_reg ; sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ; sig_calc_error_reg <= sig_next_calc_error_reg ; sig_cmd_is_eof <= sig_next_eof_reg ; -- new for no bubbles between child requests sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified sig_last_dbeat and -- last data beat of transfer sig_next_sequential_reg;-- next queued command is sequential -- to the current command -- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or -- pre 13.1 sig_dqual_reg_empty) and -- pre 13.1 sig_fifo_rd_cmd_valid and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- pre 13.1 -- stalling the command execution pipe sig_push_dqual_reg <= (sig_sequential_push or sig_dqual_reg_empty) and sig_fifo_rd_cmd_valid and sig_aposted_cntr_ready and not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- stalling the command execution pipe sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and sig_get_next_dqual and sig_dqual_reg_full ; -- new for no bubbles between child requests sig_clr_dqual_reg <= mmap_reset or (sig_pop_dqual_reg and not(sig_push_dqual_reg)); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DQUAL_REG -- -- Process Description: -- This process implements a register for the Data -- Control and qualifiers. It operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_DQUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_clr_dqual_reg = '1') then sig_next_tag_reg <= (others => '0'); sig_next_strt_strb_reg <= (others => '0'); sig_next_last_strb_reg <= (others => '0'); sig_next_eof_reg <= '0' ; sig_next_sequential_reg <= '0' ; sig_next_cmd_cmplt_reg <= '0' ; sig_next_calc_error_reg <= '0' ; sig_dqual_reg_empty <= '1' ; sig_dqual_reg_full <= '0' ; elsif (sig_push_dqual_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_strt_strb_reg <= sig_sfhalt_next_strt_strb ; sig_next_last_strb_reg <= sig_fifo_next_last_strb ; sig_next_eof_reg <= sig_fifo_next_eof ; sig_next_sequential_reg <= sig_fifo_next_sequential ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_next_calc_error_reg <= sig_fifo_next_calc_error ; sig_dqual_reg_empty <= '0'; sig_dqual_reg_full <= '1'; else null; -- don't change state end if; end if; end process IMP_DQUAL_REG; -- Address LS Cntr logic -------------------------- sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr); sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH); sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_ADDR_LSB_CNTR -- -- Process Description: -- Implements the LS Address Counter used for controlling -- the Write STRB DeMux during Burst transfers -- ------------------------------------------------------------- DO_ADDR_LSB_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_dqual_reg = '1'and sig_push_dqual_reg = '0')) then -- Clear the Counter sig_ls_addr_cntr <= (others => '0'); elsif (sig_push_dqual_reg = '1') then -- Load the Counter sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb); elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd; else null; -- Hold Current value end if; end if; end process DO_ADDR_LSB_CNTR; -- Address Posted Counter Logic -------------------------------------- sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0 or sig_apc_going2zero) ; -- Gates data channel xfer handshake sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max) ; -- Gates new command fetching sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0 ; -- Used for flushing cmds that are posted sig_incr_addr_posted_cntr <= sig_addr_posted ; sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ; sig_addr_posted_cntr_eq_0 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ZERO) Else '0'; sig_addr_posted_cntr_max <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_MAX) Else '0'; sig_addr_posted_cntr_eq_1 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ONE) Else '0'; sig_apc_going2zero <= sig_addr_posted_cntr_eq_1 and sig_decr_addr_posted_cntr and not(sig_incr_addr_posted_cntr); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_POSTED_FIFO_CNTR -- -- Process Description: -- This process implements a counter for the tracking -- if an Address has been posted on the AXI address channel. -- The Data Controller must wait for an address to be posted -- before proceeding with the corresponding data transfer on -- the Data Channel. The counter is also used to track flushing -- operations where all transfers commited on the AXI Address -- Channel have to be completed before a halt can occur. ------------------------------------------------------------- IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_addr_posted_cntr <= ADDR_POSTED_ZERO; elsif (sig_incr_addr_posted_cntr = '1' and sig_decr_addr_posted_cntr = '0' and sig_addr_posted_cntr_max = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ; elsif (sig_incr_addr_posted_cntr = '0' and sig_decr_addr_posted_cntr = '1' and sig_addr_posted_cntr_eq_0 = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ; else null; -- don't change state end if; end if; end process IMP_ADDR_POSTED_FIFO_CNTR; ------- First/Middle/Last Dbeat detimination ------------------- sig_new_len_eq_0 <= '1' When (sig_fifo_next_len = LEN_OF_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_FIRST_MID_LAST -- -- Process Description: -- Implements the detection of the First/Mid/Last databeat of -- a transfer. -- ------------------------------------------------------------- DO_FIRST_MID_LAST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; elsif (sig_ld_new_cmd = '1') then sig_first_dbeat <= not(sig_new_len_eq_0); sig_last_dbeat <= sig_new_len_eq_0; sig_single_dbeat <= sig_new_len_eq_0; Elsif (sig_dbeat_cntr_eq_1 = '1' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '1'; sig_single_dbeat <= '0'; Elsif (sig_dbeat_cntr_eq_0 = '0' and sig_dbeat_cntr_eq_1 = '0' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; else null; -- hold current state end if; end if; end process DO_FIRST_MID_LAST; ------- Data Controller Halted Indication ------------------------------- data2all_dcntlr_halted <= sig_no_posted_cmds or sig_calc_error_reg; ------- Data Beat counter logic ------------------------------- sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr); sig_dbeat_cntr_eq_0 <= '1' when (sig_dbeat_cntr_int = 0) Else '0'; sig_dbeat_cntr_eq_1 <= '1' when (sig_dbeat_cntr_int = 1) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DBEAT_CNTR -- -- Process Description: -- Implements the transfer data beat counter used to track -- progress of the transfer. -- ------------------------------------------------------------- DO_DBEAT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_dbeat_cntr <= (others => '0'); elsif (sig_ld_new_cmd = '1') then sig_dbeat_cntr <= unsigned(sig_fifo_next_len); Elsif (sig_good_mmap_dbeat = '1' and sig_dbeat_cntr_eq_0 = '0') Then sig_dbeat_cntr <= sig_dbeat_cntr-1; else null; -- Hold current state end if; end if; end process DO_DBEAT_CNTR; ------- Soft Shutdown Logic ------------------------------- -- Formulate the soft shutdown complete flag sig_data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown sig_no_posted_cmds and not(sig_calc_error_reg)) or (sig_halt_reg_dly3 and -- Shutdown after error trap sig_calc_error_reg); -- Generate a gate signal to deassert the WVALID output -- for 1 clock cycle after a WLAST is issued. This only -- occurs when in soft shutdown mode. sig_stop_wvalid <= (sig_last_mmap_dbeat_reg and sig_halt_reg) or sig_data2rst_stop_cmplt; -- Assign the output port skid buf control for the -- input Stream skid buffer data2skid_halt <= sig_data2skid_halt; -- Create a 1 clock wide pulse to tell the input -- stream skid buffer to shut down. sig_data2skid_halt <= sig_halt_reg_dly2 and not(sig_halt_reg_dly3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG -- -- Process Description: -- Implements the flop for capturing the Halt request from -- the Reset module. -- ------------------------------------------------------------- IMP_HALT_REQ_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg <= '0'; elsif (rst2data_stop_request = '1') then sig_halt_reg <= '1'; else null; -- Hold current State end if; end if; end process IMP_HALT_REQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG_DLY -- -- Process Description: -- Implements the flops for delaying the halt request by 3 -- clocks to allow the Address Controller to halt before the -- Data Contoller can safely indicate it has exhausted all -- transfers committed to the AXI Address Channel by the Address -- Controller. -- ------------------------------------------------------------- IMP_HALT_REQ_REG_DLY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg_dly1 <= '0'; sig_halt_reg_dly2 <= '0'; sig_halt_reg_dly3 <= '0'; else sig_halt_reg_dly1 <= sig_halt_reg; sig_halt_reg_dly2 <= sig_halt_reg_dly1; sig_halt_reg_dly3 <= sig_halt_reg_dly2; end if; end if; end process IMP_HALT_REQ_REG_DLY; end implementation;
mit
9be158e40c767ee2f9decd788a96584b
0.417544
4.924787
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/tracer.vhd
1
3,177
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! RIVER CPU specific library. library riverlib; --! RIVER CPU configuration constants. use riverlib.river_cfg.all; entity tracer is generic ( async_reset : boolean; trace_file : string := "" ); port ( i_clk : in std_logic; -- CPU clock i_nrst : in std_logic; -- Reset. Active LOW. i_dbg_executed_cnt : in std_logic_vector(63 downto 0); i_e_valid : in std_logic; i_e_pc : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_e_instr : in std_logic_vector(31 downto 0); i_e_memop_store : in std_logic; i_e_memop_load : in std_logic; i_e_memop_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_e_res_data : in std_logic_vector(RISCV_ARCH-1 downto 0); i_e_res_addr : in std_logic_vector(5 downto 0); i_m_wena : in std_logic; i_m_waddr : in std_logic_vector(5 downto 0); i_m_wdata : in std_logic_vector(RISCV_ARCH-1 downto 0) ); end; architecture arch_tracer of tracer is type regnames_type is array (0 to Reg_Total+RegFpu_Total-1) of string; constant rname : regnames_type := ( "zero", "ra", "sp", "gp", "tp", "t0", "t1", "t2", "s0", "s1", "a0", "a1", "a2", "a3", "a4", "a5", "a6", "a7", "s2", "s3", "s4", "s5", "s6", "s7", "s8", "s9", "s10", "s11", "t3", "t4", "t5", "t6", "ft0", "ft1", "ft2", "ft3", "ft4", "ft5", "ft6", "ft7", "fs0", "fs1", "fa0", "fa1", "fa2", "fa3", "fa4", "fa5", "fa6", "fa7", "fs2", "fs3", "fs4", "fs5", "fs6", "fs7", "fs8", "fs9", "fs10", "fs11", "ft8", "ft9", "ft10", "ft11" ); type RegistersType is record load_reg : std_logic; load_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); end record; constant R_RESET : RegistersType := ('0', (others => '0')); signal r, rin : RegistersType; begin comb : process(i_nrst, i_dbg_executed_cnt, i_e_valid, i_e_pc, i_e_instr, i_e_memop_store, i_e_memop_load, i_e_memop_addr, i_e_res_data, i_e_res_addr, i_m_wena, i_m_waddr, i_m_wdata, r) variable v : RegistersType; begin v := r; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
dcc83528042ce1ca2839a039aa893eb6
0.58955
2.869919
false
false
false
false
szanni/aeshw
aes-core/aes_module_cu.vhd
1
3,918
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:40:13 07/21/2014 -- Design Name: -- Module Name: aes_module_cu - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.types.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity aes_module_cu is port (clk : in std_logic; reset : in std_logic; x_start : in std_logic; x_mode : in aes_mode; x_end_enc : in std_logic; x_end_dec : in std_logic; x_end_exp : in std_logic; y_end : out std_logic; y_done : out std_logic; y_start_enc : out std_logic; y_start_dec : out std_logic; y_start_exp : out std_logic; y_mux_ctrl : out aes_mode ); end aes_module_cu; architecture Behavioral of aes_module_cu is type States is (S_READY, S_ENC, S_DEC, S_EXP, S_DONE); signal S, S_next : States; begin delta : process (S, x_start, x_mode, x_end_enc, x_end_dec, x_end_exp) begin case S is when S_READY => y_done <= '0'; y_end <= '0'; y_start_enc <= '0'; y_start_dec <= '0'; y_start_exp <= '0'; if x_start = '1' then case x_mode is when ENCRYPT => y_start_enc <= '1'; S_next <= S_ENC; when DECRYPT => y_start_dec <= '1'; S_next <= S_DEC; when EXPAND_KEY => y_start_exp <= '1'; S_next <= S_EXP; when others => S_next <= S_READY; end case; else S_next <= S_READY; end if; when S_ENC => y_done <= '0'; y_end <= '0'; y_start_enc <= '0'; y_start_dec <= '0'; y_start_exp <= '0'; y_mux_ctrl <= ENCRYPT; if x_end_enc = '1' then y_end <= '1'; S_next <= S_DONE; else S_next <= S_ENC; end if; when S_DEC => y_done <= '0'; y_end <= '0'; y_start_enc <= '0'; y_start_dec <= '0'; y_start_exp <= '0'; y_mux_ctrl <= DECRYPT; if x_end_dec = '1' then y_end <= '1'; S_next <= S_DONE; else S_next <= S_DEC; end if; when S_EXP => y_done <= '0'; y_end <= '0'; y_start_enc <= '0'; y_start_dec <= '0'; y_start_exp <= '0'; if x_end_exp = '1' then y_end <= '1'; S_next <= S_DONE; else S_next <= S_EXP; end if; when S_DONE => y_done <= '1'; y_end <= '0'; y_start_enc <= '0'; y_start_dec <= '0'; y_start_exp <= '0'; if x_start = '1' then case x_mode is when ENCRYPT => y_start_enc <= '1'; S_next <= S_ENC; when DECRYPT => y_start_dec <= '1'; S_next <= S_DEC; when EXPAND_KEY => y_start_exp <= '1'; S_next <= S_EXP; when others => S_next <= S_DONE; end case; else S_next <= S_DONE; end if; end case; end process delta; feedback_loop : process (clk, reset, S_next) begin if reset = '1' then S <= S_READY; elsif rising_edge(clk) then S <= S_next; end if; end process feedback_loop; end Behavioral;
bsd-2-clause
0c15830e395b0d1bf3493bd72504a1c2
0.449974
3.082612
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/clk_x_pntrs_builtin.vhd
19
43,418
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rMj+x3ocDbJ+0HvlMPtFLLYN4V3iOWmu0i3VYcvwPU8r9dUqilqv5BoOperD1z/j12cu4ait0bNC TvgieQY6qg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LiFkBwHJvbvIRsrs7TuS9x+hbpgzWqPRKAN+86jD7W/DWOy2HiTI+Pr3kejl0F7PQ/wd2Tf3u0hB l5PFI7Uciy5uXiQA7fDmYLdPcNoMNQWm9hohp6Q8wB4H3kSwMFgjlrwYcv97jBF9K/DD+f6kjMEJ pjxxREwM6oJfyPhyhBI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mlNr/JQ7BAznEw9Lq2hOb9T0FUxDG5TxOJH6VJoPGS12EjdrVMK5Jwy/CrH7dSOtWY2eUHhpsxFO HZJnPHkoY6pnOp56kFqNAyiHJP+z5BexlWOYCHMzTTDXl5ecpknkEs/jFqX2DjV6R1MuxPdeXOjM JpDfpA+rd8xFCgAvhOcvKEKjw2lJmNukB/NqmGdLZU9Yd/iDC6mJcVuTrR2gzFDMoFjQUitH7TCG r1krtYbVQjkm691WyHmxufh/qSc3KdzrpZqycBevqxjmEqCq0nMXCiMyQRHMFNk9XLymhnx09LIk 8Ck9EeU7sTUKIMhZ7oB9NRbr0Jmue7w3V7zoXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jcrZIuGwyVPSe4eEqA3CjxEN8wKBf64m71qLvmqrllZ8mLFeyFjj3f796U4fol5LeUOSCUITklpk 5B0LZiT34IugfACCFG6eSa/KnYkpqdaiyFEJag2zBthAbQTJIoKzv4hrVDSwoJffRhWS6ZAZmMOH 9HJ1Z4KODhrBj2PMMOQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block He/hsXsp9htM4v1ezeHFxTi8NbCInK4GRCTZh00v46syUmSwf+mXhIjhLm4sHKCSUqmWt1TLUp0m CWcpoGxiawBF6wEpl5GgUNyVTq+T/CrlV9Oykyiw8ESh1/7hqCFXSES7D6yS14KOyEm1cr2UmC+u X/NTzDDvOd9e5R6zaiks/z3Qdqxiq6f6jnMuQiSiMBsAMCHxpq5kEezVTATURKXvDebBjGkSTomU Wve9JRKQPSiMHuUURnaiqzi8t62PeJzIwk64jI0DQYpuyHeGDNIZt8qQokGYPimAYp9IilmsSuGG FM6CnM5XioVenoNWDUkk1F8M0K5I/5eHgYEnkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400) `protect data_block sy6Ib3lMvpwhxxPC+M7rwVnxAGXLfvjhwSbL9qwbP13a47pbcyAYAtiBiXbPyjK/tyIySUtf7vSa /27KkY7mREwqrFv3FGqB88Gpqs7JFiB/I8qWsXo8R/PJNcQqQiygxZGy2G++1VltjcmVUFKc+iUv lEZHWhwQRBs7d/5w140Her/1aydzCPG8TA3vwh4kFyhOSY/8Z2DD4Rp2CHXxckzDJfuNUp6HVuBa A8AbDVOTOsxchTyOQRSiZmdfzqybZrGXTHJC4zYovBp3tl0VutkvVyeIF94iJ6T7abwwNkAcl8/2 RoGwAux0t5btLYN2qnqAIFKIMZRXAlZcJjaKHVglcoNCk+N+phnff6qAFFxQlMyVf17URMYPZyyM lsRoXvydSzn7hdhaW2OvlP5l+jygneHTXx7VvZbnVHnuSyfOdAZcb90R5h7c5lGYCrzU/EHIsZPo KX6vKCQs2vBRPiGPuvdaFTKZfLzDYpphdzPxb5tHgWA2St9MQ1mD+4aNCsnc7DoZTrM+19MPdck8 43fEcZIxkuuhCyOHtkkj6983PrOJoBxOD9YUDq0ui9lDSW+JsGxgSx2UeCcMABONkikLU8s0Xb84 DyPWiL9LWOUkr+LEQ74ZUdR56X5KSkwMbWnGcJ8AXnUJ3mXVOcemsJ9IN80r7BPF0GcCAzCgxV92 0Xpz3EhgZ/LnXHsLiuYyL3kqTxWZnKZ52HTMlp2BdLUipDlGVMpWCPWskUEN6+FOBAtecdyKhL95 1DYrnqpMd6EDi2HTpRq4ce04Sb6VqB9zEHNfSYkAt+DAqXPf0Joa0yogWUGUkgT4hhWaqwDhJNuA OAnDBvsR4zSzeGn7p7Ir7cLQWrvQ325DbnG9iaHDiWnTdCOsmyDb7iYiV+3D8sQARCTsUeCev/+T tgZjvYaOqGyCeWptTqX23MBZgLJaccitbRZkv99YdqPqutvlF7hHIiSaEmaqKGewVZC2AfYEsuk0 EWi84lVcjFIWJjilHZ1qY4CzJC8TBMLdqltV6HRa5DgHTWxx/ywZoIIlZrTWDn0EiGtfYmLU4vSO e3NBJi8dsMTgmxgZxQ4QGlxrtzTeBadmAcXtt+q1Cpbq53p6kJL177TdFuLBBxgzdr9vqNR3ofum 6aZTHsIr/hY3zyHnFTU8KvBSOZHe0YxYEscT5GyTJphX8CdPctwdL9cJoWrsW3IioqCbdFrBgHQX ghyg4RlalRtSWpH2m8iYMJD26+Yj2bLKRVYYURBVpvW0gIMsF/W6uQTbGs7znaiBlG/psMy5PjXB EFO/XKUJ1aJRp6DnlPNL4JXqTur/mBFpa1Q3C6qwZ6mUJlII+gHNz+BlElm9KKil06oqrjZ557U2 uSfiFPB+1DMsw9I5aiVWub7CwrNCJ1GjQf2l4rhXQQk8W4PSBSU1aWps/DkoDpmxuPBxnZGzTAYM 5rRlMUJlCHeu06M5hdABQrfJis+JZvTja7DLwEyDM22foDCHGslsbTD5P79n9QqaVPTPCxQAGXap zcHImTHEmIqNIqjhtKQdz5jsf1fzj7V3O+C6dR6l5339uy62krkKoaWxMSe23NxjpZvyIN+ZXslu Ut14jDmDnB1yt3oDNqxw9/1jsvlGaksPQb7KAe6Y1YmdqSF6T0qUuPjTDi0bL52deji5/E6aJM97 Fj5uFMDNdmRJPA/ZClQWCKUMyU21SJE4j7LzFGHu7H5KzL0Klmi0E90Uibe7D7RG4P6UX4Tzsht7 xuAChliQpGZmPqyQI6BtpDB4Im7RIZgAP3z2oEek2SgtYwvF/4yl5a8i3D77NXZkI6fjgP4uPurU /1++eUdayhI++MU3Cs3jF5gtst+L6y44uBT+qsMWSseXP+Wa+MLilquYht61QvheoYHhJv1QdZa3 sLdkoaL+OkAzrZt71vcfFA553xyKzTiIVVmmLlNHitWxPtIyiIM/1Y8pIhU8h4L+3r+27TSsnd0e +UTacvz7WQBFcQwdzcm8s0Oburt58IZStDB6rNgarrFDJcYEyZ9xA4qZxzKD4yLVp0StKWMUY/LD G/AHB+POEF5eF4WZKpyIk4b4Tzz64Z9Gpe2jaYnAIINYYi2m6tseXK44vBpznGEHAIR+/Of/l2Lq il5B+JDVCKUhcERW5MzBo8TIZGu5ENuB7t9rbVwzst3dJN0xO21qSKRMFUyscisEFjeJDCTgV629 ZJxXsqJlmIKjw7EqP6sIbfynCO+4ImGHUDCKLVxg44of/QWZilEBY7OmGvTL3g6TIXHhgD72EMsk 0lA6//OcqHe3qVHoO5wnDhvw/ZywoJlI89+QyB+7RkB37M9Q67jr3ibcnLnsuNdDelqtB00t2Fi1 7g/3kblRjJIIJ8vMB4jj7cgbHwd0UhXOXBDw3u/TPk7sLX/A39YnhJpm9JAqcUJhdGeMhbJOjvAt Edqg2bqLGxZBp5+qUk5KU1iwtvmrP5kehhI4XrnEEii2js5cqHTgRe7Sno8M4kvqekREvmIHzBAS 26cKGInnC7dcz8a9CENHJfq9r88vU2dRA9wK2q/dyoqzVi4rAor3DRzjb5LEOB2LglTiKspORVxf HxK0Nc46GAiMJv35OXEifO88atf+L3QWpzkV/pDO3WTorGYdky8L7AaTuVvQ7q4zI3lhYk1hb19i UucW5ILLIjlu21XtENc5+3YyAJnyHoG9/xGR2tiRI8u/CQtRS6Z1VjjTDVk85vg+owAdIAHjQ7Pd NknKZPI6FKyxuamDrp9YmORb7HLI+i2oQuID8J0BDF7PLaAH5eYnK7O7F0jlDf1Ilvmw7gZXgokA xCqQC3syydnwj7a6kFjKsg0QIy0LHmKXr5tc/ZTIurS1V9gHbTA7vuyx6L+nqEro8tNwPt+fPQ/T SUwiARxvHXug1GEC8Of4oVY6m3w5Aefw3lp+J+v0K8p9loam/VkNm2flwYEjeSdy3WmImJUOeJ9I 4RLLSsWU9D5ZS6tKzIB8dmUZJpLOywPg/OzsBcLslVqb5FDqbpfcpE84UFPTAG9mP5kHnYDbVrXG 9TQ/fADpzBkZs9WCCWJMLXumLGFUQLnRuIUWG9sNxfd/SfZM11xMR7Nniq4ntyZat3uNTdSYFtbc i2DvhkTD1l1jd+rjtAmgjyBqjja0zicn8321AOAymmybJi/6NQoZm4fWaSAGuBXUllmzaU2cP0HE gGxLsntOJFvcMvQ6+9TwDahgCPabd5ajG4Zi1IsdsnaxWk0AgYlXP+QcDmQxEfb2I9O9IYjcMPLy omff7OM8NIGL4xMUB5xEvmleb0+/LiMyOj/9FOn23+SXg6O5hzvNubqzOe2NceyMINfXxEzRXKBK tVPanMg4T2J6bmy87lAekg+2H+nK/Cha2G6wZrIH3UlUPp+TRINnPTzUA1eF0qHpnA+KPSKMoPt/ zKE1Ogi74WYuetRLygCKLUbzWLhBoYNAMqN749NoeGNmlZ79DazehTbTfso5cegb+g6VXNJ888D2 +ZWQixeGRcam1Hwq0ZLdHNBpt+hOpTTzvX7kXi/X1QAsH7wN055lPpzZZ54ekcrcG9sbGTNvBAJs GrJj5hORkhoNlnAy2TRThQfThUsIp39hC6tS8harbAt2mpjUex7m3nsllJocx/Yv3f6/E7kka/Z/ kLzYEmarDIHB3O+elMzn06xJTmkLE6MA0B0EFtvGVEG5oxIx0cOr2F6Umz8NV0atXTHprXlt/RL8 VvnJXDIrWxlsRqQoKlG9Q5udhmIvaa4TJlE/pj4/EA0qpf/esyRGAdcsUdGfdbja7vRHXE7oBWEm 3VB7xRrrCYSAj5nXjJbS45Ll13tr9Y9bn3EnPOXqciBgsrsbx0gDl8Nouw6eOWlRhUpnvI5BeRSZ y7zsy0RYvkqa817nrjBsiUE4vmScNFuGbPqX6QjnXYFIDceJ5JpBf+rOkPo1ROPALqOIZI/dDOw2 g395I/e6mYLxkvWp5R8HuNtVKvn7el53h1XNXTSEUxcqzZy+SOOOYWpvQY2cUmQi4n+uN+OhTwGS HIZcedAQwHJ9CGJaaDsyx9zo71gvE4YiTFi3+dHxjkWqzSM54N5Xh9fpzjaMcVZG59Qut+pVo1X6 V1BYdWKWKRSSL3kAbvWL7K8FIfbg0icRq/j6SghFslwQ2L32ZcjbZqWeYMix3TPFPqxB7OozI0Z+ DvEbgxswd31hUyw9PG0bI4g9PokckHUa2GcFkraG+aD5HuHJ6y1Tv7EFvPieFGjNPPVq3sFrzdfZ cTkg7H4kMUaFF4dJ2QtuR942wnsU+QiMA4TCf8NDLO23frbtmBVohZW8vXUW05lmHjUlV3E4+wLN 6Yz/e4rV/fzp7Phv2UCF3eFN1ONxCIwiiirMp1ZR8huD28R4AFqUFH40KAH6zRqgnlaXANGJB6jE o3D1+1c3vJMAU84tOi92pGuILR30E/a7ACIsn5VBkClyIqaBFofE6VTGpCfgDI8azDT/FgwMs4Mx 9xHh5lAFEYAwJb+QDKh636mpigmAPgxy5UR148wSw4uNXq7NAM5ltddE8qbgbgp/4dDuqjdiVIUw Aot14HgrcMvSO7ho6IxPfUVVlLZ9aoNzeE7PjTZMHC9cHm1cJQYcMyrF5KDmby0Pd/lIC3DcCnHE xKMFiiOMV+Tn0tcg886cpfB/NHk3jHPzE6zkzjowAzgYLAp4y2w11ahGRgk/a6Q+nlxlNtKSyAUd 1bx07c7FBJWd2PwVW5f1ZBINoJYmxRctZT8zN0Gp5CuDi5VKi0D44hOMeVN8paKrn2K8wuyRL8Kw f602wXoZFxOZdgrdt3G1O2C5+MmEOxKpT9mdpTFPMQ6H2AJViH1JrMmAShjTLQ/4CKdCNagFkUXl SRHwEt2G/szL4I3ewwXz2qbdp090YUajjpCex814fGPCTaVBYxxfxAjEkfi1R+1ZplCl7eT9jA0r ZymIyty2wtVXXLz5tJatCmhgsfXuzH/ZTLwbaBZfsmYoIgJJapVUvHP+37IXef+7JrAWgt4w7lFO 0rkSDA5lpF7W/lWhTZkWPeHguuRIom6C0u+Lxf5HMiWegkpgLh6HW/Jx5VgeEi1WDr8kznGzh9WA wEZzSIpOYBfW8dYvptNqFlA8PMZYljIJB4O8q0EyuBYk7yNWeL66QOde87O7XZ9wdRqvEoai5MLY QyyFm3sOOQZ6/eFA5+dcf9ssE3q0rCc4AyFlF/hZ/tURIYawzf4EK5Qrj4djen09O8kXTZZ45efq 4kMcPhNcGhmYT3p1kaPWN4ddNtaB67emAeFpJf2D0hS3BUl4SEyTWlLpZ6e5AQWQiAluUQoVnR8u wPfhC/8ryvtmpbt5WRj/ghxCL2pn1IWOVm9CzORkuTGEqYvG6hZ47zauSy3jHnl5q7Q/sscbDEmG prhJh6n/7+nrFN9SnKz6LH/6UY8/TQgT5kWXxfiXma4BVEQF+uZhY2G95AZpLj0duWNUuW/Ywsgn F3xPqbIRftXR7KKsJ7RHZhoDC5OETkJQCoa/L7AU/rol8tIjQKsqZXU+Nvq8C9Qxlf6zlgy0zWX7 DmqSQaqB4gMe0An982JRbUsnMwBp1WGcc2ZeIq2CEXv8cldJiSEBNx+1ZmfKzv9f85CviowFjg1s JAsj052jpldU7BLxZPIa6FxMemzY0ZihS4ey3EleQsykHrVtp7TMWZ2z5tFbSiD6Fiwi7+8jRmD7 reSeTdhkkeCF87WfYmJdiP0fPEqoLZM73P+7cnyyBL3uec7NxXafbO+7dyqTJGfJjJuU2FJai9Rv sdLduKyKnXodEMjJtdnd7izH+6l4+rzrWbbFUwH0abHALItnH4KhCBT1KQseNpaZAD1RoPPbtEWe gxuOiC6jumzQTsFz2lOWWrq+l9n59illnJz3VDNz9WSAMSZiV398YN88Jfn6aV1T7Y5byEKH0uj8 iCMzjisfo7f1dLTENFBypMw0QLk4MlmcM6HWQc7ZPJWoCaddDUGGwDvl3hf1Gpqb3Mm/XuNVoyEw p9dwH0eB30btFPEHhen27wXeOk95NLoxAX3Wc+utV2pIQTouzQF5NQUyLhZxSWitpgKN+DHHRrFN H1/+BR6m9hIoDG3M8lbJgoJDlA72unYriKCeZ17bF9vfx2S55obGSkWAAEhBYtYTWjj1y9ymq98N iymTmFrGocO3OmBE8a8f5wwiNLHzcXkTdjA5uFn72bKNJ6tfN1kemoK+YdAWbtk+z9ZRIdHS4N/L MPHOvJslTrhG+8jJF2WYixeCxkMsEkDL1AZxNz+7TFHCRGecpCJag+7x1sd8jpVjX9v6p0LgXha8 qqZ4iaBMPArP6vvWkbklqsCtfX8P1FTibL5px8onMf8IDh6gU9X+vMs4ftF8EH5BoWU7C+g8mtLe +YH1OO5XXIKDH/mWJ4Fg9qgI352gEwl1h0CALeScLKoWmCJmTiCQrmzxZQ7KrHPfYYintopwskJT NovXXzxAsCemea5N4FzDWIL2PT0KrU1j+JyceI1t5fP4QPV0f3U+mzHxQrZW9WWqXsisr+aq+gG4 T8ubrxtUz/9V9aBDNPVwmW7y4MDky4goAFBz5sv2Vitj4xl/PdReJhivcdAiI7YpzHRgZwFxV3Ou Rf/v8Fnc68faHvONZ4tT8GiydF4EFWARpWuv/fmoQsZA+EPJ2fn4/prghJdELo+OG95lEFPOzrXD BL3nYE7Xblwg2NE/vNo5Ye+CNzDVcV7xl4iEJcf9MECZ3JxBnIh4+uhYFxwB//9BPjnrd72tPplk zCczcPJBm2bOZ+nzvTnHAYd6qke3K+hdaZ81KKkoizDmxk465BTeaAm3LjFUubAlKS56j9Y3R79C apKXFUzYdt0KGzspyEqBySdP8zmkrCWySLSbpCVUT2msz4WYityrfzLAr8lKic5g5EMd3+JZFAME ZHFzGvLdP059vHVH+d/s9GAFOXdr2gqz6j0l7aI6AKhyYs1syXqBjk9Xj8pWnD/OTubNp0csBY2H SrTXwnKmi8w97tOUltrnvyLPUfJctZRGmBLURGXFCnv7jvz/jx5Xcy1bF35qOn0IvTwN/fvFbxI5 Yu1uwK6cTzWYryIvcUNEPC3Om6NGjOZ9/mxr2ShEwUCANFRXYfkwgqVaNcYts6zf/QopTLRdZmi9 jnosm8ggNQ2GEoNxfRXurw82OIPzcL8YUvhAua1BseKMIB88nh8feC5G6HCypb52zcyg7+KP5Vr0 aKw5Ub4jCgonFxTdzn8aIXEVpY0j8C/l5dqEc+NhG5I5Z2pp7Kf28ak71REKuRf55sIOBmlWJyrE K52/KOgpcgqPFN+PBkFEy3sTcalZotNacUg/FNkzv/ARL2HntCYtMHcyQl0rUpR8ScxnUmTtcwJv 9pRhM7L/Np8QmQm223DdIWOupNnT/R0kalRTJ7GZX9RIto/fzeHuSniZ3o96tjfCVChwRqgehUyD 4OwqumatX72RCOlQNflgpQPEBpZYZ6SoJrfYtgnGTY7eCwlahA14YaipRx6HyROMA3q2LaF24DhE rnoGJ6gKWopOnZqlGAOn8aHacw5SSlZywcy2UF454lECaR8wNUzywphWn2e8ZCIbSscAx8yUa8p2 6KfkEFZ9WkNbTmiCKciAyEg7NxjCs900ocLUR7NEwFv3bjjF+MWb624JaAOVva4Ln0H6F5gXImID bIcybftks8MZcP/hTBOO/Zdol2Nbq0OjqQW4zFjaC2zr1L5Ujjdh55qZDlpHOI8J7/9sdcs9P/lW QP0frodz5Z1Rux07tOmdx+7BR0TeiqP9LLBUoKmdo2e3aIsSLgiGHenwE0Pmb9S7LZ+T+jbWM3mD bk2smqvVDHEzcqmt8Zjn721DoqA72i9QllZBpnHj8TvqIa9C+GlYR9QPPWCBu2jXGc9UMnqnODpE eJr5jEulHz12YxuP8PMLYvmQDkIxndFJ8epIEVzFxQycCTKCKOU3i5SZqougXT9jyGNl+HMjkiku C84CbdIF5RdsBuVjPYqC2zosNGnKPCGStRcM7h4CR19lBH4QalN1dqYIWYwhXBdDLid9x5d4z8Kg QPWR5XBc+j3VlrbcHageQOMN70hzoGonFXnNZfYlfPpgzlqFgIhb6XVJTHtKvYkMBbHUCT0fhtF2 kVMjwM0RKBCsd6zsflzJttQukVnzjfKHF5c58askvQb3XqbcgkOliSe4My3Ta925urZKxvatIk1S BFQDbNORbtM/noLhsuJnt8QP8TPnsxOOIOAeScEIJ9mQ649he8syXlzmybCt6WO/0QYevZy7dwJr 3ky71KCBEqHnlDIwKkfow/TJ1tzH8J8FXywXeW56y8d60teGzZVya9Y+BRXAEG1+SkVkA+mCsHdi FifKx4vqqVVuWcUgxpCF9KG5iS4EUo1IUETlnNQzegj6PsLSOtZlnJyVlC7Cker+on7jFYNVIql2 TRE7ntmPcGjqxUO2iyWX5L4ltu52wxgoxydVY3ioznkhwYhHdJdxXrE2xZWnugqXAV4EOCZjzfQo rAoDzbGVRDJEgbcu1yUSIaxJnOxy5TtcejF/7n/HJhb4Bt2DhNX//q18jER/183bImZk1ZLHndWH KBM2Yy6SGUUF6D9zR5hbMGh9ghTU2dviXJntp9rVm70LJOQxwte4NmOwdEp5SngJrLeYVGJofywA 4zw04/ZhT9anDQ0klNfOXuZ/plCaRKgnBw8JsjAcNNBXa6k9q1H6Pl/U9nAk34xKP4ppef0oEF5K Jc4xGt0/qygVKGGCVeQmZ653kTE0LoUZXtLrmND1MW+SSP8qDOOX4T5GVaVQA7fa8b2mBaci7cqD IYC3Owzt83tbATFG2L2AZPJCNzWDtOGtPpl+1zMLbiFMdx3pO+AMbOEYvl7CiPHw4fDC9b/caCeI yafQf3ubNEHsNCkxKDaIesHnnliQXJ2WATTSAkNkFb5sP6FRX3dUQm4zXg3BFnwwxXFi60wDgaJt KmWVv8h0PoxGk0kcTudrS9MzGMU+3AmBopSlEpp+CqbxWTjsJTprKBzbDHj018H0YDucXGznriig wG1WuZi4PiLMpkJc4wGIddKT92lb548eic5WBcyi6sc4dPaCdgAQnbxlTxHdQmhfkckkj+YrNtGS xFhIeVWyF9C3eFwrSwx5aKOGunk3ygFufrvnkBmR2aQPder4Kn5M+kZlZv6sOoDW4Mbqa4ZQk1gt ys0Yxn6xfzsLXcTeKewVQ3DV6gGgkbnTRWdqVmspREXs5XJYGJZ6PjQVrjOgXa5cpxFsXTs755yA J8LcAnZdjHMZjNnNygaYCb66nexo6Ro7bWNf9HZ0y5qeHB4cQo+XxDf0wyqo1zorOhHI5n0LSTMk +SfhPGEiWDmzRPWJ0CFOZ5P/MbwXmrCsdNkMEQL16Acaa+BhyDNk7DtiJMKaQmWN0cmePh0PiK0t h2Es5QDoKVBEPwv+CzB7LrTBtf5TM7op8FFP5an5RNceeMV+g1/BS2gts+eXTq/0Tvg8Y+3bEJHa gnfxr+D+tqhcL4gMoLwFgAGI8jiglRSDWCV6sbal/H3HIGnDlSL0OSimtnBlDAq2YWa1fjfr1Jk5 NpdB9E1ACEgldYZoCuf2chhnu4kc6OEr/2HNzzgHBuZcwUjuzMlN/DHlfBKTKQ+Qn7FH32UmwCYX rqtH5fE0CfnpMpWMlwr1LR8ay3aFWQbysZmx6hAzH91mufMuQDW0fLXtBqNuw5cJO+isgH1Z5gju 0Ggj7wXivPTAeNXqvsmijOejrHDpGzqEA/rjY0wySYu/VcN6vqHduJUi3tuVAZNRUYc0EKXV3vO7 ht07QN4FS4XWomgJae4bA/8/iqWCz6xzCTUSRoKBRc/s6ypfCO0/50juEszKhgSs9zY3JPny8ts9 MwGDI/WLAo2s3EJsvyKgPbKrAaj0MFPvJ2mlV9ZIUoGbhgIWaWhx5bAY4V3GUZfMjv2KSKUQLdC6 NeMcvAQJyBx7ZcN32QZZ8VCNkksPFP/sxgHgBJTYzYSueb8xF9f9uMsIP7/6vee9UJk19bmOPH7b 68NWK/NXNNzufpLrBSzT/swf9PYqKhdbSR48RdrzlaW09ijRz+lnh442FIaCBBip9+NfLtHpyYLS qHYL76Me/Gf1I+GGl0ciAsD/rfbSghZdFOubt9CG/2R31XOs32rBFiXBY6tH95Vlp4uJ2HpsVDmI xDjyOxlaZ9ZFvAVt/yaLs6iy78aK4kdpPMx/4L0nvA43cECp1EyKDW4RUKSCkIcFrQlJCbC5duZG nsY8BIfcoYlAcE3mp603YVlt4Wex0oYzVcQBTB0nE5gmW20VaWgztGdDubBoLo7ZtaP0ZGCrJyDV DvMhBpqXjG6pC6PDM5i+rEe88scZuzXBBgE2T4hAKO+2u6QMwtBqLhwCjqOWkZogfg89yOhGUU6J H3/a+Z1QcvdhSdtlTNQ57SRw+XYGAVSbcObjFM7EPFo/ceOmeAG65AI23C9xvHcQIt4nuAUwKK9F XjkLLyURaZQM18p6ZQZ8ZalIckQNMZMfhMgqV6a16Mmebv9B+PB0uWLNWwPneYCkFRYUz9Xjl1AQ ksoplG3Ilt0dOxel6I85e3zkuAgyR7o/pAEa0B6InCSCd1XpiJ44P3Mle6Gow7LnKr+M0yVoptL6 l/E5/7J+ceHDubaQ0/PR/usD3nkJq4UdDsvVu2qk7hiF7YoOInfWEO8w3AGG7+pajTmGO9rsoV1r nPCVZiqnlmRC90qIMIbuZTiv1UBfTqAZcU/HtV8Pe4wc/W/+z23p5LwDq2yTwWZwFPclmD3eRTee XMPTgK3m0CxEPOZQxXRP1x9BvwZoKrqJ53qjlMtVl82TwmD7dMJwM0bsIQVJicwAbjizCtY1pVfK QOVbF/VEKMm8foHQ35BseR2gpfU7y5ttwbPmUX/TQrWYr64wXj59OoAq+p6WKjaqdBkXG1kN4sGv VvO9eIVhUek2Fk0CXkK4Q3wpI8hRxncoJ+220EirYbliVrAhPir09dMC4dMGIBr/LYDNFIpLqBKQ tx7JU34NDKZ6uircQ3vcMtNLFaP+sHsUmO+i1rafm6/kusho5rLMG+0ed1KXfmA97NiopPTB9zdF lw0rl062eivaMcQFS2C14T7aYqZRdkyTvA7FDYcx/u6THKSVBcVIikcmP0c18fEkQOilnY7ImpDt xLufM/k5F91G5HCyUrMCM6qIpE2ukVkkz6ZukQA+fw9ZxSooI9F7qMVrWcp5bAgyOaObR/xXZmX2 Ey9m7gPuZp/aoo9EZvXzU8ry4WKZn8kvkVDNIpH0ePbhRLDgbRc8N8QNPVpwDoXCl69gxliT1lwP USv+GeSkt1GKNL4YRqb1k3tBCw7ylqwO/21AUa9PIMkdipwdOsvWvgfKdDhd6CiHPlWprsQ/VBsi xIbnwRFyww94hzBineYibTKartxI2HkP502xt/f9h83FnpWx5z0HmGFVEJINaxbto7GQHiOnC5FL JlZMDuUv+cMnwzk7e6x4NvFq2aj+Iy9BE65sq8KRpupU0QAdBODcexvkXlJxTnmA5jBii2TcBDGX 41IUYnhVDHBEuNZInHv7FS0PIzgPeGipBjyVAv86cOtnf2d8rpghbxRmghuBouuLCXEjsSDu3AF4 VrrgOB63nzh0HRGcoxmFkws750l+Il0SDEmw5kMpfwhzD437SdB7hCfVTdKlEvmzRh8SL2etkNvp jby57mWeqq0d0uzT/t1K/snk/WOZIkVfXYT8jDndtJapK/B2ApMk5vIzWgYK/jW2EZF940g6rlIG X3igGQH/285rAFGqk3PqR+pPkiG7+v9V9TJxfWOf+yJo5rtEDZXLLVmRpou4YORYdAS1/+vBCoF4 Q9MsyUmh8kD3lH5FL8EpcMnnBJgIpDZMYFCjrTO1VyFMG6OH7IPGNElHXTCvVOwgyX7vR6eV+U1f IPPo7XLy+C9E445XRM4HDAbfS7VbHVvVHvPvM2Oc5i2gmXbNEPYa70g7w3y1AYf+Nx3YNJ2H9jh7 KWe7d+JNmd1Av+uPViG79M3t8pBWeGzGtK956UCsy3y1gPv1lCxYEuwSJBqgta0MR+ALPLPoHTyD RwSrXtShGrQYeCc6y2nIoRZBUhk3CK+UkQ3OyW+WiiuyslIkSIDZsxwoX4cwHH+VnY151F8ZybCa zx0fOsZqSBqIn4VCLBdnqqvI0q4UAFjLuxhT5oKu+BffEXjbx2R3FOagqErsW3cnV0iRZjYXHm03 5A9d6m2tvoMiUMA82DdwL20lQsinF7r32nD+jbnNRctrF6Ru20Y4rYw2qDd1kpumSAp6IiUVbsOl n/Wf3k0BS7mCbo1m4I37e0HhN7ye9WrqDm/jDSiOeinZ46fU98RVz58tkUZRnlzZpi5Zc7Non9c5 FhxqPPKRTfUzij9XPfcXz3L/PrXyX15TJz71+MY7G4Fj5qvvVuHjxxsRVb83gLZbK2KP9UgAjPMK zfW0IBsMq9oSaglDcOnbbYxQ4nLoOZwLD98AgTxjrXdwZwerkKcuWHXggt4gUzSKq7I+mRjZUtZ0 3QthQb2B7CB2FqS73QhmSn3Z/V2qIQlCx4Z5NnBRjRErJkyRH5VCI+74kK2j6gXGv0A79XJZ5Cx3 dAGXxi11/26OI+xi+hgTgE1oYkfF8uTUCMhkyko2YLLdrVK+rzFlcIuduLq5BI4xZ+QFBV+W42GN 27ztBqlLQRmg7UfJuYAAz5SQc5eejW429pGSDpDin+AYo+SP8WHc9RtZffxB/bxcolsXN63/89Q4 x8gy0Eh60WjYySPkSNBdYaLgK7hkiyv3XlgHSfnHc06UaVjGj7TNnEbbBnL7ZV8F+DIIJ1upcqkl 480ieVz5Vco/YMrnGO0MaGYpm7ESKhlvtc7IscBiwH8/FpLzYMjDeZmRq65Y+d2OrUYwKVVlVASW bvm4MWaR5kkqbYVIsS3mhvGODo04YaV/HjxLLz1OCQbQf7TwcuK2aYS+xQ00mzwBTDhbr/5vw2Z9 5sgU2WNhqyQEBipu6V5TH1Zis5d3/aylMdIUyP817CGVAuOdZ/BHxmGHfvLZanuFNW68Fwwuz3Bz iLV1KoUTbklFMMwU2iuhTNSyDh8ByP/4GSo0r4DgYrERXTcrubGOpPCsvePlC5JqKjTXE3kLFAwd Kq1qpiS9yPz9hCQ8PXLSvTrkabJUSMwV6KbHJkba+vdH7QxSMbqMO6UAuqdwgQrRjlIl7tSa8xCe T+6BoJM0Vx0nWDD6qbWdaCs7hkBfUbPJVT3wWSv5+1L4/4wR4OUNQ1A5JTSl216hXzVvxhNdPiq6 IEg4CezQ613VbCqRCa2/2E4BGPiPlM9SG/rvNBe+LQGfSvUd9eW7MapWtjqgwvCJWNEwpNIHa6fe Es7MBN1mH9R3YGDwnOXvkyc0vxFM1RCwsmy2d8Gm23aK3HmXaz3pCXkNuJpYpgksqvEJcxVb07B7 Oc4XYPCG1ag0L6LcCu58WT6eksHVZF5dueiqQsFk2vzk3UiJFyvFHvbeDhV2Ts2zXHc+/SgjFkxO CALprrHv5WVMp8W9fH4sB33ruVLLLMvW3p0z/TS6tFFoojyG1wICawDxHm/SCBRItADae/7ffAdg EOhu7h0c9X0HQbnvP/Pswq4wrkY4oeGhe+J/bWgAnFBo9uDNs4vCJCP2FoKou4XHX1nphRq4WEWW 0YxXGEG8MGBB10lu4kup0TG4DynVbm9pw8aSzmZ8ZNrJAIVJ/RFyGfsRf8AkS3+fry3G9j3hORm5 VQHdYRsNVWAJ2/cy34iLvLHcAf2zaOUXgv8EQhAn5/g7xJR5f2aDhvoT1BszusitUGTXj7zChTCX jrCusaXYcvC7EeHhrDpdH/3gljX85ZdLTtIJxoVqW8e9SobsrRvSVmG49Htq9c3W1uCzMTxiO7Fw DiYjEvmnbC1UiD3LvV+tR/+Db5DmXyTfiOqiUy6yNszpevfdIA8OnlpgBPIQS1ct5wgfcamA6xf1 KjX4xO3gY26oCWQ6f2ebFRaLz98IONxDhbOdTY7I2Do0zuzXBW66xkCVkmotzw5c3JJ7Xeg6jX/y dSO5COljT1T0+l5r1sW+4F7/4mx+yMxpywi8vLzaMLO8qXT1St/9iS8zK9m5YKbU9p/m6Oow0XC5 qfO+G1IhhD/sHfheOHg0+cE5t4hdWh5HSPZvJN6dG25QwOk+KqMwQI9mmJFxAR8ozc6qNGJMtiH9 Ql0OKhO5HuD99v5PiN4WClz4IuVzHZvouKgBe9T6dk8g5JLcQ2a688/TOgluMJM3+bcn/irP9/uT PwYg0zCnVRrupajt+TC0tRSBdcOF1EhJ3hgKUdonlwXnJUofc5rGqUO98GtVCb/WXqXSfgbjjjMe gOIFbUnpPygDBK7QMl5M7DNorJofzBLNJUs7csZ/PFdjSmC+sBlN5WYuh4XCQtY3AxOIhPR4FFcX Kir1xGPhsoAb9A8XHw36RA9YqiXMBDoyhPonBgLc+ZQ/vPtJSmahCURphpi5RyFqqPJMwdmp6n2g 0fzD32zURpmuc3gmBn4+6403ZbSRolGlp/0B1GC/ZElVUJmSP/QmJnuxPiNOsE4eRSCOAoQDBd7X 0fDXo//uXe2tpGZnfnmu0/3miQTp/Gxm2cSckdgKzkAThEQnr27ZTnU1qHLHWtOoFVm8/t7rxU7r GogsK9q+UPrExrvSoI4bRc2/Jj09LI8eUek1eLorfjll9Umk+CvIhv+aRFshGtvBA3ZpgNjk9ONQ NPznxpIu5iTH+KN6CTsqJSFcLvIzZqb1f2Lb83OkhVYza9vHlFkzg4rD9gL7glLt6QW6b2gogJWS X739ArheZ3BDkXZTohdepc3fMFrtgg8aO2deEsDsZjFnIypVtYe5xawOOGdRcbTEDHzcWZX6V7HR yf/b1q0hSRLnvTjZW52okdNJ8Rv+EcMCsntm1S6sFyuGao87DCWL8lA2lETq/aQLwY3YZGObxscY surXEWcrxkHn7Dps32ebyBU+QdL7B4rtw4VnJoYvWgBl6zo0225VWb4LsBkKAriqsT1rdde3lui+ 1VBYSlvr6OG/wfgB+v/Z2iAIcv+31g38xvu2L0Br1Yc4byuVgtQEqjGwSDeH954Pw+O1+Lh2SbQV 4Ul+rCjRfZjeJEZf6GlMHSe73nPT+4qriZUWAXIBPB41qKFq/c63TJeqlNLySgv0ZXdX3A7Zr8+3 pWhxUAND6dp00BpL1C7Fb+zKXhiTmhV+MoybTN/XxXMBGflyAqi0nuFDDyegQtkSAo87tAplJJlE rxuCZINBHxdyhXb3wBOZRgMBDtWutOudx575HAToIUDlY9xnaaUq/ezzNU3b+J0TgEd26aEMfp6I gXSC2fNBWL0yuyGOvNwizfywmx06WTAE2kXJP71HG+IT8ZWmBMYxQLTKJ9d/sfg3FSf8ZEdNEuVS ADDf7Et5bOBKqotEE3o0R5siqXWi6ySxx0Tt7W7JnQO2W+X3MVLxMCr+N9FXOK8eC30/sQZLsAHv iKQlI02Ei72q1t7EN0+i6HABIdWPsMZWyO4bQ9JkLLseOWM9mUzlmqmhD5rBei5j6jBl0RRAGDsu vpCbzG2zL97NqXOtfEfd2LvZtzGHwiiyllctjuWiCzRJigiR8ELIOE0Zs9QW2ofZcJ31XvFk8NXx 0HMi8SR5bwjxnGTlDRqhnYkXZxXIDntHaVbKDgWg/fm5qeNTb9uGFfDxDQp26bCybZ4YDa0y3ry1 KYAlB0EVVXUwj9NXAmDeoNtfFgcg+QQPIhLn5TUFUlVlzLTT2mcsKNZW4ASciC09g7e0GK/Wjsoo cVHpn5iKQzVRFbawFLexCF0c52wzxRLAoECoupK4tldE7wwqtXB4Z/KjAJR5s3ZAxOo2G2Yt5tXw QJsQlF/QmaIOiEe/ugoQwTRZu+bTB2zNXuzTqFtCUq5drkH06625bgYvSB689RNxY2QeMWEi9SAy GaymLRUz9ZyN2uqJKW93MierGFO/+O2+XaarEvQjav6H6ThiF0nf9hgoDK4OoMo5b6+1LGBsWUWA dd6AjAgXOC2SSyjrnLJ4mNNNzhKZm9tgtO5n1UMsB66VH7jhcVTz3kk8HeXnk3uwe5/3mWZznIP5 iCR1i9Q3HxEbVI6MaDK/RxiUcN3IRvZ6nUoVifDF9hsSc/AD/nNmkjtyOln5QH60gNTSsNVDQXKm RB8GaVVV8E8Ndyn/Jwx5ypO1mqfEqPGu7PFGJvJpWrQ2C15RwER6cUEHwIFOz4bgVfLpKmiQxhG9 KYYsEgeBXMxx1siu9dlyC8vyZOKkza3IuJYHTFGPanxPaLh4QrpvKi00Cq+IkqultyC32HUTZOZK Zlh58h26jSbq50FWACRU9foKNGc3jlV/YLMeBtP6wjFZhVokh1eoStwhnQpvXzmJ4fqPPHeOeJ0C /uzcn5+wYA1v4xwL6BC9qj207HBdBY2/3awnZMVpP/WFEy7nTD7RgRFcG8kMj++YYD5xPx4/OvvG t+y5hVbUzmhwTXzQa9yLt5+ATDs9wzb0bcud1GeasY+pQK1wH41vFap6OSzoApPmtuXnKQE6elgR pPpXfMRy5LBP49ql0DzY73sLGhtxeKE1VEwquSuViduMde7sBloiyF5/wc0x7iyB7Suq4dnz7NmC eHxCcHOo2rexeyYHNcxMcs5UTFj1UN+Pn3mFfY2wa0NrCny+aUYnNXk+fk2UwWTtntpNlLtcGbRl t7xOOBSfSpUtGAvAy0SXS/Bud3nQG38Tjj+WGwYKTsNNKc1iVxVXMmKiHrfk0nvQ5UWHr5BWUptg f2J6f3prw+kRnIVFubc/271F9uOlQqNHxP0W30bHtH43iaNYmGT0sf1dGzeJM8l5MGzUu6Un1Y65 Hu2Fecg7fnqZ7AjhdBqamiPcRrKSGV6PXTvUbO9n30hsMigZQpWWWQtQ2Y77Yg+727ZgyRaEW+wH 1Lh4EwzWApALBjguZ+6OcUVgG0kNW8FMK9rg7eJYcnwvOz8Drpqcl8wWSPSObFMhDZ81Py5A25mM oAF4YdnqkRte+B2yNZEWObeIXtcrQaaOcrUHQ1PYYxLfv9DlhIbJWFC24TsCl8QJ2FQwviLBCMiq +zYTM+FW5X9Wf5J5mOdk8uxF2VDDlCqQbIHFR9dOG/oddqxZOfHNC20kvh5nz1ULbaSF3Hxxcmtn p19miSL+MTWePjuBU8zR67i4wtbhE7TJynORZlCOOOdws/axGk1EsaKt0VoHzt4RcbWCkgoddXZ0 G+9+ZUgmbGuXgUO1hMlcVrbW8RPi7lZ5bE2IEGv/R/I8Z3ZAr/xC8ETj64g0F769Zm2OoOL4c6go GvuygiVYTXxoMfLgbH3Fj2ZkCRUhe7VN4TjtjtMA3QsU6X/Q3YB/K1qGNuRFzQciEHgYF0oosJRF WmWaqfuixGmPZ+JbVHTMBd40MpCw9Fl9MtKoJ20PMtbH/aTNJ2b/dV8B6GX1tXBLND96DaQMwvwM YWBbpplGUw6mRU4j8zwRXKlpOOOt3cIb04v7GdhjMTxxhbFrVovFFwG56jsmVuyj2PzAMgfwX0J4 fAh2RUupSJj83GfC0saLvjcSQ5SBcJ2rEK3R35AL+X6u9Rpby038/7pahStiCcf9HyanpgIKSA5H Zm/ALcwR+DhxoCkjhDGkaYl67RlReaBFItsucP1dJyvzMm7+jdyFzdv8O8r8t+i1pfd0H0O9jd1K 37fnshWs7pE9KiW5fLjwQe/EJv9qM+Nem6j6srHoVSRa8PDFdrtkefdUfBbaMiasYZwg/622+loZ soPrF+r7pvNd7fjiD5Oq36fv6/cweZ1Cb0oqipHas5UtXpkpXh5STuxzc8+SqdWgVKEytLhlJ4e7 5T6PW0JGDy5a0ZffrAQ+8EbnMUYGQwRgqKIXRGpYY8Tnb3/mC9kXB+tWwXBPp8lytm0B2B747lEt h7A9B7RmlKNf9GftTQDO/2j0qjUdeph4EPamrGjeyZRzZJnbevgu8MRv/KCpK//3u99ASVgc4qDl 3Ou34J40islEiS6Mee6cZZ0cRLMarJuTyneyqNpQKY1G/YlZ4itxm79b5sqnnpHWodr46SDcRDKK 3y739IZ2HYEPWuZ+djtEdlQIRKHiz2Xxq4IKata9+dLPhQqsanTJ6YI/9xn0T2NqRBx5Fi+ICzF/ 8jgqQFM+0lIMTTTsBfEfRMXDKcZzSAodnmbrvVqA4zIAIjEofsZN1zsSnqIu8wHqOzzRBVtqKayU S146eox3rirB6m8A1/a6XhC877L8e8cIi28yvE3MpZz7d5tjrbtGmZTZXnG4VdhNOmzCDEHYA1D3 dpfnalVc7JewMzP9YJm55H4TVfPlWitC1JIOT0j1JRP6FednCtvpPhKXTZukB3k5exOd/8qA1Gx6 yxLxK3s0fUDoK7Z+oXZqSYHocWImXRM87vmAEIlLxER0Edp3PrKh/aSCEOhXImAIwmzYF/xVtBFI T3g61lCKMMx64UygNl+a636hnM4nxON4b0gtjpjLRnt2fEamo/tHxaesxmPtowRWibvbr9zJbB23 Uua75xdFglnF2Uvgbirc3f8cNagfT6pmCdcA55tY+Bqi0ZKK/0aBcQsLsqHWsPTS4Ggk0MmCVCH0 rHMh0TztOtHBy7cvO9nz7EQE4GVC/2HTMjP4MvuGJpFzrAMcFPNVzndDMY+AhWWt8XWaQiY+6Hn9 5/702v4+DEuHxDvTWhK8EMX+xpVY6iJwUSbJirC74WQAwD2KD2fIdB8TyiH9/sFQQqFoXV6QWy/u jOdHcsvy30X3/LLwiu0lQglzE/TvEA5t8dYKaq9feEsIPxZin1BJhkDPAaV7aN4uAMnwDlycmhi8 2cZhI7C27oKEP+X6NpJ1sBxXKOVxTpHCHKj0ZmID0xi3hF4LsuTnvaPXzvyCGCY/twQn3SwJkQ7U lRbcqLji915c5kHjGecrue1u2CEkoPlj/RWr1/Pbir/xvDlQaWXPGADimnuNv9S6rISwuygPnMV8 zFUfHG3Yo+Z19TRR6LrsFcYD8hu6pHvSr2QN9EFeIJvSligyg2IKPfMafVxXyYMz/rS53fKWx8BB YghlSg5MXUj1PEt77b7M/oEKAhJ/cO2VB6X6Y/WTD2/0oKuaodyQGoZYw/rSP8fxTVAZ6OiuXxdP JSHRz8szcijztOCP5UgfuqViYycQR3BalWZr4tFcXEpUrTlh/SFjCFd/GV53wGdh4M5IUypwzIZC leqssjbLxP08i+XZVCX8SIqGK3rSzYNK2Ab7wRNKie8naqiMEmkiv7ivqrSNZYG8FHq2BqwAJbqj P8fmMYwxCqmiyAXhYs7SPnZ26Cyyktdz6cbPjKVaSLBaIErAzUJQDtAS5c6kzxNXz3NpZ0Lx+Dyd QVr/u4LYRiWc8vFKWvcgezhXQB7Xxo2q2sCXn1bXlGbzpFUfNz9lTHGq7ZkjPltq905zB4eWC8hq zMAG0ABbargpAEr1frkjZU3+rirU7GpvSi9USNM01tjthkTbeUTOr8Bcbcai95gnEJBK+wWegK1M /zeZTYjQ79Wy5lR4ENh1KwDSXbUXQMUZM82S9p1N8evT//OMc6BHbsgTs/xVlBLTvNcxHnzH3n7l 0LOQL05D6vI/49tnjB6mns5sAhIIwRO+WRKjgM+mKIN/LFSzHN4UOOpNrGlVLK/AEhpmRJ5Rej0d uDGP+EagcoQXy9y6VlFjAj6y9dJd46eR2DISNyhNahjyjA3YQQYACkTPqbt/NvdHd1C/gr95vEb2 tRKObd0WHUBmIRs52P4EHZw0ct98lFVSHHDHWA42Wb0FHkgPzyIuVlq3x+YakEaF2hroq9MeHMbS DX8noEkmY4ySW6xhNv2naK8sEmEj2h/fyfxA2LbLsSIx1+nlym9hx7yB12e3KOihzaS/RPbdM6sU TZAxNVRELG03/rciZel0pvUcD2Wu17f8qQZJtFgfvSiQjCQ8hoZOG9/CWB5bIMtiDNaU+zucmnv4 Ux0xK4drhnlgPPabU5pWLjBCooxqUAwTUNJi/oAMai4vtAEhW1I9op+QWEjVYKj0Qry9piFImO+d GUGliLb+ntcGC103gEmc+n6VW0HYu+G5T8bmhpQRcvtDL347NK8EoDPEwurOPqr9K02kj/14iH+7 56BjuEcBWhvwiVSGFQompwdeCgVgDDqf7QjuztXG5aiTfW6AofxQd6xeC7RregjtKVAqQnN+rt0p 6WophOwQRrIcUV9o6V3sBGN9CluPdgdcAwTj80oIqSodi/YQHSlt42qPoyMjOKbhGtmU9/oUrUMi UhjQ3qNpCx1hKwxqHyr6V6gsYJrxW+ZQF7IztQvQrIgW7JFjpu2Q7AQUNpT/3+fdg5IlnsKw81Ne 4kB/bf5TBeroz1I60IZbm9zilR150sYg5OZnBnzk23eZO5JojN/ZlhK7IVvs29dGHtXZNS9YTSA3 pLXSh6GggrZofdgTS07SpeUAZ+YXbnTx5QYkl+JAJZFvgpXLE6FzzUTgkRdNBjFCYVaRPIh+MvZO dfl54TtOaebC88bs7v72+7hX4c9OTTJ8bCFLrTaEAsyJjt5vJmCz+ISxNbmBIwzCzMZkEvUP7ec0 SqKp/3xt2pWEdQQNPkLItFZ/uKeQhIDzGH7eNaA4EvmMeheC/p6IrSmbKPmwI84hAcYmYfS9s6R7 k5UDULWf5cva1R6zww/6nUUgfprtVdRLZjOx8NbUPAnSI8V95wZ/bmWgf1Gxqi5nee1SfwAMQYXp hTG4tQvjFsvfG3acb6nK2J5SPYc9AvcqGPCX6IlZvgGw7Bp57EcfufA8WqvfyzzPX6QeS1uNcZU2 yDfWvD887OihJSKVPoa8UTlv95YR+PE4hjSq2hqkcc+Sw0DZB0Zlo1+EfjmFdpc1yVvZG7D+DD1R nUJ9M2DKGyNeB/Da6fJEhMJcwfGN1Mvlo3bpGncIK5P2q49Lbqr/YJZ4FKhbAeqWiohVCEhQGD8e ByyAVtnxRH40AFLjr61uwFeeR77xToyVRxyOoy93Bn35hAQucmmlSqzFKZZocGO1pxHBBzu8i/YX PrT94Uf2Gc3lJAZIxMnCa9E/YRsHe05MlvTdT2hwYfu8sbJqWdT32TJBLETTzfBBtDNKoegDK4Jh 5qQ7coqmTBeuqouF0scswbD8P2sjz1HD43rPNumvoHqq8LIHFGCM7fyBMoSN8BaKVQ7KYWpgUvq5 zlui9VNSv8jG/q+vDy+Dhez7u2u0bMU6U35eq2VIBfNsGr8ClT/fuCiZ3/aBkqycSk1Ad9V8ABVr clqtaOegcnVHy8yUyQLwov9yIpINW7W7RorqjmpQHHUTWddClf1zz8y/teNo7FWGaHLe038L8Rgi Eb4NO9aFcRObfTEBBRDJ8nPPTQZVYmWddAeRsc7PHd+mygRAhVxn5D32fwokkL8rDtTUMvmcE0Uy VwrAz9nPnZkjPNYYZhFA+D0dzIMhO1cNqeU+tT0OtgWv6pVwN3tr0lkJnsw6bpanQ3dGx874N6GV M0X9RKvurPPCdYmiGiK9XeOKUH3HoLjqq0S1n7EhptSxaYY5y5UacIZmUtjAaI+dPBeq+V7TDMT5 o/QpoG2QlSD+AE6OuQYlNwgqFn8lBQw8Z+iB3aIFN1evaYKGyGjh7TA1iYxWC86z2YdZ82cJ3f1L DhLvxswHmSJBle+blT6hv+ZEAGolPq8GULtJrGej/w2TXwMfstbxjsRkYbxjgCj/FfLl03i9FcTW THe6z3zTal97nBfZLIsAQMCALMXQIZtYavv8YXloFRdIFEb32I3UdTOQhasYC0ldJAW2VX9Z5QlK EZHUu2lNYw4Y2EqxqtOyqBpdwmSPgynQ1eD+whLxLFlO1oUBlqgKokFF19wtqlwIHSiLFBrnD/63 dzslMSdesFjnmaUwJLBq8LSqKElN8JhGJ1X2RIXFOIOgBrQCa48ZaNshebs06PGlr9ibdMH1LyIk g3UdrxA0tdRKJXM/lMX9g/U34shQQNHkxDr7qWsh+oX6Acf5ceg3EbRmasYHmLfHT8Bwnc3qviyN +fBEz8DLSt7kAHtGA829EiR3iTgAioivz8GRslgffYlAwUnn6tnJvehtfl7l5PRfej6R+qlXl3Fn eZBPe9YpYF5CsGfNRtnYoM+xeKTL7uOFLobwooEXK1Wy4DdL5qi7kwY/RQOGxX+M+h/wIQkmQPzW DZsoPQPjMud8m9n4MfHDlN4rhsrsr+wsa2lzFyAIuRoVa+qSY/DUoEzV6uGF6ausds3cjMS+md6g 3qK2MMkk0l7xE29HH2nk/XtAWJ29GEZAj5OsPT1gGV5eoFgCDuDtgeSaW897xiYuUyHZYOcZi6NB YNRXQObQtNUAhdxjKsg+kDkFSPEeoZXeyLFNh06wnvY0l5Aw/w/Gp0kQoa1PIa3CL3Kmatp0WUzc GpC0Q7p1HxdF1XT+lHAtc08fCWjW9FqtMUUBoZNz/Uj94foUugjUcnD4ZKwlLIRRmoLw/YKPQe2r vX1gmiS16/tOARMdT+eBRwfou+mgipV9OVlA6E3xdLG3veIvFBJznkWHQKIRzq4EJpVbkwk6h9HW esOoYmb1rgwKG4ReK0B9blIURRf5/+62ATh9RYPeWnJOgID/i85Idqrx2sOLjjBk1oqIyHsGUdAL re04z5B51er1UBG76zZvdZytSFftLicNm+WWZYBoD2R1944m+sS28i+A7SA7gVkSebtSZnYhHc4p YVkAo9cJr6nSMh7qPFYc6ha4EQHftf1R5F/rKLH0J5Ag0hj/p0AKMT2H43fR7XptL2afoUP5A7WT jSxTpepxMF+tWzi5s5RJaN1z+H78uvmlopZlh2cSXwSEV5Nk2Jp+SyzYJb67jx7tNcnB1nF3F1YZ 1KUP50rUcJvY4IXGy4KG/ViYzfSFybNuTYHCDVrEedPd312S3QXcNodfoK2dVm8bbDqatnmZ7sV3 FpIgRZ/fDjB00sFZL/WzL3O52mb9KCgdH0jdf8AxF7Wu2r9eSs/UdFWbsD0MtDBz7I9x4JNsGjGo o9XGOhF3qdTeDqq/cds24otIPFvOp0LUZO0N3ZpuWULlfjV00vZolyJZjHpjJA4AFTYs5rga8Pyy MMft/1jqjvhnsp9scxSSM/i9bX730KBzwihDQLP1/IyZMBOD6CYmAPi7VbAQu1g1ocBqR2oUn3Bi CTqchBC9BT44RvnKIN6hO5NegMkBAynWaew9jB6CRQzCLJafDnWHqY4NHmv561fY175c+s0stZ2f zfwXSI1dOWtzRyWcW5PnpAgLtmsHMReEFKdSu+pVD3pQ8ooxeFQDtQpD2o++SZpeE6FVXeQpPa43 K5NubarCo4XzElG8a0llVWH02CdekF0jD1kGN5YDG7nyxe127XA7g9uR5w5OhTBVJZA7lKq/bqH7 lkMKAxFD1fChLGN0uRFaY3n7x8KO0Ca+05OFBgqAMQk14qidA89X6sNVTcX/e9YiYQOm83eQCWjB ULT3/oBGhKlz4xADw5vqB1odMtabzEccnlapq9QF3gpiwjcxJiyFJqp72tTR7DSKcNq9qd0WaZDH e7fFe8+qbzr+CwPc2VH1m+aVLAGFnq0lkAGMKPm+NEyfWhLWZHoLFPj7whnRBKgTrEB6izvpfdTS ka/KkjAP7fRqjw4EpUXZN0rSZ23rC3mzJeJhaZsFI0A0uqdvfG0kJvlmIULK0eDsu3PeuT3+Oymz FbeyI79zG7gDE0AsHiirbEzTy32oF+9rlV00lAB5rlbKKBnbaYiD/zO69f4/8e1qeUwcY8eNbMXv 9vhm6Oh0n/BFB1+OlpUAe2yOdaOkbBfiuJGlW9POdQf8hFl0Pgt76c8sEGrIu9DJjGyZU4hYa6Ar jXCDN5jPIMnrUMINC2fLU2x7ynwq4jSgQ6xgmmQE/f4zwSJ0jSOuVdAMKm/Kbhg8BbnoHYEo9YPL 9fdM+dcxRwZ4Optxanu20lCMlfzsRaDK2gbvfNnbKqBHh4GQeR8m8IsVjfCQYMq+ua626Of4MmRm Vzbf0B0EsfSx0pXXJdR7L6SUhjhSbIeuFi/Odz8jNhN237ailF4hdEZWVnE7O/zmlyOEUh/NyPtS a+d6hBJ8lYN01Xju2IV13aWrNREyw807be0jKrcFNs+QZt7bAERb0YLbtXF3L/ZFXEgNyI8WiQ/C kU+EBOiqQU2iqcn08PaDo+UP0qR+aUMadC2yWDwkqYvWlFOGQ4l30Lbe1SSdv/zhpvn5LMKGKx5u HoWQEWmlzMVHbGNcbE7yaXRDW2VOALhO9NGF58+bx8EJdPtTmtsuMbPHZFobIpnIlByqwPljCrjP pYX5uJtAGEJGvVtOXo8XK278/raZZkOiVfERgsTWM9J2e3MfUyoCPD7sI+Ao6ybHHy2UZmMC0gkO thoT1yaMI0jZpleWlZbXeu7xUEGmgH/yHxqxG+YENLz1BBKG1JjoSo69puWQHgEK7fBtM08emmiI HbBtvZBgQwxCT/YxhXmH8Bzr18ZC6GWZ6lLV+Vhx2lYBTCxIaktlJ9Njqz6y1rRLAQ8NO8VI6R2m AdG6o3bEMdQKxxQVl6KQSPmCLx201zdJ/tuYiB2iH1uyhrlPdjk7jQcnyElDQmgUR46+Xq8QhdJV c5b4mWPyulIHD9EnmBiXpLePCE6YwCeLA/K8JX/bvKrgX8ou6kjkmEMwuyYN8snFmoFl93NUSzuG zrOWpWXv1+sUx2NaAbjss2OrfTOIyQ2dzdpJcfmJbvPwG3teuK950j7vzODVg3ltQ33HBkT7/20v gXLEaMYgDatjKuDE9kzPaNs6J2ZiHsek29LxUwB4JHS8FDvW9vtZCJLMeq0OGBlJDE4W9tebimi4 btlQInwdpCjMtGvQdsxoqfCyHK1I8Flzzl2fvHMGuxS/NqVwS2ZtHY4jvsVmRMQ0Pl6Wun7350pB NrUMh7iqKZZ7T9xqTRZMKNR75swucg7uCSm3U/eZQsHbvO8P/4Rh/O3vP44kMBl6odPGWxQJGCKL pzY5kKmCz+Bh6QPaygOj3J26j1c6cuSe3qwFrXcV8/WwwCyK476kq+bCQfZepO+fikZVwrWwW5Ck Vb4OS5JY7As4RXySPzFILL9wWGif1Bw0M11YdTORmOAWFrCQv6SaLOg5/OT0CjSL7oB2QJhKSY7n ehnC6ENhjuX7P7Uotfz51vLu5blvqwvE3b20JnQmeXUhVeEW/ruhcdEyZM/Lton3rxjnL/J1cBdZ Q5Ht+hnEMlKA48hYIvRy7i58Uo0Vpowy21ttCw4ikWYdwkOETnnLK7rAa+H8sleSDinJjA5s8YQX M2ulKJX8sYzy7/sqG1FRaZtJ/QH2gkAiqAgMDP/tuGjfiPo06MFmgpf3zKL1M38d9smavuaVaHXV mTyfK62XldfTcA5PNLOh0NF9Bb+9pMWYWl5AppqPcMM63pppMWeqwY7LDDHWaEs3AFNqswh4njY5 tat6zmsh0JgEPJ3C5qPM/PyUyu3cX8snOkfSJ9ePQkHJRFObSjno9XdIsmt2aPuTTZPdKDUYclXo 5AI0V6E+DyKICzbXddGN+7e0XPzyeyrpANCJL9O3UybwmWVW6p+/8a7Pg9WoWjOXCSrN6Id/4nAh o6KvZE9nRqBeDtYMNBviMS3w5UwunxCAKBwGUs5rZ3BN/g5MMF8gJih5tA3C8Wi658Be6BLXb5sF I7I9EU722tzR/fQBVg8OoRrqCcN1lc97LlJHBAOq9l/4o0w6+4Zi+N0Fpk2MknfAYeaQqxDnCjk/ +vuh2ofTJcNC+8eTTlewzkXRf/sR3ULdxzMgg4QwHqjfJg37W4xMTUh8Ceb56Tww0I6xM8Ksbcm2 PjKgDOD5AWmnYN8db+Vj/yqnOlOF03v0En0aduwLZMsVHWpvCBpRY0vifPZrlAGwHfoQzTBx9k4r tujcoYkwTrKslcygEIR/CJYH9/9aE/+z+hl++m8LCjmBM/2DLm+Mazot1T+T8FYeIvgpqkQ853E1 Tf1D5bOxoAEeFGu3/bDMRFnEP3ocN48JZagxh2hm+flijrzAE3oAdaZm47sOhteoyMCzo0RR4nLO trULMHyOg3P1ZN2Pu/P8IVVxVfVgpJ/mpEucPmbee0KRCMHgGxNGg2JIHMCvRIxnaiyLPLxTkbsW wP73sjhpZAOoRe0pr2feIFJ+NwG7rk3B8PRm5jNSvRQhaX1q36gnqBJoRsDeL++s52PjxaIhJf+Y 7Uu39eiBiLvCE5/aNj4MNdY8AWoo2l0roeOVu//bfuA2WAablanE7CqLYOT8TlEJaRquDpjx8LNG ed4n+FR+Ejh0AIuv/QuOLO8F/7M29eUQ8N2IfKDzjvJTDdGLPqizDS9ktfNTX8pKWyboLEU3Hg45 L3aHunGAYqqPwqqgDlV0yLiaxmXAeqSVuLH3HfSULyYO7Hh76kixijCxrfHTvq6o/0HbUQGb8KIh DlIQ6atwAtmzlK2xDctpeDxaUcyI0BbC2OA1clXj+hj+pugbJNl1+X7cOFo3SQ6JyIQ1jZ/Q68j7 OGg3Tn9RPivOcU2XUlw/j+2wa7dw6xRXXu4FKG0fI2FJSOpShxACcRQOh7oXW7tothQPDT8eNRKk yeVc72+SqBNoh2UuAzF+3+Mn8ysGQXxo+s3/84WgzTX8y6x45LlPmzXVbdIYP5BJjcPGPKpGZv+Z 8ZxsbfqKFuHF6uMzqCcQACf7HCKnl21Mj3LeXClkwnKHbbNalamhmDjULyV5tSLHb3r0ljQrtJgy U8LeWTTPR4DBSsBTlgx+z7JzSDTzHblnc0jSHxTPxfc6Kg1zoxzSSIfa+RZ/XI+1mpeoFDEh1Dxt Byt4JlFdyUtVfsj6bfKED8kEIJPJsLf0Jfzqcwf0/ancNkpTQlxylaD8CLNICEbbIDZLWrPMV1j0 tbT65WVp6cBiIiB1K/6Ng7s/5mGIVc1BM6RIMIYuQXRVwHeTLOM/w6uxITkBbqSmP7ivA7r3g0ya ZJY4YyqJZerfH5nGN4igHvpPYRQ44Ihm3ESrYeZUR9qt8z/lQHhQg+9E9IUxWsMm/9uBhaFqvYuX I2rGM9bOwok+6SsvipNN/n3tzXi31ECHhVyUh51GtH6fV04rR1PZt79AYsuAqEYxXRHdAY/S1KTC mv5SnskM50rG6Yj/Ki2GXftTj7H+VqSvldVYpkMx5XrHz7w/hatpcEaqVqsezCQLs+ag4PdDgs/5 b+ibDuZEV5UkKORpP4Fpp7RvvA4t85S3j8p18EKBAjZ2JxX+L7t0oueygR6qyouDqM0i+TKbBxeO Ovle/DoyHFYJznToH52oxMa5Z1NrC1kq+mQX9s3sfdQJWUoS8ycLAnpafnEfcOxTB5MGVKRi5mRV EOEDiRJjkeB4Bcl17QS2N+760rHGsHksO4oAG8CZqZaVPbVvv7dv3uDBCw2NR9KdCaqM6KQdT0WC 5FSDPdrLUQpwQPOIcqQWdQfxbVKKH5DvKQsI/wYQar2PRtWTr57U0vDSihbcV6xjlp48VeMBXVYL Eb+Nt7NojEM2XVnjcynPaBF76yMaazNRKOmt0TOCm/776HLxifUKe/ZNqxqNqGMHe5FJZXyB8Bwp r1Xq9m3Cttv/DITfASf0gq8khBZANIVbYRM7lc4IPBNbYC4elTkOA45RRKAYnS/SZXqt/h25S/1O L6mrKr91QtcvhrGr6WQjvfswzd5rkQ8rYV/ysBN3Bz5Xf9F2uSXOgMMdwDL1m/ivjopm17waVoyC XNumK88vTYVVqJGeXyqYZPR7Gq+c3Bzp8zzlXUaeBEmlLQcE49IU0ZZjAK3A6c0W9iygjLUnucGp B8iEPyUtB8DaIlzqP+9IaZChlPwiFhVAjTaqJTLbgZX+a4439qYfBinnHVvt3EIJIfwe2piIlLOn pac8sB8NbXOdWGQJX9erawa0lHxaXIQndcTtlL8QD5qPNWKCdXm2Si3frDt5mVq/hNvfp/mgC+kq 29TjsgiE4sxv62kGlZi4NwnYRc/XwVMjRcVhOQZMIJg9luDzmvMRpDciMhO38yJ0S7LWgH2RTImj nccCTVQpPFb4ALKRQpLF+k57WAs+nxCFmHco3CrCxZJ2MAc28yGTHBBiW5EDFCSVGx3AVB7Y93eW CG5BS+Jn9hc551zpenS4I2JI6f0IXUV4SAIW29ooqV5FL0zMkAsMdgvb9Vu2ajo7bWpSLmjqZY+F /VIGoQJ6DSdLyLTxyPmoRe+fUTipSXnQfwC2wRIYNtbCyXuuApiIgROfzqAGvxnozvJ8lHwV5ohE B3rrd4YMXO+uLXjUWb3Ojw2JjmilwZdsZPJV7iiAOinYXMSK2TAx43hJDfJeFnNo3XAPuniP/Lq5 OEjHUpCGwACY/hrl5u4v3LyG1g2ssOfxjBaxWUkdzetS97Jc7lz7++RrtLHqGP8cLDdmRPzg1Wnk 5zpXWR2pRedaB1yen32mCKCQYTOrT7PEcKylIAisKU+82cv2+xiebGQQsTimZLYPLtVI5oxbpjWd xVPMx8D+FgOOrXruAix5gWCG4nPeYCY9FGTWU8lJytjsr2lC+FdJ9r2qLifKcxgGSVOqEU7OuPOd iQ/V9cgycp2dWbwIDpAymthA2OD+TrnFA4JPZdsrQ4EK5BGhxpOqDO31iKIt8TXHgq27Nma2u8Mo sS4o4YdEQJchbdVq5pOk528vL/xb/gpAaC9i9uRJKh+FNz4foFRUKNp8fC8ttOvFGLC2dIaJD68i Qj5901WGky8d7Qram9ejMUmkpZEz5bL9ABZBwM4+3M+BTqZxUcL1bu/oIqBmySl6M89qYn0OfLLC FiZxCS5zYbkUmKAfuZeZkFBHOXR+d/iEkncd5dd6Rkjj7qQ2OjoSXcBiEg0WYmbV5zmS7PwBLTJE g5eTdvYI+D15L7wJa9eXDEh1xhc7ZJyP51uBNV1F3BM5wXhtK9B40bEbk2sCZLPwRVR9nrRldSKA 0xJL3Vh3CIHJU0xYfEdf7SdZmNaznJjm4llgwWZkRMyZoOFzCVzJg/w0qtdRHRJdU9mXVQewCRRb UBTwv3HaXwtaZ+0+/1utz2FSKBvUY45Uyg2s45ZY5mxwit7wCZ55UMaMkR/oxRvnBIDqwJA4kdip lQqSb8s1LdTtmm7JDJPqXqPhhd6v/9MKbgGE/qLYXoYV9AhXm0ftmirXEkWi+puH04Bc+Sa6h1CO rWLIjkznLoIC72lQ9ZjELo0SyERqchlzM9zsz1osVn3VLNc76H86WzGhu/WiCIxi2OAXf0MU1OKk qSVVRoT7fhgMNjbxJP3pGBdjb0jdEIJt1EoVebERZ3yb2Oj6HZCZ5OwegEmMRGaajZmvUx8AnZei 0obYWhaMpbbvF6HtNo5wL4UphDulL0JfVSSJ3p/wmER3uAyOw+dTvLAAxry2Sh49+E55H1XERJuJ bWRdrUcsQstbb2aqpHHLqKjX2gcH3tZjoIWEGKTR8G64/+u+uY3a+jdo0c/QwhUOIPmELRhR2LgN qE420Af7W6L2AQ51HQM6br+iwm/yuKcnZ5SnTJ642KleE+JIGT2cdCNBoN/taXxxFu2RP5I3VwdK Sz1Ax8WNAduddLLx2co7NaCVRowtePFm7RYtznU0BMB1tMYe0uxV2Bn0I80KOSo+Nl++f4kZEHBs CVjJo3skd0a3+s+p0QaD63nAziq3xIzdC7H6D8HBO3xgR/hASFiIhzTWX/U3zGk3RsKmLD+svWF1 QgPPLonhRw2OHDUVhzjXAySv3Vrw/X4G+nJwdH7enPKm33UqCqDhKuN3Chvp6O6nyvg5GW/PWqv6 VR5tLk2daRZ6g/XFWm3v6tfpPQtukzpjgdTmPcVDUBtKicXIWgfHeqzElpue0Fd8l+pWa9G9n3ne TjLVKPbHzYemQh17LuxxiiIvNkv9DIkMV7Jj8SBHlhKigEpwrF4i8fu1j9X9yqXhvkY18+bRN3Gw uBrWNIS/1YF/gR7o/NosY+ROwaE7uJQxDXqoR/3ywlM8/tK/1Z9GbSiFkiUxX8bnPplo16SV3lh2 XH0snq29jaQWwy7SciTxQsWStVeUsznA90FhQWU33nGJWMuqOG5WqqMgo+C6G3pZF4BLuwI9k9AB liqgP7U7H6gz7rudcR+xahXCp9J2EUXowHkro8lZ3ERFVURAKeF+30bCV5u34g9WBX5I/qE1IEct ay1jcqOe0Tz6SRW7oBAqpfxqGPSpA5A9y6i3senHEBxYP8KUoXrpb/Zj48WsZ+MvFi38nXstbuv7 p18fnck9aNHrl6RZZuah7PBpi0HiJoOuXJLN647VD7qytEYof995f3yNj0VMXvc0AxyxtsuHGLYc M58qVYW2xIikXw7mzF95DXCqsXiMNxrCzIOAZqH6YsVwqnjri9g8niYjg1OPC2Xaru6+ohWMpUc9 KVtqvP01nbemece7CqFVPFyWiFutDrUT4kbsRS5Jx4YM9aTHxi/TmZ9+fZ9MhgJIKREpRmGSgd9m oO56bEQLz13CFArbz9WRUAaWftwV1czyw3i2ptn3pUbKeizZRX0C1n/VXqxGCMPJYQ5nm78qYC2W wGQBEzWp22ny9RFpL++0gG0SxMSrYKvlWxxsN9NQW0kalYEH7v7ec+bl2XRUOm6cieRd2Y9Xd2rT 3T+vvTY6NqmZJQWBwGYmndMUfAM2Mq13Ni0nwPO3QvuCgC+1GKVcFHNjSdN0l95ZGVmTKP93rxW/ rRPE6QHwJRW2mnBYyenu4SApjNBfeQ6rD/PKTmebRpOeoa6UNNKeqNYtRL2B2FfFYFb9jZprNZAZ BC6yx1tYUtBIZRaCIpGeFljllm/Vw4QkhBG2OPeV8JwAgegm5l2tZAipbHFPxw2ixORWXxxr8EJb V7f4thcC1g6zSrZ6Ik63JKo3HzQxfakBxO0JOh9iHkmYSblMhfX03RBytZu8ngmwdU1IkxJlMlbC WO7gxkxF9Q32lyBfoyXFkYD/PesPFZV97DYasNwDchz+SSNavsXR7j33WRuo0w+05xwTMW1k4TFS A/m1QPMZWJTU+sggkYQIZNHob9rHBVZi8C2FSQHfe4hNLacUlfrbSc4r5yHE5lquOsJyA8VUhXkM Gkccf2p+3xlKm85mIZ10NwqWdgb11fUt9JXc13iomYC/eW7rv6wFDB2jx9FfNRtj6tx0rusY4bf9 o9S+mah73qudHPHxJWSOcROhu2cxMC52HCaMbrKiuy4QJcd6qUBikFNCpvqDzyLxaLgRksb5hsN8 US7r0ofmOflKSfUSgl9Uvxw7ThS9T0adWfBBXE72krbW9MKZlRGkWTKK5JmlLTBUUqqtuW3/fgc5 kU3dKpVuV/fEKt91bRp6QTPnJMal904FkGSwDopaxhdvDlVhR26SueGJDQvTTeIe9qgtZ1FD7BdR MDbjGNzPrFBN5nMheR3m3dfDJ2hx3vog0ct+n+O22ZJjM+3Z8svqWX28nRw+Vn0HPF35j2EK4YC8 MuyOqF1AbJ+pzaM/59sfGZ5jmf7XPMcqFV6PmbSvWHUoOPT/I0HZelXnW9CFcX9DPeeMNyrThbFB Fqk1DnEUmCswazX0XvrlB6y66Fvpii/lo8vQyvx6rWwWbpZl1RPC8+511MebOhBPnciClMUxS1iP MO2t/zfD+VXdFk2/55h2QXuxdvY2TM9ZbbCkjnf0jmFlj5KhJX7uSEjzpkUOAVzXMSdtYhxZkz4A g8YHq4BlrVWNdnr4IxQZkle5Klof0dHVN4BYVG9X3Ife2wPhbv+Jc1UFoss8OF5j6X2gIsnsyb11 uzSwmK89B+VGwcd0gz4NN9vjxbZyuYyFCp6WwX/IOVqZ5YYOcGkm4DRGvxTJ1CaMXm4C12vvWzkB jOSPrxhzXgpBDoUm3jHfsKfpy7O1v1gHgnCVBN/IvMVmNDyF7YTorXSw5gHfDC9aP3xq6+P17mc4 K783Q85qSaveVfkKeGzVPwr8tSXXQ60ws6YKc63PQmNQMysOMq2FpqExvByVrHF8+CCLkQzunUiG vWvIfTfXgEansf4C7QMYMwwin6EN2x148yX2ZfbA+ls4TtKXlZ0WQ13GmidlGxjQ3LoJmvxkI/gI vLfTeXNNqJesBABzJqcQxXJFNXR8M7Ry/OwQx0bXgrinBPtH8AibILgE41d4u7f2Rt2J1Zr7zyoB 2tz5wwrfkRoCBW/Jf6wvHJaIKFEYDx/IcWmuEuIJ14kyRreaZNDsxjFFeHsYS9M3SbnkSrrjmcWg huCG74N5ZI+k3yNSr/lENYpWLpMg13g6RLSGaNaRQ72+hWnzgu1F5Rz+i683kN8vAJmVsUGWRDKQ mzF6zXLgPCLraHJD65Aov+fd/ovktuP4w65zndGShx7Q36wScrvI47xBP0XvYgyu/l1TAjXJxD0P LqRn0pKbapwO2GgpIBjWeL3oqYq9Mq6/fXSvSPJVsbpzZrnvSBD21fbHFo0fsT1i8DLF0wWChTXt 6CJKKN8XecO7znQpq3FduC5kuMOLq50lC3PE0A3DDxjgbfpr1UI/QDpVWsyQFh9d1mP+kJMLJgmu 6T6sKZiS1nIn16vu8uBRSGk6sFJP9Von6KN50oSMKBoHasyInB14W2CA4s1VWCucqWScOps49J/g X8JZ0ZEMAlQqhSJW/WAtDlYZ1bOHS0cG4uKM6bfJGTIGXoPj7okSlRSdNZAZ6dqCN/f3WHgXRY09 0W2TNtbpJGdvvNicA3kChoYmesXlU58v3GJMy4cw+eZLwJ75IOgRvfkThReTX7VI1XRcNbagslFD PGICbcJYbpuOEVgaug7KKOSF3OrPM5vleOVlEsnA2oYFh735ddf0LskmdG2Wn9ifuhq3GuQ3ULyV i4kw1jw1cA23zG92tWSilEigd+VMlSARMZ+awwq6mfgi8IZromCIajraOGpsk9bEhzsojTQnaTb3 Xn8xuiE3lMvUWZnmnAs1GSKUkAwbUzgn2QvDVi7ulpJP62AMmvURkIBBw7icIO4btzcfXmnjZUj2 /ynwFOjLXQEL2vbtVi98aC2zxVmTqqTncClTU5s/Sxjc0WOUJXPY6ygZToGi6iymg2VoT2jFpg7M ys7JYsNnjb/Cr9DQvLczaUl4+puwVXBJbXlkUCSXm3FJkedasUBWlV6KKA339Te4jKemmCDGcbN0 Jh2YbxxSQdxY32lZm8ccwvIWiSQoXOLlWodnOl6r1YmMsbAJbpRRNGd7yIcjp/lAqXyf8ZYejnqP rlM++HSuyTCw9wWDsI79zFdGTfA8G2HagCh4y38zekbplznA8EpZZ7UQccXWrLcq5c4UiSHaNu7Q JpcFnJpHo3CCby/c4Pau2A8Zg4THSBQlEB8M/jjTxnYIakHqKuiYSDyJis+fV1zJ+xZBDg1aSRlO u4wNJuyubGcS8DcOZ6KFPyr6X96KZvoQ+wUPfZ/onnkFWKdbx7ejr4r3PXyL8XjuZ22dJR65+8p9 FFDtYurSVCBw3RUNqMkE4cRDhHXdQYqINuLayi/zAQB/C8fGx3/AY3RUTs96XMswAmTY7IUFjBbJ YLK+6iwZ7qwoKwsnV5HF3ThcrjidNJdAYfMmd8vSa5lCCMyEj1WSrcLf80Y9CvygziJoxIK4EnGw j91eSyuXSA3hVXqoJjj98GxvInjjhIq5hdzLE1OQJHjzZ8e65EFj84arO0/ojfJgZBg7Tr5wCmE6 eiNhzKDJN/0Cl+y4xJBV9v8qukSGzkg38GuQs4DpL9gxPrrvIYqPIO9RBoK9l+vvBjmyUJzxJGpz 3RJn5GS6s5KwYWWrcx1ErNVpayfDPJyzIQV8YoLc+dOkKN8MP7RtmFyYjBiC1T0z8xG4HumMkQRg onsP1kCDh899VBx4QqGaW88b3BCJrsmDtW5ZzA0N8bRofgBN2/26McWN1Wl4CJypbgfk+oWDHEN+ Ko2PmfdiVWMz4tHJ31ieh8JXG8idLrRUa33YYPI7HLgfRvV1bCjcdl+hblqajNw272Ce0eT8bx3E xWA68LXkgMnVDNCgLqbJXKBBkBKWG3/o0SlI0Jxkk22ccmQWjctO1TmIiP+4Tgg57QO69gz35nFR GhmtTMx0bSvA5fnUkQkRkJLuL0roxP8cPh6zNNwsLozC6jUyvAopA/1o6Lt37j3/1jLdVK/d4XxU alfz1qlHWSEjVX0lEt0EGmwXf4loDOO7uzf/IBRwJX4dld7lgMkoaAFiVUpjxh/69HCYCoGlP8Dv 1KsVf9b+EaqoQgthLFOZdk4papxTq+KQJwDB5EhZiRRUqD6e1sO9NeCWFVQt5CJGkUrn/JgYnEsw IlDGRmREHoT7h3+JmmsV2G8HxAillxusbVfRpJGmgjctI+5UJeioPmEyFi0j2NkGCmV9ABBpZVLT IMs5R6SNpEQeGv1DaaUYedpHl3iRlh71F4BwH/XTtCzCQx9DfLWpLCTmzDj7x0LA9m9QE20JugZK xB2gYAW3BcstAWjwqwzzVpiOsVNtLvMo1bR1nyxPjAUIrgSQPM4ITHZWdtPlRN9DCQsSUg5Kyo2k BZsydI9S5404JL4LigYKj7cLHrLHZKG0/Q2GdQ+FJTTe4euOVvjxW0Cd637BFZHDdzN8l/ll5Ghs B80kbSYmZpmiFLbb6b7paKzRBE+AkZHzjCTFwopYj7I4FY0B9bA5gdBI/vV0neEf0mhhzGkxx1OP mM+7/gJA11zJTU8EsiOKO7hG90YQuo0IrwmEUdFuFtPdGLp+br5jfJocP+fA8pViOnroQoN/fL/j d7TDb19P9+nzs/3ij1UiaTsgELG6TrEiXy2DMJ2W8/sY8n8QQnUBt/F/RHmAEzLON0oCKz5rFl0a 9a6rmGfmjhnFl7yKVvkSvQ6kl4/ouJ8IGNZDaJ63DAWeXZoO03WrKfxk0p8nunfzXRlaPR2w95Cq 1GOwpgT72I8Kq6fRop9Hyn50yunI+yO33fXTdO2vJPoMC4tsYEyiJDnM3Zs9VmEWpJm68r83HQ6+ frRKzng4bgWy32JlZL4UBHEmRTh9m7QCoUwy1jBWDVIrbav14f/VhFEVeqPPzyjQMeCwiEB+Ws8K KReWOMK+DhvyR0fS7+rYtzIc+V4jk0QFKh5seQrimBUO2OoZqF20HeUiYeOygiQKtM6vj1SYiGs6 TelQ5JoYqcrBPcKfPDIvO/UcER6qX0j81mSz4QrbijToMxD+NstKPEzGn2MyOwIx5LdBXhEsc8vq +G9zIcXxko3/VVD+BiM6lopCN+vpdEVttFg7yTCCKzO8SEw0f007xr0DGFk/1KxDuxmhNBdnBk3f bR3Z1DmnetZ+LbrWsWfOigAb0S1rzcDvgfMzWtz4UAdiSllo7usgACFJlwxi38r12oLVY2m7Vk4w U8Zb4U7SdY3LDVVvy7nKnnA5Nc9IBI1najXesq9fkeXDheZ6qzj+8Bq9s/2WqsTJXYnkiMsek0JX yE1dUkvC227Qt+hvqUwZgWPkwLZp9mL02X7I9Leh3gH2AMLYAdIbb0KegIbN+N6dlVJyjcZXfOuX jT6rvA11GSV8i6F7TwDufziMvtFtNVf5Pv/dzw7uxSf6AramZ1zTW4Nc3nPvc+y4nAzEcDBsSV4K Kd6wnm2JxT1+lthtctVKi457dxKmwbxGx1Evydn3h8WPsWFGYDHloosdKLQRo4ICMS6rxCyDjuzY 9PcVVW/k4tj8JO1gvSKhIewm+EsJ5oAz206zIQa44mmPiBs2I7kaRKSl+254KQ/XPVLRkokn3ya4 T+wbY7DNqENAxFzjeImQeomJ6Z9lGDZRmHLzGigbLmg5YJe5TcbBBJKWBW8m/1MbVN6ulG9/tlDL XWsXnKCBPh8X5p7ThoyoBeuBadi0B4Ru0ABASvVJJD+tp76WSDpEQktbK89tSBS12jVHbYzkCqZ9 2A9ssaQZU640AUef9VmgGCglUxmph1v3Bqs+KXDBWw/lndxTuJFxK6XRwzqi64q/wPi0yWaNYNPE WYFzpO/zTstKq2lO2lN6MFzXAhzIGUbOTOyv4mDI2bXKDnJtCgTZEcF66wV9Ngb0505/sUNpzOz1 S9PNHUf+qPzeZgTI0ydOsDr2BMXQ/oU+KU9q3DsM5fisjCIZaeeVyvERE/kcOUVaGTvjtjruaVw2 3ZG6CIji/MhTtTV9P6rNq71ficMct/ksX0uv8DspX27LlCMBjkuP6UgNJdfAVPu1R3yiBtLSEfgQ LIxZK3jqRgqBXJ9JzTz80/iBoXkqeBqLVZLOwQKgr2ZtWa8YahS3ZRDX2R7Bjww6LM/7PCjdP5iI BKU0GXWxmHZdnVeSqQpKREy5CYLbNfVgpmeLe4g0RElkq1bhSAau665iX7Ohj79S6n4SARptGO2V FB8aVLDyFzpQqkoLxmIP3MyYug0a8RlBtVtJQwYPQ/o2L1TY/1UeJTujxVwVN24m3qLs+Xw2Ettb yfxyKgPxJnSY61kE3lnkM81+piZ5vuDLMimhNWOaxOiMgW5kQkeZMMUBCLcRVuWGVK314yvnudED 9IcCsn2QPbtjmF58s6I2CUPOLTRgntor7UaonUSe9/xzgj1pi9MI2OcbQIzhrLEEK/MKvlAf1xPD yUl9Yj9wFzOqXaENqMrK8ASsM3lfrjIPm632WCesRFCnKsxQlDC00DQJoXKtN1DZUAqd9PB2KT5U DEVziOLstIomHJTM9lywRMta5zQTX1GXwd9YHTeok5QS3t0+auLTsmpaZPxdwllemJxR0j/PM0so ANyuuxr60yl8r7ApQGdk3yYEMeDLWvSkfeVqlEZWG5TlA4xygoK1V5G1zv1vEBQ5l6y2YXlgHvin 92txFNqNirxmMV6h0Xtl5+T97J8FoeQS2KJiwOALelTZtaBTBkLTBNh0ixR8bsiYo3bEgjBDb7tg 9xEjOX5ZhekjUyFtW6ltunjJLB2Ds3iT7Ua+Y8EmH4Tr5DdvWrrjN6nKaZmwIxyvDlDSvX5qFGRR udMHDrlFAcBL/lDwfAg0may9ZfF4rk7N2v3dGrr4uxYXHUeU6JUzjHVnO96XoBVOMEVOYn2YL5H/ Hdb/Jx7R4Ff0Kw930WwvqU0bWX4bRWlBoZAYPIuYhvZNJGcXxwDIv2dabuakDzLF2oL23Apluoi9 J1tSEb6H8VHfQeU9dtTlDVzkFUDqunbUAmpQ1VvV4lLaJbUREzPTj400JYP5QA6RAVABnF6EvZS5 kpUD/pYuY/fn4eW3cPNj8t1Eyed2FdaSpySRKAEuUmrrrC+Upu5p4ZzTSKHCBO/AlFv2Br080IbA QVEIgWGhTmkFTqbSQ3kecT/wbK8YE3x4o8X66qmA+HxuvsNoRg0AbeDWlMWWe2EyiJ+XWJGfMP/r ZppS9TtVoDkpdWY40oCOSzXI5Opmrvi5+qZUX+lSKcITt4Thki75QlOreBkVI4ZprQATK26R7Upv N4qNHZegb14Lc1UH4L7HksvMHpfFpk5a5f5BCP2ohA2DcuAJ2KopebjZ4HFuEJAYT0iMQHuaafJK J7PLB2J4E2bhYW+Hv5Ww+GcovPIyQVPq0kX/IFBPgI/VoyBIC+rGJZsoXPqbIYBKZtsl9GCTMyFp qAsFenm1Erc97GEHWuiTVobu9S9XMkTAAyZN36i0hERNyUsG2jPMqsS1JLMfw5whPDRc0+eZSf2x 1GelOi8OZWIH/ZVKZdvTiKmdaMLH3lzNMSdtpnsltxKSbzD1CgjLiuutEAjRbH3o70Qivtfyv/Nd g5kPro2JkDLxhRN+3eFcr+UmdZNKrHNk2lZ0qUMIGWAaeWZmFPzokxv3wtKSmCQ5NUeWka352nEO irhRFsaiEcBBR5jUXRzU6Kx6Jrgrn1q4YqrA+KAz5ASvoXB7lM2Wwbfzwp4JxV6qTEClEBo7AziP 62IFnZxhvRYUyg/FFepRq3ZpC7M8SbX/68sEIDiu0LwkXgzFtfGm1R+LVT9eFgNZNGpJaPag5WIV Qgsj56n052xNmxDV+0CfG0DA1JShEvCoHNm2Fnr/738vwGt5/expl1+vIoioVGj6Vbf3KZJ5HGMS /bFwK6H8Xs2oY4SDg5xSCYPeiEIU1U8s5ZOkfR8RYF5rAVX46jYUO9zAwVPNQqUt+LDs4W8rdNoB b1gp9BWtiSFxTnOpRREiwVDAS8X4AjbP6ARvRjWeZPTfW58RfpglcQpAOxmTbaO1t6bEm/qffN7R XQqL1YV4+37vwSpZ5LryMCdM7xkUAAFycSQyutIgNgHgCzmjOwCmFJU4Cujg7Bz8qFqMr+09WHac XzxtFkPAhbHI0W/mTrLS24r7V3bFF0l2WzTuDsqFhOeXI+zwTcoPUz6sjWJdtRYQADPB1XnU3UkS VhSADMxpSN6xM9xvTzzzm0Zw91w4mfrQLy7pVUxDgJJo5OjcGUhAmEer3rQlpGL1ZcYFctSqyOoV iT4DfWRKyVkq+AMPOoHQ3lCB+OtlAvHbe54l68zOoftGoTsQ25hzlL9k3jG0L572aQY3qGm3uCDY 5R5xnc1BpwlGVJQX+O9Oi6/Mz1VGqxBayKgXUXd1xj7hLNVbx6abbGM9u+lpOni7EzJ3UY0XJm7c B7M8siajJlXJl6hGL+dsOiNtNYrMiWOzZ8zn/zyGkeg6TL2XllX9NlbStyyDwgTB7AuH16EpQVT3 EPzuac23gNbKKJusE70p3KMVb5lDbTPQY6Z19pRmcDzVHMOcaI7AQ0M5nqL82G8Uf+/l5TNiqSrP 5F72zHreyr/frFXRQ9FAV6YDILEvW+8KlHQIrFZmLBXRKqjzOiLZ3Ollom8OS1e9Ip1tNOlknzKT IG8cAzzM30MmwOfPhT85kRQGkHBNAo7Yz1hndJo3UJd+9sZ9XhWZVRwEGKVHfZA/qU6q0cXfuWsV 7WkGJfe8OnibPdxQYTbaZhYwQnvdZYLsECXZHGQh4tYWxP+MZnAcYA4OOLGghytdzQCjLfWj/SkX z419aj6r9QBsE9ajZLetsTPqOMcBotorNe+c65BGydjhJTFZlAqigxlEczX4ZQ8xUCPDBwuufAe5 eK/Mb5j0cvK6TL4u3TdlU6pidVOeCM26I8aE5ENvncDm3bNKDoeuTt8qULw55hkYlcAhpnSgmcMy w47l85NQVno2UchYm3UhYa6rclghrsCkcVYaDJdFbc1gxMACYMytsE4lDIr5/IDBeiom84wHj6yA knjHvKkH275+8Pmqx9vsItvsdYFnLi6cOzaEQKvlaNZkFMkCmOMh9oJ/us3626bA6uEDHzaLv/Hm XbMnG4mayxI2Aj67EduyYBla7dCBNzeM/Wfm54C3i5/SllIP5yo0XHLVS7Fev0TfNT92gEg+SGPZ 5qf5qNsxQ2TG+EIxeHEQTHjoAG0IApj9RtmEQaFtpkDVYhUfptQ+4BaT4I0piMG5NxbMfpO9v+Jg Swi0RWHjgAqxBcRTzuqnZUWRLPwCoDlem6zdLit84QVvN5eeKZ+GP7bQOC6uCCUZIgiLKX75y2sh bNal9Hvjzg1Bk/3sgbuU2DA1DyAWEV6Kv5E916JkKnxzMcmbhgmaK9zAlBSUskxt812t5/c6ZE2t d4TW4m89nhBxnh/ykgV3Sfl1nPCEBWpmX0mWfbb07NdUZ7wsaSW3aP3i/fgRpS80f6VrJiWAXR82 vTrWzeEfrDgEHcaPl+cIpcOW6k3bNeTxoXkhpUTR58W3wFnzF9dCMeaQHmkfGgnk4Jeo0rRWw55u VUwzwQ5gYRt28KQoJcAh3cR6Hhw6XnveUb5esFfVOlTmlbk6EBKUg79E1lZ8aPZGqJ8G2ov5OVyr 4b9xVVulBEOPa26lcuAnEao69wdEO9slq1k0ftZv3AWi54RY8tkog/Y7MDSb09c5JZls0Qa96P8/ Gd/wzdhxBjPxWE2LaRNdY9hWUK9wJToDPEZ/bnU0/YAd18j+RVAjUBazgdQNB4fOO2BsRupzTMh9 E5JFgEPZ43AFoOSCm93RK3IvhCygUggqFs1PA/yX0qAztpXdaHAMelIbq8bSk6sOi0OMFzIPcDv2 6tf5cNEP/s5PaTb7V2LZCDQzQGhdGAOUckJlIut5h/QNN3O001kBr6DH+kg8ugEJfBqoilzb3VlY TBPnAEE70F1h2ibfkmcrajUbVyWlF0c4frIm8XyiOxfijh2AkgOqzRbMLWCGzE/AmoriXWnZVziW HT5tXZ5FdfwUtJhJ1xK38uoQZi+kMN+9U5Ccc+ptnYniW5pWQu6F9KzJarfbW+lDPMzyjObbIz7e Cie/5OXEMii3S+m/HENB13/J1WFNfvLmVXw8baoqy9nuGZ67ryvWPf0gSVPTxaGWawAOywJAlv0Y 6Dp9wazRdnfWFLFgdErVjWoOk0LCrHUytoLpaMoBn5bDxx5A3WROxt6dUESG6iu7EnFeWVs5e0+y vGnoQXZT9nT+mk8DvtL4KVNJqiFRC7V17/fxaxb5yyrOjCFskS9aqsLLZgafAyKbNKfuYcilElja DubR+Egrnmqkml01vDwnIClaQIsiB/c95M2Pb6lzPneDkRBiM4/CreZfwICBGzEBEJ9YP91zA5Fz sTPNBPZwYABinQXZU/fSRYlBxA== `protect end_protected
bsd-2-clause
f810a5d3bb6aab944fe16d57e0738cc2
0.950205
1.829128
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_sm.vhd
1
41,813
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_sm.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ftch_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- -- ch2_updt_curdesc_wren : in std_logic ; -- -- ch2_updt_curdesc : in std_logic_vector -- -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- DataMover Command -- updt_cmnd_wr : out std_logic ; -- updt_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- -- DataMover Status -- updt_done : in std_logic ; -- updt_error : in std_logic ; -- updt_interr : in std_logic ; -- updt_slverr : in std_logic ; -- updt_decerr : in std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0'); -- DataMover Command Type -- Always set to INCR type constant UPDATE_CMD_TYPE : std_logic := '1'; -- DataMover Cmnd Reserved Bits constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant UPDATE_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- DataMover Cmnd Address Offset for channel 1 constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4; -- DataMover Cmnd Address Offset for channel 2 constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_UPDATE_STATE_TYPE is ( IDLE, GET_UPDATE_PNTR, UPDATE_DESCRIPTOR, UPDATE_STATUS, UPDATE_ERROR ); signal updt_cs : SG_UPDATE_STATE_TYPE; signal updt_ns : SG_UPDATE_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_updt_sm_idle : std_logic := '0'; signal ch2_updt_sm_idle : std_logic := '0'; -- Misc Signals signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; signal update_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal update_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); signal update_tag : std_logic_vector (3 downto 0); signal updt_ioc_irq_set : std_logic := '0'; signal ch1_interr_catch : std_logic := '0'; signal ch2_interr_catch : std_logic := '0'; signal ch1_decerr_catch : std_logic := '0'; signal ch2_decerr_catch : std_logic := '0'; signal ch1_slverr_catch : std_logic := '0'; signal ch2_slverr_catch : std_logic := '0'; signal updt_cmnd_data_int : std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_updt_active <= ch1_active_i; ch2_updt_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_UPDT_MACHINE : process(updt_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ch1_updt_curdesc_wren, -- ch2_updt_curdesc_wren, updt_error, updt_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_updt_sm_idle <= '0'; ch2_updt_sm_idle <= '0'; updt_ns <= updt_cs; case updt_cs is ------------------------------------------------------------------- when IDLE => ch1_updt_sm_idle <= not service_ch1; ch2_updt_sm_idle <= not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; else updt_ns <= IDLE; end if; when GET_UPDATE_PNTR => if(ch1_updt_curdesc_wren = '1')then updt_ns <= UPDATE_DESCRIPTOR; else updt_ns <= GET_UPDATE_PNTR; end if; -- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then -- updt_ns <= UPDATE_DESCRIPTOR; -- else -- updt_ns <= GET_UPDATE_PNTR; -- end if; ------------------------------------------------------------------- when UPDATE_DESCRIPTOR => -- error during update - therefore shut down if(updt_error = '1')then -- coverage off updt_ns <= UPDATE_ERROR; -- coverage on -- write command else ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_STATUS => ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then -- coverage off updt_ns <= UPDATE_ERROR; -- coverage on -- wait until done with update elsif(updt_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, update descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, update descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; else -- coverage off updt_ns <= IDLE; -- coverage on end if; else updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_ERROR => ch1_updt_sm_idle <= '1'; ch2_updt_sm_idle <= '1'; updt_ns <= UPDATE_ERROR; ------------------------------------------------------------------- -- coverage off when others => updt_ns <= IDLE; -- coverage on end case; end process SG_UPDT_MACHINE; ------------------------------------------------------------------------------- -- Register states of state machine ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cs <= IDLE; else updt_cs <= updt_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_active_i <= '0'; elsif(ch1_active_i = '1' and updt_done = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 1 Interrupt On Complete ------------------------------------------------------------------------------- CH1_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch1_updt_ioc = '1')then ch1_updt_ioc_irq_set <= '1'; else ch1_updt_ioc_irq_set <= '0'; end if; end if; end process CH1_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH1_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch1_dma_interr = '1')then ch1_dma_interr_set <= '1'; end if; end if; end process CH1_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH1_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch1_dma_slverr = '1')then ch1_dma_slverr_set <= '1'; end if; end if; end process CH1_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH1_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch1_dma_decerr = '1')then ch1_dma_decerr_set <= '1'; end if; end if; end process CH1_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_slverr_set <= '0'; elsif(ch1_active_i = '1' and updt_slverr = '1')then ch1_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_interr_set <= '0'; elsif(ch1_active_i = '1' and updt_interr = '1')then -- coverage off ch1_updt_interr_set <= '1'; -- coverage on end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_decerr_set <= '0'; elsif(ch1_active_i = '1' and updt_decerr = '1')then ch1_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch1_updt_idle <= '1'; elsif(service_ch1 = '1')then ch1_updt_idle <= '0'; elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then ch1_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH1_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_done <= '0'; elsif(updt_done = '1' and ch1_active_i = '1')then ch1_updt_done <= '1'; else ch1_updt_done <= '0'; end if; end if; end process REG_CH1_DONE; end generate GEN_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_updt_idle <= '0'; ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set <= '0'; ch1_dma_slverr_set <= '0'; ch1_dma_decerr_set <= '0'; ch1_updt_ioc_irq_set <= '0'; ch1_updt_done <= '0'; end generate GEN_NO_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_active_i <= '0'; elsif(ch2_active_i = '1' and updt_done = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 2 Interrupt On Complete ------------------------------------------------------------------------------- CH2_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch2_updt_ioc = '1')then ch2_updt_ioc_irq_set <= '1'; else ch2_updt_ioc_irq_set <= '0'; end if; end if; end process CH2_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH2_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch2_dma_interr = '1')then ch2_dma_interr_set <= '1'; end if; end if; end process CH2_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH2_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch2_dma_slverr = '1')then ch2_dma_slverr_set <= '1'; end if; end if; end process CH2_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH2_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch2_dma_decerr = '1')then ch2_dma_decerr_set <= '1'; end if; end if; end process CH2_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_slverr_set <= '0'; elsif(ch2_active_i = '1' and updt_slverr = '1')then ch2_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_interr_set <= '0'; elsif(ch2_active_i = '1' and updt_interr = '1')then -- coverage off ch2_updt_interr_set <= '1'; -- coverage on end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_decerr_set <= '0'; elsif(ch2_active_i = '1' and updt_decerr = '1')then ch2_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch2_updt_idle <= '1'; elsif(service_ch2 = '1')then ch2_updt_idle <= '0'; elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then ch2_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH2_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_done <= '0'; elsif(updt_done = '1' and ch2_active_i = '1')then ch2_updt_done <= '1'; else ch2_updt_done <= '0'; end if; end if; end process REG_CH2_DONE; end generate GEN_CH2_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_updt_idle <= '0'; ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set <= '0'; ch2_dma_slverr_set <= '0'; ch2_dma_decerr_set <= '0'; ch2_updt_ioc_irq_set <= '0'; ch2_updt_done <= '0'; end generate GEN_NO_CH2_UPDATE; --------------------------------------------------------------------------- -- Register Current Update Address. Address captured from channel port -- or queue by axi_sg_updt_queue --------------------------------------------------------------------------- REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0'); -- update_tag <= "0000"; -- Channel 1 descriptor update pointer elsif(ch1_updt_curdesc_wren = '1')then update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) + 1); -- update_tag <= "0001"; -- -- Channel 2 descriptor update pointer -- elsif(ch2_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0000"; end if; end if; end process REG_UPDATE_ADDRESS; update_tag <= "0000" when ch2_active_i = '1' else "0001"; --REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0'); -- update_tag <= "0000"; -- -- Channel 1 descriptor update pointer -- elsif(ch1_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0001"; -- -- Channel 2 descriptor update pointer -- elsif(ch2_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0000"; -- end if; -- end if; -- end process REG_UPDATE_ADDRESS; update_address (3 downto 0) <= "1100"; -- Assigne Bytes to Transfer (BTT) update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1' else UPDATE_CH2_CMD_BTT; updt_cmnd_data <= updt_cmnd_data_int; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- When command by sm, drive command to updt_cmdsts_if --GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- updt_cmnd_wr <= '0'; -- updt_cmnd_data_int <= (others => '0'); -- -- Fetch SM issued a command write -- elsif(write_cmnd_cmb = '1')then updt_cmnd_wr <= write_cmnd_cmb; --'1'; updt_cmnd_data_int <= UPDATE_CMD_RSVD & update_tag --UPDATE_CMD_TAG & update_address & UPDATE_MSB_IGNORED & UPDATE_CMD_TYPE & UPDATE_LSB_IGNORED & update_cmd_btt; -- else -- updt_cmnd_wr <= '0'; -- end if; -- end if; -- end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0'); elsif(write_cmnd_cmb = '1')then updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB); end if; end if; end process LOG_ERROR_ADDR; updt_error_addr (5 downto 0) <= "000000"; end implementation;
mit
b8ae1234320ec3e405061defa6bbb299
0.399589
4.608509
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sg_if.vhd
1
81,373
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sg_if.vhd -- Description: This entity is the S2MM Scatter Gather Interface for Descriptor -- Fetches and Updates. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_10; use axi_dma_v7_1_10.axi_dma_pkg.all; library lib_cdc_v1_0_2; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sg_if is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1 ; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0 ; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 ; -- 1 IOC bit + 32 Update Status Bits C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for S2MM Write Port C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- Slave AXI Status Stream Data Width C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- s2mm_desc_info_in : in std_logic_vector (13 downto 0) ; -- -- SG S2MM Descriptor Fetch AXI Stream In -- m_axis_s2mm_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid : in std_logic ; -- m_axis_s2mm_ftch_tready : out std_logic ; -- m_axis_s2mm_ftch_tlast : in std_logic ; -- m_axis_s2mm_ftch_tdata_new : in std_logic_vector -- (96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_nxt : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid_new : in std_logic ; -- m_axis_ftch2_desc_available : in std_logic; -- -- -- SG S2MM Descriptor Update AXI Stream Out -- s_axis_s2mm_updtptr_tdata : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtptr_tvalid : out std_logic ; -- s_axis_s2mm_updtptr_tready : in std_logic ; -- s_axis_s2mm_updtptr_tlast : out std_logic ; -- -- s_axis_s2mm_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtsts_tvalid : out std_logic ; -- s_axis_s2mm_updtsts_tready : in std_logic ; -- s_axis_s2mm_updtsts_tlast : out std_logic ; -- -- -- S2MM Descriptor Fetch Request (from s2mm_sm) -- desc_available : out std_logic ; -- desc_fetch_req : in std_logic ; -- updt_pending : out std_logic ; desc_fetch_done : out std_logic ; -- -- -- S2MM Descriptor Update Request (from s2mm_sm) -- desc_update_done : out std_logic ; -- s2mm_sts_received_clr : out std_logic ; -- s2mm_sts_received : in std_logic ; -- -- -- Scatter Gather Update Status -- s2mm_done : in std_logic ; -- s2mm_interr : in std_logic ; -- s2mm_slverr : in std_logic ; -- s2mm_decerr : in std_logic ; -- s2mm_tag : in std_logic_vector(3 downto 0) ; -- s2mm_brcvd : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_eof_set : in std_logic ; -- s2mm_packet_eof : in std_logic ; -- s2mm_halt : in std_logic ; -- -- -- S2MM Status Stream Interface -- stsstrm_fifo_rden : out std_logic ; -- stsstrm_fifo_empty : in std_logic ; -- stsstrm_fifo_dout : in std_logic_vector -- (C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0); -- -- -- DataMover Command -- s2mm_cmnd_wr : in std_logic ; -- s2mm_cmnd_data : in std_logic_vector -- (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- S2MM Descriptor Field Output -- s2mm_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_new_curdesc_wren : out std_logic ; -- -- s2mm_desc_info : out std_logic_vector -- (31 downto 0); -- s2mm_desc_baddress : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_blength : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_blength_v : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_blength_s : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_cmplt : out std_logic ; -- s2mm_eof_micro : out std_logic ; s2mm_sof_micro : out std_logic ; s2mm_desc_app0 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app1 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app2 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app3 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app4 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) -- ); end axi_dma_s2mm_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Status reserved bits constant RESERVED_STS : std_logic_vector(2 downto 0) := (others => '0'); -- Zero value constant constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); -- Zero length constant constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; -- fetch descriptor holding registers signal desc_reg12 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg11 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg10 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg9 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg8 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg7 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_lsb_nxt : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_msb_nxt : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_baddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_baddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_pending_update : std_logic := '0'; signal s2mm_new_curdesc_wren_i : std_logic := '0'; signal s2mm_ioc : std_logic := '0'; signal s2mm_pending_pntr_updt : std_logic := '0'; -- Descriptor Update Signals signal s2mm_complete : std_logic := '0'; signal s2mm_xferd_bytes : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_blength_v_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_blength_s_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); -- Signals for pointer support -- Make 1 bit wider to allow tagging of LAST for use in generating tlast signal updt_desc_reg0 : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal updt_desc_reg1 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_shftenbl : std_logic := '0'; signal updtptr_tvalid : std_logic := '0'; signal updtptr_tlast : std_logic := '0'; signal updtptr_tdata : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- Signals for Status Stream Support signal updt_desc_sts : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg3 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg3 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg4 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg5 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg6 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg7 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal writing_app_fields : std_logic := '0'; signal stsstrm_fifo_rden_i : std_logic := '0'; signal sts_shftenbl : std_logic := '0'; signal sts_received : std_logic := '0'; signal sts_received_d1 : std_logic := '0'; signal sts_received_re : std_logic := '0'; -- Queued Update signals signal updt_data_clr : std_logic := '0'; signal updt_sts_clr : std_logic := '0'; signal updt_data : std_logic := '0'; signal updt_sts : std_logic := '0'; signal ioc_tag : std_logic := '0'; signal s2mm_sof_set : std_logic := '0'; signal s2mm_in_progress : std_logic := '0'; signal eof_received : std_logic := '0'; signal sof_received : std_logic := '0'; signal updtsts_tvalid : std_logic := '0'; signal updtsts_tlast : std_logic := '0'; signal updtsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_halt_d1_cdc_tig : std_logic := '0'; signal s2mm_halt_cdc_d2 : std_logic := '0'; signal s2mm_halt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s2mm_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_halt_cdc_d2 : SIGNAL IS "true"; signal desc_fetch_done_i : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Drive buffer length out s2mm_desc_blength <= s2mm_desc_blength_i; s2mm_desc_blength_v <= s2mm_desc_blength_v_i; s2mm_desc_blength_s <= s2mm_desc_blength_s_i; updt_pending <= s2mm_pending_update; -- Drive ready if descriptor fetch request is being made m_axis_s2mm_ftch_tready <= desc_fetch_req -- Request descriptor fetch and not s2mm_pending_update; -- No pending pointer updates desc_fetch_done <= desc_fetch_done_i; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_s2mm_ftch_tvalid_new and desc_fetch_req and not s2mm_pending_update; -- Passed curdes write out to register module s2mm_new_curdesc_wren <= s2mm_new_curdesc_wren_i; -- tvalid asserted means descriptor availble desc_available <= m_axis_ftch2_desc_available; --m_axis_s2mm_ftch_tvalid_new; --***************************************************************************-- --** Register DataMover Halt to secondary if needed --***************************************************************************-- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt will remain asserted until halt_cmplt detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_halt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s2mm_halt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- s2mm_halt_d1_cdc_tig <= '0'; -- -- s2mm_halt_d2 <= '0'; -- -- else -- s2mm_halt_d1_cdc_tig <= s2mm_halt; -- s2mm_halt_cdc_d2 <= s2mm_halt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; s2mm_halt_d2 <= s2mm_halt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through s2mm_halt_d2 <= s2mm_halt; end generate GEN_FOR_SYNC; --***************************************************************************-- --** Descriptor Fetch Logic **-- --***************************************************************************-- s2mm_desc_curdesc_lsb <= desc_reg0; --s2mm_desc_curdesc_lsb_nxt <= desc_reg2; --s2mm_desc_curdesc_msb_nxt <= desc_reg3; s2mm_desc_baddr_lsb <= desc_reg4; GEN_NO_MCDMA : if C_ENABLE_MULTI_CHANNEL = 0 generate desc_fetch_done_i <= m_axis_s2mm_ftch_tvalid_new; desc_reg0 <= m_axis_s2mm_ftch_tdata_new (96 downto 65); desc_reg4 <= m_axis_s2mm_ftch_tdata_new (31 downto 0); desc_reg8 <= m_axis_s2mm_ftch_tdata_new (63 downto 32); desc_reg9( DESC_STS_CMPLTD_BIT) <= m_axis_s2mm_ftch_tdata_new (64); desc_reg9(30 downto 0) <= (others => '0'); s2mm_desc_curdesc_lsb_nxt <= desc_reg0; -- s2mm_desc_curdesc_msb_nxt <= (others => '0'); --desc_reg1; s2mm_desc_info <= (others => '0'); -- desc 4 and desc 5 are reserved and thus don't care s2mm_sof_micro <= desc_reg8 (DESC_SOF_BIT); s2mm_eof_micro <= desc_reg8 (DESC_EOF_BIT); s2mm_desc_blength_i <= desc_reg8(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); s2mm_desc_blength_v_i <= (others => '0'); s2mm_desc_blength_s_i <= (others => '0') ; ADDR_64BIT : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin s2mm_desc_baddr_msb <= m_axis_s2mm_ftch_tdata_new (128 downto 97); s2mm_desc_curdesc_msb <= m_axis_s2mm_ftch_tdata_new (160 downto 129); end generate ADDR_64BIT; ADDR_32BIT : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin s2mm_desc_curdesc_msb <= (others => '0'); s2mm_desc_baddr_msb <= (others => '0'); end generate ADDR_32BIT; ADDR_64BIT_DMA : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin s2mm_desc_curdesc_lsb_nxt <= desc_reg0; s2mm_desc_curdesc_msb_nxt <= m_axis_s2mm_ftch_tdata_new (160 downto 129); end generate ADDR_64BIT_DMA; ADDR_32BIT_DMA : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin s2mm_desc_curdesc_lsb_nxt <= desc_reg0; s2mm_desc_curdesc_msb_nxt <= (others => '0'); end generate ADDR_32BIT_DMA; end generate GEN_NO_MCDMA; GEN_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate desc_fetch_done_i <= m_axis_s2mm_ftch_tvalid_new; --ftch_shftenbl; desc_reg0 <= m_axis_s2mm_ftch_tdata_new (96 downto 65); --127 downto 96); desc_reg4 <= m_axis_s2mm_ftch_tdata_new (31 downto 0); desc_reg8 <= m_axis_s2mm_ftch_tdata_new (63 downto 32); desc_reg9(DESC_STS_CMPLTD_BIT) <= m_axis_s2mm_ftch_tdata_new (64); --95 downto 64); desc_reg9(30 downto 0) <= (others => '0'); desc_reg2 <= m_axis_s2mm_ftch_tdata_mcdma_nxt (31 downto 0); desc_reg6 <= m_axis_s2mm_ftch_tdata_mcdma_new (31 downto 0); desc_reg7 <= m_axis_s2mm_ftch_tdata_mcdma_new (63 downto 32); s2mm_desc_info <= desc_reg6 (31 downto 24) & desc_reg9 (23 downto 0); -- desc 4 and desc 5 are reserved and thus don't care s2mm_desc_blength_i <= "0000000" & desc_reg8(15 downto 0); s2mm_desc_blength_v_i <= "0000000000" & desc_reg7(31 downto 19); s2mm_desc_blength_s_i <= "0000000" & desc_reg7(15 downto 0); ADDR_64BIT_1 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin s2mm_desc_curdesc_msb <= m_axis_s2mm_ftch_tdata_new (128 downto 97); s2mm_desc_baddr_msb <= m_axis_s2mm_ftch_tdata_new (160 downto 129); end generate ADDR_64BIT_1; ADDR_32BIT_1 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin s2mm_desc_curdesc_msb <= (others => '0'); s2mm_desc_baddr_msb <= (others => '0'); end generate ADDR_32BIT_1; ADDR_64BIT_MCDMA : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin s2mm_desc_curdesc_lsb_nxt <= desc_reg2; s2mm_desc_curdesc_msb_nxt <= m_axis_s2mm_ftch_tdata_mcdma_nxt (63 downto 32); end generate ADDR_64BIT_MCDMA; ADDR_32BIT_MCDMA : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin s2mm_desc_curdesc_lsb_nxt <= desc_reg2; s2mm_desc_curdesc_msb_nxt <= (others => '0'); end generate ADDR_32BIT_MCDMA; end generate GEN_MCDMA; s2mm_desc_cmplt <= desc_reg9(DESC_STS_CMPLTD_BIT); s2mm_desc_app0 <= (others => '0'); s2mm_desc_app1 <= (others => '0'); s2mm_desc_app2 <= (others => '0'); s2mm_desc_app3 <= (others => '0'); s2mm_desc_app4 <= (others => '0'); ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_S2MM_ADDR_WIDTH = 64 generate s2mm_desc_baddress <= s2mm_desc_baddr_msb & s2mm_desc_baddr_lsb; -- s2mm_desc_baddr_msb <= m_axis_s2mm_ftch_tdata_new (128 downto 97); end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_S2MM_ADDR_WIDTH = 32 generate s2mm_desc_baddress <= s2mm_desc_baddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate s2mm_new_curdesc <= s2mm_desc_curdesc_msb_nxt & s2mm_desc_curdesc_lsb_nxt; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate s2mm_new_curdesc <= s2mm_desc_curdesc_lsb_nxt; end generate GEN_NEW_32BIT_CURDESC; s2mm_new_curdesc_wren_i <= desc_fetch_done_i; --ftch_shftenbl; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- -- SOF Flagging logic for when descriptor queues are enabled in SG Engine GEN_SOF_QUEUE_MODE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate -- SOF Queued one count value constant ONE_COUNT : std_logic_vector(2 downto 0) := "001"; signal incr_sof_count : std_logic := '0'; signal decr_sof_count : std_logic := '0'; signal sof_count : std_logic_vector(2 downto 0) := (others => '0'); signal sof_received_set : std_logic := '0'; signal sof_received_clr : std_logic := '0'; signal cmd_wr_mask : std_logic := '0'; begin -- Keep track of number of commands queued up in data mover to -- allow proper setting of SOF's and EOF's when associated -- descriptor is updated. REG_SOF_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_count <= (others => '0'); elsif(incr_sof_count = '1')then sof_count <= std_logic_vector(unsigned(sof_count(2 downto 0)) + 1); elsif(decr_sof_count = '1')then sof_count <= std_logic_vector(unsigned(sof_count(2 downto 0)) - 1); end if; end if; end process REG_SOF_COUNT; -- Increment count on each command write that does NOT occur -- coincident with a status received incr_sof_count <= s2mm_cmnd_wr and not sts_received_re; -- Decrement count on each status received that does NOT -- occur coincident with a command write decr_sof_count <= sts_received_re and not s2mm_cmnd_wr; -- Drive sof and eof setting to interrupt module for delay interrupt --s2mm_packet_sof <= s2mm_sof_set; REG_SOF_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_received <= '0'; elsif(sof_received_set = '1')then sof_received <= '1'; elsif(sof_received_clr = '1')then sof_received <= '0'; end if; end if; end process REG_SOF_STATUS; -- SOF Received -- Case 1 (i.e. already running): EOF received therefore next has to be SOF -- Case 2 (i.e. initial command): No commands in queue (count=0) therefore this must be an SOF command sof_received_set <= '1' when (sts_received_re = '1' -- Status back from Datamover and eof_received = '1') -- End of packet received -- OR... or (s2mm_cmnd_wr = '1' -- Command written to datamover and cmd_wr_mask = '0' -- Not inner-packet command and sof_count = ZERO_VALUE(2 downto 0)) -- No Queued SOF cmnds else '0'; -- Done with SOF's -- Status received and EOF received flag not set -- Or status received and EOF received flag set and last SOF sof_received_clr <= '1' when (sts_received_re = '1' and eof_received = '0') or (sts_received_re = '1' and eof_received = '1' and sof_count = ONE_COUNT) else '0'; -- Mask command writes if inner-packet command written. An inner packet -- command is one where status if received and eof_received is not asserted. -- This mask is only used for when a cmd_wr occurs and sof_count is zero, meaning -- no commands happen to be queued in datamover. WR_MASK : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmd_wr_mask <= '0'; -- received data mover status, mask if EOF not set -- clear mask if EOF set. elsif(sts_received_re = '1')then cmd_wr_mask <= not eof_received; end if; end if; end process WR_MASK; end generate GEN_SOF_QUEUE_MODE; -- SOF Flagging logic for when descriptor queues are disabled in SG Engine GEN_SOF_NO_QUEUE_MODE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin ----------------------------------------------------------------------- -- Assert window around receive packet in order to properly set -- SOF and EOF bits in descriptor -- -- SOF for S2MM determined by new command write to datamover, i.e. -- command write receive packet not already in progress. ----------------------------------------------------------------------- RX_IN_PROG_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_packet_eof = '1')then s2mm_in_progress <= '0'; s2mm_sof_set <= '0'; elsif(s2mm_in_progress = '0' and s2mm_cmnd_wr = '1')then s2mm_in_progress <= '1'; s2mm_sof_set <= '1'; else s2mm_in_progress <= s2mm_in_progress; s2mm_sof_set <= '0'; end if; end if; end process RX_IN_PROG_PROCESS; -- Drive sof and eof setting to interrupt module for delay interrupt --s2mm_packet_sof <= s2mm_sof_set; REG_SOF_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then sof_received <= '0'; elsif(s2mm_sof_set = '1')then sof_received <= '1'; end if; end if; end process REG_SOF_STATUS; end generate GEN_SOF_NO_QUEUE_MODE; -- IOC and EOF bits in desc update both set via packet eof flag from -- command/status interface. eof_received <= s2mm_packet_eof; s2mm_ioc <= s2mm_packet_eof; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- --***************************************************************************** --** Pointer Update Logic --***************************************************************************** ----------------------------------------------------------------------- -- Capture LSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD0: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 (31 downto 0) <= (others => '0'); elsif(s2mm_new_curdesc_wren_i = '1')then updt_desc_reg0 (31 downto 0) <= s2mm_desc_curdesc_lsb; end if; end if; end process UPDT_DESC_WRD0; --------------------------------------------------------------------------- -- Capture MSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to --------------------------------------------------------------------------- PTR_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin UPDT_DESC_WRD1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 (C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0'); elsif(s2mm_new_curdesc_wren_i = '1')then updt_desc_reg0 (C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= s2mm_desc_curdesc_msb; end if; end if; end process UPDT_DESC_WRD1; end generate PTR_64BIT_CURDESC; -- Shift in pointer to SG engine if tvalid, tready, and not on last word updt_shftenbl <= updt_data and updtptr_tvalid and s_axis_s2mm_updtptr_tready; -- Update data done when updating data and tlast received and target -- (i.e. SG Engine) is ready updt_data_clr <= '1' when updtptr_tvalid = '1' and updtptr_tlast = '1' and s_axis_s2mm_updtptr_tready = '1' else '0'; --------------------------------------------------------------------------- -- When desc data ready for update set and hold flag until -- data can be updated to queue. Note it may -- be held off due to update of status --------------------------------------------------------------------------- UPDT_DATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then updt_data <= '0'; -- clear flag when data update complete -- elsif(updt_data_clr = '1')then -- updt_data <= '0'; -- -- set flag when desc fetched as indicated -- -- by curdesc wren elsif(s2mm_new_curdesc_wren_i = '1')then updt_data <= '1'; end if; end if; end process UPDT_DATA_PROCESS; updtptr_tvalid <= updt_data; updtptr_tlast <= DESC_LAST; --updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH); updtptr_tdata <= updt_desc_reg0; -- Pass out to sg engine s_axis_s2mm_updtptr_tdata <= updtptr_tdata; s_axis_s2mm_updtptr_tlast <= updtptr_tlast and updtptr_tvalid; s_axis_s2mm_updtptr_tvalid <= updtptr_tvalid; --***************************************************************************** --** Status Update Logic - DESCRIPTOR QUEUES INCLUDED ** --***************************************************************************** GEN_DESC_UPDT_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate signal xb_fifo_reset : std_logic := '0'; signal xb_fifo_full : std_logic := '0'; begin s2mm_complete <= '1'; -- Fixed at '1' ----------------------------------------------------------------------- -- Need to flag a pending point update to prevent subsequent fetch of -- descriptor from stepping on the stored pointer, and buffer length ----------------------------------------------------------------------- REG_PENDING_UPDT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then s2mm_pending_pntr_updt <= '0'; elsif(s2mm_new_curdesc_wren_i = '1')then s2mm_pending_pntr_updt <= '1'; end if; end if; end process REG_PENDING_UPDT; -- Pending update on pointer not updated yet or xfer'ed bytes fifo full s2mm_pending_update <= s2mm_pending_pntr_updt or xb_fifo_full; -- Clear status received flag in cmdsts_if to -- allow more status to be received from datamover s2mm_sts_received_clr <= updt_sts_clr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= s2mm_sts_received; end if; end if; end process REG_STATUS; -- CR 566306 Status invalid during halt -- sts_received_re <= s2mm_sts_received and not sts_received_d1; sts_received_re <= s2mm_sts_received and not sts_received_d1 and not s2mm_halt_d2; --------------------------------------------------------------------------- -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data --------------------------------------------------------------------------- UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_sts_clr = '1')then updt_sts <= '0'; -- clear flag when status update done or -- datamover halted -- elsif(updt_sts_clr = '1')then -- updt_sts <= '0'; -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tvalid = '1' and updtsts_tlast = '1' and s_axis_s2mm_updtsts_tready = '1' else '0'; -- for queue case used to keep track of number of datamover queued cmnds UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; --***********************************************************************-- --** Descriptor Update Logic - DESCRIPTOR QUEUES - NO STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_NO_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin stsstrm_fifo_rden <= '0'; -- Not used in the NO sts stream configuration xb_fifo_full <= '0'; -- Not used for indeterminate BTT mode -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_sts <= DESC_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; end if; end if; end process UPDT_DESC_STATUS; -- Drive TVALID updtsts_tvalid <= updt_sts; -- Drive TLast updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TData GEN_DESC_UPDT_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 20) & s2mm_desc_info_in (13 downto 10) & "000" & s2mm_desc_info_in (9 downto 5) & "000" & s2mm_desc_info_in (4 downto 0); end generate GEN_DESC_UPDT_MCDMA; GEN_DESC_UPDT_DMA : if C_ENABLE_MULTI_CHANNEL = 0 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_DMA; end generate GEN_DESC_UPDT_NO_STSAPP; --***********************************************************************-- --** Descriptor Update Logic - DESCRIPTOR QUEUES - STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- Get rx length is identical to command written, therefor store -- the BTT value from the command written to be used as the xferd bytes. GEN_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate begin ----------------------------------------------------------------------- -- On S2MM transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- XFERRED_BYTE_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f generic map( C_DWIDTH => BUFFER_LENGTH_WIDTH , C_DEPTH => 16 , C_FAMILY => C_FAMILY ) port map( Clk => m_axi_sg_aclk , Reset => xb_fifo_reset , FIFO_Write => s2mm_cmnd_wr , Data_In => s2mm_cmnd_data(BUFFER_LENGTH_WIDTH-1 downto 0) , FIFO_Read => sts_received_re , Data_Out => s2mm_xferd_bytes , FIFO_Empty => open , FIFO_Full => xb_fifo_full , Addr => open ); xb_fifo_reset <= not m_axi_sg_aresetn; end generate GEN_USING_STSAPP_LENGTH; -- Not using status app length field therefore primary S2MM DataMover is -- configured as a store and forward channel (i.e. indeterminate BTT mode) -- Receive length will be reported in datamover status. GEN_NOT_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate begin xb_fifo_full <= '0'; -- Not used in Indeterminate BTT mode -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NOT_USING_STSAPP_LENGTH; ----------------------------------------------------------------------- -- For EOF Descriptor then need to update APP fields from Status -- Stream FIFO ----------------------------------------------------------------------- WRITE_APP_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then writing_app_fields <= '0'; -- If writing app fields and reach LAST then stop writing -- app fields elsif(writing_app_fields = '1' -- Writing app fields and stsstrm_fifo_dout (C_S_AXIS_S2MM_STS_TDATA_WIDTH) = '1' -- Last app word (tlast=1) and stsstrm_fifo_rden_i = '1')then -- Fifo read writing_app_fields <= '0'; -- ON EOF Descriptor, then need to write application fields on desc -- update elsif(s2mm_packet_eof = '1' and s2mm_xferd_bytes /= ZERO_LENGTH) then writing_app_fields <= '1'; end if; end if; end process WRITE_APP_PROCESS; -- Shift in apps to SG engine if tvalid, tready, and not on last word sts_shftenbl <= updt_sts and updtsts_tvalid and s_axis_s2mm_updtsts_tready; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_sts <= DESC_NOT_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; elsif(sts_shftenbl='1')then updt_desc_sts <= updt_desc_reg3; end if; end if; end process UPDT_DESC_STATUS; ----------------------------------------------------------------------- -- If EOF Descriptor (writing_app_fields=1) then pass data from -- status stream FIFO into descriptor update shift registers -- Else pass zeros ----------------------------------------------------------------------- UPDT_REG3_MUX : process(writing_app_fields, stsstrm_fifo_dout, updt_zero_reg3, sts_shftenbl) begin if(writing_app_fields = '1')then updt_desc_reg3 <= stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) -- Update LAST setting & '0' & stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- Update Word stsstrm_fifo_rden_i <= sts_shftenbl; else updt_desc_reg3 <= updt_zero_reg3; stsstrm_fifo_rden_i <= '0'; end if; end process UPDT_REG3_MUX; stsstrm_fifo_rden <= stsstrm_fifo_rden_i; ----------------------------------------------------------------------- -- APP 0 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD3 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg3 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg3 <= updt_zero_reg4; end if; end if; end process UPDT_ZERO_WRD3; ----------------------------------------------------------------------- -- APP 1 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD4 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg4 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg4 <= updt_zero_reg5; end if; end if; end process UPDT_ZERO_WRD4; ----------------------------------------------------------------------- -- APP 2 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD5 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg5 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg5 <= updt_zero_reg6; end if; end if; end process UPDT_ZERO_WRD5; ----------------------------------------------------------------------- -- APP 3 and APP 4 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD6 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg6 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg6 <= DESC_LAST -- Last word of stream & s2mm_ioc & ZERO_VALUE; -- Remainder is zero end if; end if; end process UPDT_ZERO_WRD6; ----------------------------------------------------------------------- -- Drive TVALID -- If writing app then base on stsstrm fifo empty flag -- If writing datamover status then base simply assert on updt_sts ----------------------------------------------------------------------- TVALID_MUX : process(writing_app_fields,updt_sts,stsstrm_fifo_empty) begin if(updt_sts = '1' and writing_app_fields = '1')then updtsts_tvalid <= not stsstrm_fifo_empty; else updtsts_tvalid <= updt_sts; end if; end process TVALID_MUX; -- Drive TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TDATA updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_STSAPP; -- Pass out to sg engine s_axis_s2mm_updtsts_tdata <= updtsts_tdata; s_axis_s2mm_updtsts_tvalid <= updtsts_tvalid; s_axis_s2mm_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; end generate GEN_DESC_UPDT_QUEUE; --***************************************************************************-- --** Status Update Logic - NO DESCRIPTOR QUEUES **-- --***************************************************************************-- GEN_DESC_UPDT_NO_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin s2mm_sts_received_clr <= '1'; -- Not needed for the No Queue configuration s2mm_complete <= '1'; -- Fixed at '1' for the No Queue configuration s2mm_pending_update <= '0'; -- Not needed for the No Queue configuration -- Status received based on a DONE or an ERROR from DataMover sts_received <= s2mm_done or s2mm_interr or s2mm_decerr or s2mm_slverr; -- Generate a rising edge off done for use in triggering an -- update to the SG engine REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= sts_received; end if; end if; end process REG_STATUS; -- CR 566306 Status invalid during halt -- sts_received_re <= sts_received and not sts_received_d1; sts_received_re <= sts_received and not sts_received_d1 and not s2mm_halt_d2; --------------------------------------------------------------------------- -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data --------------------------------------------------------------------------- UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_sts <= '0'; -- clear flag when status update done elsif(updt_sts_clr = '1')then updt_sts <= '0'; -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; -- Clear status update on acceptance of tlast by sg engine updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tvalid = '1' and updtsts_tlast = '1' and s_axis_s2mm_updtsts_tready = '1' else '0'; -- for queue case used to keep track of number of datamover queued cmnds UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; --***********************************************************************-- --** Descriptor Update Logic - NO DESCRIPTOR QUEUES - NO STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_NO_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin stsstrm_fifo_rden <= '0'; -- Not used in the NO sts stream configuration GEN_NO_MICRO_DMA : if C_MICRO_DMA = 0 generate begin -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NO_MICRO_DMA; GEN_MICRO_DMA : if C_MICRO_DMA = 1 generate begin s2mm_xferd_bytes <= (others => '0'); end generate GEN_MICRO_DMA; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); -- Register Status on status received rising edge elsif(sts_received_re = '1')then updt_desc_sts <= DESC_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; end if; end if; end process UPDT_DESC_WRD2; GEN_DESC_UPDT_MCDMA_NOQUEUE : if C_ENABLE_MULTI_CHANNEL = 1 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 20) & s2mm_desc_info_in (13 downto 10) & "000" & s2mm_desc_info_in (9 downto 5) & "000" & s2mm_desc_info_in (4 downto 0); end generate GEN_DESC_UPDT_MCDMA_NOQUEUE; GEN_DESC_UPDT_DMA_NOQUEUE : if C_ENABLE_MULTI_CHANNEL = 0 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_DMA_NOQUEUE; -- Drive TVALID updtsts_tvalid <= updt_sts; -- Drive TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TData -- updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH - 1 downto 0); end generate GEN_DESC_UPDT_NO_STSAPP; --***********************************************************************-- --** Descriptor Update Logic - NO DESCRIPTOR QUEUES - STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- Rx length is identical to command written, therefore store -- the BTT value from the command written to be used as the xferd bytes. GEN_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate begin ----------------------------------------------------------------------- -- On S2MM transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- REG_XFERRED_BYTES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_xferd_bytes <= (others => '0'); elsif(s2mm_cmnd_wr = '1')then s2mm_xferd_bytes <= s2mm_cmnd_data(BUFFER_LENGTH_WIDTH-1 downto 0); end if; end if; end process REG_XFERRED_BYTES; end generate GEN_USING_STSAPP_LENGTH; -- Configured as a store and forward channel (i.e. indeterminate BTT mode) -- Receive length will be reported in datamover status. GEN_NOT_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate begin -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NOT_USING_STSAPP_LENGTH; ----------------------------------------------------------------------- -- For EOF Descriptor then need to update APP fields from Status -- Stream FIFO ----------------------------------------------------------------------- WRITE_APP_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_app_fields <= '0'; -- If writing app fields and reach LAST then stop writing -- app fields elsif(writing_app_fields = '1' -- Writing app fields and stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) = '1' -- Last app word (tlast=1) and stsstrm_fifo_rden_i = '1')then -- Fifo read writing_app_fields <= '0'; -- ON EOF Descriptor, then need to write application fields on desc -- update elsif(eof_received = '1' and s2mm_xferd_bytes /= ZERO_LENGTH) then writing_app_fields <= '1'; end if; end if; end process WRITE_APP_PROCESS; -- Shift in apps to SG engine if tvalid, tready, and not on last word sts_shftenbl <= updt_sts and updtsts_tvalid and s_axis_s2mm_updtsts_tready; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); -- Status from Prmry Datamover received elsif(sts_received_re = '1')then updt_desc_sts <= DESC_NOT_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; -- Shift on descriptor update elsif(sts_shftenbl = '1')then updt_desc_sts <= updt_desc_reg3; end if; end if; end process UPDT_DESC_WRD2; ----------------------------------------------------------------------- -- If EOF Descriptor (writing_app_fields=1) then pass data from -- status stream FIFO into descriptor update shift registers -- Else pass zeros ----------------------------------------------------------------------- UPDT_REG3_MUX : process(writing_app_fields, stsstrm_fifo_dout, updt_zero_reg3, sts_shftenbl) begin if(writing_app_fields = '1')then updt_desc_reg3 <= stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) -- Update LAST setting & '0' & stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- Update Word stsstrm_fifo_rden_i <= sts_shftenbl; else updt_desc_reg3 <= updt_zero_reg3; stsstrm_fifo_rden_i <= '0'; end if; end process UPDT_REG3_MUX; stsstrm_fifo_rden <= stsstrm_fifo_rden_i; ----------------------------------------------------------------------- -- APP 0 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD3 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg3 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg3 <= updt_zero_reg4; end if; end if; end process UPDT_ZERO_WRD3; ----------------------------------------------------------------------- -- APP 1 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD4 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg4 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg4 <= updt_zero_reg5; end if; end if; end process UPDT_ZERO_WRD4; ----------------------------------------------------------------------- -- APP 2 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD5 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg5 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg5 <= updt_zero_reg6; end if; end if; end process UPDT_ZERO_WRD5; ----------------------------------------------------------------------- -- APP 3 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD6 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg6 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg6 <= updt_zero_reg7; end if; end if; end process UPDT_ZERO_WRD6; ----------------------------------------------------------------------- -- APP 4 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD7 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_zero_reg7 <= (others => '0'); elsif(sts_received_re = '1')then updt_zero_reg7 <= DESC_LAST & '0' & ZERO_VALUE; end if; end if; end process UPDT_ZERO_WRD7; ----------------------------------------------------------------------- -- Drive TVALID -- If writing app then base on stsstrm fifo empty flag -- If writing datamover status then base simply assert on updt_sts ----------------------------------------------------------------------- TVALID_MUX : process(writing_app_fields,updt_sts,stsstrm_fifo_empty) begin if(updt_sts = '1' and writing_app_fields = '1')then updtsts_tvalid <= not stsstrm_fifo_empty; else updtsts_tvalid <= updt_sts; end if; end process TVALID_MUX; -- Drive TDATA updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- DRIVE TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); end generate GEN_DESC_UPDT_STSAPP; -- Pass out to sg engine s_axis_s2mm_updtsts_tdata <= updtsts_tdata; s_axis_s2mm_updtsts_tvalid <= updtsts_tvalid; s_axis_s2mm_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; end generate GEN_DESC_UPDT_NO_QUEUE; end implementation;
mit
d66355e58b69e418944042ea9a68e317
0.438192
4.443698
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/builtin/builtin_extdepth_v6.vhd
19
50,137
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hezhI5arYh5Ll2LsYr9SKRVb8M09iAN2m4JSbciXeqmprOA6kAYKyNVYZrZl+7uJ9rCbSy2t8SS7 C18wuehlMQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iG3qoWxeKUs22C9+IygRgNw/Ob9GNJdHtLxrQAtYdMzP86eceFi53EP4Epvud6QFqZ+YCcJAJz6X BiP6+zFZ6SCjFFuXw9pefFKNSIH8+q7UF5dPb1d06lbHzIZD+3mRDkhnSZjrqT/zLAUZb/IQ1Lbm Z5oVMb2d2CoW5etMngE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lCcH3M3hshWBn3vT8V7Ds2ckpLb00IXg/NREvwDTgQ0x1n/TYrAfJvH7lJwH3QNYGbvde2S4oTtp dxVz5eb3NKybz4CG1wYBC2N8cyfQblBGlezgCm3PFTB/fb7+0CJP6o+JNkedc2s49uA9zPZB2axM QOZ+WiL1UDOqHRt1CYUPiwYxRC9z2R+kY3HwbNnbrtScHXOfjyqwc/ifFZR8DvMU1CEJYRjuFvoW cH+V2gM6YyOHMcuZuaYjA16MxseT+50plqCZJKvjkYTDhSYcuZeDAun28dPbdfRu3AO52/Kq9gTu MLy1G+7O2B+746vqe0NC8W62Tyb+rHxVnOWRgw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NvvNy4fG+VCfM9NYumsm2clZ8IZDrJQ3Wi+cnwU6WbSkr/joDlB0ZRXsdo0mhVbkhlHdY0OhRpkR 3RYDWBuljULA6BTyF1sag+KB46HFjV7grhZmVLUbBkCWRKYz0xq7bDcNxf7s4evpI4rWpbAGWyJ9 TlfOT5npzM2PM090g2k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KN7EzciqITw/PNwj48fL1Z5o1AjZa3hMKXx25N37JjIMxkR/++b3PX0LoYvLH1v4MmFRO2F2HE6o +A9StU1NJwej2oLxLD63NMJa+VjJBFCfkNayO25s8BHSFsZkhjc8mIC5S+PHU5t+p8zDOXzJvXOx j/qM+zNzxFnZOpagckJWraMSJbbFjRIGq2RuUI6DTykdz7949XyxajpE+pE2TrgIaNudJhMJkV8s PmKxeai9osJTVlAQyTdS+HOwcKIcXexlGTP+JSkiagntbBuHEhDR83LTtvkaJx0GY9b8oHB0RXsI Jp2E0CkC4MgVpkaduxkwBZ7NjlyO6dFeIGiehA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376) `protect data_block /woyh6GJLpiwOUul1TNz4DVoF2tJq/d6R8jRy1QYhHHYUMhWROwDb8Z8HuZ1A9UehYJWQ2146G90 sGmxawsm8YvYNUVd6v7T0YYtEaCbTcGwMWUvsMPnsi+x0acBae6oHA7iCXjCClgN7+Xpgrd3hsTK bDmhpohSJ2hTEYVRU1jjkieYe2gxAw97DeiNjI9eWmeHH+G/LBkAj0DEYo3Su++WRTsKAs+9bf0i 5Q+RqZKtI4JTLUqv+JsA9t9csGY2uadHOdF4yoBMCrbUMkgZRmOimzKfx7wmIgMYe+OtyXXh/M2J D1De/Em76Ky0qEkgYLyFxDvtyF/erCwQjivqQmUlFp/gQi53TVXsEXggZB5wiH54KylVNvOLI4uA cWS2Qkt9vl6ZYzJseyCdM4PveCWsb3wl94ieTLedmzcfUmNdcAUr3GoC7Eknao3kkiy624knjWrI XxoI2/EpmI7Jk2WIwEcaObi+Obg3SDkAGNOcQA4zbK0Vp3MCR59F0xvE2nGoO4kxKe5vg0nJgx44 nO9fCRi3cy8XUrIkAbXXcPypWDq/r19aBvbIjPIOXU2qpZdZ2LNlKlYNB+h7tP0pKopYLILxo1KW MjbXaahngTWlP8zRJDI5R8dzFeK/jJUlmWRGmSXHeMm00vxXmwkoLUWUHbNEi2yychNphy20WEt3 g8Y1OjDfoG0Rw9S+xgUhCXM7dDb56Kj+IGdL4F0KeRPhf35aILlbqWe30BH7JHbXH5EVGxVOsnKr t21iAoFcXSclPglG+vMitZBb+tza5htPN6BzNwcoSmztAqMaJOthhROtN4/oS9GHuB9RU8/Ioz/d HLYbea/5F33NXNQPVeRZblrdWdhgHHBknCoL40jLnjSGdrbBlE2Uon+BrexaZOMsG2OOH4XtDtVT w7RNfIdn49ZyvrmiEOgBb1nz3a0n0Vo4dLdHJsM6ktKLh6a4azZwzlu+YE2DMkzog1mu3GRScM3D i9pIiIzxCREv0lw0v9RaTLOZz49es5cD4pbWeHPmFpV4Sa/8r4ByrwSQcSz0v8PWvZ4Bo9K9zdBT OIs9VBvw5pSORfYTnVeVfD4krQuPHh0v5lr0MiIFR6fUVRRM/QMaDz+A+Ym422pLkYvW6uTSXfUc DqTI2cnqChNiMiLp2uUHU5zD7XHZWggwCthE3HhpP4cAT18Wlrg4ncpxFroDLE2rROtqcON/ddBd HyS9bL/vIPCKiHI3aRxRW86um/+Iu+OW54bTnF31gkA6LiKfaVlC5h3VL+6KKd9UFvm5gwePugzQ D8/f3DJqNs4ZTpL9EIUSYyE68X1Y8rtACcmJlupFJwai+r1gHXjtJcsoZWS9vpls+/uZ5mc2/K8J Gn9jI93hchqElDY6CZHhdDNcVMW52AE/HMtFYCOc9SssGbYaaqz1lB+9URQp6qCvsh9uz7xNfJmr mGEuPkWkKC8m/Z9TTEWrPBcHhl7Q6f5705phwva3PDu6ZX/3pl29JS3XL+DiQH+jU9i5w5OrsNZT mS2C/TMFoUDDWx2VnahP5Tj0ufb+5Yeyw1OVaNfuLKrGzNa8bYl8u4Nsw6PVLDtvtoXgAAS2bgjB JPq7GuydHqS0UnqQ6DdRXRtGHZjiuMCST+IebXwo63eBdDQTEW9S6LcEoQTC7IR+WAon+1d0GzI2 GRZ9gAtjeCaNuPhiHPNcdJ5bEfR7IHUtV0HKeDtR/KLS5YJoZp7IU5VWRV9xinwkIZC03sO/roCD Dodxamfl9LrN3Q50ba6JY0BA5UOHjbY/xZ2zAj5vPLbTlbeVkg1G5FI22/Fa97ZZiiqXEk4ZL5Cm Mh18am7aBApHzNJsaSdGr//nVTdT5MMF6yznTv9H9CYhGQ28ZvCT1SSAWoJ7Xa7BKJLfWBaUtmE0 cTVxpD/Q2/Hx4xJTHVg5lW11XJOKNyoNuVSoWofmKIf3jVRYBHuOEKBI3ZanmraRlrZkKTxCM1AO 1VvHkboRDtfkjUY9KWOY0wcoVdvR7ZOYfV1AY2THNA4SqQ3SF9vy3z9GaoHIfYAmlMkyhvugEHpe /Qa1Xuc1bXu1WygGC2F9m2cdGWvF/v6QUczmmeL+L+tB+QHFwAFeMfiKHJLKdQrtYPj8foPftS02 lZMU7Ta/WWbSd42kCXUofqtlqIbHdy6o+Qum5GJZIci6dj3CtcmBh/lGzHli+v5xyu+nHnQBow3t 3FWVRszXlmQm/Ys+45FTaA51O3tSTVcB7D7ue8ga2fR2o7lQT6kqAcwuipXfHGVDCrXFMzEJIx4V oFJI+02FSLbhKeDWuArd+YwDIkttXFjMSkceZBrD+ODYh0/p4a//AI6LgMu7k5b5+UpMto2Jcm11 EGZnh6Dk0iuTSWd2rPt8vmFQ1J+9f8VU2sBYslzsc2aoIuAsI7SAtzRKKgukET5L+kQMyOwU5RrK 9o67PVwLzPD36pqdonYAhsHqHzyH+gHes+lH6ug33fkeWLyCegIYV7D/gwNf6YT+6p0W4j4+V4vX RoK0K2C7gv6nO79ew5tIGztdL0UvcDTF4lo2JqRzF/v3dZnLF3MzzIgY2b4Zmo3TFca0A+ArHp2A UQiPwTO9r9OLrHaxLx6LHRbA2BSuXoaAm4W4w7Uxth3UC1PTfmv9Xr33178Scij4TSK0PsYy6mqB 4xp4+GTr6I8rVSBJ1GElg188Ndwg8GfFpkuYiX7wVv9Jq7v3t77CWutRE79+XuwQeClVBf5fBU4n 7tqkFsbDkoe2Si1k68B+rAPsU4H5bFzRd4Tlv+YB6FdL3Qy4SuCShGJeJ2w/8QznqbKsRr67tHqe m1bMRLxV36TlNOvX3mmAAdDN0ZWXkrOSRRLiwB3gSjsZ063Il4SAIZzNu+gln8KfCCIA77e2PpnQ k57snmvCq5lrpLjYdEz6B0uUw/DczsejlUzigqVhB+3hSHahQYP8xy0Cu18DEOysjystYhk3pvuf ra4JuxaSLqgH/f5RFEZA4rQNbTFmkWloXuMk2zULOP17ylPfZIjIEF9OSsrTAS74KeEg3s6oXfxH J432XRNCgdZBNOLaWKlbp0uKFDqHMuqPPzAoNDlhx6zQ99wig0aLV0AqyraTCc95f1xmPTfGj0Ox FLsUbQGCuK5rTuBx51u9MpVUUyNQDW52Y4aPteBw6YQkwS8qEqmWwJtqhqaa9a4AE2WGQsNcTXk3 w/zW+nMiMUwEuXp/ztZgZtKlAem7gJi/mGQsVGoGOt8DcY7Hqijn5NK0RfXSNpiZlfjCzSsqCTsi gRfQQEc2vaxjbG+QaSPpxsuR+sTO8Qxw3m7Sdn6htAUuOcC3jcTOMz5ZMycO/eYi3+hjgurK5x/z 5QSnScYX+cQdUTuB6ZGj+Z1NY1RwoXKTp9/ISmrn1cCQTRJ1dRhtz7yX30soEgMrMyFcEKCQhs/0 dNtGoUzRFxsY8YG7DDzF4cD4S6J1BMrQyBflIswjrFxNiJcP/Bfe/Q4bJ5Mq4zhBVqwSyT37sTvs GqKMl+oTVxViejFvdoNz8J66391edE9hziAnJX+4stIdzCgrhVCY4WWw922xSExhDoLtP9dXYXOH 1LdX5JkeHZ2Dz1PCZ1bro3ZEU9od0niYz1UOFIaqoE9hkTwo4LFcBatHBg8ThnNGJmYdMRTA5Dmn KqR5tqWxjJcMX4jiXTBMwwNQkzv1IMiuth8UsoMG8Uzrxf7xNQcidBaSRgbaTwD64JSV+bnzl0Vx N4XqyqFSozGzoqyUCF5ma0vd+Eyb7oAZwM9ff2gpnkFbEXY1/VZQQpGM3LrYUho7hlvt5WVoBliE GTiscVtXC1s6FE2ht6vipKGmB0mGZ4mIWnu/a2XMOAo86iirmWaedQMjjWQO2nvBPYwGPKTyIudX DRBRugVUp2q6Hycgyn7sD1/L2guqlrrzgjib0JvZis+OYh1slMu7aMOxnrnt6cGhp+0sHJI5kA3i xP0MUgQoHgOMDG8czEHn5tqaDfFm4tI3BHVnxcV9bamSez8aBtlFKesYTn/GkEURuotQwdqt7HBy PHpW5W5pimUOCnpyt7NYRNVl4rvumjqSm8rc9pnSb8tYe/ehIAHvc2DNOyNuSwRuiMt2kPJOElib FJLu4Cd06dZuebBFZZKr97WEsW1Wl9xaP5bQ1dCFdqxUvkhu6GHzUu3l47aHuGSYyLkN4Kdhn5ix gBY4WbDx+7ZQbWbS2KrgcjLNq1mkZbQhITQvBCUV9RurhqksTisL3b3f2nPHiQuPZEHCnpEvP7SE 5KLZ0aZ/Cc8TD/soSestbdxLwEliA3ZUOjJD1ZpbHf8mfQ4ze6D1bU9iGhCn7cGagdcBrTt/ig/Q xB1avnT17DNsv/Pyt73y+t0mXNp3qfC+Sp2u7J78iySB+vKP65cbMzHjyEHib2u9OB6TJHtBJbt8 dHjSeELf8hDHfkzFdxY+sgDbw9d82uZoiGbD8VolFvUklBRKgla433ap1bHdwIve1/ZQkPmEROaK cEbmj4A8hqZXcVLwxb4YCKna9BAH6H5SSSrnZ+GWGNrArImlEROVIv4Ukbt493hagfcDe66vdJC6 lhT2x1TczMwXNKVXv8xTYk578F1TF/KPr0XS3ikI/zWlH8NXcuoWlO3CibBFDg7+DifY4FA3400R 7V4+qA5vItKy8j+7h5ojMIEU4PJB9iUph3vyljoc5hhYzFBCftIBgLlDutlfhnrFOPLW5urReXmv bIBLds3Tnqs3/F2WvX96zLT5OOVk0Ma2bgeLYXNz+vAF64cOIA/VdHEMxj3xnODR1mk+rPPHsfma iyfh/izsDpsB9pFxUPx4eqvcIi41cTWPY+EBkyE/wLEZNZOdFm8QuJjfbLO15GII6utvj6Ieogwe LbmeWJajdQRLHiSXM1dmgu0Hd+ocVBV4D8KbKECjaXeAxnCCsKhyJVUDw3E56te97301GF0vYr/m /NswrjvvWBu+l13clWAA1lanYAnOt0SsWhZFs92611LnE7hMLiU4uwPPXTfPZ0oe4VEFBiVb2sne lEBkWmP00u/YbYyyDZlRoffmUbTCVP6B5nUR97Waw7SE4gGzcIFsdjbBRL17xV8t8IQ/3jmXj4Yh //XnbCsvPVsC2o+MugLxY2+Kchzy/JeqCL1ycwuXxUjleWd+q3bw6RIkF3n3Nyi7pd29Qqo4hmoy Q7SjpU8KLKxzzTSb6vbtFw4KUVvfwZkNw+BFjZ9hjZ6qEJcmGHS6w/jZQtqCvkCefowGE/Mb0h0O +PcS0XphJw4ISjcc++/wrVat7AQjE+pRVcC1N/42Ln3jD08/uS2kSWQmdLEphCq3b5ZtpoxSjDTD VoW2DO0db3xlxinOhiT9N6YI+d0/SsQOPQHwjmylTK9JVQu0uTa2xiy3r9FJ46p/fYftUZbbVw/g 0evK4uJwWApwUlcdhVEkWuJj+RXBqQemPdSZrzwHsB/jKemAQggKi9cxJH3JKnffROJ8EvEyrrO4 goyddjzyBNQtye0GUCrS5m7C+F6ymlQv5KE72kl3/lJtYtG02DjIGi29Lkw+hOiBTCpqasRH0ZPE qgZxFv/YSCVwARamM7YYIRtUGleDIjFgAGkUmmKGjX6RLw3v49uxrRVfBAGuigl9CPe6HGujcvXj 6UintTwLSgLyPExZS4vEI/dwQUMCjuu+Dxsy4yLWoFQAi46HIahzksns2wpPwCV2fi8DG/8egRXe AVnxz9gDVDbUOJ4OX8cEoZa/eQjTYzVHgtx8ZksKyD/ftgf4TBf6pQaAHo78GfT304vXEcNNPLVU aU7P5Nuxt81SYKJY21P+iXDZHKOPEdmP88uHBsI4Q2qdXHb73/VZIv4p7Lch1B5zT07fnsRpTnSg 71mOHLfSKsfBoFq/wBr8E1e9QuyCI7qMVeP1aHPmkqs6Ys2uwszRt05S3uVQajB1e/QYUEAU5vGQ pUiZjizt7Ve3XI/E5u63dAKhBcWsxC+zjMAAoyLAX801ls0pxy3MP+XROb6ACjorhfy5Pn0w/pJ6 Td+jrlXUOmPOaOyLqcriqw2Z7AbIlw0FLgfwKg94lVntoMhBZS3CYl0My7PppsQBaFhcLvqeEzQ9 dIcFrmzmmQtPsm2neu1Ou+vje1HnSN7vGihgYDwAovitLyMEecfQ/dN8ck98bP+fqVdbszIORL72 bM4+J6872gFfaymvAmh3fTR3vWgyAfNohBqKzzDhkqCQ6HYqqRK169GZ31FQvcOQg3vRWvZ68vkI OBlrwoU/0ZdEwBt1x9d/KrMvOT84QAuIZEoh9dh4ZrVRyW9QCpq5pRydOIzZqC/alNXxE40Zq7a2 itkEjrkCdvZBTW5j+eS2AYeRuwFT2AtuMTLFqlwgE1j6q8l1jGjWJisq4fGFpDOPQNYrFaFHasEQ c6W3THOCPR4Vkke21tYqHryxsn2gJ/vkUBk3OymACrsOts6XFDir8PAVAz00JwHZi2VwhRUnpCJq 3WZw+5vjktzcazWYWTAgmBZMQ9seAeqZp81G1frSfnq6IPQJ+/y3/Vv8fh06gUhfSgK0CLgjMFrT EVSLyoLRbdig1CAR47Y6HVfaG0vcm66Fwt9n9c9fTfaWodmMb0QU2Snz3glx+sDBbpeR+l0edgfH 0gF6c/MsWqtylvuo6GxQZa9lJlPVQBnL8nJK7XAnMH88xSFzrMPaETMw8bVxSrHbT0Q4bSYRBUw3 fvZ4wDJLMhmUTKTiHrmfUA6pJNaXepcTtaDBuW+LfcgQM+m/kkwb0WJ1hcjdFqrOBR5Ma2JVLEyX eBQHJSTJitSNTfkTF6Wqyg2LokKaPhu0YyFKZ9T8gA9waD2rhO2hn62o0Kmh6OL26DXcs7KeskcK nnYxH45j7HczKPZ7VZVERvGPULxdOqHp8CcTO80oEod0nsllWEc1ihikNs2PP9LtEFdiBtXN4urV ckorhh5anrtwb2HrKJEY7Am0rOxJ9Cmlo22Ym0WI7P+SbGUaZ4TqCO0sXdHr/I1aKTqS8SD4yse0 8IbmkGqjBReFTCxCLiEWTrPN/bBFZoEZjp70zjNv9NevmS2E2ur6VmAqlrIPEtox+dCtDaPAStoq ZG/FRFlsHw2FFdCmJ1/8+fs3zXCSliAdJwvME8XrchbpzGAicWGbjTJyk6j9hhm5Ymj0oRBDA4RS bcB4eaGXtCkaYATI6Puqp4QOws9iex5fO2FvruR/FsrPQWFuRlbC4BQEI1JE/yGwwhmpi9fguNBz qQxZFZNO8qdeaDrkAdfwPnPGpiYScIfRLjvnGEDioFsFiz7pSyC9ciZMInuyvMGrAAor8A4pD77I p8D4dznYtvZdNKneZbWFwAiYzTApnYbGUylyCW2BWIBKsuhpTTihCKr76v/gn7RUZxanBXt1BYlU Ksijn+65D6QyTWhUS6jeIm6UDnytu/xLVIo+Cmj6tDMHOU+FBY10rH45nuFzcm1ocV9MpDctottF HuTDKahe6Ozct6GKkSp2oUTfBE2vVo0tdcz3y6MVVp57wOOG1OhbisMNkC6+3qFx7hZWyvGYVi6L 7DEq5lTFqT7Y56waTzjH0XTrSoYS3PKsxHNZ90ntYK4sum+SWsgVBZpvsMGIdIrLxvCqUvWYWvVH /BTSe43LdttccbUVZRTXE2Lt8SgsEzkLqGmUx7Hbc38jfBVLHGr4mh9hZMfUS72RIin0kWD9637g vkD6OH6n2cNZxEGIhRYdqdXz94FRl+Ln4aOtkd2cYyGE/F67QQ2gIio+dUi1ni4zmqjTkueLPQxU hLXYrr/osHrUA7JxUhw6ZKIbwnW8m6uFjUDqmMK+IcOiCmc3Q9LJY+TnvuJG01nQdZMHOOy5TprK o3aoGn3jbsg/UJvLzgI+sVC0atHDGcdr1Q3SDMfl3sLLHMHzon0pvAcnwEpe666VYUMnsycijAEr 3TmWVfL7G37QP2B5uBtQsfiEFn23klb7bVkstSK8QEWXrEI4hqvQkSzyUPBz0MUb9rC/qSqb5AwC rPA2GExzByoTkygcyOF0Fp/X6TeGVGnryu26fJ1Hx+aScqx2GdIGZf198UE9x88/x9wBasgbqkB4 sZP49BJnt3YYnXu2VtHi25ohXOeQH9IeyXlZNN75NoAh1a5j0troyagJv42tIYyeLpovG8sqiqcI j3hHA2Hetb6BWqVFCDwJQruwq9z50VmC2C2SX4q2DOLVYOEE9JaRpZbPrMBn3LpLA5GKhpD46zlY 2VwRj6tMf/orqsQJkdxvQRkeAGyb1tvIa3XJVHf2prKN12Urdl0Uqk2QoHG0LoJRqBuTYcm8KJXa AVUQfVnnav0HwDJgz1WFYSv6mA6btXg/Dh1qDVabwFTcm852b8v1UgwQCzFd/VTET5I6eRQTNDEe LacGo5hj9rbN1inoOFCRoTN3ykBpZfMfROobiaLAUXepgTI6m+E8wStQW7BCWaEbCstDcd+BYIo3 Db3SvT4L0qPxOANkzbtg1knPU41tKLg3phA+iQ+aJV+HMmfABYHquyolYvbRBr/pAeOe0WaPBLXu GK3fI5A8nvq0O/1JRC42CUAk19leAAKkI5M6NzEUqDjHWVzCjwMCgewIMql9lTYmwlkLeWVM11uA ReR+/WixaATpjNrJLYTBQnuGjaVcbaRoxWsBENDYgLlhkJeihtsS6mb4O64swXE0oP4r/uTjquIn uPcr6EO/gduxH3HfkNjUqmfwHuj1d8YHnA2DECBk+LgoGmo6vyq+sUrDEyJZgmXUPFlWgZDdeo9w Ae4jH6uk81l8xVV6q2WmJyXylMXvnwYMSihRuiTJdZqTvnaKH27tWdl4o00WwbcIeQ9muM5mJgOo lfKqrjk4fFNR7CHSgZRXp1bd/08zGp7B7L4clbcPBjNVBHcuCSLE14mmzBjm28WqnwwkDxsBUvTA WDzlaZtyWa5lI7jzS5qwGwoOMx0/tBL/q0zMD+xU2WITJBOE4P/7vff4bWCPp8iV+cYU3dYWoRKe 17taX27sRsr3na+VQeK8EE2UE1V4nRuG5WOQLLtu2z4UM5Y58DI3KPq+0WyWzhYGQeXh58HpQOCp 7YheiKmaVKx0UbFEb5ow6WltcVQwWrrSFzFlO5DEShNKIaMI46JWbM+c4ucz333xPccfhqsRZr/F 9RTLJke82Z/UbcZu4OAnGvey7lRFmqU3M7H/yU02xscfoGXK932ArFVER62/O8ogLU+kNF26nFAL V95sde8NgV/LBJMYfiT6osdaSI2dkrtIXTBzZxP2v0BT326p7WfmMdI7tGojxkjtECl8ockFhBLY x7uT+VXnHP+5IOzcJ5vuZHFKhmgaOrYzpMNi2Sd1RoNuc2lYt9MNOz/CRHVZ/x20IoyFLUXgScGz CF//a8fl5bgNZPpJ/gZeyKwiYP+Zju7EAC2A0wQKym5dqbmX2BhiN88htwVzk4ofRogmj7Yw39fg yMEZ1/nGGhwdvXlooZcp+zXtPEICYbwLj4IgjIIedbMwV9GNQVbd+IuG6nPgKE2GBFCODrlmRh/x nW5z57tOaBggOzYhSxw2qfF47T4t243m3IAIBx1ycLYWUibUfOgB6hRquE/5Km1XZCCgy+cb8cs6 BC3GUDByVY7ur/GBPk9uof1R7ga+Z+C5nFwV17LN1OM1OnLTpnUVDo0+5SNNMVhZDOFE0rVJw4QN f3EUidmHQ2fFMIpfB0LgxMg5r91kFqY00d6Sia89JIdOj7VArf7jXwp8ni3hbex8a8+51NP2M260 FmAXRkOI2uamWt/l2huCeXOeHGx/+QZSz5HglDf6Vx7EQVPHZZ6wqCLRDxZwsqgtzWwQRa0xF8dn lzX7+BITCZMhqkl69O6YXNd9r6wKrZF+23obVdVOwkTwLacKKcn5GWEJ/F5hQagUBFzXQykgKYgw 75smryGjou1SVTv4mR5q005ioz6HTiJO+Is29jXZb3tH+YaRRNqFoUS2oNtO60h1yROZBTvUZVUf 6FwfzAr/wYigXz6hjf1C4ipChRQzARloXfu2ejffyOQGVKSbtJCugAEtIG34uS2lqskusLBM5N75 4B1OBE1BrZC8QZP9fQ+0ZrFSKMLqfSVTimemZFMV1EuztOO5HM8z5d+7mxrzxXgNyqDCLl5wyA4K UcexG8Ly7HVoZQyAO1AxQCsQPrUj/z+LLx2EmF8SmOj6QhaYIAYCeQJCs6u8rrFsU3T4SvlWVMGa NH7iECOko3lmw5NaYJjpJlt1+5Qxe1tW8hyf/dM8zG1n8o39sk2mqXFJovhQGqoEO3Mvyk4pFA26 mOOFcPHpk4343KA2nmuh6psfR7sybQwHnCUCYCYCg8UJBf7Zx7xMEJ1MoV1HQ8ccuj4ypilO1avF tWIQrUGMNPt/elkjRpMefuwGHYdOzyQaviMdU6hlB6HZEK3voSF7ZS+hdE8lEZa/rkOMPZSii3K+ ZWviJXvaVWYHidEyv6Ot8sIlf8Y9j8O831UjsEWSXwzoANdVei/CXmt2W7UCj/pu3CEN0CX88THO prfMveVzJKAGM6J+4ALdN6CuU8iJdAuJk3Vny0xK8hKYhcQfHYBLeqxQ0WurXFc0Q/zxqkDMT92t p9/48RUqYADe348SQPbAGSV7E4eY6n32N70NqHjcsZt8UBof9xEtp5uVsYLiANVPVKpEKRLMpAmc S9O/ZXlfXHJbxJXP6b8JxguqjyvpXNL2QX4Ux3Z4HWHrGhtmkWd4N4VanLEAIc7KvvxfAScjpJCo t73fdoLnOIypKpL3nfwxYb2EBCiGA3A3ivg1CfOpyRCu8pPViGoAzT/11n5TYxynOZqS4k2VBA+L zrfD5Lsuscy5mjGpa5Ycact+pu6rOZcJs0HVjndUkl0k9SnEUJvG6kAvAZ6FqnxBpfCpOqYqEvLc cxQLwQ6EHVXq5+yBm9i+cZU715XFV2AGkCW1ODdgR98BMM1a7m7pNRDv44C/jtT6sP9BaJ5ivbiM 6dt8rqurDm4Q9vPIy0+f+1rT9HNs128X8m50uIRQu1flkuvHd+H+ZJe297WwBwqSjEFkPgoVuJ3+ wj+l/eP+Mr9CyWW7AGtjhjhrZkeZwpa1LOPRkM73Mx45nUQWYyUw7HK/6c0iHCrtRKSse3pGesW7 +c3ka0ccq+f8Dgxc+CHmiGm48WxCndQ+T6RsyR2o8CKkOnOLKE3BnlRk+lhf7XxZ5DF426hxeAmh mbI8qJh43dG+CwIkDiQtt+FKIJZJLEu4oUa72vLdK//JLMJTbAsnJvVfB7vhMc1tu2XspWx6vbB8 77dx9S5Yi8NC574YUjcIFKWYODc+NMOmF5V0t/GbsvF0gXKF/qxMRewqw+QGVagVSIV0VE7pHYij 93gTqdhCmoXQ8LQqEsse47ZJOYtOfI6hGYj38l+VyxketxoHsCA8JeDQlB+UwT0kBqZbeRy0cBwR TnwvkglRBExFESoPLQ6z5aPoGMsa89enyic2NFpojJj3zGsOUj9r0hJrZX9+3AYMf+F+8JuzJfd6 GHtA56VICYm3w7Xg/4gofreZ8JUgD/bdc1Aagw4Xw0AVAt+iFohbJQchPwMoAvutIHeNlTw21yZB 6nnvRJUfZHOadLiUngnjRArsyqbKRyd/glba4Xa9if5y4vnyL+mP2O/qp1oPyVQYSJlWIIG5+5Yf DuuDoANI+8a8OF9ql6Z8aScwuhQgXanikFcbu4xn/4W0XxtISe0cqdla91OOhZD7DUy9tHLrgZET +FIXC6yTgm2e/RB3uzamDW5BX4zuuK5Cx63XcmyuFX/t/x2b+c1SDsA4gRfdaTDFSxDRvzmbLqsm S4FjLXAW5tu6jhagnWt6pjT1ajAVcGd6Q9CuOaVDj/sSwPDim4iaGGkupQwWUQUQfKQXI6tEFDHE 3I8J2oLyIDCf7j+awKvGeUg5mgvVDAXtC9tBJEd78RL2bwJZ04KI4oXNbbphvl8iOeHGa+61rwzk R21vVEdXe7GemqF5pl5xUuvxUNE0Kn1pXZgaIMFPYPj3R5yiDAg2DkwVog6hr/971YLJ494SCnZb 8ieF8b2WBKStYMyHKPXTvzIVvRyp3yZbh1/Qi4rteE7qBDPfYQiG/+DaUsn7FFhsnXzzffM0SqIu 0pt2HSkl1GPuC3t/bK18yQYV2CTOXCop1SjeC+LObFtIJiKeFs8iBzMIS2C563mpQsbL7wbk/VMc r8mY1HW/0P2eTtZANqGY+Cy2iwOfywZUlTWdG5fimZjg5mlN38c5IcPoBU56IW3CokzAgB+C/sLQ 6AANfxPRU4KrK84EDHL26i7FkeHIct4bd6nmkcGLRu4zGVGXbhD6aCQECNByDMHwzsL/vExmxJ5n 19nUn2Mua2BSYiKOTqWHEg/JyRAx34GGJp9KMisrWq4g45n5edkg8Od8gRwQ2b40yhGyxs30gDLg +eWoJJ/mlMXxhaVz6u+qaoDdbUIFcSNXXJDRSd73gFsiL88t6JPO2WXr+Jyg8d5zuqTOu8T/vDGT brk7kvOGY0MeHqbuahIDCkg0/traRgZ5pviQ5b4xh+4uWgV6c7feuXMAz8OXIWPbUxiujd1ZBdTg VMEXf1utnh63DeblFX7maksD8NIm0y01lW9F6x7WLQb1rE+qA+gRkn4P9MLcI/IHEtKFk1x0OV8t 2tnNcTWMkqYpQQ0Vezn0PMWmVvdZVcK+TrR9y8Vtp+6cykvZgjsHJfCeMwPq9ALpEUnZbm4Blbx+ 3nTaa9udZ4aETwMqty9OvZ0yLPN1dXTcpQ5/liLEXqXh3MzWhvieEkJzrawqKlbCpKqjsnmWy9cG PIaxG2UxnYTA8syXOQOGmKdTfmAwpBthl9hUXf9eY1wAd6sBaEtheDvCxMe5XAj8wr2N8udBPhwJ wsLNF4bPi4T8SrnwFnXWoijYb+oFgwIxXNEsfBalD1qIfuq0PWLFNH5xyvPcJ4GxDN1axfjUtZAR RkirC7yreG+3YVqFQuqr7zK9Sqt4zUzBReqvjmu9XzFW9uWBI1idrgZvq3OMdU6JZdCu9JBwSsc5 U0J7GlDaZKveFGCpDo/POjAaAw2Hxyr2olLN5DRXw7uO73T1iQoAEkA53p1tsJCcU04xjS/208o+ PPc+sIMTyzxOw5wnLn0V9xk3KRznkeaVe7MvF+T2B5nbWC9Gaga0h8fCzbYR9x9+WsEzoaL86ORE F30l1ql+zgi1aoMsS/niY7X8cE+TN14JehW3LkKDedxolnHG35DoqVtHO9Dt2ZNr1OIpa9X/T6EH YzlJey2XqrNDeJr1enACfKrrnkcAwQFXqHpQaPCtlmx1TcQXAlZKPBbwhcVgsQ0pfzVP+tCleHXL d1Laz7kdLIMrqfPGe2V/fnGVYd+Rj8O9TWFlsFFVNuCHu38KBu8uoAZ73+CKgZkhGXW/ADUKID4q qeHyBU/3veVX0dJF4u7ys/uOJxULF4vBUBPaoqxW1OV6oF5aZhII5UFlxJApov3GfvNmDbSizCsU V9+mB4eFi0IHRKdYKSQZ5XvpVCyl63xSw39dTogwjN/j5jZH0oGSS2BD382x8SV/2qnohG9wKDXk 6MR9WNuGBOxADaQYIV4zHohLts6wYZG3bax6Dh60+0SaaWvTrradilTp3ZiNAqJsGiS8oQxAWGCv noP31nXhNa4/gjAnXRZ0xN733zEuB7x3g3AB/XpXE0JvOspAUkrPzUyvz12tIA7vbBTYqXzUgnJN eI7gJvGxxOTgPd4iJ3We/woZq4+9PVZ+CoadBKjgBRk77FqXPK3sWfHHE9O7K1J/VOm9a1S1C7Kh xYop4V7PEOAmfE8zdF2eEDLS9i+cPYIZfu5YfkvF7459QZf5S6L6qrPdBwjQAcYfZCj+wssB42pD Xik5sSIlHUbynzCNekIlhmR/FSwfPojjzkp/OeO8jvoqJO5S5nqshoAbf6RN+0kG0KobpdEI0EnP uWMUDkHj6UAFab4riMpga4abhyLZxezRbQjbe/ihYNf5hGe+H14fYz24EPdVrfcCCt2RbcTSy3Fu 5zG6U1M0nxy5o090BPE7Htj3vVW1CcyjJ5i/YColo5kIXGDExJ80fqJixuLmGSd8bykI6E59qzLw WTM+nc+WJ2O63au6lAgILR5igRko99Pt+BX8H+NGElDsyn7mpX1kDuACpaaJHBumhKAOEoWsQoSe yM+POI+oeMtxx9+n+5ezq7DEBk6IIDd/IJWQ/7U/X/gLphlj9qtuXaUKyRdK78PNPRpzcONKwHce D8nZ7lS+VsqGQxgVwd0yQF/vW+sGSc0QodWXjh2utjWUmaJnN5/2O9cJATbgn2IMPFhOU5Soz7/b ekAjdqPGOFMb/kXXOEOj334aIH4TwmfS1Wafcx+37PE7wna/9v4o4wkub3OHpUgplU0nZsMjjjoe 4NOeXlkKeVanvxuRZa8ZBk541rcB5f6mXm7WgjZyPKHAa66YID0aaifD7CJmjdKw+e8yQmfyvkBE yhl037/4nQl8S/fJo1BDvv9pPq/Wz7XL7F2kqw/U781LOPTpJUU2PSGdo4afopS1GrUHAFsiRyjU GgCj+FkPE1chE7ypWWHpxJLBHiWMlhsdbt68LXpJb/8fmJmNf+bhyA6XJFt8rTsp/53lcQyvvXDM h+rINkvF6RYrFW8umYmM1XE3IfvrRwVSJgXxcDUNRgu4hQ/eNEGlS2jb0pkU/rU5w0NRGRiVS6xC i9KTq9ffaffxIceJsLbteCZTNI+BkrNEmTQidNIX29hyX1q7RymGO+VMZpxb5N9XbWKJXQPy9Itm 5yNMQntM7JPLrSJSYQ0/tcLK7n9iGAK3Di2muOfG+bjp4/O7N6kD8tQ3Ia4gcmS9BKUDUpyZlwlg wPK4iPdTCKq98HjHagKdpMq5cNviD3IxwdUxPDQcg0qjsh4OT+O+CHQmI6z2MowoKIe7gXXVQarp E/QwPUYDQ2fyXf+Nm9aZHBJFtncE09BHJu9P7DWyl3H+R0tq00se/k1nmE7wvInkuZjY2JerHnQn 2HyHkKb5cjmbwD//EyufDfTagsrzkck5m3MpUD95DW6XXTORkt0rd1173JDLvTPQpXJ8XR1asl/N opUhP2ltEjj+3rlaVPPr7nyLArSXoYxnNoOFG5yKlvKmWoH43Ofdye9b3/P+c7VqKgTw4+t2GLl3 bBaE0rr33+Iv+sa8qr8f+QfvW7XlSBnu5r9tl7pnjyY6lfMfA+mAG7d6vAW1/A8RyXYoMr5QM+10 UBxhlO48QqMIeJQusHNHhQUi2PaiqAqz8VlDDKMxfDDox4311Y0nuFTX3oaRq4jPVulH1Mmlo5Hy XUF/+Km3dI3/5WW4zI/5MQYnvle8CGXvCLPQ0eWzBGGqeGn51PpHQ93XhoMDDDSBCSQgqj7xc3vI eWd1VXveiGxWgXR/87yjp6Q7UhgYiEHXg9JMkOBCF+A3JGlEWKn2swVzyRMDmOBqOORsJDYPwZ2P cAU54CUSOScMFtx+6ieDKu/K5I//jtLS2eXxrCV76FC9EJsnSf7/9HBCm44RLEB5ANyQYfnn5Qwb qDarBiKOfiakPk2AzW6A4VxWSMPkLndDAsmMOWD69+p/aHUV0zS1ruuZT1YDsbgv5fKFY2oCT0VF GQLXCJh0Rn3Q/0OPOblzTi/nLRCkmT7/vqdIj3Zw34/1l+/reT9v/9chhK8xH9u4i3z/JZJ5Fcfd muNX5LzoVm4fhbpCkwr9jRcwYgOuPC0d8BrW4WZGGx6ZIxkcAaZugGLaQ8P/dGnpCCrD9oUdI9sc azYD8sUf3daY6uJc92qpUK6w3A8RpfQMELXgo4wR3p2D5Q94LHlLiDETUb33A+e01MRewrqe2wGq MfzbvJaJQOcj9HRvnA1hLotLPCmEbZPL6MHOwEvactCG8yqg/0sXc4I1egEImgOtfrvXRtvADSta Gziin9ZFAxtnshHvVZlpdstgUeH0E778e/QV/mcmWP+pHzJ9mOrnVCYkQTIlcBtNh1j48+433hid b7o+bPyEinJKTnNTRixtduRLZogOL2csMf1GeLPhn5rkEzm1V74ge/S/igYZQGK1u66stv1zkQRn uwQSdXcURH7Xe2VxkNSu1YF13NTrVnwIhGscieeFWlgd9VWhZo+9WXWyfb4xSWqGXI09P/HE/8Lz tl4Gw/wZ+sZcAN4aRYtaM1nqULPess5K9MVvuLwJolPEJmkYv+Api/eoglyuShxTc4UvW8rjdA8L D6qV0rsEcJSPnIU3V6iiq1wbyuqVSJcudM7P5I73wGx+VSUp7sNwKID2rUBJR+gnKdSOaa1+p425 cNEnSO9lrcJGkp5NBd5aIDzVAKuhob6eKJjudZd3cYSQ6Psrz6jXlT9UoESky+VloDGnjiF+cpMo D+RRyXQIqH8j5GhvAQZG1MlgXzZ7Rvq879+5NidYYJwbfKxzOoRE3rn3uXTQQJohDdH/Cw01y0z2 VpN1lEuUmeZm1sKAFRIMUiGz40WFGy28mwAm8xHRaGn9+IB6Mm/VarJMDNOuZ+GT4xHf7lSHxJDc OZdwLEbNmNQPimR1b4bjiN5/7MsD9ilgJrozzg3aSk9cH8tJAgCtY23kDL8Gcg1QW6QoaG8tBCPn vsApHqvp8z7vzluKdfxxlvMW9kgoaL+eQQHg3xuqF88ROFoU5Y0D0G2ZFilleEJqvCHUsYIPEr4I VmKsowibjJCyIR8zr39MwkWlfS8PD31yXyv6ZnzpZFNwtOpW2EZnjxupLjqPuLEAdoavTB6X8bJQ R1GlNpFjOUuBDwmdsLa9S7s8MdUcqA+e0OLNlW6h/Nk9AxuKRfauAwudVjHISah40HpL2MBY7g6b RbPPEJkiSLvsrS9TBzeHIwq9Cr4ZDlLiYeeEYqE99djGYRyNYG9TVwiPFO+8qeK+7AhDKWxqj9xr n0reJSMkhf0ZbosWbk9BVrh8xOigWPXQTAUpnVkwasM+a5QkyZvmDn+rlqXQ2fZvpJKUHGPu/F/e tZHcpbJnkd2bo9LH1BLpPEcgTOcXUpoDcR+j9rTieCrprvzPmza69GT7vpolQpa7lLncXKXHxpgy 21A64a6dU8GEexxdg2s9bd4tT8pmEpM4TEkOqdyIu9Kv4Opuf4ApodamktdgBWsMaeIzortGwwYA weJADn+siXTnAhw8jWk/JuW8ayH1n4SDeXmMxCWs1nJ0ML5cAqO6gB0UdQWanNhpAgzr1in7xEbD jg1dSG0uY6GnDIhJvMXootTdMpSGwH5RR2uBcnJq7EzOK0x6qlK2P1WR0VFhajRZASbN54b+nsRq ryCD4QbwokRUJqQ1MbS7We/CMrwjFOCx0wrI9KYaLWjvSlDdCZkuB7WQThGkxFpIfAp0zkG4eHc9 eX7qHhM1yc5Q4RMphOO1JYRHHPQXfzPintpk0/Az9YhsEJZTZh8F3GR35KmRXwAg6btq80YCoMFs Nb2vOVUxy0YXVuFuNS2gATeBNBP62uU9ehNA6sKrmnRtu318i+B/3CdxAa/6IVgKUfDpi+3MVZZ3 NDgi4d6fXCNorRx/2+wAOPTVEOSa8iQXr43lZxXp80oDxdA6u57vX5mHPjQaDFk91Xnk+wag/yyb Xkxe7y/0F0kmjPMBULUetx57I4BlkE5X8Sb3sSBqi9+q4ZjaU2aoPDN5yB98175jshAkevQdE7K7 Jv4aF5q8hVBttdJpxCPbElfwes46bo/vN1hwoO4nlQ3UGIVMjK59oDlUsQ1NqQGa01TzJyVTighV sZcsr7I2wpLU/zIbeOvpUCFbeWzkkB9qPvz0K0rNa23jVdhTY+xwtKKVOUdHReLL+B+z9bVI2gND WtSeTOVrHcUKK9kts3lbIwVzYu4sB/cJnSMQA6t5lyqHfy2bcA2fa2M5/6gZZR2LYCcNbihAxllJ w/oBl/FMazGxhRqSm/AeOw1GX1QhdG/XOfYInRt6R3jt48PdkYURlG+j1RxYmp9BRDOHTCgrHSH0 b8xGRg2hkvd/ks33ivsRtlsqbrZ5AcWhS5S1qiEl6RfOFNGlCMydWHMXpq0y7nNkhlSjh9BFgXQO fp9eF5AqsfL31ckvgtxb3D2EnhFmjMgdFEW8tBwvUFQfrTbO/fQgCmMN2Oh+v377GplbdEdis1L8 Bepc6QYZwukTTvK9WTjvnapMFpFYDzm77jRTClDPoiv9FuRpVbR6rH5g+pVmRS2t9cLJs46qKCqM /Zcnr5HWHJbgWEw7E0p8WYxf9Chn6NqZdvncZDYBEt652Enqg1sUe0nlRTbR0EQSkuWid74xoIs4 TciNblW27Pn5JLxO77KxoXuFjZsXwac7K7NFsfxvZ3jGVyvIZvh2nGYmNqOmSWvrLxDHdl6bj/eP IU4oVpxM5R5Ox2zsVktf1sHfUqNiE+9g0ggHZmiSahcNBjTHpj43Y1TiVx0iuZ8EjunWZFUzYSlI 8hTjnRELEmLDDg2H58Ug3gDOx181tDCZITDNVB7lOeFmyao4X/pEsxhe4IUny4/LDNWJOlZ5wHWi Kg2WlfD68ygdSxhsO6I3gP9/g/xgFSEXD6tWshX46xvik8xwmqVovwBSN279nFWyOLCGQ7lF6J9+ W44MAPvKG8FPPjP+Y+pfPSdKJS2R7+EZmrJdeuGlEfM/+plC+VcmvGbGfDZJDpsgKNkOb+ZvCav7 WnrMF23xPqtQzC99BotKmPtZTGfiviu4myN+4ooqPK2qFGZIGuTO71aZTRFnBflu54nErs3fmJUl bTQscZZc4lXGRoccd1ChOuaeeFxKarIFljPvHIqeTUi9EJDhgqbGw2MkTV0B1beOm90Gw1xBatGC Tjmqd+4i+gwIduz+SJHw22A4q2LOjlwD3MUdqJj3FOQ88v22RLvPL3aO+qGS5cO+ZA18m/L20PVE VBGxt9a2HzLG0xNn2q14qLbZPKpWz5GJNuiSyHjnjtKZnpvug8OwOscmpFRPT86g8ZEiW+s/tsxF ib+ASA4FX1Lm6dMIgkcMO94Jr1zwni31OoDxRvAdBbojQ7yECm3w+9PlXaFJDpEYFHEtAZHFCb/f PkFzOg2MnJ8JxD1EXaWG5eufiR8oZecz/SV6zj7NdLhYi/KYgIhLzVv4fIwh/y2Oi2xZWE498wkm 9qlUQqvNRX4wK+kVpAv5dzkKSZLgQD9qecpt8CB3lHJPO3WvCtWG2dJv/OorRMwaseHwi72fCHBk lqNL93pGznhRfU9cIOkeI/t8aAyr9Z/YDOnqKSNNKdc1RwhUkuMLAzsyp57fYXmZwXSWSQvBhIWm Nt7Wxz3X0soau2ad7uZeiNIXJUiylO9KGvLLLNUCUvkApKZxCI+HsthAmFZakgRKdAtaC/Ke9Jx9 bTtV5wnrG9vIQg4EB2qLmCP8DkqVZRj+q86XztwCsjr7s8F49fyU8Ny4KN/OSGwhRx7ZHH5G7u6G vozEEBvoGYfo4uueJdVOTOjsAsievgycOVPAtjA2X7IhqmLMvdG74wVOrHHV1pyvInCnd+kBZwMF v1zGQSCqoGonH6jc7yoaob0ouODoCKffgcituC0rYwhxbLtOZ2bS+uKOmkWVxY0VVkhWgviQDtgw +glBBg684JJmUpoGJGxd3fKEeQ2fkl5tng2b3yLvOw7F46EkHi00ysfsTf5df1jaorAsZ9Pq8tlI MOga3ob8NwFeqbwjXM1bJWQBHkA4b32pfZOyUosP4KyELogcL+L4744Z1aK/2ltUgwmmE4JqTBUs gWLcaUACFGoVSEw8FsYI9TrlHWFjHlMLWSTM26gm96oDS5dT4OMERo22W0TB9kadMDMMeHM+X7W0 XRGZkHfo3in/UCxwg2NGILkNXWeChd73e/j5ZysK2VakG/Vx0bvrLUQzObY0DSQUmSx1N5t0KXO1 8p/TMcAvcfxepbgoqZ91QoyKCJzgF5pdCsDd+w2X8H7KAUizim3nGIKEIZxIrrto7AxWE0kQkG/m 3jGqX0vrNV4N+eyMT+30vQ8b7jgV554Uv5lDX0KB3HqvvX5/4h5FFyTtpHWeU/scI3S4Z132cbYU cR1UeMS8dhNZFKXQjpIZduY9h84xm5cj4mxF/QlGROGb+Ywu952M6q25vBTtagfjWKjEhKofOYgF T3St+BzNtjmhTJFm0aNtffi0TKVcK2P7rpHuqC6g2SJ2knWjXQWv/VHc7xmvvPFO81fiocb/Zsog RY2AALpEHmp+aAZk0Y9P0S5+34ASyOOT9z4D0sbY1ivxr05kcH3fQ19DAqIb+D+QXN9qgsGtH4H0 ehHt/aVPgi+30AL5CZeaZzrPNH6cfHsJ1J9BxbkRSsS2iOMpajfDiQT5aUC3ep5ORtAfGbzamZt2 cVMlUIEuZD9ru9Z1kM0T9JffqlAZTL60OWlZL1c5JZclsWMkCXqCU82cRYn1Ih897moxrcDPhBGM bxy3PRVGORFmHr1LhVxLJmTfIhUtbIVWbuN1ZK2t89bp4u5LPkWgpFBiGen3fcStoC2Y9y3hY74X 85MhNRhMuVUChKSzmT5KhcJMArBeie/cFLhxXwVx9g7SpfuLbK5glyuPSw1FUX7VryHGK/WYPIY1 mGSxeCFpD27/1XShaxWANbYwrJ+rLIZzc7Zm+S082aEXo5iNHTqgz3MWGkGJLQCMA1eGLTprOQPy DT5AHdGDs3QxOiWlZ0QhTWGwV0xyfNkZqR8Npp0m15guffvuf5zMgO7gv4XjUbEO/7JbD5p4lh/J btH+auHDfoQ07W98nJISOvCG4j+i0OaKumnZJ1xifykdb9ou6NUtt8lOg8ZOEZ1yA6B6pV5bFarw vvRAZTFySkBIm2A9Z5cDGG1D2HE4tXw7WcwJ04eTe+kmzmf2DsoJzGrR0yVfs/kugVrymLStIfC5 cNR6n+8FKHJAfOS/3U4Gqb0i3XumzD5ZfljW8hz7F7RU7nAW/mvPj7P2VifYWnlkMnROAUW9Z+tZ NC6Mateg5aTHPNI2NY6qPY+ZHODfs29Zkgl6DrWeNdKk+mWkGXLY8Oe+toOYQ2Z4uY3gK38hlR4K N4qyPgsseEgYFmfQuNkmRsn57jEkHrpf34VtbgegyXcP7qaDkTiCGPVBbd9TKxodhgkmAmbkDBxb 2YfhIsjkWLjMZXvUlI2nQ0lbUh9Yq/VblD1XzerHY2hX0c70gCv0FH2ULymaafE3yYvTv1nGqZ2r QZ0h+PRQ2Ank01A3dJiy6CY8APrgVODRDooycbcRWejG+4XF5CtfEkJ7gMRjTTNdXf2kqSqnuzE7 JqU46c9KXLbhlMF3m8Sgsoz3E5hv2uS5Q3dN3KKTQOvvCql8/OEthuQ4aLaOzaRTWdAAY40uXanO ldaJbo0I+2xTFV3orcQ+HYClpJAslnExz1Bt8MBzIpdy0Tzr62Y4SwWfc6QmGRXYedMY/dw0vbUS 1CEUwNoh4wYWa1qFsvVTOTRef1k9NHH+CCUxYOdm2tSu6YOcNJMtBlO/NqLiqDiWG/IzAxhiJ84P 0evJb0PyTRA50jOqMJMnaCLcG+g8ItoPw0A6Qgy1O3/TxtFU3qgpBO9vN9QK2ggjQtG1eAMQ0jiz 5dCAU+EXS63hHET7fWYfcE75z3tcqm4JIe6PLeORiYYHL2dssJo+005z0qhXdsRs6B24E6RQIRaF 5n9BO23M2ueoe6983Ei2O+hsQNHpUlkvJzOPAFSl4OFIa9EJ4bMDny31A7TUgfOXwSQY0VCXMjM4 mVeYbwSspm+Er/9O5fPKFxoVIG4Z6kQcG+1f7CFaotRVzwxQTaAMu3Gu/bQsCWDc1CtLqXLbv9oL EeMDp/t6IpeG87jkAUvcBBnwjhC0e7YhfMAvr3PoRuc4xv2UJZdQNpP2EUVQEcbXfuakp1lkbd6j uOaWZeLjP4Y9LcVxnUSetqFVqbklome1SicGIW8JOSylCas4odLad/GdNAWY1QO7eIvOxt4auGDB Oj8HRbpC6xRXNaN05pyl/YpRxDPK9Da6H4/Ky08jIvhP4tzKoG0Ln9s+F4hrB3v2NlBOGvfEBaVL RxyVANPcpY7XNSL6+Z2fm2w4zH23PPK/GH9INxC2wFOftBUud7RxpV/PHrf8N5rjCPaiVhdNZAo6 me/TUe2HdwTt40tdCvtKzpVqZsOBd34zK1TpS1RcjJjkQhU3VOgaKnV9I5NOJlOHoc5KXyR5zoCY Rm4wSiZ0xVIIU9jloh/D9P8gk+K5itxo6csQxzNRlEO9p27dc6KeF9SHBkQ8LwHx4hUj2zs/yG6Z t8suK7LehApf4SI5h3LPFgpuy2opMt074Vpk5HHl62TwbgHiczdnOoNuPVMTZGNb1Z/mDS+Kf1cw dqCLzoNLkTQcnJFKH8ODlfIG087vK09MIjbBJzmjrjpAfdBImd9qjuS3PB2OkD6OrFX/DOaoxRZU eIUk5DMV/ySMyXAqlas9BGyZbcNlskOFV/aLndEitiGTxer+QACGoyZUjYJwE/yRqACuqJLKuxry z/lTjwMVrtWsAje0iJCg4wKM8R/xDIHtfnMNKSuykceXaso97SbhOPemEvwAuztyILLbPf4gPNF5 b6NzmII0M6GOQFOR1NQhn/Fip+HgiyjBIIbuxWQUsjWc5lo1v4F5Gt+I8Nuso6UjwdtfAxCEWTxm k7tD80XxprjqNxMNoo6SDLCiNmsKervgfyg66l5eMvXCYpBvVCAUJQXCPwB8T+gPBSMbSX2cKtGl jWmrWxIPGlm1gyL4cb+mQIsuztdn31GNG0zen7QT22wwURpQ0zE5BRPHT5JI2IVAXCxVmgiI6YLR u94CtW81fO4TWz4bQZm2TMmkgI91GvSyxZI7rzfl1eKSt2dRPeMy8I1IEfOhpOg8EI+3VBynunfB eHPX1QseWyaV7LIJTztKh1mnH7UgNCfeLdQb0UjKJTT21KLZq7qylscoOy2VWXM6Yh8tCD5BwXon f3U+4namfKIUfVZpqr99r+JfOo14fLG8SHqJtKFB/raa27fOS3XpXmoMMjzhEKUB2Xzlmp3DtJP8 TeCpTBqs8/jl1ggfiiiPMGlGbUDt0BTa7WR1C2QVSpiDerhCClV4fbxWlj1K7AM50gqfX3/sGWJx mvR7qJcrr7kvoxwEVH6n/PMkgU4NSjK1d9wfRS8hyCOSHp2YL5GJZ3I3kZZw9T5pssLTEqWpHzU+ zFUTUF2DZT2qp49E5zH7KMoejjpZcnZwMbjZqaC1sbWQMtSoqiaSpeFC0Yyk2f6/6mF8ro9liba9 8HzojbNq1cCrVAqinDQoEUt7bDeAZqoJo7yUWGhFQFFDK7BihfqcmGz93cPSPq8RxjHgrMkilLri aralk37moO2RnAVug2Fogp0zof/c0oZ5K8plex9JkxRXmKGjBHHq8oi+OrtWWfJAA1+Pb2QOX1Ca 2yAvZFmu1J5t7V5RnXaDiuoYf0HtNIKa0EhhtnUIUKoJjGkTrCvpAL+ARlq7Y5dmdGNaUf0GEW2/ 3TJWuubXCUY9/+R+7SXznWL13Vcv1Asg0nLE+88x0hYPyG7uwF49iVlCIYNWHk3JtiRtENXLQfjU wmr3dizFA5Bc4J3agol63yLPCRYcpd2ka6V15DWdLsynqleaQZLRoOd+hMHN17Iqmunm0CTGZznR yRRBJmoX7hnPWLWNUXUXGWwLk2N9vDk1tt1OJ8/ikgkX6RAhU6EjSego+HmYTCXCAvcPSYITCJGg Y6M4FgP3XzCw/8LcMhQpIet3KdVnSPtVY0TltP9QBVvvZ5750Kn3eIQPF9Y8pRN8NYJ27wPhWXFo ydAwS7RyZIdozLacFEDME7LVlaI4ygB1OZmBCoFansWCdVll6NcxpHPYcTMI3ao0yfR2McB4nWGi aZ8gRY7lw4YNz/kQEd8Gn9znYYIOitdx0IHR2Z0CFDyySjNMBO08BPv31RMvjUoH4BUGQdDdNrsJ DnWki8b+/Q6/eDm7/qEp6APZAWDHfOR+4DRW+ghBl8i45Q5+ETdgovkcw5NzEa3YFupL1hFyF8O3 W+bzGsIEaXAQirdxXJhUhGixZDE3HbDBQ2iEUigIcdek/u3ML+1Brx+viMIDlNoU7hNbvIKKTtzV USlkXKZ6b5DvNOYPdOiPPq3VmNSvX42LcEcf42iaKA4cbXnMHxGojijoo5Lo5W6xHHw2L9obe0G+ nYGUsPWdo2AjpEEw+mgCEcMJAW4F9qSdUDHuYsdK6Ku1LbwYq5MuAQ5OlcEPE9zfHBySOo6VxF3A 1TsCoP3npDKxMZRszA9H7G2lIWjvodP0H4YTrDfWxzvC+V/OMZQgXpULJo/OgNt4YMqbdmnoxVMa CktsyAk4GvsXGYkYUfg8P7YfJCvtFo99bdwZYv9ZWybQFmN2Ocv9/l87KiWFoMiuxj/dCTX/Hmwg tk8wUrkCUDacoD90l+miN2FqX43XsLa+8ypqs6mL5zVcAjfUV4IrFPRgJrXpyjb4EVucAg2+avDj z3P8RL3vOLBYSpEq0Osps8bgeeVx5XYELR1G8K/SoC2l1ZEghC/hThxEnhG5EmJ9B521cBtMFAVF /PBV6keGlaKi2uk6m0+FapHQgHLfNdbVVPQ0BI+VbXffTrTF7O93M/YOdC4GOKXzDIIKsY5/GI2j 8o8L/LNn8YRtcbHJutRntCVc9DmRyXod+3djwnEZepfrhsuQTYHRZaTlFVw0Vk4FnJHJ3kb52vOb t2lpPDeEVSjFkuuhFOy714gVHjq4OJNUaS1O/1XABfjEpDzWhAWnY9eJ1DtsmKKJrozWM4VS5kQL YGR9tXAc+3/x9QgJBDt5GasG72I6rVEbTChpiMKLSQBtTyYSit6uprxwUPveIyOHgVBGhJkEQrLL fVKbqn0Cdpbssr+XXqeZmmzFzVYqCjJhFyHjBQHkut6UD7vNTikWLGYYu61yr8O/AI2xcwYOAbqL 6h6Iu1PsZdkicNyfRpkfGTGj8C9n8cLjZsoPOJAAPT3qMETQn740+MOB3HTsof/DoItWdMvN1gSb RwqBu3gVgWFhxD2MUjVQQF8fenODeM4RU/XV+bm/O7NYfja/bQpd5WtaFSrUtXRlzsSCAM+uQ/3+ LFiBqbRtnGXp8XopMt5OHyU2A7kD7GaDqbyQ32+Af3gHjiRqAQDjKPUDsFQPPg9mpFaGJBa0pWDX oCAmQ//5D7j+D/8BXFNCtZoin5+Wmp0N3gHNbt1c3TKMoXB65D/Z1JHWHVeGxIgjW9ncKfp+8kA3 M+/aMvMV6z3Nk2+Nu1eUpM0p2yR3Dx6Jaun1OmLsJgWNhpU2F64UWqLnoFkK/5AU8rWNhhw1ymLB Scr0Yu1PBz3Fjd+8tGDseX7VSi0WSVK9kvxlwA4pBaIm413FD8Edp1TS+B0MimnaELcEIZKqdJK9 HcNnKYMEzXVJZRpisCvW8fbUJb7P7z3ahZ5F5vYxoEwabfAplk28ZX2ckJsnmkJu3GIeJwzlO3Ja mSY9H3VkqHWsNlkCqJ+QOHU3cCuNhV9qo0GUi+iDdSDvVzDhuExen0tsDI0g/Q6gK8Ae/+EUzwi+ F3S8hUPzA3GQrp4NcKXl1wi0GMFGqOLvTQ27Hv3yrnddTT+s6s+epXck28dAVTwfGiys3iEcdUJj ZXPCM2/1TbnwZRqp4nl1WBHKh9+LkGh0rmtCrIuXAG+w14+0EKASSIMgFheuKTwInfnqDYXSC9Kk s3/mrwcOoRV4Bsu5QvYTxP5hWUX+/j7YZtDZNRDpTcI//vpMLj9YywqMTamgK29A5gAMm/5h8F2J FE9ZY039Lpa0tOllgFs4nSjKJgyyl2rsIUe9O1S42EdsW1mEl1erwwlS53hLW2amxKKwbzkGtIpO 30Li3gf+vGEDb1N6zDpuavpE0a/lJbNOlUIEzHKqYIAr48KmeMWjF14XyqJvHdIvKeWF5I48DRJT Aafq6jfbRSpvv49dYGUcumjwXCNyvWJ/GKq6f+UmgUJxvMPd28MpUxc7MEcGsZsbIpTi/daOLKjL Itbt61g8dLUFQ1fd/cqpbsM7iOA7VuNdjbsGCRGY/igq3/Dlo6efSiuPBkBV4DOQGfwDSaUhteIs bImq7LpvmVA2Wls6cWJ64QtqFxutmQXqSSSvnqInMwOC8KnFpoM0qnzKWE7I00fRAcl1JfTp83qO P9tjKjqkVrC8IG2chYmttQWygH4rkmsTUFjzWgJYnqwe2obHVWloLgS0p5RxkoCP6AthnzLnc/ve 6EYzmpo8oM/QrVVRpsSQNkc8ojf0hh2LxjNIVNccPEbpjDIBB0tEbCbCMcPV+1jjvwT+yG+o3o4Z oQCRU84A1g4Fl4wUy08ltg7LaEbVPcWE2SbGOEzmOCkk6kke++zjRgYqXdsnIQxeKZFacSBC8X83 k19Z+BlDYPLOx2XsCRftheIt0aBuVN0Q45C2MKmeASpa273ZzEBl/Zlw/plIB9nf//kgAnJZbWWW wP1gIbbmD31ala/tflXBHMim6AkO8qXnfRT/Tzc0OOWNQX6UfhxCvt7uCnXkPUgjGpG9gRDJ9GUt 6SydJB+YeSiUFk2CjD6a9afgSZJDrcE/wMSUzTj8rxc+x0REyVKwxu1FnKA7q6my2PmvdYALi7gM z6sQxfJdO9SE8VcYi8kOI3WWshf+vPZ3ro3JtxUbHkmRIh74we1TGDnKoM2SKZD8KNO0kF4GpjHt yzHGJ+ijMvMWEN2lnj+239S6IP/zJGZkNKjVCjUyBS++fw8Ty7JL6+1XUWBzYFO2Fd44bwC/axfs HqcaP5JsbADB3pIU1anZbg1uWi8fOWALSwZ8GbNGXVu3MuiXZEu2Sk4w0TyC/0PRZ2sOpo6KvPHQ h7Cg23L25JqtkDNb0hJvr4PA4aKq5WqupYDxu3jnz5D9e2f/YdFG+zSvHJgPH1fuBsyWcXLmzkpA 6VN6GmxOlXeJLnQHMa+/xmrO00RvD/as4X7pyVCq3b5eSZRIsJxaHRLSs1k8Qu7rMAUQm38ax91m poamnoQw0FlrIMRjgtlC+NHSzjifiC8QCsoK8K8xl6ZRG5q46qzdy4rR0MJ0mLyqv0W00sbrnTKf G2Y6upfF4w8XfYE1gYe+OGRTFxghQu9LMJ7HbGxu4D6prt4eMd6/1Ao2D1zrpGIkR1DqXiEOqEwp Et9VpHWFpv3bhSgrrqY0GUi3L1uZeKhr+wWOtGtQg0rmxpkUjRzevHhyKt4DdFaDU1qpqXaUpfCE EtfBHSWeN3F1cERneLKrOydaXgV26Nqw+iIGsGjtiNPNE0p4QFh/0LdIpZtpJ5YX8t5P91z31Hl1 VQkflCZ3aI30lT53b5yOcW1hvE9f4gA0BWyngk/lPD8G/xh3WJBkrfHc7DmAj9A8hTD+uVJxk207 R7ZyJlJPZiNn/kPhVEF0rXbHPk9cAeAvubM2RsOFFmsil1aw7ks8k+05FLGpmDEZ9C4oYIuLdedq t+uRMDCoy8qatJPhHxS6/KFZP3ID9csDJfHrbs4khn7bMas3HIo9X4AJbWu8jNpodgbyVMq+WVBe gQj0P3ocuTs2UULoRy3wRhM/Si93QpCc/0uIdA6ptsNcFXZuWu7IoujMYY5YJ41ueLCTOmtPmEAD jVgh5DZKh1qrLfbtHgVO8wtOJ0oAsFzCv9iYQx4gYTxPx/+iomgFES0IvH0bXRfzWZlArVI273AC Bo636g0pjo4ZlD4da3iC6wJpvUS5xXelVVJzF5Pp2H/tSIMnDaIw/UpwJ5IIHoEoYO0SGh8w+7+o 8QHO+/PLM49RAKGn+2dOhNqthR8B9/eNcCyMxgSmHIpZzfJ6/gJoRA+WTpwVrFjYX4GnW0JIhkKi cKCih86Rgxk5Mi3T7g7CtDfu2UdsD+ZwQVw6F77dbQlBwlgyLi76jLJlW2Ipx5+wVLGmJFhBA7we EfKlJNAtZCbvCu6YJM2qpnZlX0bEk50H8n5H23u0/smQSZHCzYDQ/m+tLnPeZLMFo2rAgxXihv4V UNtD/xtwelVoifp6KmIZOMZFx+EcMNDqdQtccaTnaIIujoUeovQDFh3h3LVbOBY/Xr3IY22JscmH tENoaax3spsnLu9UdVPuLwpJw+yoyee/SE+krcdbQcko5WyTUWu8owxh4dy7x1BGtbXnuCXEyARn sNKSpIgL6SGTDTonRjxYWkQpNF96SYJzQgE1Imr3Ej5JeYRMBuxOF62TrBvxApMvbK4NbYiZshgh OWrshnmXvbMPkf80Ed1USBwTRuSN11Wl14qOxBKAMeu/BxutQDFd0D1zIi3WukBHkO6r/OLff9OE DZUB+7jGlI1OFsZt8XUflOjIX36totcHG7RYBh+VQ+kOpdMv+z6f8UPx85gbonomE5iDvy9ejTEi iAp0bylMpJDQbxQm5DKNxGGRLpOjRveamfY7hOSG5A73LVTJTurZ/5b1cGnPzoab9QJFR5GRSLed +gaX0TflLjUHEGA6NhizyHwQQ+dsHCIIBb7TeaCAmezK6CfN3oey3ipinsY0KTblo5KBr9cCbohw J9MT1iyHWRHlShcibphljrWoGFyANMdranhDyaZ/J1WCZgCj1cIJ2foB+z1v2SB+vYWZmLrbEV5A 2D/WNGugqP827g8A8670Ak3qqUNdV33BwfYTfrA1ZgD5VfQJhAf1g7wmhuqZWFEqERXUDwvtrdr7 HKha0sC3g5FGMCt6a2KJD/OWBmSlVbtyHgatJEEwegNJi+YNFSHDGToUq6DZLhY30diSE9jGbcIW 4E+thyNhsSfUI8j5k5IKhdfDt9eth9N0EcjhrdTZhFrATNGuI+JoTIr+/0JfsZxt/8igLf/MAno8 YKTsSeslX1VDnCYqo5Fd7TgnyGTqu+0LRG835lJEnv7n5tAfZvVeypH6mC1tNk2wYhjy/x+VDv9y N2WCH3QcaezBnwSvbnrZcArh7NGSQYfL6gZnlClcDpVQ/YaJ6R57AQTgmlk/3+jNPlzVCKfVowB8 KaQjFwgDslT8HIH1Is/yttJ6fu6wN3CRxg/r7KIG/zJTXkBNtyp+nJGkGQvmasbXc42yW8H6906L ci170sAl1dBZNgxvOQG6xE4V9x9BSgnMaVrp3yTzY8scbw4NpuyxoUEEKtlQHLh9BPXC+2wZF7zH ZjLjMtWIOjgKejbFvy0KXsOr9AaJilo5pU0muUsun3oG/a/1gONgbo1xua28IkDA4VM/NSeNq95W ubSf3IOZ5csuUlleZrJx/A0/KzXBCT5Gh34lUNeU7aSjUvYHfpurX8H79nZbbKrkk1UrMoRGp2i3 gHQID9sxZJp6wiXMR0KvmpEuYCLDhwxNxmqLH2ImXeGYp2FIS8oRGCx/O1Bgxr40aickwf9rJ5oU sPUzqYZ6De0ZT0DB5l/DMDUmNJgLn/bb2oVYvgovMGGUU2kU4v5M49kUH8UTylpgg1BdmvJjx5Gm LSo5QiMei6pTCO2LDi14CCQnQxyN9ZWUA1tAVYkSAgHNUyim5Ka4RA7rNn/PfkEXQi2pkNs+xvD4 AX0P0S6ujG2OvqQIyi3E5R/lFAAZMPcINTonJTlIgNMhB9X1DyKbMpRVFr8YvVB4VQ95EJpeSKM7 8+K6HG0n7u7dejPZZrvLUAzaB2PXt0jifEodZbrAd3eVnW73J3snHmC3L29Emt5WjAs9weurx00Q QdwmKa1HrVp5wcX8bXmVjitiQs7yoUuNiRyPA76/5bed4WsVZ7ezjXWBDcqboRII7ndtuuFghql5 fD+xFGQu08yV/PdpQFqvughW12sy1g3wUQROadsAsp7mIsuaVZtEyEAgfkJ3OHqLyKCxyIDriKMl ForirbXoBabRmmE8FjYvEpREwLVMhW/RpqMEf1oeaGSlKcTHfG2KX2pyabSSqEoZYWOvYDCtJRfU 5FlHUxELs4crC+Ts8rRo8rcJKBtKy/VRC1pB4fuMAm8cCVF+14oKEMNXqFll4Bw5Vgp6zKTawmWS CbZWE9oUe/57MrWRDImqxbP7UX4k1XXN063tXjNGWpgVflHT0dQNqh+Q8eI56doUOxVTkmRzc65w kx3lJYb5VRFaXEvw27dIt9Df5U1HZCXc8CsbOVlZS++4IvjV0rd+4HilKn8bZVsxD3mzGxFF56G8 AZ/UrfFbyywsZAW/B6JyplrsMjqt8jePwK4I4VE+L9tIqO+VY1VPsN5Yk86pZWCyvsVYyp1DrQgN YBhtSatrDSuloo0zrZnilfjOt4hztmnqOC5g3QD6KkZvO5zLTkjdessYVQYX0cgbNXQRlNL7d6c1 IANDliP90De2sM14KDhg36cBnX1AZiMWSWoFyzK6rhuDcg+FrRwBRHFuypN8LLkoqDwNX+kH+kVD xKzsPJj6DTc4CsD5BGdIrHuNV6oHTD7i28A/GVmDPCBQapHPe/MJbm2a30C4YWkSjlytBnfJVCm6 fUVR3vc93qCE19AzC9PN4avxestc20seSTxtnWPX4sFeq1ZhgkAKMq9GVLL4wsqwZFftRZ/ieKgw a4h2Sx+tsPrgmswhGzP1Axd0nPhIKA1XTZmK1LWnKCCPBUhcQZpZYfGJRdVEIPPdVbTVSJ/4T9U5 Mi9TbaycIxuhQtcQl/OhAHzl2qgsfwYFwYHYIL3aVwGoZ1cvi3U0MBjIbvoAS3rJylE29qn32yaz fIqcCVN6WpYA+1BKyk3+WVkHwJtr/SYRzUfGfQY6kZs2/vJUKO+a4550zANR18ObfaOjsh71wPGM C99HEwbarIaLvoYqtj+6OGMsKZfYyiu4BTHcvHc3UjLuMCbc90sYLdFDJisSk0+DR5YMW5Ww4rGd N4Xtimfib0PB5WY0v4Hwrb3SJ2Flt/FnecOxj3B23nugSt8YVEWnPCG7oKzL+uhQyt1avpqEH/Dz x2+H2bAnBlD4N52J886yHeztrBzRTpWhIkAqDebThIxOZ7sbdgqPrl2fwttGjXxb0/qYUrKaUywq nIOXUg4ZDRIeGb0dLI36CkPGdh/ejS+pf/vbvmCxgUIZjjBDRMIpuHyi22xnJKcf2IRy/qwfgUYR ET+11cznyvsv2yugmGB3yc3FcqDHkL/VYUpi7G804N4thpg+3aHkF1fkb6FcK4HPQ4tg6sdXP8IQ J0Jdn1DMGzgIlUgM8eiRh7otuG/srvYEnsibgvxOE12Knm40fLjXX864T7F/inEefHHyufeKrsE2 9+5nawfUsu97vo7ZUOPmD5g+X9tjtLl15Qd4v8N4DAoWh5hApPg6ZGq9dCO4A7LCPoA6333DVbHc Vk2vCmfVE5oj501zDPiO/Z51l3zQOHLBe4mLjJw7WosWmfnmS9f0z5uR/aYTObc4K3YWVzG9mgMt QT5sfnok7GyPODGKB6sAsAG+lVRDswKfYUICVt/dM+pPQF4ekYsICdGkG8KLl6RGJDyjYtWA5nhs khg0fPOVCtghp+hZk5vVqiEt2/ysTsy2/hNNnrEdnCXemkU6j42QLkpp3hJOSnPwV2n5pDpYwwcz Hq7KjmzkBQcRWOCCBZMMCA+pqCIg9wzmDqijhtepdHiaf0l3rmX17B6oc+x+JpFRa6xsMZFcDcDO F0ck3QY/JiojS9FLzIGgGk7NzvLLw09k+ypt/oimUVsdTUnRLbirYP1wiak52T+D3N3k9WyG70VA 3BqgtJJ7aYmNQ+iYJyope+TG4lp1mrOed80FqIsj5qsu8epOCc+tRu4R/pFkYoJ1D/ZiQAUOefWA eJ/Cw4eUoqMb8mHQQkKEFfjTTlDQHqW/+ERU0krNKMjYp0Bdojl++RhKN4kzfqTFBv2NJNOhB7Ua OJP1iymNVnf7E5f5ctj8iBhet2j3JlMUiyWXeK2uvXukUYN8RGN9EcIFKceq/B87gr8/D2xzHV4x 8gNrmFZN5Vo+MCtUOGKaIFReVxXpNc8PQUDhTT/Ng7r3A3zHFWJVsbxXwLlfkFU3wRFIMWDr1JVY 2HBbhXd7MT7BR/z7Jsp3Z3iYMk49swSOSstFK7BhYC22UmSzd0WDzOES4vS3YcIi1zXqolcPUa0Y UE8SASFaTLbl2z/P/zoV3DrF6fImgvQtlUOvotryRdTdrEqESrN82L9OZ+TpCmqPGGFn7IIw2qTp /DNT9Hz26s/43J9kwpnXB5yObdkvmmV+MXruCimRLDlkuT8iGhBgrsARS9QvU6WaHwOflquHcla+ cC2so74uZcVOwl2D2zAnudycBuYv0NmuXhZEGTW/2I8kACGlsIEmpgg9RGwQaHwY1ugmGk5atXIu uuTeubJRnL3SjsILfUVgdLg9uUAdS9UJ67HOE9gTp86ie6eVe7J3pT13o5Ud6Hs/ig4kdZz+qiyd rpU338F/EeNl6VZx6eGQ3IfArbFOVOe1KPE3PSltwviBZoBukMhUdcw9PIxokjBsMWCBbJfqixyj N4D6Hi4SwDbY0VmdzDyCuH6z/diEdeOaF433+37xqd6Age9GtTkL+mmQxRI72QrgNMTeqlG+X0+d T7vPjNtM+hXJm8xcy7cXLvsBPGwrUBWZz15faJZshxpkKHEiSVs9ciVuHTAB70GYk0iZK5l36wKD xRlqdIdXB75IrUYoA4Vna0WwRouHwNCiXfcDSnjFLfQkizvZ3zjJ0UNROAOLzhruWr4TAok96Pq2 2f6vBj6+XBxSWzSl9TBFhEgXI7UtBCrexFXsxQW2YwM7owVHRmJCn5cTHcbVOqulkwnyFzdC4mT3 mR0rA3EFoobsrWnQgpBR7p3LGawPHSWkiKAlwKA6G60lSsZVM2ov+QyaBXneHBLBpf7HBu6QsQdB KCn3TmxzNMsUoMsXuVeLbO0mWhtZkVZv7Gf8e011aRt0kRnC+I68J7geatYYja4BuzVNYkkMjjoI zaBSjqA/AexuBq48djBGAO3zyxqVDmsnNbt9250yuT86Pd505ybJMPLc7wvO3L3WbeU8e4zayuof RIVusEdEmtegXG7Y7cj5yCjDynDTdApOk9jcgVtFEJ3UHbh3cvaCM67ndqQApsZ4Z3mjzQ8HOnPn SaY1Zssg7tJc2bhXWUTehrp/Wk3fYxY1VLg7USDP+Ob0NAb+oapbILww51Kq2ajyzQl2AkVXWkXA /czRQaNG5okTP3NQb6JHL9pcsIpQDnlj/caO54jK8/Q4aayTC6Ya01ZTM+Jh/CkLKkkPYEUb6p75 OaCVuz4NdS94DLk6anNyANQ2PKrWyWulJAFZcnzC+W9joNw/RN7vjyTbbL+jDC1iwMJfseN5YeBn Eak0dbA4zDc0godSBi20z9X7V3IGWok6PP8HTXw4Ez+aBr5YpIk37ypKoP6Lve1fi5HUyPA7Dhtb nYQfZcrY9XF0ECRNXclg/rMSwaVDE3sZOPiOgWMlD/Kv3vudbmvMgjsaBcYZERx+SAXoM5BDOT0F VzWk04pNZ6wd2/Rr/Jkxh9nonmXc38YNgIP6C/wjLTUdFFVcshun+PzRKSKMabZu//+pPFlpdDFG 0iAblNQxQNGJTmPT2aHDv/GPxVVUwlYtU6UqTSeznwSpIoAl/5ejFLqQuqh7WPqjN3E0Jt2VcudC zFjUEeuriKSCWGCUnytNjHLD7CJYbRv0u1rar0V1oNCU9T/DUAZBOd8n0I+PANjuZf/0e/Nfq2ro 0MbjtGrB58vjQYMaAbL25VshZCbJmlvNukTTKGKN0a3IBlw/cX5PBiRayAyNFgWC5bV7u7LIaHrt +Jms3++jlO5H4CqQosRPn+MNQj4tPjDJCQi2yG0U14nMXq4AswifWMsODA2P8GN065sEo9rMtK2y vHmE355b4P+nXmJR1R2nKAV9YnIrqWSX9SbPe2m7CdJIOr3c0QJC8dTncd9wduxEX9Dpr9tLqB/U BgKU7EAH1uxDK8sB8ecw9ik0+rL2Wt82JbGJ2O4Cqhc276Z/y42c3pBNGABPmPLbyHLshQdnX67O dzHhYjTh5sWFxFY7IXXJU/XE7Rfaq4h7hHszmDEH+R5V/Vj7rpr4rXw6NMVpCgFeNQEz1bIghmSF 3bfgSo8yWvqsqo/4b8E1qiXySL2qxzOXGX8tQFf5S7zhAmYoja7P3hIBtUABuDiyWneceFdA777N GixiRvuxD/ziwRU++C+2RG+ud24quWbQGwaSJxei3XPDn2xQVEbfDI4zd6DSmzk5dhMHdmNImFoM OeVnLdhibfVuMY8bSErZDnVU/j+FKGYwSHb1FH2PbLxKRIx8ibOdOMJ5AGzbWmnUyZ0U2soTIBaR Umc3ogyPlbDuYknpBrOexv9x7goMnee2hrAHieOhvnoL8sUlEgidB4sZBmOk0QN1TKVVRyappyaS yBebLXUxD3Z8RUe2s5L/ag6Gg8JO8EcOhRUmxJLKnZHcOBQ/IxbpUVTzW8fTNS2fOmlUkT71myds DglfnU+EYNlu0gEPnOX2qBr0HibdbceayJDWkvTVHPpCSErqpADzbhq8DD93ycPCsE8wYT3fet9+ kOcTe0yec4NN4VN3p/nXfE8WErZId9A15T15ZMmpwNatIVgoGIUHpy+pcTQZ9hGoz7dy8uivr3zG IRkKhJhBsiOrghvIeBvocXqZXsyPN1eipkNwAhHpXnH8z2fSw4zCrKTiiNlgdlZMAQs+HB7kYXQn BiLL+iESeDnD5sPn9bxQjOfiYJvQe4oPTIfRa4smOPyj9ziS1/QmNXslJeqRJ2hNlN6rvOO6WIzG IiiNQNwOgu8KiQsLvGK4cvDW7Zc7GKZFCGCyYNTs2KzgCkUNsJx3PErs710MZ2g6MCubZYAMrfMq TJd+uvC5Q7W09oXy3E7pGsx7ZyH/jVaaIj64pxyacDHf7DAEM+GbnZMWvBzm2HCurCqysy02E2Iv vcHHRPUj7fq97+P3LyYwt1b58ZRNfKoKFZxSzbWNXKSFktUFdETLZYf1U+4v+/LTfpuanSWL8Lot PtSTDBTqXbUeSdL95abEx7FfOQrydPdN99AfUNSf6Q3gKrOGQQa0CVPRf07LIZ1xQVx9ylK6byFM hEO2KC2lbe4wkG2Ns+ln4bRkjPbxjzNIYpoO1+5TKHA3iat4XP05ZUcxGbZ8kDy2XhWqDVNOkoor n6chYUfTVpOF2cKquMwo2r6A123pMxWwCA8B4Cexp1deNlKK+gP24QhJXTYyqlqWamzhVksF8N4F D1A39sImu7V6cBu6VepHCbbjQ+MbQ1qghtT/btIHJL3AkpCbgqMww5rNBzBFUIbEh8kx1K1vrGzd kaEsU10xE/hrM6uyf2EsReIYWZiLQuRPs0zSCmwP8j7xWoZnRuf72VShYt+tcYrBKIeJEus68M/x hGcCKANqBU/xqQeVFKK4Ni54LRVIX0+gsXL0q23zdWMcYpUi9FMdCMIlVJP+9lFfq6SpfDLN9xDt jQ1G1gPggS5B5xJV8fKJFUl+VGwhBXl/1XqB4HgPzwQiL79hztlrZPvRIL3N/BZAUewI+PIH+QQS P7myeZpkvcfycLruT/uj/VtJ62Hcs90Rvxgsk8b4beWXse+fqYOdobcfeU1m5yELm76PcbSmz8I1 G6Oq9WBv/fds3UKjUVVJmQ+ZOCI8uoqSEPqLdJ5RiM4+lV6ZlFaLY3OFhOBZl8g3b3a5cFvZPe8p jdOPLrppFb0j8S/emjUrj5e39413d7FlC40pwOfjOzmdLVy3jCQge0ZW+zHNoUTSCN115HL3SvFW R8gqfWXfqOoYlaN4Rk7obGBvI3H/BTTLCem4V2s3JP4vI+pDGvraSai0n7JdBKiI3JF0taWmFELb c9aOMV+HSWgHtTJVoWsyNuBlv5aIbr9w2zR+vC26TuNDI1Typ3JUVsCrp6kMwI2lBnbs6CDTQoLZ 1zqbwC7VyvfCXkxfF4VsFHUKd4HlMkpp3kMNAsmKOTFc1I9X72EHoorqdVcg9bmoCfz+c1aQADQW 5hkm+PbS25Sgmz8ZTF81EUH9sg+fYygPORu5r2nbf/+zWfviitzB/ooUXciOjkUC/zHLQRcgSsGs z8ZwT8AbeC6UoHPQ9m3JRXNB6EChefivfkkpWLQx99ipntpOHlonBbqvj9nf9cYjDDGOcyvxJ+Ff 5QyqAZlJ3TjVlATJL0YTaH2KtU6IYsa/4a1ubD3Rj++RJo+bQAqwsD6fCHUTXy+b/7z+w+QgiEZf A0v3YZhVVYIdBDbyaqnOlbwFABgkYgMlLCvJK5e7a+Vdx3WHONYiuSKKSEicW6XGtHmUb0OOtnhx KcvPueDr48/Hk8xxpTQlOfSVtkI9Mb6MhW2mQY2wCAkqtjf3Jm2YEiz2vOgqdtO701vTTPhcvQ1O Hgp3ilPwk531NyO2QQKACZNRGoCLnMv0+kAmeiiNCWrK5WOG0qUtJYcOZXHZqYSREf2xoEg6zF3/ R21vnQyNFFEIiZKCbsI/e1wHhWj5jwSmXP8rUCxsL8p/Hh6LE+tFIcq5p9Rg7g4eiueqqcbBWZEu YkjWNRkDzv9r6cuSOiWCoqJZVutSSvgm9xDdne+vE8KbR9/8rXgS5q9AGdScBx68O4fyTJHXpFD9 RgOJ7D2LKRQBPiW2+yYB8pfvZ/xCERotOfUcjHp/zbTSafLgjgOXuatBUhDg83SJd7ItNLkuBtrb MnpD9w6S5nkZvW1pJPgrYdRp0NthR0jCcBGRbNREV4cW3d/uqK/rRX2AYj7h454o2gHVu9BALYi9 J+ZjnSq9V4iIafL5YxbmvPrEgRv99/5EvpwnNMX4AmxcP3Wxb2C1tFqOkTb7rChG6gCd2x8C251p RxqYpiPV+zjHLcMjmYCSa4FAAjkA+HUYFgxGHREWE82T6uDTv+im88DSXSWHgckry0urE5bgPa7j V+ptAbEJ0qk+fd7MqifDSbWBYH7hCQtppogW0ubyEbq6ncYXKWPDqfgNbKgkX9kQmlFvyvhXpZMD Pr2GW28dQHyenIu8UQ38ey+TOBlaV5bTIe+7O2WLUnuECoFCObKpTs2ZSyzrgzFc9S0OEzyJFzin E41VQwLZ//UbGGIa3+6vKEPwOr/oCl8gswLxHzvko9dGnwYy78xKwL3cyhrpoVl29KrYc1qImsi5 CHXARt7vDm0g5hEpwIDzO/LbA6fEcdFz8F1jqj8FFZRHVNgUGCzYABWIUP6tr2sz5WS+OUbn9/WK VeoWQ15uX7231X02LlE8bOkWiHUeDy7h8PkPV+XgYvQjNhKc1hL1XizEaF+ms0eS4jFItJ6dHlDj hMabUP0PIMObWtAHRcNG09jPmL9oGy/2VrOpLTUlp5nRaxzoTpw3qST1s5DxijCzpN2XrKbcbpsY c1KbcfzAgeTCufkCkrs57Wq7juAlclEBV5tjPQhZHwvoedV4NPdu+0fpV+y85ieIQ8/YIJHM05Jk JyuK72ouC60JD5Oamewv89sOv+c5KAA//IOgMXAm0JfenDW0WZQVQYzoUrsv97ZIIIRYgbsABc8m wkkMlz+cmFvqJOKNbSENEXGWO1mYtxc5ZZ2KqsfNCHUfKU+mtqV30eIKHommSRPPP3bBIJF5MSxI 3DJSNE9umIwfXrCit7SGWO+8NwXLneh5tPTlG2Nwoy23Wk3HocJf8J4JUE4P7Y0Yk4X6VcmkWODZ KanCDCFfAKBM9CjR3c4rT8oWIytJPhoXqOR8GhibviLHTN6yPACGTs7DqF95hfvdmLqGppXerAb1 oVLfzgw5UjBjJ3JkcmbkEDYZAl2RAUzSQxze2VLR3HnL7RDl4S0UNx4A5yLNsMiOFUe3mjHnT4dH 3Vm1oLPGeGzNfPnRB4PFwiWRIEkJ91wwzKONdi3NeGVBDIWTko7ekNeXNHaLlOd6aW8C4VA2k5t0 oBqCZIANvKkHdBC14au80tgoeuVPd8P/v+iKKd3UHGJrRmRRUltyT6yhUiHBuaMTPiUXkuFTg6Yf WXNsMk3i2A8u3vlfhpo52ZQ4TVCKE2XLO3jNwisjQCmSTMKyJ6xcAzcQrFi5xkBJPPTf3BWYrbQh fNgmIMYZAUqmfszyvXDIFhGXutE7Z9GTxVED7+cE/9OYVwCUYY70VtuD72UKbbsROVxGOJPio+Wh hVdXhYiwWfZxKnlUKzWjOP2zNH92Dk9kp7ZhUl/goXvT/d+EmTPxM0SgqxNVWTXQtgLynlRZMoRb efgfTtsaRwdakL83MnDmYN+RxIN9fve/rOqbtczs+hia0S3TjI0sJ5OyAGEf+T1RfvvJHsYidJEM +taKHMvrt0yDNaTrPLpB/yT1J+T7cgb4kMYqSNq9lgtLTX7bBlr3ScUvNnOn2YecEw8Upaqt8f3m 3CiOXFR+0VyT9PjeEKQiIdU5SgRYqkSHkYy50XmC8HGnJ5oSc/YM0G7cLU/wTqNQb6AIh468VajA m66AMtGLsX7Q3QGVideDDsbwxf837saxg4dd7IqZjXC31xOv3ZqcfNa+n2XOr/5jNX0EWlawFCAQ YukyRDixpogp11I1rvRY9RKOTs9UjcQAXsby1MTZqEDuHJloAS7nyzC0qdhbaij95JXMgoGIAuq1 uZeoECrgnGzlmYjmxZyG4sychRdfJp0KPtyT50aGSTT3CO38PrzOphBv+Dvm5OV7tj0IK2fPwUDI q2tQme421Jp+Tit02U1XCdTpz4ZfGPPkwsZ0W2FjOYWKWbQfDYJQ9F7uxCwCIh1i11Fu7lu22Os0 W6calsIZnDW4O0k9knYgDI8+EK0eLiEbfuUslz2P0wVUehjkgp2nZelkPrvXtPTZHrY+tDtjPk/b 8bZw7pc8K7va1ZeNUwiWNGOGzNzLSOqEo7dJIn0p7V/iZnNFvfruOVLhx8sqxN/2sC3SrSYWql0G dSNqVASuwJZEnXMKmoGFuRadI8SUviFVcBidGC0c2iNW5s/iqfGx7B/jyITfNroTOv6NH9J3CBY+ TtAggsbnMbDy2u0SOx/BzdsP4ECUkQZknY55z97K4p1RXwTqr4bmPwW/iEC/a88yJK+BtrOZ4jpn Ky4+5XgyMauTJ3HyVuwRPww+hZ2kpDXEUf7hCbegSvK7sd0MRpCCx/4QlguK8eZDkuMEm1fEDuDk 1W3XFegO+B3sPxMH8gIXz07a/HEW4KDWLQVkfePFewQgQLgHyDs4UaGsguAFvmJyoO8uYf8Ag6gs 5sdDlwVvxgytl7k4kpSmX77gtc6U+p6cV2x7IXJ5Hggfx7xxSq9kD5jqu3284R3YYBZj/92L63Wj MhoSR388VzrNXgt7jjSyXpuORi9XPkLa3Py1p/t+UvCNHoFZX9MBCMK1lpJGo/Xk+q4ym1pRKK8P po5+RScMG81vWTo/BA5UDQmWueiInKL7TU/NPt3/BOOPK4W9tRnJobta4Lc0Z/iQMP8NvN7MvzV0 EhW7nPgRyFcsZiAibQ+h5bAy9HxLky/daFGS55TntrYYL0/VrOGvntvhkmRZHsJP5ztdn0lfWmlB GwEYhmi9P2o8FGrCsXabzAjjQL/Rh1bb1CblYiqlkbpXdGhnThizm8gfh0wgkcAyXU/+R8ItC58M bb4QCJkuPjRSClBQ5W4sHO0mu/vUTgXIsva2q3dD6p3NeNQXoVvBv7Hw2FirQgOb+21rpuKPeG0d 7Wi0goVXW4SSHKKw5XZ4yh1CT+u03MzByl1s/Ph42tsfnPY4cqMglqnjhzH9xsmXrYJ+CwiFYqss ++2bG4F/czokO+9oRKMY3BsyU+43100wwr96z1PpMeL0mPOSNQ0J1Jhc3DoN0VGD9oG0LJoE7OiW UGF07kndcAFb5LFqDrmBZ6cyjICc4mYDN/NOSPwfNgRlOsrk9s+EaefxTBvuL4rDTYA1D8Y0rDI5 AWHRbLJzIkYqWUC4tn6SVntbYWeoWXPFbfS4D1tGeZ5WjkzU/O/x/My/GwOpE5YFr5bU+6eS0VJ3 OZkgSrdw6Ym/LlrXlnIHLeyPYp+r6fu4xX2Ncdnql3jAZQpPVlXlngAwI9BbprbV+KxjF0dAj44V KLPQY9HB92XEXwfQ6FDdRO1n8Z9oiY8u4bgOPA350B0pLb283WS50WrFXKAy56NtRW1+DE2o4y4C NgvEJUlM+6HdJZZZ5BhriMOEJzpwugJcddXLhx5ZT0AbudI5Vy1NcYsLUamVfm29h/t6z9FLBEWy MaJkw1QxXAPd00k9d3TGRZDjoiFWsgiBG/DE69RA4v0g57cgchpmFUjMrwd+EQ3OJWUt/szN2Gca mJne6DukRedEbjqXfoTsBWt8PqK0OSzxQXKCBleYmtGk2q/NTlhxInXSDBc000AxxGf0moch2B6S 8c0jheCr8vOZ/LSFmG0WuYeTKENyfs98hBctKwiYMAjcPuX8sN/b3MkpxiwB+XC8b1c8JLMAePw4 YigurJeZAj1CKpnEUQ2WQuNIA3xz388rubzvxqeBajRAyU8V6Pl381j1SXFdhnbBe9tvAPE7b7G2 YTrofeavBQYm9mCiGonDq/zGtToY42CC6dJlz/Bq/C/dQ9LYjP6aUa8QDoCQsuwC7oIRD9BEKsvU YQjlaLJWnE7p2XG4m8W21k4VDExtwRUYvsC/KHcgIx+vBO9EUuSoQhJHMP9jAnLGMB5Zyv0IwGL4 v3PwyOF2XZqU346ZK+UIiCwUyanOgh1P7kQACz9QkYRED185CvHQHEM9krP9IcwZZmGtjP4F2pM2 kExq5Yhl0YU5rSuEla+l2yHTOfaCLUKm+wgPNhyt5GGgT2I9HSfYjmrSQqGfSWzdBRzDLGXAdxzg SomD2OuzMNztoGhyRbtPYdOpZOd143CwLot1Q4BdywLD65n7F4KCcs/5eNvXGfP5wJao0EfTCGxB PLAt0KBBmEXeRgU2K04zn7Z110DtLtarH7OgddeYaADDxphrvdlSrAdjc/jLsD51wDUWU7gs8XqJ O6zg1xkAwZaHWFY6aqGT/qq3MRSUboKGyUts8menn37xvgqMhEOy7YPcGFr1yqChVS+1h+LJPKvU vNwbfhvXk1do6R7Kc3Cd3j+AtM2UDJRkG3O+D1RXq70+KBJDvESR8W66oVsX+BySlDKtWJOWtTCb dxx+s3/3QWt4Ej3edELZrrGet//DSJWXeNlfCvR78RvH+y/+yq50asr0ZFPFVe0aC3W50/iC+b/1 OSNbwsJO9S8weqMjVhdCrJKtNAoyLqfUyeP59lD1ZQMMCt1Re21V/5abJe/0z4cItIowbnnExnnk Z2pJic+ijZym+AXmLIR+sdcIUKEx84AJPJxIfYnrAcGPUk71nuxPN3r6aWxuiIA7H5kNxqfXbDXf F5nyBMdWnuXVPfC0RbBFb4zRC7cwTCUVy+sA4pR/wJBPnRIQ72K8mRc5PeCUa4vTzA+vcMH23Txj ebXokDwHRkvPdp2fdsDe8nc9JDxfOSr7YdamsOBcup87mfbJE3Ns9JMtx7NAUklG9MZUKohCeV4B C6ZxX0V0q6PjpFq7WWY+i6tLyTM9g60sgLV90QDsR10EiJCa2zhSItmrbqB2QZz9cY3S7A2kflPV s1foYED/0W1YhB9qI5v7m40+sgVSJjDn+/QZfK6qMRjk25L27vg2wxqtD70NPMQlkiTnfWC4+yjk NPOYVFMtTMdxYmuwK+5W1sOXPurDTmYTU+MrhUhZFOgjhyuKYA2MjEkVy9+n0u62CIvg70sSRcin hZ1soItMy/zt+xOYM+nNRGBymeXOhBLTaMBRtDyQtcu1w7RXwshV4kXSv3AWufj2P1LuDJEu9YzW GTnjqKWOpp0pODUpz/X9dTvRmRtJcQJpiM5Tq7CohaVeJFYBumdX9ZSuKipjOOpfSKWWG2rkqmxP bToNtfFmPkMvz/5vkA/ECamk7CRe33lRKXSsyLArhUQjvl22N6DXeRSZAcNI6eUERKtHzwEwrW6Y y4OWtRpFIqWPeD3Nq/AM6/nH83qgX2OuQJEZmmOJF7Mw6QuInvilgp2LFGQL74EJ/402lhF1GPMe u7KJJ9D2cDiNkTDAW8Xg0ZhOq8vySetWEB0LJzM4QNhG+9dXR/bJ1LR++wc1QQRNShFqaa4C1Bqc HbRLmAvTDs1W+nt+BSjEyt2260x3jgb3Bdgzqv5+eofGnul7MBJtVTFVPOHyBcrSmzI6m+Ayu/Kr UGO+alxGeosRSwHekRecFMCUNbnyOZSa2h+qC7JfZeIzXyRD6ynUOp70tkqIHd5M4WEiX0Yl7Di/ 4KfskBC1N0rKEr2Nw3DJL8KY0aMHo7tngc28m6mtA91BO1JMB9dEOd+zZAGMlFHfpTwJ7PorChrU EsBv2xK9vqYXm1hlgufifhU1s+OxpW1Z1KvtCDgrUwAcuxsw4e3xf4/hGh1maFzeHoZ4Ywrg03RA EGODr8uSwl+rbHTnYMqod5Jo7pdrBymYP0QxbWi0SoB17jEUFooyHhuCwcZTe+k9rpHFmq+9L3zY +i3OGzzQ4/7Wt0LxsiFY7EiX19s6zTA3t4nZdVN4YlzpMXeZoDpT2RSj9645nCrWJx9e7fI+eN+2 gwzSZkMKxn0PJCXwNiBiuKSxYvYaimDP/T8s7Vbrxc/2Ipycg0OE4w1NtOHnNZbs3OKP4pSOSjO3 KvACL6UtOX9zF4r34Gs+fqIKO3C+6KEfUGgFBcFMv6UGF7siwE8vbVaM2ZTXWah7KDGZnKPDkO26 VAzFgVtrAXBViKLmkZi7MbmDlRfhdJjw3I+Ywe3H38lLpQl6VUVIPAFAftrIT8MtA47Gqbu2K+OW 9o2OOx5nlREdkSVfT0SpBktySJcQErHNkFPrkD0Rnp8jFLmFldLr0Udhl1czXQLH4B9LFkJcyrun /kpnpIjCxK7hXrlzPH5XHjCLuJzdNylII1k3K2+3ceVlXYRlBmHv0BMyGGOFXtPU+JOr1jOr4LJ6 NcKwh3Dgme8FxmiGvln5l8nded12isZK1K2hY+kePfkDuN/qnTa+85gbIvb/PHaYjRek2Nr6RJFq Pt/5JbgJtyfq8ayj86vJwTldZSqLHugTqorXhkxJwNc4MQpwV60crt1XRm5dz4T85hUZj81Fftpa dQ3ubWu+T22RlIrr4YzDvfJ2GPwXXwgxf/hp6S5k5H4kre3wDyJvyEp3R0QxFf/laP96giGq6viN zB/leG6OhPrVAdobLehcFaw2eYg3cLp6aM2yMU6b95CsyKA+SbosKBmcOJ9VachEAUNobPc9FkiX bhXgh7jsL5fPsN+uwcA3lrXQs+sUBe5GOIBoxv2yQbX6EoOZlBCe4SUCscKn+RJDaex/lTTpqnOq FV3og+959z46KVKPzjLA1qFchel4zKg8N3ra4fWC0IuHYO/3nxCWM6sym9vKQY4tExH1ePTAsTfj t6AAEA80QyUYvlYiw1vxcAloXL0x/xSshquNQ8z/MPd/9vdCkWusDmfR165g//9hruu2KR0pR7O7 4C7cNPsRbBG6ygv/1ujZvmztMQCxl345zr+47Ljvc7ivsm+ydNZ7m7ja0XKMOfwb/9uU1CcQqIrX fw39tAHwU4Kmo367Y4IdwkHEDqH1fNegwFLjFA6Ld292IyBvrw3klNhZjNxU5ekKbNK8/TK3/veF ZVVTrNyDjTbbiEelnxgFf6mI76wQWU6ttKoHXcbrrJowuY72amncA9UST56PhUQJ5JVi9ilHboFb HL0S7QdrnNaupQ91DH2myOIyXivDBqi1aYy0sMEsAuG2qs1sVXMTJOaFOp0dlV8eWUB7ePLdAozd YJcY3XylWGMhqbyXgnq8Gp07w/Aw41hxix1xF71ZvrKOKjcwJfMyQTu2rsCwS44mIQXYUsTwX57e KT/dx/mK4HhdSIVLgeI9GSz48JMQLBPg1EsMic7qbHEu1Y9ylbOMz1yosT7D0GR3bv//aHJnyDJm dtCNUiTrHC9hPu9fz9S5fi3XnL6tkLIAtmtOUmMcw8NKOwimYu0brfEROnKGCBmMzOqIsE7ccYGK ri4bCVIaM12QSnjzUi1WrSkj/drjh0Xw2SlJQXHRsCl6KGJWXmu4ywxLuf/VAqZEXzT/eM7C3lhO q8Qw7qB/j9NyKVimF7EqONBMyW9TfDhW+fOiRkqfs8MluM8nxfQl9B9jSeJorssGQbSoJB/E14qJ zQmr29q4gaPmln9u9JD9zbewRCPZVjQpacFZTDvgXJ7v7eXGGzp8HqH1ykMjF7KMXT3uwAPjC1sq 68krFDdUS3rHCSxH3bHTQAC1WA5H1QX7kWzWQZzvxhCJz5CCrzit0GMEhXrhSISHLCdX1FDwEQ1y Ldp7CFWj9jKSMJGQht+G46keMBnWkH+1Mk4ZdmQeg74ojxv4BAauJxVPSzD7qvNDUrvobcNfjI1Y mBvvtJ8j/U7BdaZWftPEuoMLIsvHdSraRZEkyWMAUWND18d7av/BFwzQwyK/uUlQ+EZC2wCs72NR v4QVUvHPj+JlH1mNbt/pmw0MG9+ZjTOcHolA+YVfZWbWO97AKm5eazVWfQu8HnfJ9LEMJzaQnIv6 HrdMPVzgxt9kg3TfmwWgFPBbQf1dc5cX1+u9v234lV4U1UvFFms11GVwN2id4jzDZaua4nEMNjUY iW4KYGoid9yeqp38lCPyHlBJGUx86hGC8PeKGpcipKhwQDdes8SXSiwpq7kY/Yh9g2re+wAf5Qpq 0g5DPX9dQjrR1TT0aJ1AilWd9vnbgP/ZoQ3Hyf5aOF9akaX6lYa+JC6G7PsrjRuAHf+FCrUBo7cL ZuH77pfCY/MfcSgBrw4ZP4ZCYfxqJL55H9bPBKe+En4liJtedpondLn/YyWuu7YXtj2Bhp6MyRl0 ahvJV23QyovmwevfjcXXT0Q0VUh7y1649rVvSpjLYMg6Vu6AvPSbYFpCIK97KijjRYrSe3NGOB9C MB5Ty6/xm1Uv8NcxHCxla4zNhWvRSVdcv24qYtcW7A4zZqlStOi2t5IOJmqNfjHEwC2FsXZHLJRh yOl5eX/uKgZNU/MeHCueZFbFjG6oX5lzK+mhRU2MhCoO7zK4a4akhxRpMQbQ5POvYew3VRiltTtt UhjanJ7h6xrbukcp/G/AGSoFq1fLIv4r4RrIMGMVsWA3RU4YPKXDZ5ali3iO3SNsj+8bAvp1RmHP lSagKVspinwODsUZhPRO+rDkrGbgHX80o0W2tP9sI+Dhu40FaVtzAF7qBzmurylHHUIIre9U0kai eC30Fex3b03wenF8nvxlGjRKQO+LLfbzZl1fphZ3mLRr1vvVdWFpeWOIlI2pxQvKpDM6+LKQesLT Qp7EYDopbYmdXvm7Uj2THdx07oq8ZdzXPEU8ZJw6lqlmGHHIGkOVPm8m/tg/by8j2mqtX3X4ZT9R zSwIAJyALzC5ABD8nyscsiVO6yy5rnhWcX8XaiBGjAi7kgmPaGY34P5hu5ST/bPrWXRdMlGxUCnI Ymece1MuzUwmmhK/QbgmwP+ndlfTAsGnMJbWRZtwjUrWxgbp9QFgshiKB9HrpJVXHDs0mKt5Z4PJ B9LOwObL3KACDCtd29IVEfUkb3d8v1/C3C/Fe3b7VdxKu4QActUvpN9lk9exgiBINBOAUeSv+tvJ Yl1aEFmdmV/RW9p/1fs6Wyu02jd2ETyC97CRYtbdZ1typ62hcJXqWMZHE41wR9e2ZZyeaPgqiyzc 7p3s14d6zL91qEkZXnDtlykf3ZEbRRfBftY5im6kkBZpJYMg6Q+juRzH+iV6kFc8DvmINGLQPCtc YFW3cKyoY+X15COi1b4RPqc1WXEBpGuhJeJES/XYE9sgDNzRY/UQsBi30UNU7Bv/X22Mw05HEK45 lghz3TbCEimkfQfUmFUsZEX3P19zv14dCFF0eNO3RVgDes0v6X9cv3vuvQjTESWT21KPtNH31c77 JpZglXY2qajXf4eIKrDE6ptCBd1Ik2ePCrlDzaY38/YpHk41yOBRICnWJ7RMG65COAaE6q1VGU9z 1lQPOvyLQXt7D90mwprVuZDyvNLUC6/UfZctY5NdogUT/zZJFVW8+5vyTLb6di1igFIBFjJKkRp5 KefXafaFFy3ApG09cmZ1ZBr4khKGpPIe2II7cEHSswRtzwPeAL3Q6PTXBbNuU3gaJEPBVMrZkRcp PotFXz5KcZNZOzgBVj3rT/jBl1HaSuvDbV6LXrHfdl5w/itldzVngVfKUMPJTfbeCxKBVlp69i29 CEC47VTari6W6/LPBJbS2fDWcXInfvoCI+k9eIf9K4bzhOwpUKJecf+AXDAXKHxjOqw9zK1zqYPy vuTp0MFpdXSUj1nc10QjF7wFYYzelTvIeQwTjNOqA8Y9lxFLJK3mtOox3Tr69W33hVMNukLUl09Y EUiTVf5nI15Jk2pxUDa95LfvwH4WTIn1DJLNsIOuv4pSPbcY2Dg8dnWQfW8SOhFxKLGc7n6w4w5H HLLvz39htOmBOC1IKLIEpow5IaGQou/rFEyHz9TJXQSKUhSX4ifR5B+QE7wyPiYUa6XX9uDlZp9E O+KTOq5N7DHWgvAsZc7+P9hdO9WgfJUdL3rcBtx9+ZcAEhOsXeWxAXa7OG5rd/tQDCjSGy64ny6q v+zNxbEnUQo5E3Qz8ZAImKQJd7Ol7+BPyyPtKHJvFzLmuQgFI0lvfyrMZ67/E+BTVNBZtlIA6w8H DiKkXyV7N/pWYYvshI0+HK16i7Q0rPZ1nswXqdiTiK4D7ElKu1X8MYluQtUs6qdBQCpEkgMNs3eU DoeZQD3ZAbBnueqlJ5CU0oHDoxPIONUYXU7SOKumLk9z8m2jx3nnhePQqX6t1hIhSbk7avLnQhAu iewxGkWiuFgTwwAT7sg8KhDAv1olD9b7Nk+hJqRGBtEwvaUGg9AOZy75of5w2VNM0ICNxLrqyYjs Uuag63/6AHTL7QEig5Oyw1BtOcJwPrY0WVUx4JFas6ihTzGtAxrFQaxL28RUcIjk7GuLm5rsLsrK vYReU2NSte/IVsCA5VV0Q5/75a5QhkEB+vvn78j3ggJDiQVi7Wg82w6zw8MNrKlplI9OCnLtuPUz H3SvFH/CCOtLiHksKNNws0ASCxl+i+C3fPQufSNTynpSh+8zDwFHSe/hyhbOgdE01gPaTCFaECOC 8TovEWHmd4Z4gLESO47Y/8C2LR9Nr3CZ9VDkgxWy9IuEmLJIylQY+QZKh0kv2e+5BbmA919Gs/1R 8IsG6tmjxHWRJomispqB+ihc8RCoXvZ5OcQrhEuQQL1PoRkwHzhR7deIVCI+mlnhjwIQyg4AFb6O zANpMQIz2L2mqgIv7eaZcUpaaIwSNr3kXwjiGedmthnV/8RP04jxGUslwMctXIF3ZZfBEJude8tP JAsXWc7W98lijA5BPDHr+w5g/nApUhozi8xSHLPAe7Psuyiw `protect end_protected
bsd-2-clause
acd8d37ab32e405ba1dfd6325380deff
0.949758
1.822965
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/cache/tagmemcoupled.vhd
1
8,626
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() library commonlib; use commonlib.types_common.all; library techmap; use techmap.types_mem.all; library riverlib; use riverlib.types_cache.all; entity tagmemcoupled is generic ( memtech : integer := 0; async_reset : boolean := false; abus : integer := 64; -- system bus address bus (32 or 64 bits) waybits : integer := 2; -- log2 of number of ways bits (=2 for 4 ways) ibits : integer := 7; -- lines memory addres width (usually 6..8) lnbits : integer := 5; -- One line bits: log2(bytes_per_line) flbits : integer := 1 -- Total flags number saved with address tag ); port ( i_clk : in std_logic; i_nrst : in std_logic; i_direct_access : in std_logic; i_invalidate : in std_logic; i_re : in std_logic; i_we : in std_logic; i_addr : in std_logic_vector(abus-1 downto 0); i_wdata : in std_logic_vector(8*(2**lnbits)-1 downto 0); i_wstrb : in std_logic_vector(2**lnbits-1 downto 0); i_wflags : in std_logic_vector(flbits-1 downto 0); o_raddr : out std_logic_vector(abus-1 downto 0); o_rdata : out std_logic_vector(8*(2**lnbits)+15 downto 0); o_rflags : out std_logic_vector(flbits-1 downto 0); o_hit : out std_logic; o_hit_next : out std_logic ); end; architecture arch_tagmemcoupled of tagmemcoupled is constant TAG_START : integer := abus - (ibits + lnbits); constant EVEN : integer := 0; constant ODD : integer := 1; constant MemTotal : integer := 2; type tagmem_in_type is record direct_access : std_logic; invalidate : std_logic; re : std_logic; we : std_logic; addr : std_logic_vector(abus-1 downto 0); wdata : std_logic_vector(8*(2**lnbits)-1 downto 0); wstrb : std_logic_vector((2**lnbits)-1 downto 0); wflags : std_logic_vector(flbits-1 downto 0); snoop_addr : std_logic_vector(abus-1 downto 0); end record; type tagmem_out_type is record raddr : std_logic_vector(abus-1 downto 0); rdata : std_logic_vector(8*(2**lnbits)-1 downto 0); rflags : std_logic_vector(flbits-1 downto 0); hit : std_logic; snoop_ready : std_logic; snoop_flags : std_logic_vector(flbits-1 downto 0); end record; type tagmem_in_vector is array (0 to MemTotal-1) of tagmem_in_type; type tagmem_out_vector is array (0 to MemTotal-1) of tagmem_out_type; signal r_req_addr : std_logic_vector(abus-1 downto 0); signal linei : tagmem_in_vector; signal lineo : tagmem_out_vector; begin dx : for i in 0 to MemTotal-1 generate memx : tagmemnway generic map ( async_reset => async_reset, memtech => memtech, abus => abus, waybits => waybits, ibits => ibits - 1, lnbits => lnbits, flbits => flbits, snoop => false ) port map ( i_clk => i_clk, i_nrst => i_nrst, i_direct_access => linei(i).direct_access, i_invalidate => linei(i).invalidate, i_re => linei(i).re, i_we => linei(i).we, i_addr => linei(i).addr, i_wdata => linei(i).wdata, i_wstrb => linei(i).wstrb, i_wflags => linei(i).wflags, o_raddr => lineo(i).raddr, o_rdata => lineo(i).rdata, o_rflags => lineo(i).rflags, o_hit => lineo(i).hit, i_snoop_addr => linei(i).snoop_addr, o_snoop_ready => lineo(i).snoop_ready, o_snoop_flags => lineo(i).snoop_flags ); end generate; comb : process(i_nrst, i_direct_access, i_invalidate, i_re, i_we, i_addr, i_wstrb, i_wdata, i_wflags, lineo, r_req_addr) variable v_addr_sel : std_logic; variable v_addr_sel_r : std_logic; variable v_use_overlay : std_logic; variable v_use_overlay_r : std_logic; variable vb_index : std_logic_vector(ibits-1 downto 0); variable vb_index_next : std_logic_vector(ibits-1 downto 0); variable vb_addr_next : std_logic_vector(abus-1 downto 0); variable vb_addr_tag_direct : std_logic_vector(abus-1 downto 0); variable vb_addr_tag_next : std_logic_vector(abus-1 downto 0); variable vb_raddr_tag : std_logic_vector(abus-1 downto 0); variable vb_o_raddr : std_logic_vector(abus-1 downto 0); variable vb_o_rdata : std_logic_vector(8*(2**lnbits)+15 downto 0); variable v_o_hit : std_logic; variable v_o_hit_next : std_logic; variable vb_o_rflags : std_logic_vector(flbits-1 downto 0); begin v_addr_sel := i_addr(lnbits); v_addr_sel_r := r_req_addr(lnbits); vb_addr_next := i_addr + (2**lnbits); vb_index := i_addr(ibits+lnbits-1 downto lnbits); vb_index_next := vb_addr_next(ibits+lnbits-1 downto lnbits); v_use_overlay := and_reduce(i_addr(lnbits-1 downto 1)); v_use_overlay_r := and_reduce(r_req_addr(lnbits-1 downto 1)); -- Change the bit order in the requested address: -- [tag][line_idx][odd/evenbit][line_bytes] on -- [tag][1'b0] [line_idx] [line_bytes] -- -- Example (abus=32; ibits=7; lnbits=5;): -- [4:0] byte in line [4:0] -- [11:5] line index {[1'b0],[11:6]} -- [31:12] tag [31:12] vb_addr_tag_direct := i_addr; vb_addr_tag_direct(ibits + lnbits - 1 downto lnbits) := '0' & vb_index(ibits-1 downto 1); vb_addr_tag_next := vb_addr_next; vb_addr_tag_next(ibits + lnbits - 1 downto lnbits) := '0' & vb_index_next(ibits-1 downto 1); if v_addr_sel = '0' then linei(EVEN).addr <= vb_addr_tag_direct; linei(EVEN).wstrb <= i_wstrb; linei(ODD).addr <= vb_addr_tag_next; linei(ODD).wstrb <= (others => '0'); else linei(EVEN).addr <= vb_addr_tag_next; linei(EVEN).wstrb <= (others => '0'); linei(ODD).addr <= vb_addr_tag_direct; linei(ODD).wstrb <= i_wstrb; end if; linei(EVEN).direct_access <= i_direct_access and ((not v_addr_sel) or v_use_overlay); linei(ODD).direct_access <= i_direct_access and (v_addr_sel or v_use_overlay); linei(EVEN).invalidate <= i_invalidate and ((not v_addr_sel) or v_use_overlay); linei(ODD).invalidate <= i_invalidate and (v_addr_sel or v_use_overlay); linei(EVEN).re <= i_re and ((not v_addr_sel) or v_use_overlay); linei(ODD).re <= i_re and (v_addr_sel or v_use_overlay); linei(EVEN).we <= i_we and ((not v_addr_sel) or v_use_overlay); linei(ODD).we <= i_we and (v_addr_sel or v_use_overlay); linei(EVEN).wdata <= i_wdata; linei(ODD).wdata <= i_wdata; linei(EVEN).wflags <= i_wflags; linei(ODD).wflags <= i_wflags; -- Form output: if v_addr_sel_r = '0' then vb_o_rdata := lineo(ODD).rdata(15 downto 0) & lineo(EVEN).rdata; vb_raddr_tag := lineo(EVEN).raddr; vb_o_rflags := lineo(EVEN).rflags; v_o_hit := lineo(EVEN).hit; if v_use_overlay_r = '0' then v_o_hit_next := lineo(EVEN).hit; else v_o_hit_next := lineo(ODD).hit; end if; else vb_o_rdata := lineo(EVEN).rdata(15 downto 0) & lineo(ODD).rdata; vb_raddr_tag := lineo(ODD).raddr; vb_o_rflags := lineo(ODD).rflags; v_o_hit := lineo(ODD).hit; if v_use_overlay_r = '0' then v_o_hit_next := lineo(ODD).hit; else v_o_hit_next := lineo(EVEN).hit; end if; end if; vb_o_raddr := vb_raddr_tag; vb_o_raddr(lnbits) := v_addr_sel_r; vb_o_raddr(ibits + lnbits - 1 downto lnbits + 1) := vb_raddr_tag(ibits + lnbits - 2 downto lnbits); o_raddr <= vb_o_raddr; o_rdata <= vb_o_rdata; o_rflags <= vb_o_rflags; o_hit <= v_o_hit; o_hit_next <= v_o_hit_next; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r_req_addr <= (others => '0'); elsif rising_edge(i_clk) then r_req_addr <= i_addr; end if; end process; end;
apache-2.0
c6abb48fea0d8178da331c7932d45260
0.601669
2.987877
false
false
false
false
quicky2000/top_chenillard
chenillard.vhd
1
2,153
-- -- This file is part of top_chenillard -- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr ) -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity chenillard is port( clk : in std_logic; reset : in std_logic; button : in std_logic; led1 : out std_logic; led2 : out std_logic; led3 : out std_logic; led4 : out std_logic ); end chenillard; architecture Behavioral of chenillard is type state_type is (e1,e2,e3,e4,e5,e6); signal state,next_state : state_type; begin --state register process(clk,reset) begin if reset = '1' then state <= e1; elsif rising_edge(clk) then state <= next_state; end if; end process; --state transition process(state) begin case state is when e1 => next_state <= e2; when e2 => next_state <= e3; when e3 => next_state <= e4; when e4 => next_state <= e5; when e5 => next_state <= e6; when e6 => next_state <= e1; end case; end process; --output function led1 <= '1' when state = e1 else '0'; led2 <= '1' when state = e2 or state = e6 else '0'; led3 <= '1' when state = e3 or state = e5 else '0'; led4 <= '1' when state = e4 else '0'; end Behavioral;
gpl-3.0
f59eead7f81df9e68fa248f2ba08c39a
0.677659
3.218236
false
false
false
false
mharndt/profibusmonitor
VHDL_Bausteine_old/abandoned_code/TEST_CTRL_9P6_50MHZ_SCH/CTRL_9P6_50MHZ.vhd
2
44,032
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 17.01.2013 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal -- ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CHOSE_VALUE : in std_logic; --Eingangsvariable, Zählerwert aendern DISPL_COUNT : in std_logic; --Eingangsvariable, Counter anzeigen DISPL_COUNT_SWITCH : in std_logic; --Eingangsvariable, Counter wählen FIRST_BYTE : in std_logic; --Eingangsvariable, Nur immer erstes Byte lesen CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig BYTE_OUT : out std_logic_vector (7 downto 0); --Ausgangsvariable, Vektor >> Normal:(7 downto 0); TEST:(8 downto 0) PARITY_OK : out std_logic; --Ausgangsvariable, Parität in Ordnung CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende CTRL_9P6_50MHZ ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, -- ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13, --19 ST_CTRL_14); --20 type TYPE_STATE_BR_BIT0 is (ST_BR_EN_BIT0_0, --Zustaende BIT_REGISTER BIT0 ST_BR_EN_BIT0_1); type TYPE_STATE_BR_BIT1 is (ST_BR_EN_BIT1_0, --Zustaende BIT_REGISTER BIT1 ST_BR_EN_BIT1_1); type TYPE_STATE_BR_BIT2 is (ST_BR_EN_BIT2_0, --Zustaende BIT_REGISTER BIT2 ST_BR_EN_BIT2_1); type TYPE_STATE_BR_BIT3 is (ST_BR_EN_BIT3_0, --Zustaende BIT_REGISTER BIT3 ST_BR_EN_BIT3_1); type TYPE_STATE_BR_BIT4 is (ST_BR_EN_BIT4_0, --Zustaende BIT_REGISTER BIT4 ST_BR_EN_BIT4_1); type TYPE_STATE_BR_BIT5 is (ST_BR_EN_BIT5_0, --Zustaende BIT_REGISTER BIT5 ST_BR_EN_BIT5_1); type TYPE_STATE_BR_BIT6 is (ST_BR_EN_BIT6_0, --Zustaende BIT_REGISTER BIT6 ST_BR_EN_BIT6_1); type TYPE_STATE_BR_BIT7 is (ST_BR_EN_BIT7_0, --Zustaende BIT_REGISTER BIT7 ST_BR_EN_BIT7_1); type TYPE_STATE_BR_BIT8 is (ST_BR_EN_BIT8_0, --Zustaende BIT_REGISTER BIT8 ST_BR_EN_BIT8_1); signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal SV_BR_BIT0 : TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0 signal n_SV_BR_BIT0: TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0, neuer Wert signal SV_BR_BIT0_M: TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0, Ausgang Master signal SV_BR_BIT1 : TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1 signal n_SV_BR_BIT1: TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1, neuer Wert signal SV_BR_BIT1_M: TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1, Ausgang Master signal SV_BR_BIT2 : TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2 signal n_SV_BR_BIT2: TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2, neuer Wert signal SV_BR_BIT2_M: TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2, Ausgang Master signal SV_BR_BIT3 : TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3 signal n_SV_BR_BIT3: TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3, neuer Wert signal SV_BR_BIT3_M: TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3, Ausgang Master signal SV_BR_BIT4 : TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4 signal n_SV_BR_BIT4: TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4, neuer Wert signal SV_BR_BIT4_M: TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4, Ausgang Master signal SV_BR_BIT5 : TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5 signal n_SV_BR_BIT5: TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5, neuer Wert signal SV_BR_BIT5_M: TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5, Ausgang Master signal SV_BR_BIT6 : TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6 signal n_SV_BR_BIT6: TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6, neuer Wert signal SV_BR_BIT6_M: TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6, Ausgang Master signal SV_BR_BIT7 : TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7 signal n_SV_BR_BIT7: TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7, neuer Wert signal SV_BR_BIT7_M: TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7, Ausgang Master signal SV_BR_BIT8 : TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8 signal n_SV_BR_BIT8: TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8, neuer Wert signal SV_BR_BIT8_M: TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8, Ausgang Master signal BYTE_VEC : std_logic_vector (8 downto 0); -- Vektor, BIT_REGSITER, vor Auswertung der Checksume signal BIT_VALUE : std_logic; -- Wert aktuelles Bit signal COUNT_L : std_logic_vector (19 downto 0); --großer Zaehler, Vektor, 20 Bit signal n_COUNT_L : std_logic_vector (19 downto 0); --großer Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_L_M : std_logic_vector (19 downto 0); --großer Zaehler, Ausgang Master, Vektor, 20 Bit signal COUNT_S : std_logic_vector (15 downto 0); --kleiner Zaehler, Vektor, 16 Bit signal n_COUNT_S : std_logic_vector (15 downto 0); --kleiner Zaehler, neuer Wert, Vektor, 16 Bit signal COUNT_S_M : std_logic_vector (15 downto 0); --kleiner Zaehler, Ausgang Master, Vektor, 16 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister signal EN_BIT_0 : std_logic; --BIT0 signal EN_BIT_1 : std_logic; --BIT1 signal EN_BIT_2 : std_logic; --BIT2 signal EN_BIT_3 : std_logic; --BIT3 signal EN_BIT_4 : std_logic; --BIT4 signal EN_BIT_5 : std_logic; --BIT5 signal EN_BIT_6 : std_logic; --BIT6 signal EN_BIT_7 : std_logic; --BIT7 signal EN_BIT_8 : std_logic; --Paritätsbit signal CNTS30 : std_logic_vector (19 downto 0); --Zählerwerte signal CNTT01 : std_logic_vector (15 downto 0); signal CNTT02 : std_logic_vector (15 downto 0); signal CNTT03 : std_logic_vector (15 downto 0); signal CNTT04 : std_logic_vector (15 downto 0); signal CNTT05 : std_logic_vector (15 downto 0); signal CNTT06 : std_logic_vector (15 downto 0); signal CNTT07 : std_logic_vector (15 downto 0); signal CNTT08 : std_logic_vector (15 downto 0); signal CNTT09 : std_logic_vector (15 downto 0); signal CNTT10 : std_logic_vector (15 downto 0); signal CNTT11 : std_logic_vector (15 downto 0); signal CNTT12 : std_logic_vector (15 downto 0); signal CNTT13 : std_logic_vector (15 downto 0); signal TMP00 : std_logic; --temporärer Zwischenwert, Paritätsprüfung signal TMP01 : std_logic; signal TMP02 : std_logic; signal TMP03 : std_logic; signal TMP10 : std_logic; signal TMP11 : std_logic; signal TMP20 : std_logic; --Konstanten, lang constant long_CNTS30 : std_logic_vector := x"2625A"; --20 Bit constant long_CNTT01 : std_logic_vector := x"0A2C"; --16 Bit constant long_CNTT02 : std_logic_vector := x"1E84"; --usw. constant long_CNTT03 : std_logic_vector := x"32DC"; constant long_CNTT04 : std_logic_vector := x"4735"; constant long_CNTT05 : std_logic_vector := x"5B8B"; constant long_CNTT06 : std_logic_vector := x"6FE4"; constant long_CNTT07 : std_logic_vector := x"8441"; constant long_CNTT08 : std_logic_vector := x"9872"; constant long_CNTT09 : std_logic_vector := x"ACEE"; constant long_CNTT10 : std_logic_vector := x"C147"; constant long_CNTT11 : std_logic_vector := x"D59F"; constant long_CNTT12 : std_logic_vector := x"EE09"; constant long_CNTT13 : std_logic_vector := x"FA3E"; --Konstanten, kurz constant short_CNTS30 : std_logic_vector := x"0000A"; --10 constant short_CNTT01 : std_logic_vector := x"0003"; --3 constant short_CNTT02 : std_logic_vector := x"0006"; --6 constant short_CNTT03 : std_logic_vector := x"0009"; --9 constant short_CNTT04 : std_logic_vector := x"000C"; --12 constant short_CNTT05 : std_logic_vector := x"000F"; --15 constant short_CNTT06 : std_logic_vector := x"0012"; --18 constant short_CNTT07 : std_logic_vector := x"0015"; --21 constant short_CNTT08 : std_logic_vector := x"0018"; --24 constant short_CNTT09 : std_logic_vector := x"001B"; --27 constant short_CNTT10 : std_logic_vector := x"001E"; --30 constant short_CNTT11 : std_logic_vector := x"0021"; --33 constant short_CNTT12 : std_logic_vector := x"0024"; --36 constant short_CNTT13 : std_logic_vector := x"002A"; --42 begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_SV_BR_BIT0, n_SV_BR_BIT1, n_SV_BR_BIT2, n_SV_BR_BIT3, n_SV_BR_BIT4, n_SV_BR_BIT5, n_SV_BR_BIT6, n_SV_BR_BIT7, n_SV_BR_BIT8, n_COUNT_L,n_COUNT_S, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; SV_BR_BIT0_M <= ST_BR_EN_BIT0_0; SV_BR_BIT1_M <= ST_BR_EN_BIT1_0; SV_BR_BIT2_M <= ST_BR_EN_BIT2_0; SV_BR_BIT3_M <= ST_BR_EN_BIT3_0; SV_BR_BIT4_M <= ST_BR_EN_BIT4_0; SV_BR_BIT5_M <= ST_BR_EN_BIT5_0; SV_BR_BIT6_M <= ST_BR_EN_BIT6_0; SV_BR_BIT7_M <= ST_BR_EN_BIT7_0; SV_BR_BIT8_M <= ST_BR_EN_BIT8_0; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; SV_BR_BIT0_M <= n_SV_BR_BIT0; SV_BR_BIT1_M <= n_SV_BR_BIT1; SV_BR_BIT2_M <= n_SV_BR_BIT2; SV_BR_BIT3_M <= n_SV_BR_BIT3; SV_BR_BIT4_M <= n_SV_BR_BIT4; SV_BR_BIT5_M <= n_SV_BR_BIT5; SV_BR_BIT6_M <= n_SV_BR_BIT6; SV_BR_BIT7_M <= n_SV_BR_BIT7; SV_BR_BIT8_M <= n_SV_BR_BIT8; COUNT_L_M <= n_COUNT_L; COUNT_S_M <= n_COUNT_S; else SV_M <= SV_M; SV_BR_BIT0_M <= SV_BR_BIT0_M; SV_BR_BIT1_M <= SV_BR_BIT1_M; SV_BR_BIT2_M <= SV_BR_BIT2_M; SV_BR_BIT3_M <= SV_BR_BIT3_M; SV_BR_BIT4_M <= SV_BR_BIT4_M; SV_BR_BIT5_M <= SV_BR_BIT5_M; SV_BR_BIT6_M <= SV_BR_BIT6_M; SV_BR_BIT7_M <= SV_BR_BIT7_M; SV_BR_BIT8_M <= SV_BR_BIT8_M; COUNT_L_M <= COUNT_L_M; COUNT_S_M <= COUNT_S_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, SV_BR_BIT0_M, SV_BR_BIT1_M, SV_BR_BIT2_M, SV_BR_BIT3_M, SV_BR_BIT4_M, SV_BR_BIT5_M, SV_BR_BIT6_M, SV_BR_BIT7_M, SV_BR_BIT8_M, COUNT_L_M, COUNT_S_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; SV_BR_BIT0 <= ST_BR_EN_BIT0_0; SV_BR_BIT1 <= ST_BR_EN_BIT1_0; SV_BR_BIT2 <= ST_BR_EN_BIT2_0; SV_BR_BIT3 <= ST_BR_EN_BIT3_0; SV_BR_BIT4 <= ST_BR_EN_BIT4_0; SV_BR_BIT5 <= ST_BR_EN_BIT5_0; SV_BR_BIT6 <= ST_BR_EN_BIT6_0; SV_BR_BIT7 <= ST_BR_EN_BIT7_0; SV_BR_BIT8 <= ST_BR_EN_BIT8_0; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; SV_BR_BIT0 <= SV_BR_BIT0_M; SV_BR_BIT1 <= SV_BR_BIT1_M; SV_BR_BIT2 <= SV_BR_BIT2_M; SV_BR_BIT3 <= SV_BR_BIT3_M; SV_BR_BIT4 <= SV_BR_BIT4_M; SV_BR_BIT5 <= SV_BR_BIT5_M; SV_BR_BIT6 <= SV_BR_BIT6_M; SV_BR_BIT7 <= SV_BR_BIT7_M; SV_BR_BIT8 <= SV_BR_BIT8_M; COUNT_L <= COUNT_L_M; COUNT_S <= COUNT_S_M; end if; end if; end process; BIT_REGISTER_EN_BIT_0_PROC:process (SV_BR_BIT0, n_SV_BR_BIT0, EN_BIT_0, BIT_VALUE) --BIT_REGISTER Bit0 begin case SV_BR_BIT0 is when ST_BR_EN_BIT0_0 => BYTE_VEC(0)<='0'; if (EN_BIT_0 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_EN_BIT0_1 then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; when ST_BR_EN_BIT0_1 => -- EN_BIT_0 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(0) = 1 BYTE_VEC(0)<='1'; if (EN_BIT_0 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end case; end process; BIT_REGISTER_EN_BIT_1_PROC:process (SV_BR_BIT1, n_SV_BR_BIT1, EN_BIT_1, BIT_VALUE) --BIT_REGISTER Bit1 begin case SV_BR_BIT1 is when ST_BR_EN_BIT1_0 => BYTE_VEC(1)<='0'; if (EN_BIT_1 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT1_1 then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; when ST_BR_EN_BIT1_1 => -- EN_BIT_1 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(1) = 1 BYTE_VEC(1)<='1'; if (EN_BIT_1 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end case; end process; BIT_REGISTER_EN_BIT_2_PROC:process (SV_BR_BIT2, n_SV_BR_BIT2, EN_BIT_2, BIT_VALUE) --BIT_REGISTER Bit1 begin case SV_BR_BIT2 is when ST_BR_EN_BIT2_0 => BYTE_VEC(2)<='0'; if (EN_BIT_2 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT2_1 then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; when ST_BR_EN_BIT2_1 => -- EN_BIT_2 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(2) = 1 BYTE_VEC(2)<='1'; if (EN_BIT_2 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end case; end process; BIT_REGISTER_EN_BIT_3_PROC:process (SV_BR_BIT3, n_SV_BR_BIT3, EN_BIT_3, BIT_VALUE) --BIT_REGISTER Bit1 begin case SV_BR_BIT3 is when ST_BR_EN_BIT3_0 => BYTE_VEC(3)<='0'; if (EN_BIT_3 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT3_1 then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; when ST_BR_EN_BIT3_1 => -- EN_BIT_3 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(3) = 1 BYTE_VEC(3)<='1'; if (EN_BIT_3 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end case; end process; BIT_REGISTER_EN_BIT_4_PROC:process (SV_BR_BIT4, n_SV_BR_BIT4, EN_BIT_4, BIT_VALUE) --BIT_REGISTER Bit1 begin case SV_BR_BIT4 is when ST_BR_EN_BIT4_0 => BYTE_VEC(4)<='0'; if (EN_BIT_4 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT4_1 then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; when ST_BR_EN_BIT4_1 => -- EN_BIT_4 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(4) = 1 BYTE_VEC(4)<='1'; if (EN_BIT_4 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end case; end process; BIT_REGISTER_EN_BIT_5_PROC:process (SV_BR_BIT5, n_SV_BR_BIT5, EN_BIT_5, BIT_VALUE) --BIT_REGISTER Bit1 begin case SV_BR_BIT5 is when ST_BR_EN_BIT5_0 => BYTE_VEC(5)<='0'; if (EN_BIT_5 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT5_1 then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; when ST_BR_EN_BIT5_1 => -- EN_BIT_5 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(5) = 1 BYTE_VEC(5)<='1'; if (EN_BIT_5 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end case; end process; BIT_REGISTER_EN_BIT_6_PROC:process (SV_BR_BIT6, n_SV_BR_BIT6, EN_BIT_6, BIT_VALUE) --BIT_REGISTER Bit6 begin case SV_BR_BIT6 is when ST_BR_EN_BIT6_0 => BYTE_VEC(6)<='0'; if (EN_BIT_6 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT6_1 then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; when ST_BR_EN_BIT6_1 => -- EN_BIT_6 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(6) = 1 BYTE_VEC(6)<='1'; if (EN_BIT_6 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end case; end process; BIT_REGISTER_EN_BIT_7_PROC:process (SV_BR_BIT7, n_SV_BR_BIT7, EN_BIT_7, BIT_VALUE) --BIT_REGISTER Bit7 begin case SV_BR_BIT7 is when ST_BR_EN_BIT7_0 => BYTE_VEC(7)<='0'; if (EN_BIT_7 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT7_1 then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; when ST_BR_EN_BIT7_1 => -- EN_BIT_7 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(7) = 1 BYTE_VEC(7)<='1'; if (EN_BIT_7 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end case; end process; BIT_REGISTER_EN_BIT_8_PROC:process (SV_BR_BIT8, n_SV_BR_BIT8, EN_BIT_8, BIT_VALUE) --BIT_REGISTER Bit8 begin case SV_BR_BIT8 is when ST_BR_EN_BIT8_0 => BYTE_VEC(8)<='0'; if (EN_BIT_8 = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT8_1 then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; when ST_BR_EN_BIT8_1 => -- EN_BIT_8 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(8) = 1 BYTE_VEC(8)<='1'; if (EN_BIT_8 = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end case; end process; IL_OL_PROC: process (InAB_S, SV, COUNT_L,COUNT_S, FIRST_BYTE, CNTS30, CNTT01, CNTT02, CNTT03, CNTT04, CNTT05, CNTT06, CNTT07, CNTT08, CNTT09, CNTT10, CNTT11, CNTT12, CNTT13) -- , ERROR_QUIT begin case SV is when ST_CTRL_00 => if (InAB_S = '1') then -- VAS00 n_COUNT_L <= x"00000"; -- großer Zaehler Neustart n_COUNT_S <= x"0000"; -- kleiner Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else --VAS00 n_COUNT_L <= x"00000"; -- großer Zaehler nullen n_COUNT_S <= x"0000"; -- kleiner Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (COUNT_L = CNTS30) --156250 -- if (COUNT >=3) then -- VAS01 n_COUNT_L <= COUNT_L+1; n_COUNT_S <= x"0000"; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT_L <= COUNT_L+1; n_COUNT_S <= x"0000"; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 n_COUNT_L <= x"00000"; -- Zaehler Neustart n_COUNT_S <= x"0000"; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT_L <= COUNT_L+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) n_COUNT_S <= x"0000"; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (COUNT_S = CNTT01) --2604 then -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS03 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_00; --Error end if; -- when ST_CTRL_05 => -- if (ERROR_QUIT = '0') -- Error nicht bestätigt -- then -- -- VAS03 -- n_COUNT_L <= x"00000"; -- n_COUNT_S <= COUNT_S+1; -- EN_BIT_0 <= '0'; -- EN_BIT_1 <= '0'; -- EN_BIT_2 <= '0'; -- EN_BIT_3 <= '0'; -- EN_BIT_4 <= '0'; -- EN_BIT_5 <= '0'; -- EN_BIT_6 <= '0'; -- EN_BIT_7 <= '0'; -- EN_BIT_8 <= '0'; -- BIT_VALUE <= '0'; -- BYTE_OK <= '0'; -- CTRL_ERROR <= '1'; -- n_SV <= ST_CTRL_05; -- Fehlerschleife -- else --ERROR_QUIT = '1' -- VAS00 -- n_COUNT_L <= x"00000"; -- Zaehler nullen -- n_COUNT_S <= x"0000"; -- Zaehler nullen -- EN_BIT_0 <= '0'; -- EN_BIT_1 <= '0'; -- EN_BIT_2 <= '0'; -- EN_BIT_3 <= '0'; -- EN_BIT_4 <= '0'; -- EN_BIT_5 <= '0'; -- EN_BIT_6 <= '0'; -- EN_BIT_7 <= '0'; -- EN_BIT_8 <= '0'; -- BIT_VALUE <= '0'; -- BYTE_OK <= '0'; -- CTRL_ERROR <= '0'; -- n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand -- end if; when ST_CTRL_06 => if (COUNT_S = CNTT02) --7812 then -- VAS04 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (COUNT_S = CNTT03) --13020 then -- VAS05 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (COUNT_S = CNTT04) --18229 then -- VAS06 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (COUNT_S = CNTT05) --23435 then -- VAS07 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (COUNT_S = CNTT06) --28644 then -- VAS08 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (COUNT_S = CNTT07) --33854 then -- VAS09 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (COUNT_S = CNTT08) --39062 then -- VAS10 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (COUNT_S = CNTT09) --44270 then -- VAS11 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (COUNT_S = CNTT10) --49479 then -- VAS12 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (COUNT_S = CNTT11) --54687 then -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS03 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_00; -- Error: Kein Stoppbit; ST_CTRL_05 vorher else --InAB_S = '1' -- VAS13 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (FIRST_BYTE = '1') then -- VAS00 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_00; -- Stopp nach einem Byte else --FIRST_BYTE = '0' -- VAS14 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --kein Stop gesetzt end if; when ST_CTRL_12 => if (COUNT_S = CNTT12) --60937 then -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife end if; when ST_CTRL_13 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 n_COUNT_L <= x"00000"; -- Zaehler Neustart n_COUNT_S <= x"0000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang; else -- InAB_S = '1' -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_14; --Zaehlschleife Teil 1 end if; when ST_CTRL_14 => if (COUNT_S = CNTT13) --64062 then -- VAS00 n_COUNT_L <= x"00000"; -- Zaehler nullen n_COUNT_S <= x"0000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS02 n_COUNT_L <= x"00000"; n_COUNT_S <= COUNT_S+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 2 end if; when others => -- VAS00 n_COUNT_L <= x"00000"; -- Zaehler Neustart n_COUNT_S <= x"0000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_00; end case; end process; PARITY_CHECK_PROC: process (BYTE_VEC) --Paritätsprüfung begin TMP00 <= BYTE_VEC(0) xor BYTE_VEC(1); TMP01 <= BYTE_VEC(2) xor BYTE_VEC(3); TMP02 <= BYTE_VEC(4) xor BYTE_VEC(5); TMP03 <= BYTE_VEC(6) xor BYTE_VEC(7); TMP10 <= TMP00 xor TMP01; TMP11 <= TMP02 xor TMP03; TMP20 <= TMP10 xor TMP11; if (TMP20 = BYTE_VEC(8)) then PARITY_OK <= '1'; -- Parität korrekt else PARITY_OK <= '0'; -- Parität fehlerhaft end if; end process; BYTE_OUT_PORC: process (BYTE_VEC) --BYTEausgabe begin BYTE_OUT(0) <= BYTE_VEC(0); BYTE_OUT(1) <= BYTE_VEC(1); BYTE_OUT(2) <= BYTE_VEC(2); BYTE_OUT(3) <= BYTE_VEC(3); BYTE_OUT(4) <= BYTE_VEC(4); BYTE_OUT(5) <= BYTE_VEC(5); BYTE_OUT(6) <= BYTE_VEC(6); BYTE_OUT(7) <= BYTE_VEC(7); -- BYTE_OUT(8) <= BYTE_VEC(8); --Bit 8 Test, nach Test entfernen end process; STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, DISPL_COUNT_SWITCH, LONG_STATE_SV, LONG_STATE_n_SV, COUNT_L ,COUNT_S) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); if (DISPL_COUNT ='0') then --Folgezustand anzeigen DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); else --Zähler anzeigen if (DISPL_COUNT_SWITCH ='0') then --kleinen Zaehler anzeigen DISPL1_n_SV(0) <= COUNT_S(0); DISPL1_n_SV(1) <= COUNT_S(1); DISPL1_n_SV(2) <= COUNT_S(2); DISPL1_n_SV(3) <= COUNT_S(3); DISPL2_n_SV(0) <= COUNT_S(4); DISPL2_n_SV(1) <= COUNT_S(5); DISPL2_n_SV(2) <= COUNT_S(6); DISPL2_n_SV(3) <= COUNT_S(7); else -- langen Zaehler anzeigen DISPL1_n_SV(0) <= COUNT_L(0); DISPL1_n_SV(1) <= COUNT_L(1); DISPL1_n_SV(2) <= COUNT_L(2); DISPL1_n_SV(3) <= COUNT_L(3); DISPL2_n_SV(0) <= COUNT_L(4); DISPL2_n_SV(1) <= COUNT_L(5); DISPL2_n_SV(2) <= COUNT_L(6); DISPL2_n_SV(3) <= COUNT_L(7); end if; end if; end process; SWITCH_VALUES_PROC: process (CHOSE_VALUE) --Schaltet zw. langen und kurzem Zaehler um begin if (CHOSE_VALUE = '0') then --normale Werte CNTS30 <= long_CNTS30; CNTT01 <= long_CNTT01; CNTT02 <= long_CNTT02; CNTT03 <= long_CNTT03; CNTT04 <= long_CNTT04; CNTT05 <= long_CNTT05; CNTT06 <= long_CNTT06; CNTT07 <= long_CNTT07; CNTT08 <= long_CNTT08; CNTT09 <= long_CNTT09; CNTT10 <= long_CNTT10; CNTT11 <= long_CNTT11; CNTT12 <= long_CNTT12; CNTT13 <= long_CNTT13; else --kurze Werte CNTS30 <= short_CNTS30; CNTT01 <= short_CNTT01; CNTT02 <= short_CNTT02; CNTT03 <= short_CNTT03; CNTT04 <= short_CNTT04; CNTT05 <= short_CNTT05; CNTT06 <= short_CNTT06; CNTT07 <= short_CNTT07; CNTT08 <= short_CNTT08; CNTT09 <= short_CNTT09; CNTT10 <= short_CNTT10; CNTT11 <= short_CNTT11; CNTT12 <= short_CNTT12; CNTT13 <= short_CNTT13; end if; end process; end Behavioral;
gpl-2.0
861cae961fd3815ca88d83266c9a2351
0.515511
2.526654
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/fpu_d/fmul_d.vhd
1
11,359
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity DoubleMul is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_a : in std_logic_vector(63 downto 0); i_b : in std_logic_vector(63 downto 0); o_res : out std_logic_vector(63 downto 0); o_illegal_op : out std_logic; o_overflow : out std_logic; o_valid : out std_logic; o_busy : out std_logic ); end; architecture arch_DoubleMul of DoubleMul is component imul53 is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_a : in std_logic_vector(52 downto 0); i_b : in std_logic_vector(52 downto 0); o_result : out std_logic_vector(105 downto 0); o_shift : out std_logic_vector(6 downto 0); o_rdy : out std_logic; o_overflow : out std_logic ); end component; type RegistersType is record busy : std_logic; ena : std_logic_vector(4 downto 0); a : std_logic_vector(63 downto 0); b : std_logic_vector(63 downto 0); result : std_logic_vector(63 downto 0); zeroA : std_logic; zeroB : std_logic; mantA : std_logic_vector(52 downto 0); mantB : std_logic_vector(52 downto 0); expAB : std_logic_vector(12 downto 0); expAlign : std_logic_vector(11 downto 0); mantAlign : std_logic_vector(104 downto 0); postShift : std_logic_vector(11 downto 0); mantPostScale : std_logic_vector(104 downto 0); nanA : std_logic; nanB : std_logic; overflow : std_logic; illegal_op : std_logic; end record; constant R_RESET : RegistersType := ( '0', (others => '0'), -- busy, ena (others => '0'), (others => '0'), (others => '0'), -- a, b, result '0', '0', (others => '0'), (others => '0'), -- zeroA, zeroB, mantA, mantB (others => '0'), (others => '0'), (others => '0'), -- expAB, expAlign, mantAlign (others => '0'), (others => '0'), -- postShift, mantPostScale '0', '0', '0', '0' -- nanA, nanB, overflow, illegal_op ); constant zero11 : std_logic_vector(10 downto 0) := (others => '0'); constant zero63 : std_logic_vector(62 downto 0) := (others => '0'); constant zero105 : std_logic_vector(104 downto 0) := (others => '0'); signal r, rin : RegistersType; signal w_imul_ena : std_logic; signal wb_imul_result : std_logic_vector(105 downto 0); signal wb_imul_shift : std_logic_vector(6 downto 0); signal w_imul_rdy : std_logic; signal w_imul_overflow : std_logic; begin u_imul53 : imul53 generic map ( async_reset => async_reset ) port map ( i_nrst => i_nrst, i_clk => i_clk, i_ena => w_imul_ena, i_a => r.mantA, i_b => r.mantB, o_result => wb_imul_result, o_shift => wb_imul_shift, o_rdy => w_imul_rdy, o_overflow => w_imul_overflow ); -- registers: comb : process(i_nrst, i_ena, i_a, i_b, r, wb_imul_result, wb_imul_shift, w_imul_rdy, w_imul_overflow) variable v : RegistersType; variable signA : std_logic; variable signB : std_logic; variable mantA : std_logic_vector(52 downto 0); variable mantB : std_logic_vector(52 downto 0); variable zeroA : std_logic; variable zeroB : std_logic; variable expAB_t : std_logic_vector(11 downto 0); variable expAB : std_logic_vector(12 downto 0); variable mantAlign : std_logic_vector(104 downto 0); variable expAlign_t : std_logic_vector(12 downto 0); variable expAlign : std_logic_vector(12 downto 0); variable postShift : std_logic_vector(11 downto 0); variable mantPostScale : std_logic_vector(104 downto 0); variable mantShort : std_logic_vector(52 downto 0); variable tmpMant05 : std_logic_vector(51 downto 0); variable mantOnes : std_logic; variable mantEven : std_logic; variable mant05 : std_logic; variable rndBit : std_logic; variable nanA : std_logic; variable nanB : std_logic; variable mantZeroA : std_logic; variable mantZeroB : std_logic; variable res : std_logic_vector(63 downto 0); begin v := r; v.ena(0) := i_ena and not r.busy; v.ena(1) := r.ena(0); v.ena(4 downto 2) := r.ena(3 downto 2) & w_imul_rdy; if i_ena = '1' then v.busy := '1'; v.overflow := '0'; v.a := i_a; v.b := i_b; end if; signA := r.a(63); signB := r.b(63); zeroA := '0'; if r.a(62 downto 0) = zero63 then zeroA := '1'; end if; zeroB := '0'; if r.b(62 downto 0) = zero63 then zeroB := '1'; end if; mantA(51 downto 0) := r.a(51 downto 0); mantA(52) := '0'; if r.a(62 downto 52) /= zero11 then mantA(52) := '1'; end if; mantB(51 downto 0) := r.b(51 downto 0); mantB(52) := '0'; if r.b(62 downto 52) /= zero11 then mantB(52) := '1'; end if; -- expA - expB + 1023 expAB_t := ('0' & r.a(62 downto 52)) + ('0' & r.b(62 downto 52)); expAB := ('0' & expAB_t) - 1023; if r.ena(0) = '1' then v.expAB := expAB; v.zeroA := zeroA; v.zeroB := zeroB; v.mantA := mantA; v.mantB := mantB; end if; w_imul_ena <= r.ena(1); -- imul53 module: mantAlign := (others => '0'); if wb_imul_result(105) = '1' then mantAlign := wb_imul_result(105 downto 1); elsif wb_imul_result(104) = '1' then mantAlign := wb_imul_result(104 downto 0); else for i in 1 to 104 loop if i = conv_integer(wb_imul_shift) then mantAlign := wb_imul_result(104-i downto 0) & zero105(i-1 downto 0); end if; end loop; end if; expAlign_t := r.expAB + 1; if wb_imul_result(105) = '1' then expAlign := expAlign_t; elsif r.a(62 downto 52) = zero11 or r.b(62 downto 52) = zero11 then expAlign := expAlign_t - ("000000" & wb_imul_shift); else expAlign := r.expAB - ("000000" & wb_imul_shift); end if; -- IMPORTANT exception! new ZERO value if expAlign(12) = '1' or expAlign = zero63(12 downto 0) then if wb_imul_shift = "0000000" or wb_imul_result(105) = '1' or r.a(62 downto 52) = zero11 or r.b(62 downto 52) = zero11 then postShift := not expAlign(11 downto 0) + 2; else postShift := not expAlign(11 downto 0) + 1; end if; else postShift := (others => '0'); end if; if w_imul_rdy = '1' then v.expAlign := expAlign(11 downto 0); v.mantAlign := mantAlign; v.postShift := postShift; -- Exceptions: v.nanA := '0'; if r.a(62 downto 52) = "11111111111" then v.nanA := '1'; end if; v.nanB := '0'; if r.b(62 downto 52) = "11111111111" then v.nanB := '1'; end if; v.overflow := '0'; if expAlign(12) = '0' and expAlign >= "0011111111111" then v.overflow := '1'; end if; end if; -- Prepare to mantissa post-scale mantPostScale := (others => '0'); if r.postShift = X"000" then mantPostScale := r.mantAlign; elsif r.postShift < conv_std_logic_vector(105, 12) then for i in 1 to 104 loop if conv_std_logic_vector(i, 7) = r.postShift(6 downto 0) then mantPostScale := zero105(i-1 downto 0) & r.mantAlign(104 downto i); end if; end loop; end if; if r.ena(2) = '1' then v.mantPostScale := mantPostScale; end if; -- Rounding bit mantShort := r.mantPostScale(104 downto 52); tmpMant05 := r.mantPostScale(51 downto 0); mantOnes := '0'; if mantShort(52) = '1' and mantShort(51 downto 0) = X"fffffffffffff" then mantOnes := '1'; end if; mantEven := r.mantPostScale(52); mant05 := '0'; if tmpMant05 = X"8000000000000" then mant05 := '1'; end if; rndBit := r.mantPostScale(51) and not(mant05 and not mantEven); -- Check Borders nanA := '0'; if r.a(62 downto 52) = "11111111111" then nanA := '1'; end if; nanB := '0'; if r.b(62 downto 52) = "11111111111" then nanB := '1'; end if; mantZeroA := '0'; if r.a(51 downto 0) = zero63(51 downto 0) then mantZeroA := '1'; end if; mantZeroB := '0'; if r.b(51 downto 0) = zero63(51 downto 0) then mantZeroB := '1'; end if; -- Result multiplexers: if (nanA and mantZeroA and r.zeroB) = '1' or (nanB and mantZeroB and r.zeroA) = '1' then res(63) := '1'; elsif (nanA and not mantZeroA) = '1' then -- when both values are NaN, value B has higher priority if sign=1 res(63) := signA or (nanA and signB); elsif (nanB and not mantZeroB) = '1' then res(63) := signB; else res(63) := r.a(63) xor r.b(63); end if; if nanA = '1' then res(62 downto 52) := r.a(62 downto 52); elsif nanB = '1' then res(62 downto 52) := r.b(62 downto 52); elsif (r.expAlign(11) or r.zeroA or r.zeroB) = '1' then res(62 downto 52) := (others => '0'); elsif r.overflow = '1' then res(62 downto 52) := (others => '1'); else res(62 downto 52) := r.expAlign(10 downto 0) + (mantOnes and rndBit and not r.overflow); end if; if (nanA and mantZeroA and not mantZeroB) = '1' or (nanB and mantZeroB and not mantZeroA) = '1' or (not nanA and not nanB and r.overflow) = '1' then res(51 downto 0) := (others => '0'); elsif (nanA and not (nanB and signB)) = '1' then -- when both values are NaN, value B has higher priority if sign=1 res(51) := '1'; res(50 downto 0) := r.a(50 downto 0); elsif nanB = '1' then res(51) := '1'; res(50 downto 0) := r.b(50 downto 0); else res(51 downto 0) := mantShort(51 downto 0) + rndBit; end if; if r.ena(3) = '1' then v.result := res; v.illegal_op := nanA or nanB; v.busy := '0'; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_res <= r.result; o_illegal_op <= r.illegal_op; o_overflow <= r.overflow; o_valid <= r.ena(4); o_busy <= r.busy; -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
a2e69fe2791f51459669f94821195146
0.559996
3.151776
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/misclib/tap_uart.vhd
1
9,886
--! --! Copyright 2018 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; library misclib; use misclib.types_misc.all; entity uart_tap is port ( nrst : in std_logic; clk : in std_logic; i_uart : in uart_in_type; o_uart : out uart_out_type; i_msti : in axi4_master_in_type; o_msto : out axi4_master_out_type; o_mstcfg : out axi4_master_config_type ); end; architecture arch_uart_tap of uart_tap is constant MAGIC_ID : std_logic_vector(7 downto 0) := X"31"; constant SCALER_DEFAULT : std_logic_vector(17 downto 0) := "111111111111111011"; constant BAUD_DEFAULT : std_logic_vector(17 downto 0) := (others => '1'); constant HANDSHAKE_ACK : std_logic_vector(31 downto 0) := X"0a4b4341"; constant xmstconfig : axi4_master_config_type := ( descrsize => PNP_CFG_MASTER_DESCR_BYTES, descrtype => PNP_CFG_TYPE_MASTER, vid => VENDOR_GNSSSENSOR, did => GNSSSENSOR_UART_TAP ); type uart_state_type is (idle, startbit, data, stopbit); type dma_req_state_type is ( DMAREQ_IDLE, DMAREQ_OPERATION, DMAREQ_ADDR, DMAREQ_READ, DMAREQ_WAIT_READ_RESP, DMAREQ_UART_TX, DMAREQ_WDATA, DMAREQ_WRITE ); type registers is record dma : dma_bank_type; tx_data : std_logic_vector(31 downto 0); tx_byte_cnt : integer range 0 to 4; dma_req_state : dma_req_state_type; dma_state_next : dma_req_state_type; dma_req_write : std_logic; dma_byte_cnt : integer range 0 to 7; dma_req_len : integer range 0 to 63; dma_req_addr : std_logic_vector(63 downto 0); dma_req_wdata : std_logic_vector(31 downto 0); rword_valid : std_logic; rword : std_logic_vector(31 downto 0); watchdog : integer; end record; signal r, rin : registers; signal dma_response : dma_response_type; signal w_com_dready : std_logic; -- new byte is avaiable for read signal w_com_accepted : std_logic; -- new byte can be accepted; signal wb_com_data : std_logic_vector(7 downto 0); signal w_com_thempty : std_logic; -- transmitter's hold register is empty signal w_com_write : std_logic; component dcom_uart is port ( rst : in std_ulogic; clk : in std_ulogic; i_cfg_frame : in std_logic; i_cfg_ovf : in std_logic; i_cfg_break : in std_logic; i_cfg_tcnt : in std_logic_vector(1 downto 0); i_cfg_rxen : in std_logic; i_cfg_brate : in std_logic_vector(17 downto 0); i_cfg_scaler : in std_logic_vector(17 downto 0); o_cfg_scaler : out std_logic_vector(31 downto 0); o_cfg_rxen : out std_logic; o_cfg_txen : out std_logic; o_cfg_flow : out std_logic; i_com_read : in std_ulogic; i_com_write : in std_ulogic; i_com_data : in std_logic_vector(7 downto 0); o_com_dready : out std_ulogic; o_com_tsempty : out std_ulogic; o_com_thempty : out std_ulogic; o_com_lock : out std_ulogic; o_com_enable : out std_ulogic; o_com_data : out std_logic_vector(7 downto 0); ui : in uart_in_type; uo : out uart_out_type ); end component; begin comblogic : process(nrst, i_msti, i_uart, r, dma_response, w_com_dready, wb_com_data, w_com_thempty) variable v : registers; variable wb_dma_request : dma_request_type; variable wb_dma_response : dma_response_type; variable wb_msto : axi4_master_out_type; variable v_com_write : std_logic; variable v_com_accepted : std_logic; begin v := r; wb_dma_request.valid := '0'; wb_dma_request.ready := '0'; wb_dma_request.write := '0'; wb_dma_request.addr := (others => '0'); wb_dma_request.size := "010"; -- 4 bytes wb_dma_request.bytes := (others => '0'); wb_dma_request.wdata := (others => '0'); v_com_accepted := '0'; v_com_write := '0'; --! DMA control case r.dma_req_state is when DMAREQ_IDLE => v_com_accepted := '1'; if w_com_dready = '1' and wb_com_data = MAGIC_ID then v.dma_req_state := DMAREQ_OPERATION; end if; when DMAREQ_OPERATION => v_com_accepted := '1'; if w_com_dready = '1' then v.dma_req_write := wb_com_data(6); v.dma_req_len := conv_integer(wb_com_data(5 downto 0)); v.dma_req_state := DMAREQ_ADDR; v.dma_byte_cnt := 0; end if; when DMAREQ_ADDR => v_com_accepted := '1'; if w_com_dready = '1' then v.dma_req_addr := wb_com_data & r.dma_req_addr(63 downto 8); if r.dma_byte_cnt = 7 then if (wb_com_data & r.dma_req_addr(63 downto 40)) /= X"00000000" then v.dma_req_state := DMAREQ_IDLE; elsif r.dma_req_write = '1' then v.dma_req_state := DMAREQ_WDATA; v.dma_byte_cnt := 0; else v.dma_req_state := DMAREQ_READ; end if; else v.dma_byte_cnt := r.dma_byte_cnt + 1; end if; end if; when DMAREQ_READ => wb_dma_request.valid := '1'; wb_dma_request.write := '0'; wb_dma_request.addr := r.dma_req_addr(CFG_SYSBUS_ADDR_BITS-1 downto 0); wb_dma_request.bytes := conv_std_logic_vector(4, 11); wb_dma_request.wdata := (others => '0'); if dma_response.ready = '1' then v.dma_req_state := DMAREQ_WAIT_READ_RESP; end if; when DMAREQ_WAIT_READ_RESP => wb_dma_request.ready := '1'; if dma_response.valid = '1' then v.dma_req_state := DMAREQ_UART_TX; v.tx_data := dma_response.rdata(31 downto 0); v.tx_byte_cnt := 4; if r.dma_req_len = 0 then v.dma_state_next := DMAREQ_IDLE; else v.dma_req_len := r.dma_req_len - 1; v.dma_req_addr := r.dma_req_addr + 4; v.dma_state_next := DMAREQ_READ; end if; end if; when DMAREQ_WDATA => v_com_accepted := '1'; if w_com_dready = '1' then v.dma_req_wdata := wb_com_data & r.dma_req_wdata(31 downto 8); v.dma_byte_cnt := r.dma_byte_cnt + 1; if r.dma_byte_cnt = 3 then v.dma_req_state := DMAREQ_WRITE; end if; end if; when DMAREQ_WRITE => wb_dma_request.valid := '1'; wb_dma_request.write := '1'; wb_dma_request.addr := r.dma_req_addr(CFG_SYSBUS_ADDR_BITS-1 downto 0); wb_dma_request.bytes := conv_std_logic_vector(4, 11); wb_dma_request.wdata := r.dma_req_wdata & r.dma_req_wdata; if dma_response.ready = '1' then if r.dma_req_len = 0 then v.dma_req_state := DMAREQ_UART_TX; -- Handshake ACK v.tx_data := HANDSHAKE_ACK; v.tx_byte_cnt := 4; v.dma_state_next := DMAREQ_IDLE; else v.dma_byte_cnt := 0; v.dma_req_len := r.dma_req_len - 1; v.dma_req_addr := r.dma_req_addr + 4; v.dma_req_state := DMAREQ_WDATA; end if; end if; when DMAREQ_UART_TX => v_com_write := '1'; if r.tx_byte_cnt = 0 then v.dma_req_state := r.dma_state_next; elsif w_com_thempty = '1' then v.tx_byte_cnt := r.tx_byte_cnt - 1; v.tx_data := X"00" & r.tx_data(31 downto 8); end if; when others => end case; procedureAxi4DMA( i_request => wb_dma_request, o_response => wb_dma_response, i_bank => r.dma, o_bank => v.dma, i_msti => i_msti, o_msto => wb_msto ); dma_response <= wb_dma_response; w_com_accepted <= v_com_accepted; w_com_write <= v_com_write; if nrst = '0' then v.tx_byte_cnt := 0; v.tx_data := (others => '0'); v.dma := DMA_BANK_RESET; v.dma_req_state := DMAREQ_IDLE; v.dma_state_next := DMAREQ_IDLE; v.dma_req_write := '0'; v.dma_byte_cnt := 0; v.dma_req_len := 0; v.dma_req_addr := (others => '0'); v.dma_req_wdata := (others => '0'); end if; rin <= v; o_msto <= wb_msto; end process; o_mstcfg <= xmstconfig; dcom0 : dcom_uart port map ( rst => nrst, clk => clk, i_cfg_frame => '0', i_cfg_ovf => '0', i_cfg_break => '0', i_cfg_tcnt => "00", i_cfg_rxen => '0', i_cfg_brate => BAUD_DEFAULT, i_cfg_scaler => SCALER_DEFAULT, o_cfg_scaler => open, o_cfg_rxen => open, o_cfg_txen => open, o_cfg_flow => open, i_com_read => w_com_accepted, i_com_write => w_com_write, i_com_data => r.tx_data(7 downto 0), o_com_dready => w_com_dready, o_com_tsempty => open, o_com_thempty => w_com_thempty, o_com_lock => open, o_com_enable => open, o_com_data => wb_com_data, ui => i_uart, uo => o_uart ); -- registers: regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; end;
apache-2.0
956d703c7773f2b855b14571f0be392d
0.559175
3.130462
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/pll/clkp90_k7.vhd
3
4,258
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Clock phase offset generator (90 deg) for Kintex7 FPGA. ------------------------------------------------------------------------------ --! Standard library library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity clkp90_kintex7 is generic ( freq : integer := 125000 ); port ( --! Active High i_rst : in std_logic; i_clk : in std_logic; o_clk : out std_logic; o_clkp90 : out std_logic; o_clk2x : out std_logic; o_lock : out std_logic ); end clkp90_kintex7; architecture rtl of clkp90_kintex7 is constant clk_mul : integer := 8; constant clk_div : integer := 8; constant period : real := 1000000.0/real(freq); constant clkio_div : integer := freq*clk_mul/200000; signal CLKFBOUT : std_logic; signal CLKFBIN : std_logic; signal clk_nobuf : std_logic; signal clk90_nobuf : std_logic; signal clkio_nobuf : std_logic; begin CLKFBIN <= CLKFBOUT; PLLE2_ADV_inst : PLLE2_ADV generic map ( BANDWIDTH => "OPTIMIZED", -- OPTIMIZED, HIGH, LOW CLKFBOUT_MULT => clk_mul, -- Multiply value for all CLKOUT, (2-64) CLKFBOUT_PHASE => 0.0, -- Phase offset in degrees of CLKFB, (-360.000-360.000). -- CLKIN_PERIOD: Input clock period in nS to ps resolution (i.e. 33.333 is 30 MHz). CLKIN1_PERIOD => period, CLKIN2_PERIOD => 0.0, -- CLKOUT0_DIVIDE - CLKOUT5_DIVIDE: Divide amount for CLKOUT (1-128) CLKOUT0_DIVIDE => clk_div, CLKOUT1_DIVIDE => clk_div, CLKOUT2_DIVIDE => clkio_div, CLKOUT3_DIVIDE => 1, CLKOUT4_DIVIDE => 1, CLKOUT5_DIVIDE => 1, -- CLKOUT0_DUTY_CYCLE - CLKOUT5_DUTY_CYCLE: Duty cycle for CLKOUT outputs (0.001-0.999). CLKOUT0_DUTY_CYCLE => 0.5, CLKOUT1_DUTY_CYCLE => 0.5, CLKOUT2_DUTY_CYCLE => 0.5, CLKOUT3_DUTY_CYCLE => 0.5, CLKOUT4_DUTY_CYCLE => 0.5, CLKOUT5_DUTY_CYCLE => 0.5, -- CLKOUT0_PHASE - CLKOUT5_PHASE: Phase offset for CLKOUT outputs (-360.000-360.000). CLKOUT0_PHASE => 0.0, CLKOUT1_PHASE => 90.0, CLKOUT2_PHASE => 0.0, CLKOUT3_PHASE => 0.0, CLKOUT4_PHASE => 0.0, CLKOUT5_PHASE => 0.0, COMPENSATION => "ZHOLD", -- ZHOLD, BUF_IN, EXTERNAL, INTERNAL DIVCLK_DIVIDE => 1, -- Master division value (1-56) -- REF_JITTER: Reference input jitter in UI (0.000-0.999). REF_JITTER1 => 0.0, REF_JITTER2 => 0.0, STARTUP_WAIT => "TRUE" -- Delay DONE until PLL Locks, ("TRUE"/"FALSE") ) port map ( -- Clock Outputs: 1-bit (each) output: User configurable clock outputs CLKOUT0 => clk_nobuf, CLKOUT1 => clk90_nobuf, CLKOUT2 => clkio_nobuf, CLKOUT3 => OPEN, CLKOUT4 => OPEN, CLKOUT5 => OPEN, -- DRP Ports: 16-bit (each) output: Dynamic reconfigration ports DO => OPEN, DRDY => OPEN, -- Feedback Clocks: 1-bit (each) output: Clock feedback ports CLKFBOUT => CLKFBOUT, -- Status Ports: 1-bit (each) output: PLL status ports LOCKED => o_lock, -- Clock Inputs: 1-bit (each) input: Clock inputs CLKIN1 => i_clk, CLKIN2 => '0', -- Con trol Ports: 1-bit (each) input: PLL control ports CLKINSEL => '1', PWRDWN => '0', RST => i_rst, -- DRP Ports: 7-bit (each) input: Dynamic reconfigration ports DADDR => "0000000", DCLK => '0', DEN => '0', DI => "0000000000000000", DWE => '0', -- Feedback Clocks: 1-bit (each) input: Clock feedback ports CLKFBIN => CLKFBIN ); bufgclk0 : BUFG port map (I => clk_nobuf, O => o_clk); bufgclk90 : BUFG port map (I => clk90_nobuf, O => o_clkp90); bufgclkio : BUFG port map (I => clkio_nobuf, O => o_clk2x); end;
apache-2.0
405a9678f463d4533be3c92a7382a57f
0.537576
3.645548
false
false
false
false
mharndt/profibusmonitor
VHDL_Bausteine_old/PROFIBUS_MONITOR/CTRL_BIT_REGISTER.vhd
4
17,316
-- CTRL_BIT_REGISTER -- Einlesen der einzelnen Werte für bestimmte Bits, berechung der Parität und Ausgabe als Byte -- Projekt: PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 08.01.2013 -- Bearbeiter: mharndt -- Geaendert: 25.01.2013 -- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection -- Optimierungen aus: http://www.lothar-miller.de/s9y/categories/37-FSM library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_BIT_REGISTER is Port (EN_BIT_i : in std_logic_vector (8 downto 0); --Eingangsvariable, Einschalten des Bitregisters i BIT_VALUE : in std_logic; -- Eingangsvariable, Wert des aktuellen Bits BYTE_OUT : out std_logic_vector (7 downto 0); --Ausgangsvariable, Byte, 8bit, Vektor PARITY_OK : out std_logic; --Ausgangsvariable, Parität i.O. CLK : in std_logic; --Taktvariable IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic); --1: Initialzustand annehmen end CTRL_BIT_REGISTER; architecture Behavioral of CTRL_BIT_REGISTER is type TYPE_STATE_BR_BIT0 is (ST_BR_EN_BIT0_0, --Zustaende BIT_REGISTER BIT0 ST_BR_EN_BIT0_1); type TYPE_STATE_BR_BIT1 is (ST_BR_EN_BIT1_0, --Zustaende BIT_REGISTER BIT1 ST_BR_EN_BIT1_1); type TYPE_STATE_BR_BIT2 is (ST_BR_EN_BIT2_0, --Zustaende BIT_REGISTER BIT2 ST_BR_EN_BIT2_1); type TYPE_STATE_BR_BIT3 is (ST_BR_EN_BIT3_0, --Zustaende BIT_REGISTER BIT3 ST_BR_EN_BIT3_1); type TYPE_STATE_BR_BIT4 is (ST_BR_EN_BIT4_0, --Zustaende BIT_REGISTER BIT4 ST_BR_EN_BIT4_1); type TYPE_STATE_BR_BIT5 is (ST_BR_EN_BIT5_0, --Zustaende BIT_REGISTER BIT5 ST_BR_EN_BIT5_1); type TYPE_STATE_BR_BIT6 is (ST_BR_EN_BIT6_0, --Zustaende BIT_REGISTER BIT6 ST_BR_EN_BIT6_1); type TYPE_STATE_BR_BIT7 is (ST_BR_EN_BIT7_0, --Zustaende BIT_REGISTER BIT7 ST_BR_EN_BIT7_1); type TYPE_STATE_BR_BIT8 is (ST_BR_EN_BIT8_0, --Zustaende BIT_REGISTER BIT8 ST_BR_EN_BIT8_1); signal SV_BR_BIT0 : TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0 signal n_SV_BR_BIT0: TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0, neuer Wert signal SV_BR_BIT0_M: TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0, Ausgang Master signal SV_BR_BIT1 : TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1 signal n_SV_BR_BIT1: TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1, neuer Wert signal SV_BR_BIT1_M: TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1, Ausgang Master signal SV_BR_BIT2 : TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2 signal n_SV_BR_BIT2: TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2, neuer Wert signal SV_BR_BIT2_M: TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2, Ausgang Master signal SV_BR_BIT3 : TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3 signal n_SV_BR_BIT3: TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3, neuer Wert signal SV_BR_BIT3_M: TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3, Ausgang Master signal SV_BR_BIT4 : TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4 signal n_SV_BR_BIT4: TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4, neuer Wert signal SV_BR_BIT4_M: TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4, Ausgang Master signal SV_BR_BIT5 : TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5 signal n_SV_BR_BIT5: TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5, neuer Wert signal SV_BR_BIT5_M: TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5, Ausgang Master signal SV_BR_BIT6 : TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6 signal n_SV_BR_BIT6: TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6, neuer Wert signal SV_BR_BIT6_M: TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6, Ausgang Master signal SV_BR_BIT7 : TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7 signal n_SV_BR_BIT7: TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7, neuer Wert signal SV_BR_BIT7_M: TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7, Ausgang Master signal SV_BR_BIT8 : TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8 signal n_SV_BR_BIT8: TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8, neuer Wert signal SV_BR_BIT8_M: TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8, Ausgang Master signal BYTE_VEC : std_logic_vector (8 downto 0) := b"000000000"; -- Vektor, BIT_REGSITER, vor Auswertung der Checksume --signal not_CLK : std_logic; --negierte Taktvariable --signal TMP00 : std_logic; --temporärer Zwischenwert, Paritätsprüfung --signal TMP01 : std_logic; --signal TMP02 : std_logic; --signal TMP03 : std_logic; --signal TMP10 : std_logic; --signal TMP11 : std_logic; --signal TMP20 : std_logic; begin --NOT_CLK_PROC: process (CLK) --negieren Taktvariable --begin -- not_CLK <= not CLK; --end process; SREG_M_PROC: process (RESET, n_SV_BR_BIT0, n_SV_BR_BIT1, n_SV_BR_BIT2, n_SV_BR_BIT3, n_SV_BR_BIT4, n_SV_BR_BIT5, n_SV_BR_BIT6, n_SV_BR_BIT7, n_SV_BR_BIT8, CLK) --Master begin if (RESET ='1') then SV_BR_BIT0_M <= ST_BR_EN_BIT0_0; SV_BR_BIT1_M <= ST_BR_EN_BIT1_0; SV_BR_BIT2_M <= ST_BR_EN_BIT2_0; SV_BR_BIT3_M <= ST_BR_EN_BIT3_0; SV_BR_BIT4_M <= ST_BR_EN_BIT4_0; SV_BR_BIT5_M <= ST_BR_EN_BIT5_0; SV_BR_BIT6_M <= ST_BR_EN_BIT6_0; SV_BR_BIT7_M <= ST_BR_EN_BIT7_0; SV_BR_BIT8_M <= ST_BR_EN_BIT8_0; else if rising_edge(CLK) then if (IN_NEXT_STATE = '1') then SV_BR_BIT0_M <= n_SV_BR_BIT0; SV_BR_BIT1_M <= n_SV_BR_BIT1; SV_BR_BIT2_M <= n_SV_BR_BIT2; SV_BR_BIT3_M <= n_SV_BR_BIT3; SV_BR_BIT4_M <= n_SV_BR_BIT4; SV_BR_BIT5_M <= n_SV_BR_BIT5; SV_BR_BIT6_M <= n_SV_BR_BIT6; SV_BR_BIT7_M <= n_SV_BR_BIT7; SV_BR_BIT8_M <= n_SV_BR_BIT8; else SV_BR_BIT0_M <= SV_BR_BIT0_M; SV_BR_BIT1_M <= SV_BR_BIT1_M; SV_BR_BIT2_M <= SV_BR_BIT2_M; SV_BR_BIT3_M <= SV_BR_BIT3_M; SV_BR_BIT4_M <= SV_BR_BIT4_M; SV_BR_BIT5_M <= SV_BR_BIT5_M; SV_BR_BIT6_M <= SV_BR_BIT6_M; SV_BR_BIT7_M <= SV_BR_BIT7_M; SV_BR_BIT8_M <= SV_BR_BIT8_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_BR_BIT0_M, SV_BR_BIT1_M, SV_BR_BIT2_M, SV_BR_BIT3_M, SV_BR_BIT4_M, SV_BR_BIT5_M, SV_BR_BIT6_M, SV_BR_BIT7_M, SV_BR_BIT8_M, CLK) --Slave begin if (RESET = '1') then SV_BR_BIT0 <= ST_BR_EN_BIT0_0; SV_BR_BIT1 <= ST_BR_EN_BIT1_0; SV_BR_BIT2 <= ST_BR_EN_BIT2_0; SV_BR_BIT3 <= ST_BR_EN_BIT3_0; SV_BR_BIT4 <= ST_BR_EN_BIT4_0; SV_BR_BIT5 <= ST_BR_EN_BIT5_0; SV_BR_BIT6 <= ST_BR_EN_BIT6_0; SV_BR_BIT7 <= ST_BR_EN_BIT7_0; SV_BR_BIT8 <= ST_BR_EN_BIT8_0; else if falling_edge(CLK) then SV_BR_BIT0 <= SV_BR_BIT0_M; SV_BR_BIT1 <= SV_BR_BIT1_M; SV_BR_BIT2 <= SV_BR_BIT2_M; SV_BR_BIT3 <= SV_BR_BIT3_M; SV_BR_BIT4 <= SV_BR_BIT4_M; SV_BR_BIT5 <= SV_BR_BIT5_M; SV_BR_BIT6 <= SV_BR_BIT6_M; SV_BR_BIT7 <= SV_BR_BIT7_M; SV_BR_BIT8 <= SV_BR_BIT8_M; end if; end if; end process; BIT_REGISTER_EN_BIT_0_PROC:process (SV_BR_BIT0, n_SV_BR_BIT0, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit0 begin case SV_BR_BIT0 is when ST_BR_EN_BIT0_0 => BYTE_OUT(0)<='0'; BYTE_VEC(0)<='0'; if (EN_BIT_i(0) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_EN_BIT0_1 then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; when ST_BR_EN_BIT0_1 => -- EN_BIT_0_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(0) = 1 BYTE_OUT(0)<='1'; BYTE_VEC(0)<='1'; if (EN_BIT_i(0) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end case; end process; BIT_REGISTER_EN_BIT_1_PROC:process (SV_BR_BIT1, n_SV_BR_BIT1, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT1 is when ST_BR_EN_BIT1_0 => BYTE_OUT(1)<='0'; BYTE_VEC(1)<='0'; if (EN_BIT_i(1) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT1_1 then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; when ST_BR_EN_BIT1_1 => -- EN_BIT_1_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(1) = 1 BYTE_OUT(1)<='1'; BYTE_VEC(1)<='1'; if (EN_BIT_i(1) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end case; end process; BIT_REGISTER_EN_BIT_2_PROC:process (SV_BR_BIT2, n_SV_BR_BIT2, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT2 is when ST_BR_EN_BIT2_0 => BYTE_OUT(2)<='0'; BYTE_VEC(2)<='0'; if (EN_BIT_i(2) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT2_1 then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; when ST_BR_EN_BIT2_1 => -- EN_BIT_2_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(2) = 1 BYTE_OUT(2)<='1'; BYTE_VEC(2)<='1'; if (EN_BIT_i(2) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end case; end process; BIT_REGISTER_EN_BIT_3_PROC:process (SV_BR_BIT3, n_SV_BR_BIT3, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT3 is when ST_BR_EN_BIT3_0 => BYTE_OUT(3)<='0'; BYTE_VEC(3)<='0'; if (EN_BIT_i(3) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT3_1 then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; when ST_BR_EN_BIT3_1 => -- EN_BIT_3_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(3) = 1 BYTE_OUT(3)<='1'; BYTE_VEC(3)<='1'; if (EN_BIT_i(3) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end case; end process; BIT_REGISTER_EN_BIT_4_PROC:process (SV_BR_BIT4, n_SV_BR_BIT4, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT4 is when ST_BR_EN_BIT4_0 => BYTE_OUT(4)<='0'; BYTE_VEC(4)<='0'; if (EN_BIT_i(4) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT4_1 then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; when ST_BR_EN_BIT4_1 => -- EN_BIT_4 = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(4) = 1 BYTE_OUT(4)<='1'; BYTE_VEC(4)<='1'; if (EN_BIT_i(4) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end case; end process; BIT_REGISTER_EN_BIT_5_PROC:process (SV_BR_BIT5, n_SV_BR_BIT5, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT5 is when ST_BR_EN_BIT5_0 => BYTE_OUT(5)<='0'; BYTE_VEC(5)<='0'; if (EN_BIT_i(5) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT5_1 then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; when ST_BR_EN_BIT5_1 => -- EN_BIT_5_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(5) = 1 BYTE_OUT(5)<='1'; BYTE_VEC(5)<='1'; if (EN_BIT_i(5) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end case; end process; BIT_REGISTER_EN_BIT_6_PROC:process (SV_BR_BIT6, n_SV_BR_BIT6, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit6 begin case SV_BR_BIT6 is when ST_BR_EN_BIT6_0 => BYTE_OUT(6)<='0'; BYTE_VEC(6)<='0'; if (EN_BIT_i(6) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT6_1 then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; when ST_BR_EN_BIT6_1 => -- EN_BIT_6 = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(6) = 1 BYTE_OUT(6)<='1'; BYTE_VEC(6)<='1'; if (EN_BIT_i(6) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end case; end process; BIT_REGISTER_EN_BIT_7_PROC:process (SV_BR_BIT7, n_SV_BR_BIT7, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit7 begin case SV_BR_BIT7 is when ST_BR_EN_BIT7_0 => BYTE_OUT(7)<='0'; BYTE_VEC(7)<='0'; if (EN_BIT_i(7) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT7_1 then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; when ST_BR_EN_BIT7_1 => -- EN_BIT_7_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(7) = 1 BYTE_OUT(7)<='1'; BYTE_VEC(7)<='1'; if (EN_BIT_i(7) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end case; end process; BIT_REGISTER_EN_BIT_8_PROC:process (SV_BR_BIT8, n_SV_BR_BIT8, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit8 begin case SV_BR_BIT8 is when ST_BR_EN_BIT8_0 => BYTE_VEC(8)<='0'; if (EN_BIT_i(8) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT8_1 then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; when ST_BR_EN_BIT8_1 => -- EN_BIT_8_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(8) = 1 BYTE_VEC(8)<='1'; if (EN_BIT_i(8) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end case; end process; PARITY_CHECK_PROC: process (BYTE_VEC) --Paritätsprüfung (Mit VARIABLEN := , STATT SIGNALEN <=) variable TMP00, TMP01, TMP02, TMP03, TMP10, TMP11, TMP20 : std_logic; begin TMP00 := BYTE_VEC(0) xor BYTE_VEC(1); TMP01 := BYTE_VEC(2) xor BYTE_VEC(3); TMP02 := BYTE_VEC(4) xor BYTE_VEC(5); TMP03 := BYTE_VEC(6) xor BYTE_VEC(7); TMP10 := TMP00 xor TMP01; TMP11 := TMP02 xor TMP03; TMP20 := TMP10 xor TMP11; if (TMP20 = BYTE_VEC(8)) then PARITY_OK <= '1'; -- Parität korrekt else PARITY_OK <= '0'; -- Parität fehlerhaft end if; end process; --BYTE_OUT_PORC: process (BYTE_VEC) --BYTEausgabe -- begin -- BYTE_OUT(0) <= BYTE_VEC(0); -- BYTE_OUT(1) <= BYTE_VEC(1); -- BYTE_OUT(2) <= BYTE_VEC(2); -- BYTE_OUT(3) <= BYTE_VEC(3); -- BYTE_OUT(4) <= BYTE_VEC(4); -- BYTE_OUT(5) <= BYTE_VEC(5); -- BYTE_OUT(6) <= BYTE_VEC(6); -- BYTE_OUT(7) <= BYTE_VEC(7); --end process; end Behavioral;
gpl-2.0
553c8239073c39d71c521aa11ea7b83e
0.578251
2.418098
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/mem/ram_tech.vhd
1
1,894
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; entity ram_tech is generic ( memtech : integer := 0; abits : integer := 12; dbits : integer := 64 ); port ( i_clk : in std_logic; i_addr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_wena : in std_logic; i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture rtl of ram_tech is component ram_inferred is generic ( abits : integer := 12; dbits : integer := 64 ); port ( i_clk : in std_logic; i_addr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_wena : in std_logic; i_wdata : in std_logic_vector(dbits-1 downto 0) ); end component; begin inf0 : if memtech = inferred or is_fpga(memtech) /= 0 generate x0 : ram_inferred generic map ( abits => abits, dbits => dbits ) port map ( i_clk => i_clk, i_addr => i_addr, o_rdata => o_rdata, i_wena => i_wena, i_wdata => i_wdata ); end generate; end;
apache-2.0
89abb82acb517390dbd7da47876fd97a
0.631468
3.507407
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/bufg/iobuf_virtex6.vhd
3
762
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief IO buffer for fpga virtex6. ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; Library UNISIM; use UNISIM.vcomponents.all; entity iobuf_virtex6 is port ( o : out std_logic; io : inout std_logic; i : in std_logic; t : in std_logic ); end; architecture rtl of iobuf_virtex6 is begin io_inst : IOBUF generic map ( DRIVE => 12, IOSTANDARD => "DEFAULT", SLEW => "SLOW" ) port map ( O => o, IO => io, I => i, T => t ); end;
apache-2.0
8d65bc96080b1c9644c075f7514561af
0.467192
3.96875
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/bufg/bufgmux_tech.vhd
1
1,679
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Virtual clock multiplexer with buffered output. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity bufgmux_tech is generic ( tech : integer := 0; rf_frontend_ena : boolean := false ); port ( O : out std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; S : in std_ulogic ); end; architecture rtl of bufgmux_tech is component bufgmux_fpga is generic ( rf_frontend_ena : boolean := false ); port ( O : out std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; S : in std_ulogic ); end component; component bufgmux_micron180 is port ( O : out std_ulogic; I1 : in std_ulogic; I2 : in std_ulogic; S : in std_ulogic ); end component; begin inf : if tech = inferred generate O <= I1 when S = '0' else I2; end generate; xlnx : if tech = virtex6 or tech = kintex7 generate mux_buf : bufgmux_fpga generic map ( rf_frontend_ena => rf_frontend_ena ) port map ( O => O, I1 => I1, I2 => I2, S => S ); end generate; mic0 : if tech = mikron180 generate mux_buf : bufgmux_micron180 port map ( O => O, I1 => I1, I2 => I2, S => S ); end generate; end;
apache-2.0
f03aa8791d771d0795537ba4c3189c03
0.484217
3.790068
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_pntr.vhd
1
22,062
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_pntr.vhd -- Description: This entity manages descriptor pointers and determine scatter -- gather idle mode. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_3; use axi_sg_v4_1_3.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_pntr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1 ; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1 -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- nxtdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- ------------------------------- -- -- CHANNEL 1 -- ------------------------------- -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; --CR568950 -- -- -- CURDESC update to fetch pointer on run/stop assertion -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- TAILDESC update on CPU write (from axi_dma_reg_module) -- ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) -- ch1_nxtdesc_wren : in std_logic ; -- -- -- Current address of descriptor to fetch -- ch1_fetch_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_sg_idle : out std_logic ; -- -- ------------------------------- -- -- CHANNEL 2 -- ------------------------------- -- ch2_run_stop : in std_logic ; -- ch2_desc_flush : in std_logic ;--CR568950 -- ch2_eof_detected : in std_logic ; -- -- -- CURDESC update to fetch pointer on run/stop assertion -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- TAILDESC update on CPU write (from axi_dma_reg_module) -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- tail_updt : in std_logic; tail_updt_latch : out std_logic; ch2_updt_done : in std_logic; -- -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) -- ch2_nxtdesc_wren : in std_logic ; -- -- -- Current address of descriptor to fetch -- ch2_fetch_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_sg_idle : out std_logic ; -- bd_eq : out std_logic ); end axi_sg_ftch_pntr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_pntr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ch1_run_stop_d1 : std_logic := '0'; signal ch1_run_stop_re : std_logic := '0'; signal ch1_use_crntdesc : std_logic := '0'; signal ch1_fetch_address_i : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_run_stop_d1 : std_logic := '0'; signal ch2_run_stop_re : std_logic := '0'; signal ch2_use_crntdesc : std_logic := '0'; signal ch2_fetch_address_i : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first : std_logic; signal eof_latch : std_logic; signal ch2_sg_idle_int : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Channel 1 is included therefore generate pointer logic GEN_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 1 generate begin GEN_RUNSTOP_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_run_stop_d1 <= '0'; else ch1_run_stop_d1 <= ch1_run_stop; end if; end if; end process GEN_RUNSTOP_RE; ch1_run_stop_re <= ch1_run_stop and not ch1_run_stop_d1; --------------------------------------------------------------------------- -- At setting of run/stop need to use current descriptor pointer therefor -- flag for use --------------------------------------------------------------------------- GEN_INIT_PNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_nxtdesc_wren = '1')then ch1_use_crntdesc <= '0'; elsif(ch1_run_stop_re = '1')then ch1_use_crntdesc <= '1'; end if; end if; end process GEN_INIT_PNTR; --------------------------------------------------------------------------- -- Register Current Fetch Address. During start (run/stop asserts) reg -- curdesc pointer from register module. Once running use nxtdesc pointer. --------------------------------------------------------------------------- REG_FETCH_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_fetch_address_i <= (others => '0'); -- On initial tail pointer write use current desc pointer elsif(ch1_use_crntdesc = '1' and ch1_nxtdesc_wren = '0')then ch1_fetch_address_i <= ch1_curdesc; -- On desriptor fetch capture next pointer elsif(ch1_nxtdesc_wren = '1')then ch1_fetch_address_i <= nxtdesc; end if; end if; end process REG_FETCH_ADDRESS; -- Pass address out of module -- Addresses are always 16 word 32-bit aligned ch1_fetch_address <= ch1_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000"; --------------------------------------------------------------------------- -- Compair tail descriptor pointer to scatter gather engine current -- descriptor pointer. Set idle if matched. Only check if DMA engine -- is running and current descriptor is in process of being fetched. This -- forces at least 1 descriptor fetch before checking for IDLE condition. --------------------------------------------------------------------------- COMPARE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- SG is IDLE on reset and on stop. --CR568950 - reset idlag on descriptor flush --if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0')then if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0' or ch1_desc_flush = '1')then ch1_sg_idle <= '1'; -- taildesc_wren must be in this 'if' to force a minimum -- of 1 clock of sg_idle = '0'. elsif(ch1_taildesc_wren = '1' or ch1_tailpntr_enabled = '0')then ch1_sg_idle <= '0'; -- Descriptor at fetch_address is being fetched (wren=1) -- therefore safe to check if tail matches the fetch address elsif(ch1_nxtdesc_wren = '1' and ch1_taildesc = ch1_fetch_address_i)then ch1_sg_idle <= '1'; end if; end if; end process COMPARE_ADDRESS; end generate GEN_PNTR_FOR_CH1; -- Channel 1 is NOT included therefore tie off pointer logic GEN_NO_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 0 generate begin ch1_fetch_address <= (others =>'0'); ch1_sg_idle <= '0'; end generate GEN_NO_PNTR_FOR_CH1; -- Channel 2 is included therefore generate pointer logic GEN_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 1 generate begin --------------------------------------------------------------------------- -- Create clock delay of run_stop in order to generate a rising edge pulse --------------------------------------------------------------------------- GEN_RUNSTOP_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_run_stop_d1 <= '0'; else ch2_run_stop_d1 <= ch2_run_stop; end if; end if; end process GEN_RUNSTOP_RE; ch2_run_stop_re <= ch2_run_stop and not ch2_run_stop_d1; --------------------------------------------------------------------------- -- At setting of run/stop need to use current descriptor pointer therefor -- flag for use --------------------------------------------------------------------------- GEN_INIT_PNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_nxtdesc_wren = '1')then ch2_use_crntdesc <= '0'; elsif(ch2_run_stop_re = '1')then ch2_use_crntdesc <= '1'; end if; end if; end process GEN_INIT_PNTR; --------------------------------------------------------------------------- -- Register Current Fetch Address. During start (run/stop asserts) reg -- curdesc pointer from register module. Once running use nxtdesc pointer. --------------------------------------------------------------------------- REG_FETCH_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_fetch_address_i <= (others => '0'); -- On initial tail pointer write use current desc pointer elsif((ch2_use_crntdesc = '1' and ch2_nxtdesc_wren = '0'))then ch2_fetch_address_i <= ch2_curdesc; -- On descirptor fetch capture next pointer elsif(ch2_nxtdesc_wren = '1')then ch2_fetch_address_i <= nxtdesc; end if; end if; end process REG_FETCH_ADDRESS; -- Pass address out of module -- Addresses are always 16 word 32-bit aligned ch2_fetch_address <= ch2_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000"; --------------------------------------------------------------------------- -- Compair tail descriptor pointer to scatter gather engine current -- descriptor pointer. Set idle if matched. Only check if DMA engine -- is running and current descriptor is in process of being fetched. This -- forces at least 1 descriptor fetch before checking for IDLE condition. --------------------------------------------------------------------------- COMPARE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- SG is IDLE on reset and on stop. --CR568950 - reset idlag on descriptor flush --if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0')then if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1' or ch2_eof_detected = '1')then ch2_sg_idle <= '1'; ch2_sg_idle_int <= '1'; -- taildesc_wren must be in this 'if' to force a minimum -- of 1 clock of sg_idle = '0'. elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then ch2_sg_idle <= '0'; ch2_sg_idle_int <= '0'; -- Descriptor at fetch_address is being fetched (wren=1) -- therefore safe to check if tail matches the fetch address elsif(ch2_nxtdesc_wren = '1' and ch2_taildesc = ch2_fetch_address_i)then ch2_sg_idle <= '1'; ch2_sg_idle_int <= '1'; end if; end if; end process COMPARE_ADDRESS; -- Needed for multi channel EOF_LATCH_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_taildesc_wren = '1' or eof_latch = '1')then -- nned to have some reset condition here eof_latch <= '0'; elsif (ch2_sg_idle_int = '1' and ch2_updt_done = '1') then eof_latch <= '1'; end if; end if; end process EOF_LATCH_PROC; TAILUPDT_LATCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or eof_latch = '1')then -- nned to have some reset condition here tail_updt_latch <= '0'; first <= '0'; elsif (tail_updt = '1') then tail_updt_latch <= '0'; elsif(ch2_taildesc_wren = '1' and first = '0')then first <= '1'; elsif(ch2_taildesc_wren = '1' and first = '1')then tail_updt_latch <= '1'; end if; end if; end process TAILUPDT_LATCH; EQUAL_BD : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1')then bd_eq <= '0'; elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then bd_eq <= '0'; elsif(ch2_nxtdesc_wren = '1' and ch2_taildesc = ch2_fetch_address_i)then bd_eq <= '1'; end if; end if; end process EQUAL_BD; end generate GEN_PNTR_FOR_CH2; -- Channel 2 is NOT included therefore tie off pointer logic GEN_NO_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 0 generate begin ch2_fetch_address <= (others =>'0'); ch2_sg_idle <= '0'; tail_updt_latch <= '0'; bd_eq <= '0'; end generate GEN_NO_PNTR_FOR_CH2; end implementation;
mit
1653c29e5926f7b342860f52cd666dd9
0.41814
4.808631
false
false
false
false
mharndt/profibusmonitor
VHDL_Bausteine_old/CTRL_BIT_REGISTER/CTRL_BIT_REGISTER.vhd
4
17,447
-- CTRL_BIT_REGISTER -- Einlesen der einzelnen Werte für bestimmte Bits, Berechung der Parität und Ausgabe als Byte -- Projekt: PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 08.01.2013 -- Bearbeiter: mharndt -- Geaendert: 25.01.2013 -- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection -- Optimierungen aus: http://www.lothar-miller.de/s9y/categories/37-FSM library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_BIT_REGISTER is Port (EN_BIT_i : in std_logic_vector (8 downto 0); --Eingangsvariable, Einschalten des Bitregisters i BIT_VALUE : in std_logic; -- Eingangsvariable, Wert des aktuellen Bits BYTE_OUT : out std_logic_vector (7 downto 0); --Ausgangsvariable, Byte, 8bit, Vektor PARITY_OK : out std_logic; --Ausgangsvariable, Parität i.O. CLK : in std_logic; --Taktvariable IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic); --1: Initialzustand annehmen end CTRL_BIT_REGISTER; architecture Behavioral of CTRL_BIT_REGISTER is type TYPE_STATE_BR_BIT0 is (ST_BR_EN_BIT0_0, --Zustaende BIT_REGISTER BIT0 ST_BR_EN_BIT0_1); type TYPE_STATE_BR_BIT1 is (ST_BR_EN_BIT1_0, --Zustaende BIT_REGISTER BIT1 ST_BR_EN_BIT1_1); type TYPE_STATE_BR_BIT2 is (ST_BR_EN_BIT2_0, --Zustaende BIT_REGISTER BIT2 ST_BR_EN_BIT2_1); type TYPE_STATE_BR_BIT3 is (ST_BR_EN_BIT3_0, --Zustaende BIT_REGISTER BIT3 ST_BR_EN_BIT3_1); type TYPE_STATE_BR_BIT4 is (ST_BR_EN_BIT4_0, --Zustaende BIT_REGISTER BIT4 ST_BR_EN_BIT4_1); type TYPE_STATE_BR_BIT5 is (ST_BR_EN_BIT5_0, --Zustaende BIT_REGISTER BIT5 ST_BR_EN_BIT5_1); type TYPE_STATE_BR_BIT6 is (ST_BR_EN_BIT6_0, --Zustaende BIT_REGISTER BIT6 ST_BR_EN_BIT6_1); type TYPE_STATE_BR_BIT7 is (ST_BR_EN_BIT7_0, --Zustaende BIT_REGISTER BIT7 ST_BR_EN_BIT7_1); type TYPE_STATE_BR_BIT8 is (ST_BR_EN_BIT8_0, --Zustaende BIT_REGISTER BIT8 ST_BR_EN_BIT8_1); signal SV_BR_BIT0 : TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0 signal n_SV_BR_BIT0: TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0, neuer Wert signal SV_BR_BIT0_M: TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0, Ausgang Master signal SV_BR_BIT1 : TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1 signal n_SV_BR_BIT1: TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1, neuer Wert signal SV_BR_BIT1_M: TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1, Ausgang Master signal SV_BR_BIT2 : TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2 signal n_SV_BR_BIT2: TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2, neuer Wert signal SV_BR_BIT2_M: TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2, Ausgang Master signal SV_BR_BIT3 : TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3 signal n_SV_BR_BIT3: TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3, neuer Wert signal SV_BR_BIT3_M: TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3, Ausgang Master signal SV_BR_BIT4 : TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4 signal n_SV_BR_BIT4: TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4, neuer Wert signal SV_BR_BIT4_M: TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4, Ausgang Master signal SV_BR_BIT5 : TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5 signal n_SV_BR_BIT5: TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5, neuer Wert signal SV_BR_BIT5_M: TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5, Ausgang Master signal SV_BR_BIT6 : TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6 signal n_SV_BR_BIT6: TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6, neuer Wert signal SV_BR_BIT6_M: TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6, Ausgang Master signal SV_BR_BIT7 : TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7 signal n_SV_BR_BIT7: TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7, neuer Wert signal SV_BR_BIT7_M: TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7, Ausgang Master signal SV_BR_BIT8 : TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8 signal n_SV_BR_BIT8: TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8, neuer Wert signal SV_BR_BIT8_M: TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8, Ausgang Master signal BYTE_VEC : std_logic_vector (8 downto 0) := b"000000000"; -- Vektor, BIT_REGSITER, vor Auswertung der Checksume --signal not_CLK : std_logic; --negierte Taktvariable --signal TMP00 : std_logic; --temporärer Zwischenwert, Paritätsprüfung --signal TMP01 : std_logic; --signal TMP02 : std_logic; --signal TMP03 : std_logic; --signal TMP10 : std_logic; --signal TMP11 : std_logic; --signal TMP20 : std_logic; begin --NOT_CLK_PROC: process (CLK) --negieren Taktvariable --begin -- not_CLK <= not CLK; --end process; SREG_M_PROC: process (RESET, n_SV_BR_BIT0, n_SV_BR_BIT1, n_SV_BR_BIT2, n_SV_BR_BIT3, n_SV_BR_BIT4, n_SV_BR_BIT5, n_SV_BR_BIT6, n_SV_BR_BIT7, n_SV_BR_BIT8, CLK) --Master begin if (RESET ='1') then SV_BR_BIT0_M <= ST_BR_EN_BIT0_0; SV_BR_BIT1_M <= ST_BR_EN_BIT1_0; SV_BR_BIT2_M <= ST_BR_EN_BIT2_0; SV_BR_BIT3_M <= ST_BR_EN_BIT3_0; SV_BR_BIT4_M <= ST_BR_EN_BIT4_0; SV_BR_BIT5_M <= ST_BR_EN_BIT5_0; SV_BR_BIT6_M <= ST_BR_EN_BIT6_0; SV_BR_BIT7_M <= ST_BR_EN_BIT7_0; SV_BR_BIT8_M <= ST_BR_EN_BIT8_0; else if rising_edge(CLK) then if (IN_NEXT_STATE = '1') then SV_BR_BIT0_M <= n_SV_BR_BIT0; SV_BR_BIT1_M <= n_SV_BR_BIT1; SV_BR_BIT2_M <= n_SV_BR_BIT2; SV_BR_BIT3_M <= n_SV_BR_BIT3; SV_BR_BIT4_M <= n_SV_BR_BIT4; SV_BR_BIT5_M <= n_SV_BR_BIT5; SV_BR_BIT6_M <= n_SV_BR_BIT6; SV_BR_BIT7_M <= n_SV_BR_BIT7; SV_BR_BIT8_M <= n_SV_BR_BIT8; else SV_BR_BIT0_M <= SV_BR_BIT0_M; SV_BR_BIT1_M <= SV_BR_BIT1_M; SV_BR_BIT2_M <= SV_BR_BIT2_M; SV_BR_BIT3_M <= SV_BR_BIT3_M; SV_BR_BIT4_M <= SV_BR_BIT4_M; SV_BR_BIT5_M <= SV_BR_BIT5_M; SV_BR_BIT6_M <= SV_BR_BIT6_M; SV_BR_BIT7_M <= SV_BR_BIT7_M; SV_BR_BIT8_M <= SV_BR_BIT8_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_BR_BIT0_M, SV_BR_BIT1_M, SV_BR_BIT2_M, SV_BR_BIT3_M, SV_BR_BIT4_M, SV_BR_BIT5_M, SV_BR_BIT6_M, SV_BR_BIT7_M, SV_BR_BIT8_M, CLK) --Slave begin if (RESET = '1') then SV_BR_BIT0 <= ST_BR_EN_BIT0_0; SV_BR_BIT1 <= ST_BR_EN_BIT1_0; SV_BR_BIT2 <= ST_BR_EN_BIT2_0; SV_BR_BIT3 <= ST_BR_EN_BIT3_0; SV_BR_BIT4 <= ST_BR_EN_BIT4_0; SV_BR_BIT5 <= ST_BR_EN_BIT5_0; SV_BR_BIT6 <= ST_BR_EN_BIT6_0; SV_BR_BIT7 <= ST_BR_EN_BIT7_0; SV_BR_BIT8 <= ST_BR_EN_BIT8_0; else if falling_edge(CLK) then SV_BR_BIT0 <= SV_BR_BIT0_M; SV_BR_BIT1 <= SV_BR_BIT1_M; SV_BR_BIT2 <= SV_BR_BIT2_M; SV_BR_BIT3 <= SV_BR_BIT3_M; SV_BR_BIT4 <= SV_BR_BIT4_M; SV_BR_BIT5 <= SV_BR_BIT5_M; SV_BR_BIT6 <= SV_BR_BIT6_M; SV_BR_BIT7 <= SV_BR_BIT7_M; SV_BR_BIT8 <= SV_BR_BIT8_M; end if; end if; end process; BIT_REGISTER_EN_BIT_0_PROC:process (SV_BR_BIT0, n_SV_BR_BIT0, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit0 begin case SV_BR_BIT0 is when ST_BR_EN_BIT0_0 => BYTE_OUT(0)<='0'; BYTE_VEC(0)<='0'; if (EN_BIT_i(0) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_EN_BIT0_1 then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; when ST_BR_EN_BIT0_1 => -- EN_BIT_0_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(0) = 1 BYTE_OUT(0)<='1'; BYTE_VEC(0)<='1'; if (EN_BIT_i(0) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end if; else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0; end case; end process; BIT_REGISTER_EN_BIT_1_PROC:process (SV_BR_BIT1, n_SV_BR_BIT1, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT1 is when ST_BR_EN_BIT1_0 => BYTE_OUT(1)<='0'; BYTE_VEC(1)<='0'; if (EN_BIT_i(1) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT1_1 then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; when ST_BR_EN_BIT1_1 => -- EN_BIT_1_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(1) = 1 BYTE_OUT(1)<='1'; BYTE_VEC(1)<='1'; if (EN_BIT_i(1) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end if; else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0; end case; end process; BIT_REGISTER_EN_BIT_2_PROC:process (SV_BR_BIT2, n_SV_BR_BIT2, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT2 is when ST_BR_EN_BIT2_0 => BYTE_OUT(2)<='0'; BYTE_VEC(2)<='0'; if (EN_BIT_i(2) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT2_1 then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; when ST_BR_EN_BIT2_1 => -- EN_BIT_2_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(2) = 1 BYTE_OUT(2)<='1'; BYTE_VEC(2)<='1'; if (EN_BIT_i(2) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end if; else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0; end case; end process; BIT_REGISTER_EN_BIT_3_PROC:process (SV_BR_BIT3, n_SV_BR_BIT3, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT3 is when ST_BR_EN_BIT3_0 => BYTE_OUT(3)<='0'; BYTE_VEC(3)<='0'; if (EN_BIT_i(3) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT3_1 then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; when ST_BR_EN_BIT3_1 => -- EN_BIT_3_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(3) = 1 BYTE_OUT(3)<='1'; BYTE_VEC(3)<='1'; if (EN_BIT_i(3) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end if; else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0; end case; end process; BIT_REGISTER_EN_BIT_4_PROC:process (SV_BR_BIT4, n_SV_BR_BIT4, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT4 is when ST_BR_EN_BIT4_0 => BYTE_OUT(4)<='0'; BYTE_VEC(4)<='0'; if (EN_BIT_i(4) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT4_1 then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; when ST_BR_EN_BIT4_1 => -- EN_BIT_4 = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(4) = 1 BYTE_OUT(4)<='1'; BYTE_VEC(4)<='1'; if (EN_BIT_i(4) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end if; else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0; end case; end process; BIT_REGISTER_EN_BIT_5_PROC:process (SV_BR_BIT5, n_SV_BR_BIT5, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1 begin case SV_BR_BIT5 is when ST_BR_EN_BIT5_0 => BYTE_OUT(5)<='0'; BYTE_VEC(5)<='0'; if (EN_BIT_i(5) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT5_1 then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; when ST_BR_EN_BIT5_1 => -- EN_BIT_5_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(5) = 1 BYTE_OUT(5)<='1'; BYTE_VEC(5)<='1'; if (EN_BIT_i(5) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end if; else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0; end case; end process; BIT_REGISTER_EN_BIT_6_PROC:process (SV_BR_BIT6, n_SV_BR_BIT6, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit6 begin case SV_BR_BIT6 is when ST_BR_EN_BIT6_0 => BYTE_OUT(6)<='0'; BYTE_VEC(6)<='0'; if (EN_BIT_i(6) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT6_1 then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; when ST_BR_EN_BIT6_1 => -- EN_BIT_6 = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(6) = 1 BYTE_OUT(6)<='1'; BYTE_VEC(6)<='1'; if (EN_BIT_i(6) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end if; else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0; end case; end process; BIT_REGISTER_EN_BIT_7_PROC:process (SV_BR_BIT7, n_SV_BR_BIT7, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit7 begin case SV_BR_BIT7 is when ST_BR_EN_BIT7_0 => BYTE_OUT(7)<='0'; BYTE_VEC(7)<='0'; if (EN_BIT_i(7) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT7_1 then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; when ST_BR_EN_BIT7_1 => -- EN_BIT_7_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(7) = 1 BYTE_OUT(7)<='1'; BYTE_VEC(7)<='1'; if (EN_BIT_i(7) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end if; else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0; end case; end process; BIT_REGISTER_EN_BIT_8_PROC:process (SV_BR_BIT8, n_SV_BR_BIT8, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit8 begin case SV_BR_BIT8 is when ST_BR_EN_BIT8_0 => BYTE_VEC(8)<='0'; if (EN_BIT_i(8) = '1') then if (BIT_VALUE = '1')--gehe zu ST_BR_BIT8_1 then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; when ST_BR_EN_BIT8_1 => -- EN_BIT_8_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(8) = 1 BYTE_VEC(8)<='1'; if (EN_BIT_i(8) = '1') then if (BIT_VALUE = '1') then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end if; else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; -- BIT_VALUE = 0 end if; when others => n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0; end case; end process; PARITY_CHECK_PROC: process (BYTE_VEC) --Paritätsprüfung (Mit VARIABLEN := , STATT SIGNALEN <=) variable TMP00, TMP01, TMP02, TMP03, TMP10, TMP11, TMP20 : std_logic; begin TMP00 := BYTE_VEC(0) xor BYTE_VEC(1); TMP01 := BYTE_VEC(2) xor BYTE_VEC(3); TMP02 := BYTE_VEC(4) xor BYTE_VEC(5); TMP03 := BYTE_VEC(6) xor BYTE_VEC(7); TMP10 := TMP00 xor TMP01; TMP11 := TMP02 xor TMP03; TMP20 := TMP10 xor TMP11; if (TMP20 = BYTE_VEC(8)) then PARITY_OK <= '1'; -- Parität korrekt else PARITY_OK <= '0'; -- Parität fehlerhaft end if; end process; --BYTE_OUT_PORC: process (BYTE_VEC) --BYTEausgabe -- begin -- BYTE_OUT(0) <= BYTE_VEC(0); -- BYTE_OUT(1) <= BYTE_VEC(1); -- BYTE_OUT(2) <= BYTE_VEC(2); -- BYTE_OUT(3) <= BYTE_VEC(3); -- BYTE_OUT(4) <= BYTE_VEC(4); -- BYTE_OUT(5) <= BYTE_VEC(5); -- BYTE_OUT(6) <= BYTE_VEC(6); -- BYTE_OUT(7) <= BYTE_VEC(7); --end process; end Behavioral;
gpl-2.0
5d60ef024ae47a2b33d1e7cbb31a9568
0.57391
2.437753
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/mem/types_mem.vhd
1
7,672
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! --! Standard library library ieee; use ieee.std_logic_1164.all; --! Provide common generic log() function library commonlib; use commonlib.types_common.all; --! AMBA system bus specific library. library ambalib; --! AXI4 configuration constants. use ambalib.types_amba4.all; --! @brief Declaration of 'virtual' Memory components. package types_mem is --! @brief Declaration of the "virtual" BootROM component. --! @details BootRom start address must implements address matching to the --! CPU reset vector (0x200) and all processing after power-on is --! using this memory block. BootRom size depends of the configuration --! and size of the generated hex file. --! Component implements one-clock access to the --! ROM without wait-staits. Datawidth depends of the AXI4 bus --! configuration. --! @param[in] tech Generic technology selector. --! @param[in] hex_filename Generic argument defining hex-file location. --! @param[in] clk System bus clock. --! @param[in] address Input address. --! @param[out] data Output data value. component Rom_tech is generic ( memtech : integer := 0; abits : integer; sim_hexfile : string ); port ( clk : in std_logic; address : in global_addr_array_type; data : out std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0) ); end component; ------------------------------------------------------------------------------ --! @brief Galileo PRN codes ROM storage: --! @details This ROM is used in FSE Engine to form reference E1 reference --! signals. HEX-file isn't used for this ROM because 'inferred' --! module was built using "case when" operators. component RomPrn_tech is generic ( generic_tech : integer := 0 ); port ( i_clk : in std_logic; i_address : in std_logic_vector(12 downto 0); o_data : out std_logic_vector(31 downto 0) ); end component; --! @brief Declaration of the "virtual" SRAM component with unaligned access. --! @details This module implements internal SRAM and support unaligned access --! without wait-states. For example it allows to read 4 bytes from --! address 0x3 for one clock. --! Component implements one-clock access without wait-staits. --! Datawidth depends of the AXI4 bus configuration. --! @param[in] memtech Generic technology selector. --! @param[in] abits Generic argument defining SRAM size as 2**abits. --! @param[in] clk System bus clock. --! @param[in] raddr Read address. --! @param[out] rdata Output data value. --! @param[in] waddr Write address. --! @param[in] we Write enable. --! @param[in] wstrb Byte selector to form write only for the specified bytes. --! @param[in] wdata Write data. component srambytes_tech is generic ( memtech : integer := 0; abits : integer := 16; init_file : string := "" ); port ( clk : in std_logic; raddr : in global_addr_array_type; rdata : out std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); waddr : in global_addr_array_type; we : in std_logic; wstrb : in std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); wdata : in std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0) ); end component; --! @brief Virtual SRAM block with fixed 32-bits data width. --! @details This module doesn't support byte access and always implements --! 4-bytes alignment. component Ram32_tech generic ( generic_tech : integer := 0; generic_abits : integer := 10 ); port ( i_clk : in std_logic; i_address : in std_logic_vector(generic_abits-1 downto 0); i_wr_ena : in std_logic; i_data : in std_logic_vector(31 downto 0); o_data : out std_logic_vector(31 downto 0) ); end component; --! @brief Virtual SRAM block with fixed 64-bits data width. --! @details This module doesn't support byte access and always implements --! 4-bytes alignment. component Ram32x2_tech generic ( generic_tech : integer := 0; generic_kWords : integer := 1 ); port ( i_clk : in std_logic; i_address : in std_logic_vector(10+log2(generic_kWords)-1 downto 0); i_wr_ena : in std_logic_vector(1 downto 0); i_data : in std_logic_vector(63 downto 0); o_data : out std_logic_vector(63 downto 0) ); end component; --! @brief Virtual SRAM block with fixed 64-bits data width. --! @details This module doesn't support byte access and always implements --! 8-bytes alignment. component Ram64_tech generic ( generic_tech : integer := 0; generic_abits : integer := 4 ); port ( i_clk : in std_logic; i_address : in std_logic_vector(generic_abits-1 downto 0); i_wr_ena : in std_logic; i_data : in std_logic_vector(63 downto 0); o_data : out std_logic_vector(63 downto 0) ); end component; --! @brief dual-port RAM declaration. component syncram_2p_tech is generic ( tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1 ); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0) ); end component; component dpram_tech is generic ( memtech : integer := 0; abits : integer := 12; dbits : integer := 64 ); port ( i_clk : in std_logic; i_raddr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_waddr : in std_logic_vector(abits-1 downto 0); i_wena : in std_logic; i_wdata : in std_logic_vector(dbits-1 downto 0) ); end component; component ram_tech is generic ( memtech : integer := 0; abits : integer := 12; dbits : integer := 64 ); port ( i_clk : in std_logic; i_addr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_wena : in std_logic; i_wdata : in std_logic_vector(dbits-1 downto 0) ); end component; component otp_tech is generic ( memtech : integer := 0 ); port ( clk : in std_logic; -- only for FPGA i_we : in std_ulogic; i_re : in std_ulogic; i_addr : in std_logic_vector(11 downto 0); i_wdata : in std_logic_vector(15 downto 0); o_rdata : out std_logic_vector(15 downto 0); io_gnd : inout std_logic; io_vdd : inout std_logic; io_vdd18 : inout std_logic; io_upp : inout std_logic ); end component; end;
apache-2.0
f38482ba540ef2b1458bf4905a8b7992
0.622263
3.663801
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/cache/icache_lru.vhd
1
15,940
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() library commonlib; use commonlib.types_common.all; library riverlib; use riverlib.river_cfg.all; use riverlib.types_cache.all; entity icache_lru is generic ( memtech : integer; async_reset : boolean ); port ( i_clk : in std_logic; i_nrst : in std_logic; -- Control path: i_req_valid : in std_logic; i_req_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); o_req_ready : out std_logic; o_resp_valid : out std_logic; o_resp_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); o_resp_data : out std_logic_vector(31 downto 0); o_resp_load_fault : out std_logic; o_resp_executable : out std_logic; o_resp_writable : out std_logic; o_resp_readable : out std_logic; i_resp_ready : in std_logic; -- Memory interface: i_req_mem_ready : in std_logic; o_req_mem_valid : out std_logic; o_req_mem_type : out std_logic_vector(REQ_MEM_TYPE_BITS-1 downto 0); o_req_mem_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); o_req_mem_strob : out std_logic_vector(ICACHE_BYTES_PER_LINE-1 downto 0); o_req_mem_data : out std_logic_vector(ICACHE_LINE_BITS-1 downto 0); i_mem_data_valid : in std_logic; i_mem_data : in std_logic_vector(ICACHE_LINE_BITS-1 downto 0); i_mem_load_fault : in std_logic; -- MPU interface: o_mpu_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); i_mpu_flags : in std_logic_vector(CFG_MPU_FL_TOTAL-1 downto 0); -- Debug Signals: i_flush_address : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); -- clear ICache address from debug interface i_flush_valid : in std_logic -- address to clear icache is valid ); end; architecture arch_icache_lru of icache_lru is constant zero64 : std_logic_vector(63 downto 0) := (others => '0'); constant State_Idle : std_logic_vector(3 downto 0) := "0000"; constant State_CheckHit : std_logic_vector(3 downto 0) := "0001"; constant State_TranslateAddress : std_logic_vector(3 downto 0) := "0010"; constant State_WaitGrant : std_logic_vector(3 downto 0) := "0011"; constant State_WaitResp : std_logic_vector(3 downto 0) := "0100"; constant State_CheckResp : std_logic_vector(3 downto 0) := "0101"; constant State_SetupReadAdr : std_logic_vector(3 downto 0) := "0110"; constant State_FlushAddr : std_logic_vector(3 downto 0) := "0111"; constant State_FlushCheck : std_logic_vector(3 downto 0) := "1000"; constant State_ResetAddr : std_logic_vector(3 downto 0) := "1001"; constant State_ResetWrite : std_logic_vector(3 downto 0) := "1010"; type RegistersType is record req_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); req_addr_next : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); write_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); state : std_logic_vector(3 downto 0); req_mem_valid : std_logic; mem_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); req_mem_type : std_logic_vector(REQ_MEM_TYPE_BITS-1 downto 0); executable : std_logic; load_fault : std_logic; req_flush : std_logic; req_flush_all : std_logic; req_flush_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); req_flush_cnt : std_logic_vector(CFG_ILOG2_LINES_PER_WAY+CFG_ILOG2_NWAYS-1 downto 0); flush_cnt : std_logic_vector(CFG_ILOG2_LINES_PER_WAY+CFG_ILOG2_NWAYS-1 downto 0); cache_line_i : std_logic_vector(ICACHE_LINE_BITS-1 downto 0); end record; constant R_RESET : RegistersType := ( (others => '0'), (others => '0'), -- req_addr, req_addr_next (others => '0'), -- write_addr State_ResetAddr, -- state '0', (others => '0'), -- req_mem_valid, mem_addr, (others => '0'), -- req_mem_type '0', -- executable '0', -- load_fault '0', -- req_flush '0', -- req_flush_all (others => '0'), (others => '0'), -- req_flush_addr, req_flush_cnt (others => '1'), -- flush_cnt (others => '0') -- cache_line_i ); signal r, rin : RegistersType; signal line_direct_access_i : std_logic; signal line_invalidate_i : std_logic; signal line_re_i : std_logic; signal line_we_i : std_logic; signal line_addr_i : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal line_wdata_i : std_logic_vector(ICACHE_LINE_BITS-1 downto 0); signal line_wstrb_i : std_logic_vector(2**CFG_ILOG2_BYTES_PER_LINE-1 downto 0); signal line_wflags_i : std_logic_vector(ITAG_FL_TOTAL-1 downto 0); signal line_raddr_o : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); signal line_rdata_o : std_logic_vector(ICACHE_LINE_BITS+15 downto 0); signal line_rflags_o : std_logic_vector(ITAG_FL_TOTAL-1 downto 0); signal line_hit_o : std_logic; signal line_hit_next_o : std_logic; begin memcouple : tagmemcoupled generic map ( memtech => memtech, async_reset => async_reset, abus => CFG_CPU_ADDR_BITS, waybits => CFG_ILOG2_NWAYS, ibits => CFG_ILOG2_LINES_PER_WAY, lnbits => CFG_ILOG2_BYTES_PER_LINE, flbits => ITAG_FL_TOTAL ) port map ( i_clk => i_clk, i_nrst => i_nrst, i_direct_access => line_direct_access_i, i_invalidate => line_invalidate_i, i_re => line_re_i, i_we => line_we_i, i_addr => line_addr_i, i_wdata => line_wdata_i, i_wstrb => line_wstrb_i, i_wflags => line_wflags_i, o_raddr => line_raddr_o, o_rdata => line_rdata_o, o_rflags => line_rflags_o, o_hit => line_hit_o, o_hit_next => line_hit_next_o ); comb : process(i_nrst, i_req_valid, i_req_addr, i_resp_ready, i_req_mem_ready, i_mem_data_valid, i_mem_data, i_mem_load_fault, i_mpu_flags, i_flush_address, i_flush_valid, line_raddr_o, line_rdata_o, line_rflags_o, line_hit_o, line_hit_next_o, r) variable v : RegistersType; variable v_req_ready : std_logic; variable v_resp_valid : std_logic; variable vb_cached_data : std_logic_vector(31 downto 0); variable vb_uncached_data : std_logic_vector(31 downto 0); variable vb_resp_data : std_logic_vector(31 downto 0); variable v_resp_er_load_fault : std_logic; variable v_direct_access : std_logic; variable v_invalidate : std_logic; variable v_line_cs_read : std_logic; variable v_line_cs_write : std_logic; variable vb_line_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); variable vb_line_wdata : std_logic_vector(ICACHE_LINE_BITS-1 downto 0); variable vb_line_wstrb : std_logic_vector(ICACHE_BYTES_PER_LINE-1 downto 0); variable v_line_wflags : std_logic_vector(ITAG_FL_TOTAL-1 downto 0); variable sel_cached : integer; variable sel_uncached : integer; variable v_ready_next : std_logic; variable vb_addr_direct_next : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); begin v := r; v_ready_next := '0'; v_req_ready := '0'; v_resp_valid := '0'; vb_resp_data := (others => '0'); v_resp_er_load_fault := '0'; v_direct_access := '0'; v_invalidate := '0'; sel_cached := conv_integer(r.req_addr(CFG_ILOG2_BYTES_PER_LINE-1 downto 1)); sel_uncached := conv_integer(r.req_addr(2 downto 1)); vb_cached_data := line_rdata_o(16*sel_cached + 31 downto 16*sel_cached); vb_uncached_data := r.cache_line_i(16*sel_uncached + 31 downto 16*sel_uncached); -- flush request via debug interface if i_flush_valid = '1' then v.req_flush := '1'; v.req_flush_all := i_flush_address(0); if i_flush_address(0) = '1' then v.req_flush_cnt := (others => '1'); v.req_flush_addr := (others => '0'); elsif and_reduce(i_flush_address(CFG_ILOG2_BYTES_PER_LINE-1 downto 1)) = '1' then v.req_flush_cnt := conv_std_logic_vector(1, CFG_ILOG2_LINES_PER_WAY+CFG_ILOG2_NWAYS); v.req_flush_addr := i_flush_address; else v.req_flush_cnt := (others => '0'); v.req_flush_addr := i_flush_address; end if; end if; -- Flush counter when direct access if r.req_addr(CFG_ILOG2_NWAYS-1 downto 0) = conv_std_logic_vector(ICACHE_WAYS-1, CFG_ILOG2_NWAYS) then vb_addr_direct_next(CFG_CPU_ADDR_BITS-1 downto CFG_ILOG2_BYTES_PER_LINE) := r.req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_ILOG2_BYTES_PER_LINE) + 1; vb_addr_direct_next(CFG_ILOG2_BYTES_PER_LINE-1 downto 0) := (others => '0'); else vb_addr_direct_next := r.req_addr + 1; end if; v_line_cs_read := '0'; v_line_cs_write := '0'; vb_line_addr := r.req_addr; vb_line_wdata := r.cache_line_i; vb_line_wstrb := (others => '0'); v_line_wflags := (others => '0'); case r.state is when State_Idle => v.executable := '1'; v_ready_next := '1'; when State_CheckHit => vb_resp_data := vb_cached_data; if line_hit_o = '1' and line_hit_next_o = '1' then -- Hit v_resp_valid := '1'; if i_resp_ready = '1' then v_ready_next := '1'; v.state := State_Idle; end if; else -- Miss v.state := State_TranslateAddress; end if; when State_TranslateAddress => if i_mpu_flags(CFG_MPU_FL_EXEC) = '0' then v.cache_line_i := (others => '1'); v.state := State_CheckResp; else v.req_mem_valid := '1'; v.state := State_WaitGrant; v.write_addr := r.req_addr; if i_mpu_flags(CFG_MPU_FL_CACHABLE) = '1' then if line_hit_o = '0' then v.mem_addr := r.req_addr(CFG_CPU_ADDR_BITS-1 downto CFG_ILOG2_BYTES_PER_LINE) & zero64(CFG_ILOG2_BYTES_PER_LINE-1 downto 0); else v.write_addr := r.req_addr_next; v.mem_addr := r.req_addr_next(CFG_CPU_ADDR_BITS-1 downto CFG_ILOG2_BYTES_PER_LINE) & zero64(CFG_ILOG2_BYTES_PER_LINE-1 downto 0); end if; v.req_mem_type := ReadShared; else v.mem_addr := r.req_addr(CFG_CPU_ADDR_BITS-1 downto 3) & "000"; v.req_mem_type := ReadNoSnoop; end if; end if; v.load_fault := '0'; v.executable := i_mpu_flags(CFG_MPU_FL_EXEC); when State_WaitGrant => if i_req_mem_ready = '1' then v.state := State_WaitResp; v.req_mem_valid := '0'; end if; when State_WaitResp => if i_mem_data_valid = '1' then v.cache_line_i := i_mem_data; v.state := State_CheckResp; v.write_addr := r.req_addr; -- Swap addres for 1 clock to write line v.req_addr := r.write_addr; if i_mem_load_fault = '1' then v.load_fault := '1'; end if; end if; when State_CheckResp => v.req_addr := r.write_addr; -- Restore req_addr after line write if r.req_mem_type(REQ_MEM_TYPE_CACHED) = '0' or r.load_fault = '1' then v_resp_valid := '1'; vb_resp_data := vb_uncached_data; v_resp_er_load_fault := r.load_fault; if i_resp_ready = '1' then v.state := State_Idle; end if; else v.state := State_SetupReadAdr; v_line_cs_write := '1'; v_line_wflags(TAG_FL_VALID) := '1'; vb_line_wstrb := (others => '1'); -- write full line end if; when State_SetupReadAdr => v.state := State_CheckHit; when State_FlushAddr => v.state := State_FlushCheck; v_direct_access := r.req_flush_all; -- 0=only if hit; 1=will be applied ignoring hit v_invalidate := '1'; -- generate: wstrb='1; wflags='0 v.cache_line_i := (others => '0'); when State_FlushCheck => v.state := State_FlushAddr; v_direct_access := r.req_flush_all; v_line_cs_write := r.req_flush_all; if or_reduce(r.flush_cnt) = '1' then v.flush_cnt := r.flush_cnt - 1; if r.req_flush_all = '1' then v.req_addr := vb_addr_direct_next; else v.req_addr := r.req_addr + ICACHE_BYTES_PER_LINE; end if; else v.state := State_Idle; end if; when State_ResetAddr => -- Write clean line v_direct_access := '1'; v_invalidate := '1'; -- generate: wstrb='1; wflags='0 v.state := State_ResetWrite; when State_ResetWrite => v_direct_access := '1'; v_line_cs_write := '1'; v.state := State_ResetAddr; if or_reduce(r.flush_cnt) = '1' then v.flush_cnt := r.flush_cnt - 1; v.req_addr := vb_addr_direct_next; else v.state := State_Idle; end if; when others => end case; if v_ready_next = '1' then if r.req_flush = '1' then v.state := State_FlushAddr; v.req_flush := '0'; v.cache_line_i := (others => '0'); v.req_addr := r.req_flush_addr(CFG_CPU_ADDR_BITS-1 downto CFG_ILOG2_BYTES_PER_LINE) & zero64(CFG_ILOG2_BYTES_PER_LINE-1 downto 0); v.flush_cnt := r.req_flush_cnt; else v_req_ready := '1'; v_line_cs_read := i_req_valid; vb_line_addr := i_req_addr; if i_req_valid = '1' then v.req_addr := i_req_addr; v.req_addr_next := i_req_addr + ICACHE_BYTES_PER_LINE; v.state := State_CheckHit; end if; end if; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; line_direct_access_i <= v_direct_access; line_invalidate_i <= v_invalidate; line_re_i <= v_line_cs_read; line_we_i <= v_line_cs_write; line_addr_i <= vb_line_addr; line_wdata_i <= vb_line_wdata; line_wstrb_i <= vb_line_wstrb; line_wflags_i <= v_line_wflags; o_req_ready <= v_req_ready; o_req_mem_valid <= r.req_mem_valid; o_req_mem_addr <= r.mem_addr; o_req_mem_type <= r.req_mem_type; o_req_mem_strob <= (others => '0'); o_req_mem_data <= (others => '0'); o_resp_valid <= v_resp_valid; o_resp_data <= vb_resp_data; o_resp_addr <= r.req_addr; o_resp_load_fault <= v_resp_er_load_fault; o_resp_executable <= r.executable; o_resp_writable <= '0'; o_resp_readable <= '0'; o_mpu_addr <= r.req_addr; rin <= v; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
e132f8b40fb45dce959db3703455915b
0.564994
3.160817
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/common/shft_wrapper.vhd
19
13,889
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IkKQ7UnyfG/i0Gz2KESfn5rIa2XG6JjMuNzaLweotYfssoXFPRW5MF9/SJXIBGc5jwrrtn7ZIvXw ZMKFyJ3FzA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A7z8fuAKplZhDCneI9mNA3lof0N+J7iQN1H5R3Mj6yF0lZ6gCWQLLnnmsEoxkSX05NXSzlh4gcEg 7rRfO6LtEEhf+XGNB65vpBYpfhGyoq59NAHhGVo4SvBM+mv7uMxOGdpTeOCZ4JbHV0AkjL28mjov 93MegfTkvdkm8J0Lvdk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuMQUwo0GDzI3cOEq+tu/2nUcDmn/7fhQTHPWWNseJwSS2v3l/iZo4evCcnhY45ESTueA+ZpjAko WVoSIubelzbNSlntY2uMGs5oczMZtiztniKkMtgrjy3EW9dfGbHhtmNrOHGIHH4IdMr3kAy4Vh74 ZigAJ9A6+7kI6MsJi8v3mT1ARZHCR6MWsQMcVGsi2drnsGRWoYryCO5xQR7B/cwBGzMymTal23NM pQKOm5sZ3P6n60ZuBiOsJmbRp0+LVYxKNhFdxlNXd0mwyAZQT/UOuOuVbjlNnKY3+syFmjH1X2jU BRKqD7PfkYIVMVQ6XvOwQSNLyki/t/1FG9LntQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2lTj0FQ90PRwxkOhP58Pis/0pnBIhVIOGqxXo4lWUDsJI5sRS1Q5L+Q6i9o+BNlX2LRPYus/9Dnq 5ATglZxA4PDv34H6B5xWMxj6PrHSWzf271mNIoMFrjsSBdzp3H4BqkwksoU2N0BujU4mvFktBj6s VuYwP8rZjGtZ8cTr2i8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WKc7lQN7TOvrS4DJ7NNUxP98rrzfIQuz4DIZ8eAY+GKFx6NuoyinV7kCt4N2qBg8IRnkz00LUdTl h4FZuBrLJJyfOOGbqIiZNIhgdqVi7fXcxV2ef2SWPHLvr6kIV0N1TmRIBZht7FPZCej+/BNW8QYG B1Rd/mmsAB7hXx6GfVQ5u7NRsVDyxlcEghLjiM7GAdTaOWl/F6pDM3aRwjjOmid8Gt7xmiYfPT0B Gzk510O+OqDJRqmdMvwBmv3K/y+M1RxYsLOpwIle5lGrJoXR6zj5dZS3g0EOtylaiuYJczAHSe89 8ncn00hUVfz/5JZCkfgcxZH1LxGTI+Ly2xY+5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544) `protect data_block iujUC/tkGc3YsPIWp8R1WlT9I3b1iViM3rwkIAWCfdfltKxuQFLgvmnIGDHPq5/sZnK9RjQ1erM5 +4rzNB1t0k6+pmeC+rUxk1kxJiEFLPrXj6D8tBYALM+p3tRsJkgf1OwAHZUMuP9Cgzpm7+x3/h// dakRbwKsu/woQfa+RAaciG6OrWM+NBtgWjAf9CBkmwULhVFLqZOuyQxY15RUTMvskKCOdz7Yll3x Sw5UA7iBQw1KGzX9Ft0wCSikSSKuDqD9T52Rv9ney7EKBVxdIGCb28ZcQg4pA8mpH/R0epMqslpK H6RE04DSBlgdZVl7YYbJWF1BhvQWz8ZM5gtJFSOkjh3suKwhVBjbLFhaX0QwhrkNk1TsO9DuSaRn 2NmqW3jYNqdDbidhd59LZ3CajmaP77XiT1brY8v2UhbZMlUjq7OoccDY/UM5FjFVcbuZhehx7r4+ axLwfBrMU07YQeTdjV6cpzIxpi/iAfSCuMNKH+GCdPI1bqQ5bBDz4HI7WCpKSdQaCanxYtxgvPVs EiBUZtDagX3pWZlgQsbdKJKJ4jdduCgA3PufW2c4v47/fHZvwqbp2GYD5hV7a+BqxwdUp8SFS+th minYCSahchwOy1Q3Pieeq3e57JeFDUFlroTe6xX7sw/yLXW4h2vqCC0slQMdtaoe5LuVKILkMrbr MCVnBryZKR0DRvKwx0zu+o/shen+Jg5q15jkSLpDVoGYgbWn3SEYiYSmMsawduWC8+oZtEq9msyP FOBy95fwtXDpG0Ax7sVRiRX52aCzqTk6B5xr3zHvZXkm8FqQxSMvtHJRSm/m9spWiJmPnBMij2F2 V+/9PJZCx5fVUaNYxkGhEAayDwpoMltOj0lJLws3jUXb8RcaSM270LNQyEynPAPwXZMte0WNq34l gQKkbmnc+Fr2HgYydDqjDvNIAGLCWeIHzzxgc6yhDJAp5Cm7WeXWxOXqheOBzfKJ1fxIF4WEN3aO 4WG9ZdaTb5F50Ot984kU0fM7rQ1NJJSSwy01omPdaRhX2GfAEQL1Yh/wXAknmcW7cytT7DcVidPu OdRnY1M+sSeNwOyDSF8aevu7C5S9SeRcgT0esziJZB1kh0bKwg5xirPwTpsYDXHk4+MITHkJbYsg yYvdIXAT3VGj/FCUxcqQpBzgvxA2GtkeQNtn5DZbsvAyPx2sPxYAU8qZrrieKWZ399Gdv9z/+C8T UuizW9s6Wz9kRzQih3llWEpDN+ta2YWmIkxD9AxXSlPMpQrdnZPpj2kWpWYlys13lxLIflIg7Cdv vgL/BzYQgMJ+07xsgvyMH01SVZKB0AfThdOXLi8T9iAxSNlmk7Pla/YoOfsjWAFUshQ6YPtni8Di l/MKLntbjwts6apscE9QoE+pTA0bMc0n6Lb/HcmKsUmAMJJk+wqHJqcyA7a88WH0y8edawNmgP7L oLSFcwbd3nKfRrlBUSij10qWCPwJLoZu1ExzoOoEqd/GuPrJXcQeyXlvq2xZXrkyCoMmzZ1v2h/T 3YQ3sKlpcuXMPV/wzN3zRJTFhWzBTpYSkteL57I3HL0thSkQ8cDMonedfAI5c9LcKLyvi6YJcWOV dxbfjUlCbIRszY3Lw3qfVuLdbHYOwIn2p3wOjZk0LBTV7IsOUJY18IKZCWL0AgtoATliy+NTutI6 qMO88TsSJXW0BirouhTx4vzO5hg/aY7tDcqKyjuSqLRBgEtbdUPpq0yooUJ3ivF0jGCWbXSPyu6/ CvKk0L0kbpC2ob1aUkl7u5nWEyOBC9Xy/fS+PgThBE2VhpMUZpq+Fw4vRXKndu5x32hbDji8LEsl QH4Uik4HqBEbM08c/29Z0RMapq5GQJ16aXiVH1yUNXV9Uq6P/9XeCwC6ko+YgtLefQ+jgD6h+MKw YkLzlSTOYlMCek2cZ5CbERFAn1+RU+dbe6+b+JZ/8S8M/qK+OVuqPVGOcmy8bOTeJJgZWtUfrO8x 15x8TxNKU1Y8MTJyE7A9+3SYKwONV5D0oh4AFrr+DPxP6F80JfNRQ27x8q89Mgj9aybK4h+SIcjw 9AtKGksxm/ghqd73OB04lDBrYxA+yp8xpa4BGsuZ/BSzZz2zOWqKoYiE4B/MCwOfu3Rm+z+gw9YG nVVk1bqlJ3GICyKWIxZcMPyclDp+r+xMI672aiGeAxnvk7ozr/aZPelLULPt4v1b2eri5xeT24a0 0tE9y0MW0QbWwmHs6fLbE1115AoDLnAACc/ZdKH81YWwj9shcME1e9lrmImC25pGsoQclXtO2Ndr ds7Xn4Z68Z5MJmpSIC+Lc6kxxYvk9ClqMTm060MZtUblfQZ+p6yQtD1t1oyObakL0Fu4tsQ01uUR IcbxYlaakB32btfrNBorpS7uGxgWDr+AfS+xyTSFvNMKHSr7uNypzyk55TW/mkqXUkJYgQs2y98+ gMRNjFP7dQZS1Gz8Ytrl4xmu8dOOJW2XdxKOMfsO8MbgrxsgNjUC/Ah/r9kYtwXSRKwSk7VUQO/B J8adoNfGeeQyZs6JWMXjn+LBXeTImI8aBgiFQRO3Q698k+3LZ1k/fbWJdevKnCC1OGCtvRXo28cj MylA66/0elksF/x9KkB6A5U+FusF/ICMu78K3qPNVN61iV6UOs8pZUqu1TQ+aTLgq7pQ5r+a0Tjq fkZzJydtqweeDkziWUivdkH4HiMDWAHWMf9iBP4r6s6Bd6JzkV8s2mS1m4ZAkeSiTIcnEpXQaBmH dLjTljLe0pmOuDTqEjNVkQXepMfLrxGNmPozwmWzMwPpBGTIDEKKh0zaTVWDoRQYfsIVkpDDF2ba SkqmzECna2y1GnW4z4/jhYkXLUo8/I/2lgjdNXF7ycDur8Tn2wtmNBen6Be47/ouY2iYwzue6UEh CierGnxoGWA4kKre7vGtdGzyNr1BI37sz4yHxRz6WTD7tmDEHZNwTK3WyXRCytivb4XJY47LPbjx 9mjSmvKUAchgQ2/RGJoYJT2a8ns+gE0d2vAx0XD7OpCyHYPdlo0/hkG64Y3wLJM7Txkwe+9QcO30 VcymZ46QdGYC7Yh1tEj9GkXDqb4pUbDcfQQ7FJO+aYGJdw+XFLxeFUvMhJauCn/8PP4Cq2nuon5U kxM54ywEEaO7V2BGdxOMlKSrtVlP9lCi169r+Sg1tC84Ns/hCnmgBX9PrghCCe1buQJe65STqZp/ S4J3PBfAQLMV9fVs8U9S8s5AgLmRIqLjFZCqCJTJYcf9VeShmXNJ/XE0Dgg7EmNjoKFt6ts+im1d GZkRKLmqtw8g9sjZ6WqP6Nl/iqw8rEp3ZxNvPJi954ImGC6vRBdXD/Wrte4822ByqB0CM8qGcFPw iNE83chvhR3GysEVlaWN6cKyjazsZPa/9uVU4TEqXpAnRg+1HpJDIRGGt44+JKXQhjMidlKGG4kc IucXruxBwAgs6086lpnFw9NN5fOeC3U/52IjW4tM1wNGajRZ2+8AXh47TSLax/Bjn4d9uWtlHhIx uqObfcqh2vESfb3l8+3AM1zkxBtrPvDHMHqSsY0Gg6kffv1Ay4YEET7Yr+v6M5C0dtoS3xJbP7Jk fUzsKm+ODMpOAD3GFKoj+EK+R2Y+QereimYY8DEnhLMERcsKMJTVESfWVHBSMXlb8ObQyp58JO2g jrhuyipzfq3ozfklo01utkmFMnRgJ1AFh1to4WsEt7b2aL9y3v5LVqDKGMLmOiZzPizJlEk9bhwt yBUxIMnHoOEsBnC2FGGwKSSCTYGYZPfHHYKBff3+wbkHXNvERU8kIpiuU8FjQUQZL/JjEKhInw6z iTg5JNaVSPLf8st4OEdjDy37/+CgJbm5vKACT1gzrCeSNgc3RgWoZlBt7T2a/GZ5JYXwKlX/goXp YQv1G1mVaoQlvtfxH5vrR9fZ0+bK3a3CDiMAf+HfiSgMvvXFzY4fkTiGg4ZxqPOg0FDYlAd41fZk oK2mgWrhC6UcmzB4yxLXZWrofOmm5N4c3oIblEf+gthhapKRH8kIZWvGaN+j5de1AZ6E55m5V7at o2UCwTZcCXjPMa4Wdy8T8PI/qMCuYAUsbDw2rh4mJgmFOScaabVUgRre1ltQWIkiju1/KkoCLo// AHuFA/AEP9PnoN+v7e5XbbledrusaOzVNgq1tRxqKzLeHjMiXUFDO7JHFoxGKb8Y6KkK1ug8p/QX 6GyKi5Wf0IIMzLxmjckk66uheiSitNsGHfgcudjsJlQUoRtZmxQ8/3clv7jRmfRVd9Wlvhf/erv0 BkPVnUeXSIG7hutUTV2CVEfAWFlcP6vZLJgHrNp45ch5NwMJU510b6Y3AmKYfbhojTV7nHu4/LFq 91sF67Q21B77gmojF7jCdtPO+bhbOCocdZHkdSFINe58FpWez+9vkDmu0Ju/A1ECD6AGz242GtDM 05HuZKFv63qiTes5iebgs8y00VQYiTQhGSIKnXq3n3DDxvqTyF7J+Qe8sxw5Mcc/B6JGajapjyv5 3A9LN/wnihJ1bRLX1wQIqkR+EEdV/ec6Ir6CNkqXx03XLOY94BAJInCfK05E8DEMwo6hUuYbXpas 6+xIS/N6yxRros/XU6vQJ4GcBCFSELPOsF9fetwoAsAm+bjMRCuUAi6y44v2CVM0ay380KYEztLw LchUN9rivyOv3DBTakJ2AX1bLeXjyObRRJex5fH+x7Dr5ArXI9hn0gPGl1/yuUh+GmZ4fYl/B+om Y3ikWejZ7/6zo1Y5Yg9dQpsvv7B4/Zc43mC6JFTscLdmz3ePpWLUKDoNWSOcttvcyK7NfARohu0w DADDDQVqZ8/C9VQt+m/XmDPb/5IFLWWzTA5/uJE1bIqWh5l6pQ0GUdazbh53D4OQS6yYC2Y6v6SD N0XpJNzyXNp6TcJZ1sNI0CulIPO1Uirb76TUgpg+6oEDwuFlaz7J6Twlhs7o3QORvJ06le/bXycP 1wdz1GFu+g+r9gaa3JEV+Y9hTQZ50YBzBmka5V4y8ZGvy0LxdHm47DP/kpCHgdRZ+ApVSUJ80miy PN3g8WJrT81bfZ4HOCZ4sULOFie5ZnB8cRzuxxNrvdLgSHLaqOgDtU7sHIyozQ+MIE5V3W4NQjvu LC6jo9wyRQZGwh/H1Q+37VSonHJYh8tGG6uGihBFm1Mcm00vqmIadDTZgqpSln8kr7xfkNQ4+dMR sa3vCuGDU/i03lpqS8M63KV1/AycgY/J9sxQ94UzmVZwSiv2vEfAnyyBF95QgBYJodHWgLmATaqf /0KAf/QQz1+/WKyhUfqK7DNCigb+yjDsOuDglNBqk7hs+h8MH9FZjRqULROxWxW5q84JOpTWVyC7 f9hNMRxLtsmTLkfmvToOb5Ciz8stOEcn0du3JxSXRQOQ0Yh8vihyCF/MWcJFVAhzhHN6/EqkD3gM SflhhQTtOGRUkpF0ShrrpjpmwV8PY3Uap0kZbhcIhd3nFfK6tIovuljgr7bU6yvTsI09HR91T5HQ csLu9ZnzcbvKmG2ikSohD6tchlpJsvhKBBLX+k4UVkRHk0TQLHhDQSkwcEIBteitxSrZfk3WYiX+ /Z7Fm0LJ0NbBJbovLmTnrABGFOa2zwF3VwSEfUXSfCadizh8ozYen2Yx7Dt9RI0IZ5B1Bn4gsm/E g7IHzYxwkzgAaxtxUfgPCACjbdQqoaiYW99nUR2sSxAlepfB9nQFZeSdPEC9EoeYEU1BQzbMFBsB XvOhaCgrATYXxDuoXjMIldAVBllZsI7jQYXnlj4qaPU9etF7mn53mM6tzDzAbJs8AN+Vj4yOpPTc 7shmaDvbwA49xP3mPiyWD7SCe7UL3r2fjRp701Z+m1apEcX+Yy15k0rLZ9QlsWuqsuoyhgsCObSS m3PgfcVtCv2L3dmX+Fjc1MNfrdDodJH0wDiDA5UzQy3JklLcGITwDZimCI6aiCRnpkLSDxcLrxeU b2CnI7Dvn5AMX/PLWi+/M2Q9Ck9iIVdQhJGKcfoM1gSWDeMcH2oL+T+vHKL8ROG2drsSGlro19D3 YrX5FU9uVo3TzWeiPNB8BLv/DhnMtadb1mf9RbyrsBhEh+HKQ4kSM2GUyX9HUG3wOZCzluUislxK d8rkb2zR4NyNh5TlPRfYWJ/G+UomiWI/3Wj/dJbHQhErtabA8E2eqwThXUXoP0P3/gd9Mtt38X4p 6LP7cGkSBJoXsdl+9aVWK3XO0gZAUg3yeZePHExtQaxUM4hhgXJbb9ljrBULHg9CSnems73LgD9p OqsH6/Z08DTJzFmEHuqWgoLPAIJbz1L68xCBfzS9VM6GYkOllcLMIPvSjL0O94UIOP1nv7g1zSbo 3Ejf1i2GMIBjk0FjXGJ74cx0AkJi4NV/y6yy8JWlHsfSkTdNr0g/fdFcHkoz7ROH2t4OUumOlqp6 TyARD9VR2Otp7OUgqAvEzjVv34J39IXdCfUM4J5qg9ehb+dH4aPZcI+Z++P5CFkhXsvBn0+lRebs QbAptOlIMbCY+/4Cb2zTEXK3+x+f/zdo384nkUFdfXQOAIql0CFmYKgRB9dXwUSr8aVy/Wi1De0Y vbMOlfJxznvS2FyZ7tA/AdIMF2iGia3NPnM5+F/j1gbtV1+UBoLRNamRW5MS+ElxW0fd+iIiqMmC RBUIoRVG+mz2SOg3N1iPWncA7vxNSQokblSgBvMadB3VD0l+txEW0WxpEBNApuUVzSVGz5hLZHmC 1RIKsddYEyUoFbwwQ8eTlRO1Fs+SbjTfEs3o7Ip2nzNEBNfTHSpHe5zsUodW+sVxSy1l0xVyDnvB OAwM4xbPMg2aVfLRmLnd4cVyIFlS6LrIDKqOJBxNunsQiyuH3CfgUYGTMiNlWfIaVge2+lsDSTqw GPSF0REXMDuHT1v9dHU0egNaZ/PzDpLnHbHWQLZ2PJ7cUKJiB+glXfO5tHyDT/183O8K2yoyKrfv 8s+nEkdvfBJKaoFohSB0yUUxtNZdVYWLFlbZ+B+bBVloloKnZNOtTYicb8k8h+sWigx1xvivRbXk Tlk9pVnV6ZiROx0yJamcNQZe1tvAZt4rg6VfSylzAZpP9aK/CIW+rD5SEYFGJJGXJSdW65KV9c7e bzmYD9IXc/tndutuG5U6c99P9JTAzjLFyW3XpwbBQWHiqsnTJ/C2BrEUCuvhzZESgstWaYHY5p7u W1tbh6GPFpZA+TaxfNmFyBJPVh6efqWiDu8Z4eNfga8J/bmxmAsYE5zc3jFEPCVamae3CLkhj+8P f7vIvlr0haSDXSmUkHRpqt1JFvf+UYifK/OXFfEOmFnIDDtDPler4/cZsP/bqtwj/Upe4g/VhSgx qtjswzNyWnsq7jMP+05DK8IOMG2pIo52otWUidkPtCpMhX5jOAQ3mtelQbzbQ0scj1Asb5Q1aZSP JexWJVM8hPA62VCcSmYsbZO97+ep0DMIA+J5Ttl8AF0eIXC1IJSnV4c3T3IJPoNUc67wroKv/YW6 aepdYe9MzG4UzrNPmXQoYf2436jUemM+YUpmV9i0am+CsqodafEVP7Vdx/QW7LucojEs70PPbD3/ hNRTOfoNgJ9rroM7wb6UWbcCPU/PADFfmT/1yE8Z7TXZaelLEk/FQUei4r3Oi0mjyKWVs44jcAgt +MYCl7am4o1e1rgbYycJVx6P6PnJHlS3jUtSkYuLx6vJs/QV5ATJ5+kh3lcMmzF2rfcIJXYQNV/W cJ/Fwm+XhyxankcCT3jMh5liLGllNxqB9TJRAB42MWLRBBy7owE4bjUY+pKlutdwZGXfcaeXU422 3Ks/X+AN/sxn8Uw/NSSbK37kD9/IaQAB8CiLBVv3CL5wFhfMm+PX1UM56sKwdOYSNFLy21So9CwI i0EyGc7ZTlmwSBbTqugydLU1X+2BLwMVwy+TIRem5vvm2AZwt3vycjM/YkR+vrdvT+Iquo/eHi6y NArUwsKyE/OwEWijG4nWcK93C953faPQC4bQkE5Nhz5N5RALTSJQyQz9u8i9+tFoY0ukuBbp0xND R7Tc3y/pS/t87bNRCmMkHnJLGX1XYbf8ZzXZni4gL6NosDQvZJ3vNwudGDllOQVDbJZ45zFQbCI9 FwgTdni74fVtk1HXeaZMO+KCE4+yfNdiXemSp7CkWNi/AP9l4fN/SJiKWo2MVAatrIaA084DxJM6 kFtLYxN4yNnX//EscrcoQ8oBexJ2rYqqyPs80ovvpVtKBl7w+DFC6C3y+J/wmR8K/Un5dk0+mGQM ArU9NTVlwZSgFlKUqPiLFDYyn94jjYdVITgcUgxz/PA9dlVhSPLfTrsPrivR7q8bFsjLIfM52VbP U7XIMiBaxdlvxuDnZp06D85FofIsNCCLcUrNVEjQn/2OC990HSW/XKovfojp7w7FIpS6W2QHapMK Uv7c07gSc0ek0SOGakZV2xK2ydAl70VZbvt6VQSBS9813chb6oRAPL3tK3mfwxNu0210V8PTZiZk vxGjV3Dgu2nOifGdZd6qfSx2P/8Cxq1/PnuRM89tyPYGbJ6ZI/WyChhZoYiKdyZxOhOrbx/y3V9Z tS9RaO+QrtHyLYTm7t8o3qLcJo5/ob5IJinx+vIPtVIcRFk32hv6cqCQJ9caLT+UCsC9Q25287iJ nYhvQlnQkiKHhcoCbCgW5CVpbuF1tOLq46CbF6FJerKF5K9dVygQneZjA2jjIP+7RtpvwBnLQtyD Hqjc3ZVPZmxSqZENZCc//dq46tHYiFJERR+h9HVz8MFM5437RWJz6u8Krs6NuzCtSj6bjVBhl6F7 r6Vph+ya45toDCaSHLZnBDU63DM1lFMkQtwlBOBrBPuvTStv4qDBCZPsvm8K9J3FVYyquY3aux4E RKYUSMw9PugLYFUE2aFplEBPTS423HoAXgok+p1Hw0tc7zMwrvXRo3OZW9dMBoqm96eVA4NbcA/8 SZAeGVS3wc0hgVBDrEzTVha7FV1IJUMb05VmHo5A9NWQidHl63tkM/3pu/gwoK7fDKSNjIeLL5RN dtuMmkSQDchsgIZglCJu59nGLTi8/1r8zWhclw56h4caLJKudixtMr7PvDG0UgEDH0v2ygYteALc s0wVgzy/9hs8xDOh1N99CqYLhgxWil0n2OQION7Y7zEMyy7SZ4MBpbZECfLgbeK0G1fxkYmSrMV5 CTGa6kuFOSsQOflZR+CySkcrQCH2NYN3UNUsN/VsH+Op4rrPfpE9qRdvV5be7H5GXz4z/L/Jd0nP 3M3WeDd9RSGcgvgitsNhzXWWjlYMIOQwRdYCpd4wPOHdJkfNjPVc993C4l+T3qLuDE4a5eMfdTam ZgaPPEIY9QBfng0dRWDYSB5BLDcAKz8kjFLVFRiHNp4CHV1XtG0lU1VaGoUdww3mIXlXk3AKFmKr yjLkg+GeZMUOqbxqmomAe7qz4hDMvzih7+0/mU21X2z5pZOf0zN7q0s4yOoyJNLv7ndPtYBUH7CV RAhZ6rTMRaoVOPex8S/fI6Kxadbqzut9ZRS82SQvFUx/mhCA+JmkULvXzcSRjnpZtAm6WwP7G+zB Hu8ipt2HlDykKw2TNYx25ZXBvHlFr3s50OZnrfvAZRbu5wg4GG4U0Tdl7fk5niwit9GpLkQfqCkY vuaY35Xn6fM9/NE9tNnv6SKZWCKVE64GH1hhkxWGM2uY8Ir7aW34F91hSzlsB9ALZrHnWdt9wfqI jZDPn4O/ZN778AnKxO32gKt+Q4nzrFKiyLeKZMPwCLUdcClwKIt6/FZ9rIzBXQjMklXzcjYTspUj Mp+hVE/cQMobRI2HPph+2QCN67aoLb4yxw1826kr2MYw44p29FGXFLAhTxMKj2YwmWYuoDgJhvzf wecBZFSeT5U0UnzkzraAMFyQw2L2aKcs0WaVwlm6CbQr6Gima6q5NhQ27UDvan3/EhkiFcuHU2ti 69pNFuOSdUOm/OHPCr8enPqWO372JI0xnmYUBpSqhh83OdwlOxLtgP4GUprJyczZz/wxX4DFP+9v xQ/jgPTZcFt+HpmtIinjZ/IifHT0CCMuOONNGKnfMcZfF1SO+fNP/qX5uH9S3GzEl7B9dpJFv3Dq 9qpp5/wG/tVIBzEKHJG9bqoKWvrrmKx2OomUz/s6SkxgoRa4K1cSHdnXkzk5JjD5yJvCEsRw9YNk o1g68EgfUmsqdthXA2jRX0JDPGVyq8aU1+oIVZr+YQq3GI3SYa2swUfbCu2vDqKUry50St9SIAwy /RhQqg61DbqKcWCjFLxiQwBDUQsT3a062UCMXj1dFkoZs18Vj3fm/p8nP7ypT7mH3mUod1IS3q8U w8hskUAmzEZbE5oM2PMumVNrNBYneW8mWPNP11uB4yJvSVOPLBUAVhxo+D3bSYh1weaYWvKHbT4f YVdXtPvKs7/+7bufj2IO8gcxt0kjsZBbqfKNYYzGKI6oo2+xA0sZKjFVIqNlthcbeZd4OIR4+uZW xRdVyZKJeYY24XXZWYkzuqLRXCKKtMSqfg3dPIJByZQO13blXHB3DQQ4Y7Z9++cuPUIQmE34TxpR X4+SYQJzfC3nAy0Xdlm8tq3WvvSJoIvon8Yvs8ep/wg78ywu9yJcItZMrlfq+p1KneDjtzmE5KBu e02syBLVG2vE+p1KJ0hF4p3sPhYjL8sxnYLrhfEXrZmF0qQKCcNsilHmdVk7QoPFvXpDKOWbaEA2 CcMduFjekNulkKfCVX9NxcYsAxHilX3kpmZ1v8wVC8bgLFyTLuSaCuZ6Lk7eJpuoyV7O1ImRQsvL Y8xqN1k5Z4CrikAE44uRlt0QXk4mtxFZQGBLLogmU4AvMxv9agoJlpIkJfpxF93JenK+4YvRxl4o 3KS3i12zl6q/fGDIRmyaHjfYKksBOIS9BuBHkjOCFBHx3fYmHlzrL76d5VkCa0CGWLOvPP7vD7EC kIaa5pNI3UcbklfkQ4YTI6VAYObMXCjg5/Y7VeWeha284Y3N7V9AFVoDVFWMYJDT4u0pK9vdPJcy IKORlSuoA1BfmhnC2xY6aB3iksVG7DJGO7AIJE4xgDdtbf9aHEeWkEMEG8HzHNs1ct+fe6ptnHtG J4auzi51plFi91VVh6UWRdlQcCFUx9xX4zcPwpJqBLl9BNw+DMZu09dVSN1mi6MXkJVhrMgE4lR7 YG1ykbx6jCxe3TYXOoqJcyNSN78gDmznRewlm1c9TXkXZ+KLVk+7bCuKXaV3Ic2kkI0zj/ifFg2k 9SofmMTPqKjbHIZ9B34FYtsrkhvIyGsDHp9wQ+rPPUNy4kgfpUhjBMXuRIax/rsjo+hGDr+Q4+CK WEGG5qXAcLMhH2JhtF8GtPqpMChWQ1crPnzuo71OeWzK5XVN6Y+bjjcBk/d4b6dhGa4TYf2B55pH wsOcIggqf5q7ccQ4hud58nrttOCYVfTTG3qE9REOJ3DgGjIge74yCtcfYE/Zs+SwtbBa `protect end_protected
bsd-2-clause
42f34f55c50d801b0d8f060bc82523b6
0.931385
1.866049
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/cache/tagmemnway.vhd
1
8,248
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() library commonlib; use commonlib.types_common.all; library techmap; use techmap.types_mem.all; library riverlib; use riverlib.types_cache.all; entity tagmemnway is generic ( memtech : integer := 0; async_reset : boolean := false; abus : integer := 64; -- system bus address bus (32 or 64 bits) waybits : integer := 2; -- log2 of number of ways bits (=2 for 4 ways) ibits : integer := 7; -- lines memory addres width (usually 6..8) lnbits : integer := 5; -- One line bits: log2(bytes_per_line) flbits : integer := 1; -- Total flags number saved with address tag snoop : boolean := false -- Snoop port disabled; 1 Enabled (L2 caching) ); port ( i_clk : in std_logic; i_nrst : in std_logic; i_direct_access : in std_logic; i_invalidate : in std_logic; i_re : in std_logic; i_we : in std_logic; i_addr : in std_logic_vector(abus-1 downto 0); i_wdata : in std_logic_vector(8*(2**lnbits)-1 downto 0); i_wstrb : in std_logic_vector(2**lnbits-1 downto 0); i_wflags : in std_logic_vector(flbits-1 downto 0); o_raddr : out std_logic_vector(abus-1 downto 0); o_rdata : out std_logic_vector(8*(2**lnbits)-1 downto 0); o_rflags : out std_logic_vector(flbits-1 downto 0); o_hit : out std_logic; -- L2 snoop port, active when snoop = 1 i_snoop_addr : in std_logic_vector(abus-1 downto 0); o_snoop_ready : out std_logic; o_snoop_flags : out std_logic_vector(flbits-1 downto 0) ); end; architecture arch_tagmemnway of tagmemnway is constant FL_VALID : integer := 0; constant NWAYS : integer := 2**waybits; type WayInType is record addr : std_logic_vector(abus-1 downto 0); wstrb : std_logic_vector((2**lnbits)-1 downto 0); wdata : std_logic_vector(8*(2**lnbits)-1 downto 0); wflags : std_logic_vector(flbits-1 downto 0); snoop_addr : std_logic_vector(abus-1 downto 0); end record; type WayOutType is record raddr : std_logic_vector(abus-1 downto 0); rdata : std_logic_vector(8*(2**lnbits)-1 downto 0); rflags : std_logic_vector(flbits-1 downto 0); hit : std_logic; snoop_flags : std_logic_vector(flbits-1 downto 0); end record; type way_in_vector is array (0 to NWAYS-1) of WayInType; type way_out_vector is array (0 to NWAYS-1) of WayOutType; type RegistersType is record req_addr : std_logic_vector(abus-1 downto 0); direct_access : std_logic; invalidate : std_logic; re : std_logic; end record; constant R_RESET : RegistersType := ((others => '0'), '0', '0', '0'); signal way_i : way_in_vector; signal way_o : way_out_vector; signal lrui_init : std_logic; signal lrui_raddr : std_logic_vector(ibits-1 downto 0); signal lrui_waddr : std_logic_vector(ibits-1 downto 0); signal lrui_up : std_logic; signal lrui_down : std_logic; signal lrui_lru : std_logic_vector(waybits-1 downto 0); signal lruo_lru : std_logic_vector(waybits-1 downto 0); signal r, rin : RegistersType; begin dx : for i in 0 to NWAYS-1 generate wayx : tagmem generic map ( async_reset => async_reset, memtech => memtech, abus => abus, ibits => ibits, lnbits => lnbits, flbits => flbits, snoop => snoop ) port map ( i_clk => i_clk, i_nrst => i_nrst, i_addr => way_i(i).addr, i_wstrb => way_i(i).wstrb, i_wdata => way_i(i).wdata, i_wflags => way_i(i).wflags, o_raddr => way_o(i).raddr, o_rdata => way_o(i).rdata, o_rflags => way_o(i).rflags, o_hit => way_o(i).hit, i_snoop_addr => way_i(i).snoop_addr, o_snoop_flags => way_o(i).snoop_flags ); end generate; lru0 : lrunway generic map ( abits => ibits, waybits => waybits ) port map ( i_clk => i_clk, i_init => lrui_init, i_raddr => lrui_raddr, i_waddr => lrui_waddr, i_up => lrui_up, i_down => lrui_down, i_lru => lrui_lru, o_lru => lruo_lru ); comb : process(i_nrst, i_direct_access, i_invalidate, i_re, i_we, i_addr, i_wstrb, i_wdata, i_wflags, i_snoop_addr, way_o, lruo_lru, r) variable v : RegistersType; variable vb_raddr : std_logic_vector(abus-1 downto 0); variable vb_rdata : std_logic_vector(8*(2**lnbits)-1 downto 0); variable vb_rflags : std_logic_vector(flbits-1 downto 0); variable v_hit : std_logic; variable vb_hit_idx : std_logic_vector(waybits-1 downto 0); variable v_way_we : std_logic; variable vb_wstrb : std_logic_vector((2**lnbits)-1 downto 0); variable vb_wflags : std_logic_vector(flbits-1 downto 0); variable v_snoop_ready : std_logic; variable vb_snoop_flags : std_logic_vector(flbits-1 downto 0); begin v.direct_access := i_direct_access; v.invalidate := i_invalidate; v.re := i_re; v.req_addr := i_addr; vb_hit_idx := lruo_lru; if r.direct_access = '1' then vb_hit_idx := r.req_addr(waybits-1 downto 0); else for i in 0 to NWAYS-1 loop if way_o(i).hit = '1' then vb_hit_idx := conv_std_logic_vector(i, waybits); end if; end loop; end if; vb_raddr := way_o(conv_integer(vb_hit_idx)).raddr; vb_rdata := way_o(conv_integer(vb_hit_idx)).rdata; vb_rflags := way_o(conv_integer(vb_hit_idx)).rflags; v_hit := way_o(conv_integer(vb_hit_idx)).hit; if r.invalidate = '1' then vb_wflags := (others => '0'); vb_wstrb := (others => '1'); else vb_wflags := i_wflags; vb_wstrb := i_wstrb; end if; -- Warning: we can write only into previously read line, -- if the previuosly read line is hit and contains valid flags -- HIGH we modify it. Otherwise, we write into displacing line. -- for i in 0 to NWAYS-1 loop way_i(i).addr <= i_addr; way_i(i).wdata <= i_wdata; way_i(i).wstrb <= (others => '0'); way_i(i).wflags <= vb_wflags; way_i(i).snoop_addr <= i_snoop_addr; end loop; v_way_we := i_we or (r.invalidate and v_hit); if v_way_we = '1' then way_i(conv_integer(vb_hit_idx)).wstrb <= vb_wstrb; end if; v_snoop_ready := '1'; vb_snoop_flags := (others => '0'); if snoop then for i in 0 to NWAYS-1 loop -- tagmem already cleared snoop flags if there's no snoop hit if way_o(i).snoop_flags(FL_VALID) = '1' then vb_snoop_flags := way_o(i).snoop_flags; end if; end loop; -- Writing into snoop tag memory, output value won't be valid on next clock if v_way_we = '1' then v_snoop_ready := '0'; end if; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; lrui_init <= r.direct_access; lrui_raddr <= i_addr(ibits+lnbits-1 downto lnbits); lrui_waddr <= r.req_addr(ibits+lnbits-1 downto lnbits); lrui_up <= i_we or (v_hit and r.re); lrui_down <= v_hit and r.invalidate; lrui_lru <= vb_hit_idx; rin <= v; o_raddr <= vb_raddr; o_rdata <= vb_rdata; o_rflags <= vb_rflags; o_hit <= v_hit; o_snoop_ready <= v_snoop_ready; o_snoop_flags <= vb_snoop_flags; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
8ffb33d85702d0d0c605832c2f73826a
0.600509
3.131359
false
false
false
false
BBN-Q/VHDL-FIR-filters
src/FIR_DirectTranspose.vhd
1
3,458
---------------------------------------------------------------------------------- -- Simple FIR filter using transposed direct form. -- Initial version: Colm Ryan ([email protected]) -- Create Date: 05/05/2015 -- Dependencies: -- -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; library ieee_proposed; use ieee_proposed.standard_additions.all; use work.CoeffHelpers.all; entity FIR_DirectTranspose is generic( --Default 1/4 band low-pass filter generated in Python with -- import scipy.signal -- br = scipy.signal.remez(16, [0,0.1,0.2,0.5], [1,0]) coeffs : real_vector := (0.01662606, -0.00696415, -0.03403663, -0.04855056, -0.01434685, 0.08048669, 0.20301046, 0.28957738, 0.28957738, 0.20301046, 0.08048669, -0.01434685, -0.04855056, -0.03403663, -0.00696415, 0.01662606); data_in_width : natural := 16; data_out_width : natural := 16 ); port ( rst : in std_logic; clk : in std_logic; data_in : in std_logic_vector(data_in_width-1 downto 0); data_in_vld : std_logic; data_in_last : std_logic; data_out : out std_logic_vector(data_out_width-1 downto 0)); end FIR_DirectTranspose; architecture Behavioral of FIR_DirectTranspose is constant NUM_TAPS : natural := coeffs'length; type chainedSum_t is array(0 to NUM_TAPS-1) of signed(47 downto 0); signal chainedSum : chainedSum_t := (others => (others => '0')); --Vivado does not infer DSP for constant multiplier so force DSP -- see http://www.xilinx.com/support/answers/60913.html attribute use_dsp48 : string; attribute use_dsp48 of chainedSum : signal is "yes"; signal data_in_d : signed(data_in_width-1 downto 0) := (others => '0'); --We resize to 18 bits because the DSP slices offer 18x25 bit multipliers constant COEFF_SCALE_BITS : integer := optimum_scaling(coeffs); constant COEFF_SCALE : real := real(2 ** (17 - COEFF_SCALE_BITS)); constant SCALED_COEFFS : integer_vector := scale_coeffs(coeffs, COEFF_SCALE); --we resize the sum to 48 bits because the DSP slices offer 48 bit adder accumulators --If we assume the coefficients are normalized then we don't need to worry about overflow in the addition constant SUM_NUM_BITS : natural := 48; --The multiplication gives us 18 + data_in_width - 1 bits --We also need to undo the coefficients' scaling constant TOP_OUTPUT_BIT : natural := 18 + data_in_width - 2 - COEFF_SCALE_BITS; constant BOTTOM_OUTPUT_BIT : natural := TOP_OUTPUT_BIT - data_out_width + 1; begin main : process(clk) begin if rising_edge(clk) then --register input data and convert to signed for DSP slice data_in_d <= signed(data_in); --Multiply by coeffs and chain the sum chainedSum(0) <= resize(data_in_d * to_signed(SCALED_COEFFS(SCALED_COEFFS'high),18), SUM_NUM_BITS); sumLooper : for ct in 1 to NUM_TAPS-1 loop chainedSum(ct) <= resize(data_in_d * to_signed(SCALED_COEFFS(SCALED_COEFFS'high-ct),18), SUM_NUM_BITS) + chainedSum(ct-1); end loop; end if; end process; --Slice out the appropriate portion of the output - for now just truncate LSB data_out <= std_logic_vector(chainedSum(chainedSum'high)(TOP_OUTPUT_BIT downto BOTTOM_OUTPUT_BIT)); end Behavioral;
apache-2.0
20f2204965c22955e547d7dca7e09c35
0.665703
3.380254
false
false
false
false
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_cmd_split.vhd
1
22,818
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_10; use axi_dma_v7_1_10.axi_dma_pkg.all; entity axi_dma_cmd_split is generic ( C_ADDR_WIDTH : integer range 32 to 64 := 32; C_DM_STATUS_WIDTH : integer range 8 to 32 := 8; C_INCLUDE_S2MM : integer range 0 to 1 := 0 ); port ( clock : in std_logic; sgresetn : in std_logic; clock_sec : in std_logic; aresetn : in std_logic; -- command coming from _MNGR s_axis_cmd_tvalid : in std_logic; s_axis_cmd_tready : out std_logic; s_axis_cmd_tdata : in std_logic_vector ((C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- split command to DM s_axis_cmd_tvalid_s : out std_logic; s_axis_cmd_tready_s : in std_logic; s_axis_cmd_tdata_s : out std_logic_vector ((C_ADDR_WIDTH+CMD_BASE_WIDTH+8)-1 downto 0); -- Tvalid from Datamover tvalid_from_datamover : in std_logic; status_in : in std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0); tvalid_unsplit : out std_logic; status_out : out std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0); -- Tlast of stream data from Datamover tlast_stream_data : in std_logic; tready_stream_data : in std_logic; tlast_unsplit : out std_logic; tlast_unsplit_user : out std_logic ); end entity axi_dma_cmd_split; architecture implementation of axi_dma_cmd_split is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; type SPLIT_MM2S_STATE_TYPE is ( IDLE, SEND, SPLIT ); signal mm2s_cs : SPLIT_MM2S_STATE_TYPE; signal mm2s_ns : SPLIT_MM2S_STATE_TYPE; signal mm2s_cmd : std_logic_vector (C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46-1 downto 0); signal command_ns : std_logic_vector (C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH-1 downto 0); signal command : std_logic_vector (C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH-1 downto 0); signal cache_info : std_logic_vector (31 downto 0); signal vsize_data : std_logic_vector (22 downto 0); signal vsize_data_int : std_logic_vector (22 downto 0); signal vsize : std_logic_vector (22 downto 0); signal counter : std_logic_vector (22 downto 0); signal counter_tlast : std_logic_vector (22 downto 0); signal split_cmd : std_logic_vector (31+(C_ADDR_WIDTH-32) downto 0); signal stride_data : std_logic_vector (22 downto 0); signal vsize_over : std_logic; signal cmd_proc_cdc_from : std_logic; signal cmd_proc_cdc_to : std_logic; signal cmd_proc_cdc : std_logic; signal cmd_proc_ns : std_logic; ATTRIBUTE async_reg : STRING; -- ATTRIBUTE async_reg OF cmd_proc_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF cmd_proc_cdc : SIGNAL IS "true"; signal cmd_out : std_logic; signal cmd_out_ns : std_logic; signal split_out : std_logic; signal split_out_ns : std_logic; signal command_valid : std_logic; signal command_valid_ns : std_logic; signal command_ready : std_logic; signal reset_lock : std_logic; signal reset_lock_tlast : std_logic; signal tvalid_unsplit_int : std_logic; signal tlast_stream_data_int : std_logic; signal ready_for_next_cmd : std_logic; signal ready_for_next_cmd_tlast : std_logic; signal ready_for_next_cmd_tlast_cdc_from : std_logic; signal ready_for_next_cmd_tlast_cdc_to : std_logic; signal ready_for_next_cmd_tlast_cdc : std_logic; -- ATTRIBUTE async_reg OF ready_for_next_cmd_tlast_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF ready_for_next_cmd_tlast_cdc : SIGNAL IS "true"; signal tmp1, tmp2, tmp3, tmp4 : std_logic; signal tlast_int : std_logic; signal eof_bit : std_logic; signal eof_bit_cdc_from : std_logic; signal eof_bit_cdc_to : std_logic; signal eof_bit_cdc : std_logic; signal eof_set : std_logic; signal over_ns, over : std_logic; signal cmd_in : std_logic; signal status_out_int : std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0); begin s_axis_cmd_tvalid_s <= command_valid; command_ready <= s_axis_cmd_tready_s; s_axis_cmd_tdata_s <= command (103+(C_ADDR_WIDTH-32) downto 96+(C_ADDR_WIDTH-32)) & command (71+(C_ADDR_WIDTH-32) downto 0); REGISTER_STATE_MM2S : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then mm2s_cs <= IDLE; cmd_proc_cdc_from <= '0'; cmd_out <= '0'; command <= (others => '0'); command_valid <= '0'; split_out <= '0'; over <= '0'; else mm2s_cs <= mm2s_ns; cmd_proc_cdc_from <= cmd_proc_ns; cmd_out <= cmd_out_ns; command <= command_ns; command_valid <= command_valid_ns; split_out <= split_out_ns; over <= over_ns; end if; end if; end process REGISTER_STATE_MM2S; -- grab the MM2S command coming from MM2S_mngr REGISTER_MM2S_CMD : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then mm2s_cmd <= (others => '0'); s_axis_cmd_tready <= '0'; cache_info <= (others => '0'); vsize_data <= (others => '0'); vsize_data_int <= (others => '0'); stride_data <= (others => '0'); eof_bit_cdc_from <= '0'; cmd_in <= '0'; elsif (s_axis_cmd_tvalid = '1' and ready_for_next_cmd = '1' and cmd_proc_cdc_from = '0' and ready_for_next_cmd_tlast_cdc = '1') then -- when there is no processing being done, means it is ready to accept mm2s_cmd <= s_axis_cmd_tdata; s_axis_cmd_tready <= '1'; cache_info <= s_axis_cmd_tdata (149+(C_ADDR_WIDTH-32) downto 118+(C_ADDR_WIDTH-32)); vsize_data <= s_axis_cmd_tdata (117+(C_ADDR_WIDTH-32) downto 95+(C_ADDR_WIDTH-32)); vsize_data_int <= s_axis_cmd_tdata (117+(C_ADDR_WIDTH-32) downto 95+(C_ADDR_WIDTH-32)) - '1'; stride_data <= s_axis_cmd_tdata (94+(C_ADDR_WIDTH-32) downto 72+(C_ADDR_WIDTH-32)); eof_bit_cdc_from <= s_axis_cmd_tdata (30); cmd_in <= '1'; else mm2s_cmd <= mm2s_cmd; --split_cmd; vsize_data <= vsize_data; vsize_data_int <= vsize_data_int; stride_data <= stride_data; cache_info <= cache_info; s_axis_cmd_tready <= '0'; eof_bit_cdc_from <= eof_bit_cdc_from; cmd_in <= '0'; end if; end if; end process REGISTER_MM2S_CMD; REGISTER_DECR_VSIZE : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then vsize <= "00000000000000000000000"; elsif (command_valid = '1' and command_ready = '1' and (vsize < vsize_data_int)) then -- sending a cmd out to DM vsize <= vsize + '1'; elsif (cmd_proc_cdc_from = '0') then -- idle or when all cmd are sent to DM vsize <= "00000000000000000000000"; else vsize <= vsize; end if; end if; end process REGISTER_DECR_VSIZE; vsize_over <= '1' when (vsize = vsize_data_int) else '0'; -- eof_set <= eof_bit when (vsize = vsize_data_int) else '0'; REGISTER_SPLIT : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then split_cmd <= (others => '0'); elsif (s_axis_cmd_tvalid = '1' and cmd_proc_cdc_from = '0' and ready_for_next_cmd = '1' and ready_for_next_cmd_tlast_cdc = '1') then split_cmd <= s_axis_cmd_tdata (63+(C_ADDR_WIDTH-32) downto 32); -- capture the ba when a new cmd arrives elsif (split_out = '1') then -- add stride to previous ba split_cmd <= split_cmd + stride_data; else split_cmd <= split_cmd; end if; end if; end process REGISTER_SPLIT; MM2S_MACHINE : process(mm2s_cs, s_axis_cmd_tvalid, cmd_proc_cdc_from, vsize_over, command_ready, cache_info, mm2s_cmd, split_cmd, eof_set, cmd_in, command ) begin over_ns <= '0'; cmd_proc_ns <= '0'; -- ready to receive new command split_out_ns <= '0'; command_valid_ns <= '0'; mm2s_ns <= mm2s_cs; command_ns <= command; -- Default signal assignment case mm2s_cs is ------------------------------------------------------------------- when IDLE => command_ns <= cache_info & mm2s_cmd (72+(C_ADDR_WIDTH-32) downto 65+(C_ADDR_WIDTH-32)) & split_cmd & mm2s_cmd (31) & eof_set & mm2s_cmd (29 downto 0); -- buf length remains the same -- command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31 downto 0); -- buf length remains the same if (cmd_in = '1' and cmd_proc_cdc_from = '0') then cmd_proc_ns <= '1'; -- new command has come in and i need to start processing mm2s_ns <= SEND; over_ns <= '0'; split_out_ns <= '1'; command_valid_ns <= '1'; else mm2s_ns <= IDLE; over_ns <= '0'; cmd_proc_ns <= '0'; -- ready to receive new command split_out_ns <= '0'; command_valid_ns <= '0'; end if; ------------------------------------------------------------------- when SEND => cmd_out_ns <= '1'; command_ns <= command; if (vsize_over = '1' and command_ready = '1') then mm2s_ns <= IDLE; cmd_proc_ns <= '1'; command_valid_ns <= '0'; split_out_ns <= '0'; over_ns <= '1'; elsif (command_ready = '0') then --(command_valid = '1' and command_ready = '0') then mm2s_ns <= SEND; command_valid_ns <= '1'; cmd_proc_ns <= '1'; split_out_ns <= '0'; over_ns <= '0'; else mm2s_ns <= SPLIT; command_valid_ns <= '0'; cmd_proc_ns <= '1'; over_ns <= '0'; split_out_ns <= '0'; end if; ------------------------------------------------------------------- when SPLIT => cmd_proc_ns <= '1'; mm2s_ns <= SEND; command_ns <= cache_info & mm2s_cmd (72+(C_ADDR_WIDTH-32) downto 65+(C_ADDR_WIDTH-32)) & split_cmd & mm2s_cmd (31) & eof_set & mm2s_cmd (29 downto 0); -- buf length remains the same -- command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31 downto 0); -- buf length remains the same cmd_out_ns <= '0'; split_out_ns <= '1'; command_valid_ns <= '1'; ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; SWALLOW_TVALID : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then counter <= (others => '0'); -- tvalid_unsplit_int <= '0'; reset_lock <= '1'; ready_for_next_cmd <= '0'; elsif (vsize_data_int = "00000000000000000000000") then -- tvalid_unsplit_int <= '0'; ready_for_next_cmd <= '1'; reset_lock <= '0'; elsif ((tvalid_from_datamover = '1') and (counter < vsize_data_int)) then counter <= counter + '1'; -- tvalid_unsplit_int <= '0'; ready_for_next_cmd <= '0'; reset_lock <= '0'; elsif ((counter = vsize_data_int) and (reset_lock = '0') and (tvalid_from_datamover = '1')) then counter <= (others => '0'); -- tvalid_unsplit_int <= '1'; ready_for_next_cmd <= '1'; else counter <= counter; -- tvalid_unsplit_int <= '0'; if (cmd_proc_cdc_from = '1') then ready_for_next_cmd <= '0'; else ready_for_next_cmd <= ready_for_next_cmd; end if; end if; end if; end process SWALLOW_TVALID; tvalid_unsplit_int <= tvalid_from_datamover when (counter = vsize_data_int) else '0'; --tvalid_unsplit_int; SWALLOW_TDATA : process(clock) begin if(clock'EVENT and clock = '1')then if (sgresetn = '0' or cmd_in = '1') then tvalid_unsplit <= '0'; status_out_int <= (others => '0'); else tvalid_unsplit <= tvalid_unsplit_int; if (tvalid_from_datamover = '1') then status_out_int (C_DM_STATUS_WIDTH-2 downto 0) <= status_in (C_DM_STATUS_WIDTH-2 downto 0) or status_out_int (C_DM_STATUS_WIDTH-2 downto 0); else status_out_int <= status_out_int; end if; if (tvalid_unsplit_int = '1') then status_out_int (C_DM_STATUS_WIDTH-1) <= status_in (C_DM_STATUS_WIDTH-1); end if; end if; end if; end process SWALLOW_TDATA; status_out <= status_out_int; SWALLOW_TLAST_GEN : if C_INCLUDE_S2MM = 0 generate begin eof_set <= '1'; --eof_bit when (vsize = vsize_data_int) else '0'; CDC_CMD_PROC1 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => cmd_proc_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => clock_sec, scndry_resetn => '0', scndry_out => cmd_proc_cdc, scndry_vect_out => open ); CDC_CMD_PROC2 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => eof_bit_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => clock_sec, scndry_resetn => '0', scndry_out => eof_bit_cdc, scndry_vect_out => open ); CDC_CMD_PROC : process (clock_sec) begin if (clock_sec'EVENT and clock_sec = '1') then if (aresetn = '0') then -- cmd_proc_cdc_to <= '0'; -- cmd_proc_cdc <= '0'; -- eof_bit_cdc_to <= '0'; -- eof_bit_cdc <= '0'; ready_for_next_cmd_tlast_cdc_from <= '0'; else -- cmd_proc_cdc_to <= cmd_proc_cdc_from; -- cmd_proc_cdc <= cmd_proc_cdc_to; -- eof_bit_cdc_to <= eof_bit_cdc_from; -- eof_bit_cdc <= eof_bit_cdc_to; ready_for_next_cmd_tlast_cdc_from <= ready_for_next_cmd_tlast; end if; end if; end process CDC_CMD_PROC; CDC_CMDTLAST_PROC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => ready_for_next_cmd_tlast_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => clock, scndry_resetn => '0', scndry_out => ready_for_next_cmd_tlast_cdc, scndry_vect_out => open ); --CDC_CMDTLAST_PROC : process (clock) -- begin -- if (clock'EVENT and clock = '1') then -- if (sgresetn = '0') then -- ready_for_next_cmd_tlast_cdc_to <= '0'; -- ready_for_next_cmd_tlast_cdc <= '0'; -- else -- ready_for_next_cmd_tlast_cdc_to <= ready_for_next_cmd_tlast_cdc_from; -- ready_for_next_cmd_tlast_cdc <= ready_for_next_cmd_tlast_cdc_to; -- end if; -- end if; --end process CDC_CMDTLAST_PROC; SWALLOW_TLAST : process(clock_sec) begin if(clock_sec'EVENT and clock_sec = '1')then if(aresetn = '0')then counter_tlast <= (others => '0'); tlast_stream_data_int <= '0'; reset_lock_tlast <= '1'; ready_for_next_cmd_tlast <= '1'; elsif ((tlast_stream_data = '1' and tready_stream_data = '1') and vsize_data_int = "00000000000000000000000") then tlast_stream_data_int <= '0'; ready_for_next_cmd_tlast <= '1'; reset_lock_tlast <= '0'; elsif ((tlast_stream_data = '1' and tready_stream_data = '1') and (counter_tlast < vsize_data_int)) then counter_tlast <= counter_tlast + '1'; tlast_stream_data_int <= '0'; ready_for_next_cmd_tlast <= '0'; reset_lock_tlast <= '0'; elsif ((counter_tlast = vsize_data_int) and (reset_lock_tlast = '0') and (tlast_stream_data = '1' and tready_stream_data = '1')) then counter_tlast <= (others => '0'); tlast_stream_data_int <= '1'; ready_for_next_cmd_tlast <= '1'; else counter_tlast <= counter_tlast; tlast_stream_data_int <= '0'; if (cmd_proc_cdc = '1') then ready_for_next_cmd_tlast <= '0'; else ready_for_next_cmd_tlast <= ready_for_next_cmd_tlast; end if; end if; end if; end process SWALLOW_TLAST; tlast_unsplit <= tlast_stream_data when (counter_tlast = vsize_data_int and eof_bit_cdc = '1') else '0'; tlast_unsplit_user <= tlast_stream_data when (counter_tlast = vsize_data_int) else '0'; -- tlast_unsplit <= tlast_stream_data; -- when (counter_tlast = vsize_data_int) else '0'; end generate SWALLOW_TLAST_GEN; SWALLOW_TLAST_GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin eof_set <= eof_bit_cdc_from; ready_for_next_cmd_tlast_cdc <= '1'; end generate SWALLOW_TLAST_GEN_S2MM; end implementation;
mit
8195921bcf14ededaf1054094af9641a
0.50447
3.722956
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/riverlib/core/fpu_d/imul53.vhd
1
5,804
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity imul53 is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_a : in std_logic_vector(52 downto 0); i_b : in std_logic_vector(52 downto 0); o_result : out std_logic_vector(105 downto 0); o_shift : out std_logic_vector(6 downto 0); o_rdy : out std_logic; o_overflow : out std_logic ); end; architecture arch_imul53 of imul53 is type mux_type is array (16 downto 0) of std_logic_vector(56 downto 0); type RegistersType is record delay : std_logic_vector(15 downto 0); shift : std_logic_vector(6 downto 0); accum_ena : std_logic; b : std_logic_vector(55 downto 0); sum : std_logic_vector(105 downto 0); overflow : std_logic; end record; constant R_RESET : RegistersType := ( (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), '0'); signal r, rin : RegistersType; begin -- registers: comb : process(i_nrst, i_ena, i_a, i_b, r) variable v : RegistersType; variable vb_mux : mux_type; variable vb_sel : std_logic_vector(56 downto 0); variable vb_shift : std_logic_vector(6 downto 0); variable vb_sumInv : std_logic_vector(104 downto 0); variable vb_lshift_p1 : integer range 0 to 104; variable vb_lshift_p2 : integer range 0 to 104; begin v := r; vb_mux(0) := (others => '0'); vb_mux(1) := "0000" & i_a; -- 1*a vb_mux(2) := "000" & i_a & '0'; -- 2*a vb_mux(3) := vb_mux(2) + vb_mux(1); -- 2*a + 1*a vb_mux(4) := "00" & i_a & "00"; -- 4*a vb_mux(5) := vb_mux(4) + vb_mux(1); -- 4*a + 1*a vb_mux(6) := vb_mux(4) + vb_mux(2); -- 4*a + 2*a vb_mux(8) := '0' & i_a & "000"; -- 8*a vb_mux(7) := vb_mux(8) - vb_mux(1); -- 8*a - 1*a vb_mux(9) := vb_mux(8) + vb_mux(1); -- 8*a + 1*a vb_mux(10) := vb_mux(8) + vb_mux(2); -- 8*a + 2*a vb_mux(11) := vb_mux(10) + vb_mux(1); -- (8*a + 2*a) + 1*a vb_mux(12) := vb_mux(8) + vb_mux(4); -- 8*a + 4*a vb_mux(16) := i_a & "0000"; -- unused vb_mux(13) := vb_mux(16) - vb_mux(3); -- 16*a - (2*a + 1*a) vb_mux(14) := vb_mux(16) - vb_mux(2); -- 16*a - 2*a vb_mux(15) := vb_mux(16) - vb_mux(1); -- 16*a - 1*a v.delay := r.delay(14 downto 0) & i_ena; if i_ena = '1' then v.b := "000" & i_b; v.overflow := '0'; v.accum_ena := '1'; v.sum := (others => '0'); v.shift := (others => '0'); elsif r.delay(13) = '1' then v.accum_ena := '0'; end if; case r.b(55 downto 52) is when X"1" => vb_sel := vb_mux(1); when X"2" => vb_sel := vb_mux(2); when X"3" => vb_sel := vb_mux(3); when X"4" => vb_sel := vb_mux(4); when X"5" => vb_sel := vb_mux(5); when X"6" => vb_sel := vb_mux(6); when X"7" => vb_sel := vb_mux(7); when X"8" => vb_sel := vb_mux(8); when X"9" => vb_sel := vb_mux(9); when X"A" => vb_sel := vb_mux(10); when X"B" => vb_sel := vb_mux(11); when X"C" => vb_sel := vb_mux(12); when X"D" => vb_sel := vb_mux(13); when X"E" => vb_sel := vb_mux(14); when X"F" => vb_sel := vb_mux(15); when others => vb_sel := (others => '0'); end case; if r.accum_ena = '1' then v.sum := (r.sum(101 downto 0) & "0000") + vb_sel; v.b := r.b(51 downto 0) & "0000"; end if; -- To avoid timing constrains violation occured in Vivado Studio -- try to implement parallel demuxultiplexer splitted on 2 parts vb_sumInv(0) := '0'; for i in 0 to 103 loop vb_sumInv(i + 1) := r.sum(103 - i); end loop; vb_lshift_p1 := 0; for i in 0 to 63 loop if vb_lshift_p1 = 0 and vb_sumInv(i) = '1' then vb_lshift_p1 := i; end if; end loop; vb_lshift_p2 := 0; for i in 0 to 40 loop if vb_lshift_p2 = 0 and vb_sumInv(64 + i) = '1' then vb_lshift_p2 := 64 + i; end if; end loop; if r.sum(105) = '1' then vb_shift := "1111111"; v.overflow := '1'; elsif r.sum(104) = '1' then vb_shift := (others => '0'); elsif vb_lshift_p1 /= 0 then vb_shift := conv_std_logic_vector(vb_lshift_p1, 7); else vb_shift := conv_std_logic_vector(vb_lshift_p2, 7); end if; if r.delay(14) = '1' then v.shift := vb_shift; v.overflow := '0'; if vb_shift = "1111111" then v.overflow := '1'; end if; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_result <= r.sum; o_shift <= r.shift; o_overflow <= r.overflow; o_rdy <= r.delay(15); -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
apache-2.0
5eeec5de1b8c3be2875a66698b3ae4b6
0.518952
2.845098
false
false
false
false
szanni/aeshw
zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/wr_pf_sshft.vhd
19
20,160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdpNuWNv5ANxG6sesr+pii9y21Kx+NVDp0WoJ8gKKxKHNSppxy07GkwBsVP2aDgHIw9l2ULLZTNZ WthaAb5amQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kEIsWLqGmgOl8w9T2kPb2uPP5XenCQ9kpxljFoCEGisg/vUEuVE5EQlDS3+mxviS53p6zH5m8hA5 bszDfKwHD76EbEoDDpJWL09MvEqH4hbAV7G0A9Qe7ZciYDi8os/DYZvhR8zjbLils1MINgQgL32T +DXtGPXNuzJTAMDKzws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NxGGOrhc83L0V7+Qmwb6+Gi21+qsbQ+hA/5/9jysqY4QYAqiXfCrWB3N0NrVsGWuuTvZXoFNcxot Izvlkgh5KOucyz0ezFvnhsYziU+FkvqQYf1g82Syrsz8zvyVWXqii6aXcF/WSMwXtiDjm4MiGpFm yTcu8CcJgBMXYGVZx6nj+IgO08YgHCC4sfTqmgIgkxkmBrOsiH76g2hPxvXPgVWaBlJF0bS/hLIS Glmsy0cU+pqQlcfbTEV79W+sXQ5Q3KPQFXj7AhMrHHD9esRm2Isg/tuzcRVk1cq3LsMUN//vGrfM OKoYOozZxl1/IflxrtIzbjclaBUaFr5bvZYMTQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dIJ+Oh/ID0KokdCrmxnp1QfFJ5QZBtIG4FQx5Pan4DTwhUxDWY/BQobSBBDXzWh1TT07UPg0V7Ui zobKMfHgBNkMD8/PoD0AIDWLDLeXLvIJje8mGtE07uncec5mJ2eGa/WSy5sFj4M/Vdtk7C/Ab9LC 9qAaWZZ72ZUoEHuysZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VglxNkzPd+guDL8DGRWVtgWGTdJbzbKKn0hBXJRIK4IuLrtOeezNwjLTIb0FIMSJGqYYwUrPN3z3 TVnjDJDaG+HA47egpMvivRkbnfO2/EAJtU7n0hK18OztWFzW+yXOUsOuQnFS20EGjEAN6HCMCAXS ralqFAJsvMtY2y3dJNuE6ytT3WYkXmZUpTrJPPJOu2l9mCOnHkBU0dRG7RNYXf1tEMPaZrHSYyvp XKWW5CTowIM6jJQxDVSVfwprGmWFUVJFtAmp+65D3ADXiHMcwre5cI/ty7nYS3euq41mrkrZyEF4 iH4/gU0xN9mM3aF9hBPzu3xQrdML35ONnUZTzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184) `protect data_block 4EcGi+I9uDBqUSattxTQKwAQGdhGAEtr1/eJ8OL5AsVmCd5EltTDJEmL7/IPTETIu8mU+GNOOhu2 LJOtTFmvNo/itTBifA1YH9tRr0aZn/HO17uzdnUDfyLoFjA16ICglRMMIRMG30hdns2uzlILoQjR wPJZEvfg7NHOgHqXh7nEF504wuABjiXjvxD2FGWm1WchsHZC+WmajMCtN9h8PV1g6I/25OL+dDQV hlY0knO9sPHQhZoh0mXCMli4Taku4EBb1DyqZjCU6ffy5tgcVX5jPPrc6Z18Tz1QUTmxzmS1nNCi mdvxhyVoHzghvdrIK89q8a0A3smTUp12z/lkdhwyiIq5lrheaBYbxbtJRbdlaS5ox/n+HU9BAOxh OPZ9UyB8esiquBz03no+GZIeyaUNePDm1wS2osSgVI19SKGOuJVS9AtSfgZaKQF8WC9R2MWxrt5u sxfLT4lB/yNa7GOXuGE29sXBK7XxrBiqU7FZ3yyHrrhSOwlQhKiz8/1+u0fp0AqwMxjRY7kdxOnf b63Xe/ittLXUs8QJdpINWjiKAhty+yel3ovMnXvOodS95Isx9w3o6k/zm9rz0pbXXDnkLGuvSnRw CQUY2UWx1C5ow3zq2+P1jbyXXO9lXIZFzuQCacqtAuN3FElSpyq5+X8uy5zoIcE3gHUk1VMuPMue OVj8PXh46ZNcOJZKWbPwpfwlXsfNi03fv3FZoqMSOcTgOQedKETXT5ZujTcfiGexzXV7TvKCtaeg /uvZIleZovXC9c8C2rVPEjsEZXtXt2d8uEHajypGT6jKdNhHSxRkh/H3ujGXn0sT7vzuK41vrj3i bcygYfyENPzLNr1o9ncZder7vmXjKlGuF/lXkf8pPoMHH32RxMNIF7/nKrjwExipMnomJpc+RLts 6jJ1MVUbqxi6jsK55oSoEEhvp6SqP/IuufD0AlKSDybfJD1u71rEqMQ8DQOrQKlaimmP50gJ6mzB tTqbUvl6WlZKyk2+xq46KOKYeTyfSn5QNw2ctfHWx0hK+digWvQIXYr7syclrysp1ypo+BTwyV+I 8pWtu7mPVh8ogqIT53ur+oZ1z7oFMNAbmO6WfBHrq6KShp6UTWPVaz+4CQtDaJYoQPh9ia2Uu57j K4YccLgBhmbiztH29U3AyoP4A0KiTtNPZPNtxiDBTPF9T8rnu0+s9q0MEpY4dBN5Y7bNFSUbkvwa OugzPcy/Ay3yipWExu3P6uSGc8h0cE3cVE0stu8zHZ4KCVaQ6rkzX1O6CAZ0eWzgkPH14AJIlWo2 X/2+9LJz7qvA3FR/wQmx9/3MWv9tGLjzIS6BJwDS5nf7QFSh2ch/esC847sLVeS9WrQnJN8rRcJo vQ85POYFBwR/pUZzrBxBal8SufZzrdSRb9Ur/Ms/Rvd4BNfHe7ChnG8RYavUKn8tovAvNVHqkOmW 39+xCFHke7uvdOzi08rFcEq4hzbha4eWXJPJZ20brNPne3NgFWhehM+wdefhmTM/rbTHR5deD1oq y5j514b7pCtK61QFKevOjx30vWyfqgaiDyplLwhX2sKUKNBjD9Ch6FJBA3YB51tHnzoyPMEENeUE +gGYwGmcYOnJW0nujBuvBHqMVex/OqR3z/bWFaZzxEk1HCuSf+qmpOp4d6Xb1Qx0w0w/VfBO5mY4 ox1EyGara3m+T8dFSB8cGgmJ0v7E1neZv3Pl+3I4G8xvRgtVtvuQJC1+OvSF2xfc37wUqryU2rkI aQsVmjOVaPXbv3ga39qZ5Z5moZlAz1JKsKEi1IP3u6bTEefwWY8G8IOSKb52cqD/KaFbW9m1xWXS 3Tspfk2PRczf4RU4XCtBCfszwewITB8rzBrUZVeNqqwwIXx56fRadzCv17teLvaMGNRIz/9kirgs ehagNrskDDupY4sRMwrlNLzUvlphgRTLRyvn9BP7lxBnTh4EeK35ZafjJ4tHuRDG3LQR1H8yQGIs Msp83J/7pCuvT1vqDuNO3EZ7rEJiVtyUpoUouC8sXgCs3ZeBFxq8q5iJi/hbsIw3GKiGmMC8hkTP ySqdU2dOZto9CXh1pg6r+KNzbBjJBYfePvVehvfeQiN4XGXhjrNxbb3CEFHdswT+gUuHVO9jsbej Rizvx9O8pW+osrCFl3vNoYGjgPYT5By9vd7WOoWyTLcZzuEJFeeEO2mci42xdxS18z7dwzazdmN0 L7tRSEd5UIRPLeJ8NofWQJovlMDC0djykut1Dsp0XggHIEjqrz/k3jTBeBRVhNeO7FpiAu0FEaoz wpHjrF/MiTFBPGIgni29fw3ACncIE/Te/snZnmjlxt+uJJAdKHDncCuTvCWF7HCVe16DsGJYaKpU m4GzYiVeXfkkEPaLRwmPSyTKdrVybgTpBBUhkj8tYIDcQ4LqmjkkcCrpjTAu++kzP9tNssKd7BIU ti1Zfh01qQ6ugT5kyADGxqW5hPUaQ4b0HoK1WC1WtnIRRRksyVBQTm4bnRbcr8HmH04b9NVynB6b PN62xJ8qNQxn4qotYmNZJ/y5odrrAqR44vH9LzSLbN4ysx1KGRbPxseJMzpROZGrMoKhAvODw77p jKZ4PiogGfKHXh5rEgE6ugyF4Nc/xHv/oUnFN8Owu3QQrwuZgZGNfb1+VkCeZqL/tLhiboZagF1P 7mX3l7v9L8hbHhVAwb3LeAAey0cPvOfpmeHHEuC+IjZZQd66NGQ8LhAmO3RkGEYnUk54WcC8scQC 97WP9zHHUsN74BbrPs9daX9Wd/YZFaizq9qgVhNDfT/4CR/RHGU7IOHZF5AIshp7ay1ZDPAzGCbH L6Zl/8aZy2vbFmQC8sK0mL2EX284jcF+ejRTfoF4rMGxJ9uda6TkvAFVHOizDe7rZlU9P6ngfcor oyIc2DosvfheFJuHaI9EXJf5gRUnsdP7t+ZVZxS7rbCy+CMFHvlVtch16cGb/ea7CCIuvDpEHQlx WNwOMjw5MUIGcqsd19lsZKDdLoZwE2FWO3MzRq+KCI8TRiLqFNzcJ9lENnF8WuWkgdAI7tbkF4sU 3SG8qattjrD3mhWxFx0oX8NraF72cqSSr32CgT5gL3c12x7vCVgLnY0BRCcFL3TbO+DL46tVgfkL CNp1RxU+nnL22TPBV+OB0tyHf2bQugkf6212Cv6njz7Jc7EuMf5LZdXjbIsDdKnDwnAEKzLUO5fD XeE/Ba6QrerO7fVZrvi+qNaEpwgMsHoKg/eoKYBTpBJ1jKEyOctuBlrGuDwjoBlZg7J5JtBVRJXJ ikdzJAKepAzJdhZ9FjayIUzOL+Les7rRK0g6WXVl3lvsLEihBeFHZT4ts++CgqKWalUKtg6bBzN4 AHGXHAx4Kr7N410001RHLc2dG0PPLENd2OZRHM/ClGzLZA08nYo1BkTthel01S506YAYasfEY8Av fo0LnAkO+wwzSPPAN+QBNNSmnD0pCcuggsZ65uKUYfXBJYo7a/U4f59PoWZkQsmMslheblgU85SZ QH6GBpkKfLpQQTTnnbULczL2KyXa5t2Er7MVJbq7TTq0zS1xxzw8j3IAB9Ma30iKZPLLJDU0azxc dTJNAwsQ8rrNT2SSM7cbuf717l/33suq3Xq/aYCqxVrMcayRvV7yVsudpUP/itan/iWjqJuAwUP8 YyyzuIeQKVT8dk3aA2w5/56r4ZJfiLcdZmyiKFITEw/sXnHSY7E0dPZKmVGg4qgBKk9ZmNzydG/4 AfemVZMJRAp2oorl/vBe/NAVVcpmLhiCGxEQWYPwdXgwAtY7s/UpdQuoue5Owsj1SmUGJrvkv6lX vdIPSdXV2L5+hOkkq0O/lbdA8l1/IkrlJGuw7dl+JbVR8zVh1s5UfUe2BKrWkIWCx2AZNrNKHu7w fq6nfxGZMwICsGfx+Nfnqm9OSZPrjQIGM17STSiM7J6jKWtRkF07K07fEgo1Mb5g5JZCOukrlWCZ lSZBZxQJ1b9FrmqEsaNi05azsrTaEaKZElX6EGz2ZTBJQkEp+x6BwFZZ1/kd7DX2y4xYL5UMggcA jGKgSB0kfMJxG72Krw63Lm1pAUVRvy/Nd+CD2u1wfcU1A02VBUJt2w+WJ3TvXdHw6x8N01LOPf7k favkLWjng2RgkFrVRBisKI4RBS+NGngKr+Ww0Y022avy+xILty5W8iFOmbELD7m8tuzCstjh/9My D3YdDxWikvTe5XURjFlc4xbNpY03RZrOcA0EawSthAR1jyEnKru2lpMTUMlLI6bcrQurZHevTcIZ nMe1TUL0K5/mOYao5mwIVgJc7WVUjrtWGyWg8ROXRlxMzxgjhvYfpHiEJtCC/Q1joe99x84jmrEs 6tsAC0ZSvAGdXTcHsLInnsyFxL3ggMWLWEf8XvAhMYokGav3AnYbwLUoUFIa/AS4FYaXB8fD6y6L dyaUAnDqgaLmRLyDQE5O2HsSLo50e67Xe4pvHjCnZLL9rTES6OWj0wIl8xVFVS1DMFbrC7Cu2I2n NmIDSAEJ0W5FtIwJtvSGp9O10MyXRqzH/o2+xpK5/eWVarHjqT7ZnNcQbFVC5tcrQWTu/7gDMWVF HHO6SDT37gZQsOUJRZBi5owcj+JZIg9bnMeG4h2w0aIdnW+g4aKCuTpSvUDYz2JhQ0EmjyaPXM2G r5vQV6bv6v9g+1zE2Tqm5/jNapToc1F0tTw8Zhva90ukcrVeeB3mYBJJ431jtDW4aFnFw2Oqh8Pd uipgdimK7X5W3m4iqj5H09FT+q8IV0ebihJ0sw9BDoauG6zAk+08qOyfVjGhvFqsMELn/G0InaeN RRk+TmdJtn4fUYn9ZKvScaAhVBJRIudVwLqycPOvHJgssYQ4tJgeO/s/N4uSDs9pRfq2juA3pSLR V+BF8zY2nGiLv0ey63D+RTK7GW56fjDwBfjiAB2fyJpyq1og6VDu5ZG2/4qaZz3EqlA+MJpQogWx itejs0nbimPZOai9mKl7iFfUUgsmF2Kp+VGJZT0/UeLf/6I5l1c9QO6YZJfL2gCWhC+JbYfNll8V xoIvfjTfOMc+118Zv7IFJPiytQn/70uyIYuM5Y24M65Oc06w8+upDBlSRuGQsQ8NURyfIt/yhXII DdO3cmGq9Y6j23XrH7cOSx/xrYR2zMo9oZJPdwI2SbTse8gJYRmYYuLzYwHSXdJa03iSMXx/nZxa VzigqlhAcHYpgyjeGuN13ZYvTPXmN72HgwaygkyNZTxxhhc65QCzx65LALnYh/BV1Ah3S2UxzQ49 tA6XnM7DffK35bl+bBVSdUrOqper70CteDxToEfcbdXBfCls53TUU5QCWPRyVAHLXwz4XH08lg5X GTYXrQ8/Ed5G1rHau8CTDyxOAv6Sz6yP9QvfOmaok/97MwMoFzKsMobg2hNowdLlSeFpcjarei+1 hUoqqIjqGYFAh7rpxkfQxIQzwHkX9sUX2PPbNAV/OC2B/pOUp5EEilut6+1YFyDowN6hyMpFO9mc a677IzYBxd3/OBoGPiNVm8oKH0flVaDz0VoBsx8pKj6qpUzA4MMorrjtLKLxuDaMdrCZErPTmdoc HTNJIZ33JOI5AOJAqQsWaVHqz+kjwerHecrlsZkqwThiMhs442bcZnSS2mmye9Ir7eJQcHL9i+Sg MiaVJDbEWJ0ttHLvBn97x3e4qFheOb4xgVy48hEz+av/Da1wqf4RPDZH9qShEXjFw7x0iQ1VnvFf lddPnc2u4wAQL4s0n10v5qJVvgBcidfZxYJLcS1GUZieCBemLARD5eTbZxjrbFkUkPCn5CB4wPRR qCEDTVKhn3Rbzqwac+e7r2XZfodl0lxzv5TD9/cEBjUpwF/4MN3RaXHkm7H8pg3Qmabr1fj/zT8B pkAvfTlc+V2BgxZWEkEFmpIFPx3uuqWB+TAdddQUh3mEIRH5ZQ3Ntgk2QUC6eHka9fCOxTj0RZaJ 8Jd0ahPnukQAVxAE5Hb/zb38RZihQLdwd/mN/6iF3IMOL7EDkCwtLLsdBjQ+QVmz46VmlSlbpfwN O+/jBkB+F0dfRDeaEvGRhYscvZcG/zIrYlbN2s8LxhAf6123QAcZHub7i+NIl2e/GoWm47ntF/vM WMHRfAxMjMDw+Ggo9FKBEan2753JbVpYePj2o3BQb4IUfK0c5HCzOf3zkNjlOvQbKOSYhmbnFO9x 2Iaao7ZXJe/FbfytIzG6M3rsfZXhHm+adKAFS4xqZjZiX3/WBcQkEe8Yg2+TREgjVOfJD098DR5c /lbJgOM5+EkzLNyy/IoXTZpWVz9RA82HgXxqbDFW37ue3bEXx6YF3Kn11SV4OK7+pu68pYbcBzGo dwTl4XHIMsSZbDZVzmV0DBjUZ8h/6px6u2IqUemMynA07qyXmCDYXTe9jEpxGsQ0we0Wf0+BUQkX po3urt2Cie1Weby6Odgw1P2Y4slmRFd3v63KMTvQwS1wydvA76bN4VIlOMYuMk6T8b+b9lXJrLiF RVvALmZ7w4PlQYpEtM3KKHxibPkwQZgWb/EYo1LBCt12GguqsavhEbZE7TP6YLBE/Y855yKyWkYX Vf6DKVtVkUvoMViCPEWgTNTuog+Mf8PwyMQbSpD1FA3AmkkTxbkIZ1lGjhy19cq1RNjIPGsYWUCA oc2fTQv8dpu9Yufus/zgiGJRKqpP0Sikek1nj9R/JfxPI8FYQSCoL65kFVi4oF4YYBGVbT6r4En3 6c5yIaEzp6Ph3RS/DRDzdOS7lsBDR/rL/QbI+SZQVoRvf+nmPFSKYZLnjHKK89pJXTCvgn0L/V5b yJ/Bw5rHpiwAPo3sEUwB+5ao6zZx9L22EWuUn2uqmSuO6D7TYBMp3BFtd+IA4c5+vIbNunFh+Uyo hu/6uGYMg+V1qM021VGIeGwCv9BwzoN/gB2gv56/Dau3TCG8x6CXB3Iye93If0Al/y/PlklYfbGP lR8mG1ZsiolgMf/t4rwzVbb+KR3v8DD8OiCOfQvGVaWv3hVHCVaEEC0WivW7YZXBroykFxRCQG9a 5+5iVUbUiZ6L2HKzHjlSZwCkIzeNhnzpWewS2X5cVF8lQhglTAwhKZzdsLIEj+2l4eIdLUpT1MCJ 6EuzMTUf/WKH0chqKtwZWAUABOYA88u7aHOPbjoOzhBXRJDfhUcTZrgJ7nt/dvgUzIADMsGzOyGO EIoUrjEDtGgUyfdhXBEnAdNKFxNI7nlhfy4VhypWcZ1qxIUxNQwwWfJ+Y0Tuma6Vjfz5dz1kSPtZ C4TjTyCsFSFtIqPEsoE+oTsqL8jFqpbj7ULi2xJH13OZOoH4KQzepE7XyWpYIwqCmXZlRsXtOwtt 8TAIa/g4Q3uixDU9E/+6BSmHnsEJzz+ASjVJ2TqRq76vP+YlRINNBm9p2NfMvoHgkjdC1DjVPDvX pvRat2CR3sBB3FZYSuCWmjL0IMkczkGRb+rysnXqCb/uhlDF5/jDV59fgnWCEV95ME3gHojT4QZT nMFtROUrkUcG3U2nyP3Lp6e2jLG4bVcfNImiUZrZk4+8/4wuHEABYwG47DSGGa0yHWf6+SzN8RE+ mqDLWY/xwQvR5zhKCjMfC2l4nRR9Mgv1O0DjYHntLCjpo/xAo0PU2CcOnz9h8C4WOgbmsxfjYxKp zx1JCK6DDqkvNlEyBkrnJK0q8aRSlK5uVaFYPsrvdlRJCa7VNhOMhaHi8SPNVWGJbIUtMhYjuVJK HosMt5HkVYhkUuO53dKRsA4x/nQT4dLuezOfVWevMgCnKLEfBykQEi75Dw8COMdn0MfAAkh5iInI xjmT7MOk5hXSLsi9wVl1NlJtGIU4YxH0PwRx1xw9hHm0nfnjR3l13b3BWrhjqHpTVOT82Vklhcvf queIdUTFTplY2ZA9xsjXc7E7ApItDsz3ysB2ytG+IC8WJ3BA848UwvEmjkgxVev3satSXtqdGcn9 pll04wmd7HgoztJKU53wn2t+EkVaM9JuS6JeV7payAMQdbGPRm+zQYOB7bNG20obCHJogFlX98z/ wNB5uxoP187Rza5ae9UH65GX6VbLnEXGw2+6LpUG+hfeigQuiozHj2rP4SbNZlNBQs1W5lWQxKfJ HMJ6MQ0P2MAFuNHxQN2hhPfwJslAvPZjw3J4Ms45FloU4pStm1krb1B7v5SZAkmMy5440s5fi76G wlT86dmenJpJtpyotCATz3P68mtD/DK9/WF+MSSyF71btL6t2xAflLX6DTk6PjItiNOJxeKzmfhG VLFzVqs55hZm+N2b8nTwMctNvQP/H9n4bvHmHr5eMB5vcL2CsQba5ygi+uS3xfj5DNrSmcSXc98j N7NZPuZ9xjpxbX1PJYhgEu95ZxDE5rbx/f6q8uRAeW4lnu6kz8pKfYYLwgY5CpZfQsfUa+SKkYXg cxOTbdzWDYKGfv2XE0kOwPoU5dUDAdf4uN6/5NCH1SJQngsTYfABtAgF2aIgllV+dQnvetm6JYAC smTtjudlPYbzsHGu8vVfQojX95krEprHTA5/H/cc+nTOBLbr0sYUCtlyrgrmCBPtztnnjYW3xT5L 9xdH7TgNovfQpCfavsW8zfmycUKDq6rfIfuT8nt6EPwNjEdyO5QTxMJLNQZjV2uOjnkztNREb7PG uMZ1KvJrXx8bfa6GhIS2XNRYP5gY1i8gPUZ4TVZdD0gETs4No29tdWjZMWqdU+KNKcSUCs8QPUhE 1tnIPABBBik5d0wOWEw8kn7y+EGei6jjqViP3xeDrjvEfeE6P65ux90P8WK5/tvwD72wc7YA4bQV tbCZzTejk07gR0stOneTFVs+Obkw/B00eQf2T3MD46OkiZr0i95NFxOphBi/byVQHi85Nv0GLU2Q q2RNLrJm8vp1hVFw4eMQn9pj4WqlVuOeHtKvEzrOM1WE15RsnWJcI+kxpMMxx9ZvqAevKeJBA501 8UobKte5QPB+aI2MFHThkrnaIuf3/2NIX9rGbXoip4NrH5ahnpLYWSiXHpEcQbtBz8/W9U8tAukp JWu9B5OYK/OAkeG6K225GsTg1Z5uOvf31VW575Rl6oebX3QjxcUit5w764WLTv6Bdi19yFeoUliZ bCR+2SF0Tu9UEUz9KfjfGdHwuQO5Z1jKqETkWxEQUCjYMKNOoJRxjOGzs3P2JT0XxsCvxEvGyEH/ muhe46K7fI8QzWA8Q5d57/TVhX7WqcW7pd0WENBF0C3BBLs1BVgEWoh9K2gx2rF1v4dw7g9hgNlm gp8jdgQeH4pfv9TCIkjlqjF/0BN2Dpuk9MzIlCeEcMYUlQm2xiD9bq/nRMcfjItsQ3Th36QIcDXd sx3RSNL0J4ymLvk17/IuD7YYQR7yZwdNSCZl2shBUeyXM0H7ErEankcVFX+nktu4Og+4S5pWL2vZ D7vC9wQVQBaaZjY/cJ3+2A8RVs/0CV3Oq4KQFnjPEj28yYozVxctGkyNL1RPMVrrGpcB2zrIG8w9 dQ/X3fcOPbLP/oNTnzSdVKrvlgE0wQCKM/mjG73U6V9oiatSwsWO1xX716tcUvZz443yaojcrTBa gx956tTmtsscFNQTcUBkquCW0CB+qu4JxbAdKW6Ee3TPcMwAo3mUtbC/jaQJfaG4uelx3ZfJSbrz x8UclhQrkU5mmjrDJgHWLpdTb5n6tmHYM2VfK0ShWUEW1kYpDa8vSFXQgbbVJmDndKgK0RYEStck 4pKDKDnQkujoHHNORrYPTc/v+jn99keTsmWirZvfYhPkI6TTtt0BIm1rRaUwFRYJZTMFP5wNXWju lHhEFigCb8ZokUNNlbQBRsV8dWPskSLfx+Z9iHJ3oLYqcpIq2QKQRJuo/J4yXE2JdfHMlknRm+m1 /+DxeCyip8Am7cq5TsekoVGUiqCdt7qerYP/cM+kMEx2B829k/KIuziEYEJQHc6bcBU0yNuN1jpx lNyRlXD3NybYmJob/7z5TSfcchdOsoSFBlWP4aHi6H6cStmrLZvxnHKR0HYoO3InYkJhUprx/ZOT lCmMnCcqRGMP2zrn9I4k+EZ2yhpTEO2swIIFWtP/kzDBVBYjtZge676opJcvXz/6txDY4VxOYK+1 nUYA6gqXvPFK0pEaUVx20natVbRkVab6povbsvrwnyYTYH2ux1+6Y5WK+Hw1fBH3j4qTJgH2U0zK TkDTWilko8IUv/5asxUaj9IJhOQ0foORKV25P1XRl8jCW7vX1NlBcgvl2HkAvL/dPWDeW9kJFLTB US2Ch3cqTAisRJju7wCPl2x7IJEgnLot9QFydMNXtXtC6bzv6bNM1XFjYO0pphg4P8G5p4MJ4no5 cZwlQLHYQ3KTi4/RM9Z+yqKLRj4+koQ1AkuTYrGPehlzDYda4rMk70pAV96okZk7gYToe50XVc7Y ZjTciT3pkJCuIr2Ews2GB8mKn62tp2Xd6jQdXB1ErTOWL6JUPD1eRw39DlQz8UuHgwA8jlB2UOxa 76z+Q6Vqmi/2N4uBVNiVLLh6A970jXPc9RoswTgrdLw+z96t1Z9Dn9R3WBXfQ+TF91COaBrdwD3a cczeiT5yioDQfifG1Xv7Om2pNgJ48z3dd5GbF88M0Iq+1K1jnLkk8XgFB0VD+e830ZrcqTnEMz7D hFQreZYC5A4jXS/X5gjzJlbW/cXr+R/dAqEzxG7qUxWdv6YUybVS+xz5SdBs9V/D2Qlqz2dVZ9A6 aFB9Z0R/PSRvmzgXesi5Mkz2bjDi7oHoNMMI+lA/F1tGCi60PXujeVks58sPZPPFFtXwwabK4JvL ML9in9N0cufax4DaE6fhddIcaMXH9UL21WGa0gfW5MsQ5bRsFx/xB3b+zFdVzwiT5xoCB1BIK0sD 4WjDFToLaqh2m+BmHnwmSIuilg342CluKxwKL3YYFO3b/RM+9Wwc3kfkjyuMFfproa4X7hbTHrmq MaHhb8ehj2XXUGlfIFNNkaurI3mNBJjRnsTIdod/9Eb2DAznVv3yd8A22B/djqAIbamlbHZPDzaA uSF6zeOm9PZHFImn/amvA2cQbyWZpI/qSdsjAaG9x+SvDPfhIl4+bJ2qZsvNLWKMVtWJE0AsBp2G 0MfTo10eD0ImMbg4TXiiSqC7hcRS25bt7MGu+itL7V10/p9CJFrw+uE6b5VBwgvSXiT//PSy/q2F afVe4prU2noFd9bqngJ0jnYlhW7CtGf9RDqI8IFqkQHBcxjC784BxyhVjDK2bN5HIIz0lJDEzIhe 8jC8kZWijY0/ZKhcKCFe0Vzp7vl1SgRl+hQ3ixKCXvTosyR8E/BkvduQsK2+OroDB6KFLOXKu2vu mq4TO5YKrnu3iHxFhkIu17SJajKg28CIlSovYlnEkWYu9DultmByVsUZzWeV0S0OnJTNqXztDmAX l1Bkfmut+GQI0+eGInTXErNodN5QvjDMplI/lYeLOosALM7BtkC1kD50pBUktnkSI25SqFyzxJ4f 9Or7FWIS6Ja1lIVaQeVeVI73GAwPCksKCC4+DYoaPS9yNAqTnBUjn1sOUzLNfd9caiutRz1gbYW9 MZavQc36BgsTG7rb00vcI/R7nBvVpN0Ffm+0eGahmteS0ES8f6NSwSLztM3Yi54tEMQWc7lGljI1 9gDlA8Y54J6PwajYVT/ssBoFGiRTFOphwURhjNSewu2Ae8oJsDAR9qZ38rgZ0sQaXOyf1N2UOO6o bxkn0lUudk7Rl9eIbRlf3ysUqJ+nqcuSuKkfenjJAip+940UhbS4joQ//KqjH4fTHDgnEkavQ9UX JU5t3LN4udOqRKYGFVg/4m0gIj8HSpTkUTZIlejfCrqToqdAQKlFgoFrBUse6FQHJ/Y+IhlkHLGI UExa/YaTNaWE3QwO1imNeqAiB+Mf+fIe3JlaSMVIBqTiZ7fzgWCbkNQuHcuThQuceGgbbaBxkVGG Mgrc/GyB9BH1QbcOtQHvqmmjUWUUugR6kBTvuhyJXFXtco7gYX3a/EnJTsgCLj0+HF16GiMTe1Rk LZ4dgJ+kWv4vFEHY7niD9EKKHo00lbuLSjbi3nwfapVcv8BGup4dwwE+wm299NmUTK50p8B1WerH bDgRojo/fEZ8MSIprx7RlSnnoY2+pjxx3a00tEzNDBdLFDh+Bf0/rgGpoiRJi5ZjZEtZ54flRbEg HJk5k+ZX+SM0dBT9/g+8Q5qFbKzTv9/e/lrxd0UVGBmVWbm+rvDmz0N/TcwnJqjcp/uiLi7jKaU0 38F8ro04xf0etIvyodUiPcLhO/mn3i7x/sEFj15Oe6jAJ9gagoT5z8CjOeYgv3Nfkbc403HG2BiF soTzD/QefiIOrZJpOXgWDrE12FN97UjN5NAUN5tx4gR4tPPHyRd9WdxPXWONqW9epXUHvfpgcMg5 NiPP+vm5LcThUkOrZU0aSNXAK5kadhchOTa700TG02EDBlePPzWSi9vzFgLV7wFd6JfiW14NzW6b qkwX8RvACT+QD6hne/e5cX9nMjWm0/I7kX93lQhObsXnHpucncn8A9uoFfZoYVJU7q6hGJrFRhm8 f/7yKAxeO9pst1Xrx3fPrJU0YS+Knpc3jXNsy66yF6pDvPRZ//8i3OStlcXyEXbF92ICeQbsxdBf s8zXAfxGY9dEoyMXR9RJMXhrk3M/ST/0ap8JKjpASNXOulzO+3tl30+PzF7UFsMQUmsuZ7IDND9r FAV310wSNkg4wLBQBXi752tXaFqr1tdizMxZZMR8K12J6vizFO3ffUeGxPkP016ri6uDOXAl1KNe 8UohvOFdgVhptZOmUwaV0WwgLKHjraTtE3wWNm78hUavSZ1ZEUIzCJqDK3opOkrydF67dLQ2AcUX oNI2+KNQ8ISut/aylwho6vtGhUA6kif6V3KNnCYgvnArsFN00b8XhXRuTdaFlzE33GGc7eOJpqFk xyYBcjqeEtpdadK029i5MAdp6FqCGMs4s0nx7lMLQBzcSLUTeFqVVc/UUvuJkgzsiVMTeZ0ITCRs ZUcUqhSSifJwOvoN/g+YaTp6WNfYUJlSSChsDw7KbcNtpCjzqzgREzBiqaV4tYerxK89Jp6w6Xs3 1WFv7zvSsOmBa29UhHpaqNM8aiOhOOsZrdCukPzxltF5oShR081Cf9J9ksBzBUPS34RGq+CtbuBV oRDpqMm2ZV21Gl+ZqB5eGx98w1rSSASImmGE2/L3dajphtakTITRSZrpwv6bRu0q69jXX3DNnEcY izmsUQZA5fAnSMPG5kLw6tTwue7pcNycQ2iOEkaQDMSqWxdHKPMNfVqIuQEeYDbFfu7LQ6KDI05u Er6+8H+gApDW2VpIscZR2LpEM5ktQaeLorYWxsmP26whcXM0hQYxtrycSXMNM1yKvnBQ1FBXQt1k KEPWq1H8gJk5WBsBYNyY1AuDZxBKQfpxMMa3Qk9NyzUBO3rDdt1MxywOujmQKnIz77skoh+GbDlM bIGGvPmWBeJ/PTneuKAPPpHOCjGrN22WX38T2/lcWMB4qcW6mV0xH0euHRYQL1AfG+hVJ4eBCl4o uQUo2eouRuKYjUqefqz7ZVn/7gudIMDkIIJCYP9MxuAccPnHXNOXmGNpgXtOAn5yELDvREAkgJoX 43H0NGpDSrwxqdXEsEpSmM6ydzw5iDMAMdiC/vIGnH/L5jIHbcCh6U6pCiEP25xiqvrDwieG5xZB itemqpnnJfJGp4aRVN/SwCVfLhO7OWSEoSyo3EvoxmuUVFw0jEVP07Z0TWbur/AxY1g5mof/urMc zfhz9I627Uk+iiBxRMIEsnufYb9X1fl9QQwaPF4YUd+uLh6Kse5P4RTusrjF/JtTA1wcnBdr7EiW +0WoABmp/KeNEp6E671CAD0o1RmJcKvPv4cHCKae+AsOti3nIYfFrrVRkIAOG0Q2OSNCtd0J5DJT SiqGnUVW4z2B2pPv5imkn2Kd08cqrx2M2Uo72H1WiOUVcl+xPjVQ5l3UR1DugCSYjbnTHbQs1dyh G2aOAf2KQ5/f2/fhqEM+YuxtxyEqVb+LveTXFdG/BcLyQoHRxuPvhB9pyJVVjVHfbzIjphPWWa3s cXKH23B0F/geQ5nkfr1gWmYByzQ4gOElHtWAED1EzIlErNMHET2zScPtvVCXk5XfZOMtNCtAE8En SBY5JKYoEEUqE9+c8I39jxfk1IGRnjGBR6lQsA+foRAEe98nQmtD2aqVvyDelDkjKq0GgEPywEYf 8PzNHwPEavrWvOCSuZQNMh1pac2rI1Xp1SuFV48Ng2TDYQc5zU8Raf/a6VvKd6TXnRo/NszHcejS a4wKcVQZnFki6u3j67zeqw2QeEcHyUBjEvOaeGQdvlKPyj7W+NPWGtRn0J5ow7TTmoSeLiHOHp31 Y+eRuj4SfbWJTTR3eLzcBS89nhqkLXrckzTl+oapj+A/PA4CBRuBHUWtJGIOa5bXN9l44ZMNQiCm snWu5V82FHMAoEHIVtXpgG/E490/1CS5uvy7dkaBjMqKYuC8pKxh7ycjpNnFmBraRiwGYzcAzWDi G6i/zIgzchMPfzOcCKT+qUtzJx6oLeH5PpxNLeN4nOTdfC93wGvX2lGTfl3g8DPv22Y1YQo1ACkg Iit8hDPXFzWJ4SjPjMfpq3X/+k/bq1vZl1VrtJDMzOVAM+ozbY4F8Kgm54Gqz6wKSMxF21eGb+Yf GLD4HvlDsBn1oqBUR0SDqdotn7cEli2hIWUX4TBp4Ge40+dsc7XyOUK59Vk+1wcx7HYblmmqr7uY bIm0njy5cmsR4sqRCB+b27mDeoP2s3HHQFI8TmTK64/QFGj0FkrnIF7gXlWXYyfpIV5XfxDlsRN8 3d1shsZLeD6JXaSN2cKUydVhY9kM7xJGUriqKv2OaXUCZtqzoF0HYPcDCsF1xHhtxKuunfMtNVBh 3ZV5ghWvLqrZOHkLUKRNSkOxGfilauCUfSUy5H++apUifWRLPa0dchJRgl2ZmJ3BsipCs72EpRRZ ndEW6D/U1SuY8AxQUqRmCRTYCIIPnkd0PT/sqLtWmQOfVfQtl162X8oNyQsPbB2nepESYzV5b9i3 6F5jJ2wKfkTXaroVmgQyOLaEMSKHTorEAjhCOnH4CGOyycqF+We4ak7bfghGofniLpbnmQZHavy1 36tgguhAvbT74IbCnu30plwxwHyzs0o6e4Be5gZA+8HRrX1rTzRjSPPaE7RHKQHSR8BtKd/6uwU4 +hWsUpwW2Yu7sL6eHIlvgE7eYxXtlmsEGD08N6bXwzMNhLDUHzh5b9q3mseKpIsWH4tazU/NtrIm ME9M6O5Kz3L4I2vrNfxUfMfbWNST3/FKaK6jNwwTKceslAFZ4rHZUqbJdK7UML7dY1M9WAP576xC chQS8Ez3ER3hj8bAkg0HuWs2tudaeHCE5tH1ZmvCzIo7m4Crj7xeNMDY/p6dHZD36qf/BOxJiaGj fVsU5+8O5AIXjjT1zTPu6sidjuTmqWHcbFCsUeg4W16r8+cu2c/6+dcS0sCBb+M5CV9PZoYhfVXb Nn8iUOQCtYClazb2CTmtyBX67i5OEeRKseg3M2SbTcjGrtkkO4UC0P2xFqQrYPuiakWfqXY1foG9 2y29VFDqa55LU2s5zrp7JxrZmxbXKTCmt7AXFbG5e4CaedcOSCDXGH/LRMwboDNoqCY9rphdxO/Q KxpQ4auo98r1F+wgST6NBbsk+B1BhGxBCBVibPxg1dgFMOApIAHEdhDq3Sdh8kddctEm5ezhPupb q9tCSknYlL25VhwZiJnKY/sOjp66RB3+9w3nBwTz36BlkTBDd+rgjy7Kh3TJ/+9uyyRW6XPb26gD K29E6zxDZC3E2iJvIsv1yj5IOtXwi0B17SBwhujJk6ycZiIfAL1JyKOkIeIzPS6OkvCV1wrqH+sa d05EdXfMaMNmHHxBtIUiR4r6EfBrZWbFWX+draYChUBzMZfn6+PdBMZvysVCW3347t8Q+X5HqA3F DbGdqSpijfpuSR1P8u+RSc7dNrJ1uBz7MUPMrn6UqSzPZF8fF2p/SDbmStAAdp73qdt3i4sd0lkO 54MzaaBLAUPeKumFxu9x0qdMGMufM+ecuocA6Wa1WnECgUfAHeblt0On/QOBpOqTADoQo7cD7ANX tYMnMHTE6J7KqGYa6UWQblnZJ/iCujUWlOz9rWT4kfn+P49rmZKGFmB8JkPf3giA8eJRvJdBWRsi o989qY923CGf6LDjKoBRJFRlb+sT5w5XFwOoySxZsDZlaxtUd67drF7qECBEU9Obr+g72Xooa1kJ Wdt7bdNqO4B2dmzknI0w6mx0DUjyGeGojDmSgBVMp9wm0B0nmz6jQdYBn7k5+89YFh30aRN0eAmV g8IGwrnSTE9AqJWBZiDCjjda/NAT49Igtp1Fq47PRhJeui54Md8mgEnlX5qZhl/KKYpElKfDIyh/ Vvex0OvqiZ2cyzO2jKolYCDyPv37a3EtAznoVCndaAWYS8zH1Eoo0jcqDYl5KZNApPuXXyu03a3v RS/VyOmKBDRV0Q/UJ1QM+NjMlil/jEDEfEZNZYjsyYaSkvxmaM/3wO8RR5BDeUwWQTwV/8B6KX1w TG6PPwPIJpw4s8KByf+b5HPMF1Tbk4I7Urn8gra2k9MxWl0bbiwsitsxFv1I+Y6nGscVVYf3OpvX i9zb867a6vjcCeEBZQ+UpXnZ6dcyRLFLrcmE4iIMA6+YAYJWcY11tPwCdZj54AjYWKeeItmsFsnX gGrAifA16FvY3hdeiWT1iwumXnEBZ9zr8t4QEtvda+fkEaZPAV9SRbCkNuizD/UXFLIYiSi9hKN9 JsbJuLTLKthuQ4JS1khFZ6BjWgV0ubvRgPU84blPbL7rz8xUQjz275azl7xyxBpXE3oixnQP45+E q3+HGaXZXVWehFOt0Fa/osH74/0pUC13x9xJHU9RFQFS6l938Hlv9KhNv8tepSp/pFe5VX+Y5fi5 y5qHq3zZWqfvhWJkXmoxWvsLm0PC7Wuq5mRoYFktgYiVFLZKmVngPxLtlj2JwF3/o6V2+DL10naU F9zhy31lYoQ4HUO6XlEv//tejAokVLY9kkX/DPaausSlzwIE0CvN1KxMoby5EkJh3UyLRatP7G40 mHYBPvKetKjrOrOY+WaMyJv4kU06umpGzTlZnXXk+1k0Z89J8p6V6FCiQIyDAwAZckDTT6b2B7ji YmmzEWs3+KtwExns9A5e8kSrQkfo0Yit1okOFC4HXhigNkxwqNs99ep1nlhD6ALeR9xpwrPjA8Sv XPpIr0te4c1cBJaIThG7fcHuhd/2+aXCk9P5Y/owNNW7LnHsm9MJo9pIhudehTeIsYW+YZiJ7gKV D20id6kpCNnNndcrF9VuTdX1iHM3lIn12gZL9sQztGP9WFC09SRzpanGWQ3mZ+J0GXjByfUXdkG7 +pVdIMpd6KmSgkwBdzhbd9P3++7jwOvI2tHdsMk9btvhv68AnzOOiXtoK+42BoZ03XYbSWaalNdI 1h9lVguC8kJ0mf8eAfYX4m3yUebBJGPb1DAae8CJPvJ4VdGum3nCNn7CtuPGcq09NsRRmnkpe45i v5KHEpkf2FdcH/6VYzzNyDStxXg26QJOxb/7fN9J8TMum/a9DUDOL+7WvwbehmNnhJnNGSgMSKrj fEuVRkmJsV/qtyTWQAKmv0s= `protect end_protected
bsd-2-clause
d246d944b520cb95123a446f6d88f6a4
0.941419
1.85107
false
false
false
false
sergeykhbr/riscv_vhdl
vhdl/rtl/techmap/pll/SysPLL_k7.vhd
1
7,557
-- file: SysPLL_k7.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____40.000______0.000______50.0______135.255_____89.971 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary_________200.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity SysPLL_k7 is port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end SysPLL_k7; architecture xilinx of SysPLL_k7 is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "SysPLL_k7,clk_wiz_v3_6,{component_name=SysPLL_k7,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=1,clkin1_period=5.000,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}"; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkfbout_buf : std_logic; signal clkfboutb_unused : std_logic; signal clkout0 : std_logic; signal clkout0b_unused : std_logic; signal clkout1_unused : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Clocking primitive -------------------------------------- -- Instantiation of the MMCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 5.000, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 25.000, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 5.000, REF_JITTER1 => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clkout0, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1_unused, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout_buf, CLKIN1 => CLK_IN, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => LOCKED, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => RESET); -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfbout_buf, I => clkfbout); clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); end xilinx;
apache-2.0
fc5d773f33ac1d0e829c2fc0e0dfdf99
0.587005
4.177446
false
false
false
false