repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
ObKo/USBCore | Core/ulpi_port.vhdl | 1 | 11,342 | --
-- USB Full-Speed/Hi-Speed Device Controller core - ulpi_port.vhdl
--
-- Copyright (c) 2015 Konstantin Oblaukhov
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.NUMERIC_STD.all;
library work;
use work.USBCore.all;
--! ULPI PHY controller
entity ulpi_port is
generic (
HIGH_SPEED: boolean := true
);
port (
rst : in std_logic; --! Global external asynchronous reset
--! ULPI PHY signals
ulpi_data_in : in std_logic_vector(7 downto 0);
ulpi_data_out : out std_logic_vector(7 downto 0);
ulpi_dir : in std_logic;
ulpi_nxt : in std_logic;
ulpi_stp : out std_logic;
ulpi_reset : out std_logic;
ulpi_clk : in std_logic;
--! RX AXI-Stream, first data is PID
axis_rx_tvalid : out std_logic;
axis_rx_tready : in std_logic;
axis_rx_tlast : out std_logic;
axis_rx_tdata : out std_logic_vector(7 downto 0);
--! TX AXI-Stream, first data should be PID (in 4 least significant bits)
axis_tx_tvalid : in std_logic;
axis_tx_tready : out std_logic;
axis_tx_tlast : in std_logic;
axis_tx_tdata : in std_logic_vector(7 downto 0);
usb_vbus_valid : out std_logic; --! VBUS has valid voltage
usb_reset : out std_logic; --! USB bus is in reset state
usb_idle : out std_logic; --! USB bus is in idle state
usb_suspend : out std_logic --! USB bus is in suspend state
);
end ulpi_port;
architecture ulpi_port of ulpi_port is
constant SUSPEND_TIME : integer := 190000; -- = ~3 ms
constant RESET_TIME : integer := 190000; -- = ~3 ms
constant CHIRP_K_TIME : integer := 66000; -- = ~1 ms
constant CHIRP_KJ_TIME: integer := 120; -- = ~2 us
constant SWITCH_TIME : integer := 6000; -- = ~100 us
type MACHINE is (S_Init, S_WriteReg_A, S_WriteReg_D, S_STP, S_Reset, S_Suspend,
S_Idle, S_TX, S_TX_Last, S_ChirpStart, S_ChirpStartK, S_ChirpK,
S_ChirpKJ, S_SwitchFSStart, S_SwitchFS);
signal state : MACHINE;
signal state_after : MACHINE;
signal dir_d : std_logic;
signal tx_pid : std_logic_vector(3 downto 0);
signal reg_data : std_logic_vector(7 downto 0);
signal buf_data : std_logic_vector(7 downto 0);
signal buf_last : std_logic;
signal buf_valid : std_logic;
signal tx_eop : std_logic := '0';
signal bus_tx_ready : std_logic := '0';
signal chirp_kj_counter : std_logic_vector(2 downto 0);
signal hs_enabled : std_logic := '0';
signal usb_line_state : std_logic_vector(1 downto 0);
signal state_counter : std_logic_vector(17 downto 0);
signal packet : std_logic := '0';
signal packet_buf : std_logic_vector(7 downto 0);
begin
OUTER : process(ulpi_clk) is
begin
if rising_edge(ulpi_clk) then
if dir_d = ulpi_dir and ulpi_dir = '1' and ulpi_nxt = '1' then
packet_buf <= ulpi_data_in;
if packet = '0' then
axis_rx_tvalid <= '0';
packet <= '1';
else
axis_rx_tdata <= packet_buf;
axis_rx_tvalid <= '1';
end if;
axis_rx_tlast <= '0';
elsif packet = '1' and dir_d = ulpi_dir and
((ulpi_dir = '1' and ulpi_data_in(4) = '0') or (ulpi_dir = '0')) then
axis_rx_tdata <= packet_buf;
axis_rx_tvalid <= '1';
axis_rx_tlast <= '1';
packet <= '0';
else
axis_rx_tvalid <= '0';
axis_rx_tlast <= '0';
end if;
end if;
end process;
STATE_COUNT: process(ulpi_clk) is
begin
if rising_edge(ulpi_clk) then
if dir_d = ulpi_dir and ulpi_dir = '1' and ulpi_nxt = '0' AND ulpi_data_in(1 downto 0) /= usb_line_state then
if state = S_ChirpKJ then
if ulpi_data_in(1 downto 0) = "01" then
chirp_kj_counter <= chirp_kj_counter + 1;
end if;
else
chirp_kj_counter <= (others => '0');
end if;
usb_line_state <= ulpi_data_in(1 downto 0);
state_counter <= (others => '0');
elsif state = S_ChirpStartK then
state_counter <= (others => '0');
elsif state = S_SwitchFSStart then
state_counter <= (others => '0');
else
state_counter <= state_counter + 1;
end if;
end if;
end process;
FSM : process(ulpi_clk) is
begin
if rising_edge(ulpi_clk) then
dir_d <= ulpi_dir;
if dir_d = ulpi_dir then
if ulpi_dir = '1' and ulpi_nxt = '0' then
if ulpi_data_in(3 downto 2) = "11" then
usb_vbus_valid <= '1';
else
usb_vbus_valid <= '0';
end if;
elsif ulpi_dir = '0' then
case state is
when S_Init =>
ulpi_data_out <= X"8A";
reg_data <= X"00";
state <= S_WriteReg_A;
state_after <= S_SwitchFSStart;
when S_WriteReg_A =>
if ulpi_nxt = '1' then
ulpi_data_out <= reg_data;
state <= S_WriteReg_D;
end if;
when S_WriteReg_D =>
if ulpi_nxt = '1' then
ulpi_data_out <= X"00";
state <= S_STP;
end if;
when S_Reset =>
usb_reset <= '1';
if hs_enabled = '0' and HIGH_SPEED then
state <= S_ChirpStart;
elsif HIGH_SPEED then
state <= S_SwitchFSStart;
else
if usb_line_state /= "00" then
state <= S_Idle;
end if;
end if;
when S_Suspend =>
-- Should be J state for 20 ms, but I'm too lazy
-- FIXME: Need valid resume sequence for HS
if usb_line_state /= "01" then
state <= S_Idle;
end if;
when S_STP =>
state <= state_after;
when S_Idle =>
usb_reset <= '0';
if usb_line_state = "00" and state_counter > RESET_TIME then
state <= S_Reset;
elsif hs_enabled = '0' and usb_line_state = "01" and state_counter > SUSPEND_TIME then
state <= S_Suspend;
elsif bus_tx_ready = '1' and axis_tx_tvalid = '1' then
ulpi_data_out <= "0100" & axis_tx_tdata(3 downto 0);
buf_valid <= '0';
if axis_tx_tlast = '1' then
state <= S_TX_Last;
else
state <= S_TX;
end if;
end if;
when S_TX =>
if ulpi_nxt = '1' then
if axis_tx_tvalid = '1' and buf_valid = '0' then
ulpi_data_out <= axis_tx_tdata;
if axis_tx_tlast = '1' then
state <= S_TX_Last;
end if;
elsif buf_valid = '1' then
ulpi_data_out <= buf_data;
buf_valid <= '0';
if buf_last = '1' then
state <= S_TX_Last;
end if;
else
ulpi_data_out <= X"00";
end if;
else
if axis_tx_tvalid = '1' and buf_valid = '0' then
buf_data <= axis_tx_tdata;
buf_last <= axis_tx_tlast;
buf_valid <= '1';
end if;
end if;
when S_TX_Last =>
if ulpi_nxt = '1' then
ulpi_data_out <= X"00";
state_after <= S_Idle;
state <= S_STP;
end if;
when S_ChirpStart =>
reg_data <= b"0_1_0_10_1_00";
ulpi_data_out <= X"84";
state <= S_WriteReg_A;
state_after <= S_ChirpStartK;
when S_ChirpStartK =>
if ulpi_nxt = '1' then
ulpi_data_out <= X"00";
state <= S_ChirpK;
else
ulpi_data_out <= X"40";
end if;
when S_ChirpK =>
if state_counter > CHIRP_K_TIME then
ulpi_data_out <= X"00";
state <= S_STP;
state_after <= S_ChirpKJ;
end if;
when S_ChirpKJ =>
if chirp_kj_counter > 3 AND state_counter > CHIRP_KJ_TIME then
reg_data <= b"0_1_0_00_0_00";
ulpi_data_out <= X"84";
state <= S_WriteReg_A;
state_after <= S_Idle;
hs_enabled <= '1';
end if;
when S_SwitchFSStart =>
reg_data <= b"0_1_0_00_1_01";
ulpi_data_out <= X"84";
state <= S_WriteReg_A;
hs_enabled <= '0';
state_after <= S_SwitchFS;
when S_SwitchFS =>
if state_counter > SWITCH_TIME then
if usb_line_state = "00" AND HIGH_SPEED then
state <= S_ChirpStart;
else
state <= S_Idle;
end if;
end if;
end case;
end if;
end if;
end if;
end process;
ulpi_stp <= '1' when ulpi_dir = '1' and axis_rx_tready = '0' else
'1' when state = S_STP else
'0';
ulpi_reset <= rst;
bus_tx_ready <= '1' when ulpi_dir = '0' and ulpi_dir = dir_d else
'0';
axis_tx_tready <= '1' when bus_tx_ready = '1' and state = S_Idle else
'1' when bus_tx_ready = '1' and state = S_TX and buf_valid = '0' else
'0';
usb_idle <= '1' when state = S_Idle else
'0';
usb_suspend <= '1' when state = S_Suspend else
'0';
end ulpi_port;
| mit | 0df6a4c36261b6daab50ef6efc8ebcd6 | 0.487392 | 3.769359 | false | false | false | false |
peteut/nvc | test/sem/alias.vhd | 1 | 2,086 | entity e is
end entity;
architecture test of e is
alias my_int is integer; -- OK
signal x : my_int; -- OK
subtype s is my_int range 1 to 5; -- OK
alias my_bad : integer is integer; -- Error
alias ax is x; -- OK
signal y : ax; -- Error
alias as is s; -- OK
signal z : as; -- OK
function foo (x : bit) return integer;
function foo (x : character) return integer;
alias foo_bit is foo [bit return integer]; -- OK
alias foo_char is foo [character return integer]; -- OK
alias foo_int is foo [integer return integer]; -- Error
alias foo_p is foo [bit]; -- Error
alias foo_a is foo(1) [bit return integer]; -- Error
alias foo_b is foo [blah return integer]; -- Error
procedure bar (x : bit);
procedure bar (x : character);
alias bar_bit is bar [bit]; -- OK
alias bar_char is bar [character]; -- OK
alias bar_int is bar [integer]; -- Error
procedure test is
begin
assert foo_bit('1') = 1; -- OK
assert foo_char('1') = 1; -- OK
bar_bit('1'); -- OK
bar_char('1'); -- OK
assert foo('1') = 1; -- Error
assert foo_int(1) = 1; -- Error
bar_bit(character'(1)); -- Error
end procedure;
type bv_ptr is access bit_vector;
procedure test2(variable x : bv_ptr) is
variable v : bit_vector(1 to 10);
alias va is v(x'left); -- Error
begin
end procedure;
procedure maybe_use_last_value(signal x : my_int);
procedure proc is
begin
maybe_use_last_value(ax);
end procedure;
type int_array is array (integer range <>) of integer;
alias int_vector is int_array;
type int_array_2 is array (integer range <>) of integer;
constant c1 : int_array_2(1 to 3) := (1, 2, 3);
constant c2 : int_vector(1 to 3) := int_vector(c1); -- OK
begin
end architecture;
| gpl-3.0 | 28d2034d16fe22205378ad41313f54b0 | 0.526846 | 3.772152 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_rst_processing_system7_0_100M_0/synth/cpu_rst_processing_system7_0_100M_0.vhd | 1 | 6,773 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0;
USE proc_sys_reset_v5_0.proc_sys_reset;
ENTITY cpu_rst_processing_system7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END cpu_rst_processing_system7_0_100M_0;
ARCHITECTURE cpu_rst_processing_system7_0_100M_0_arch OF cpu_rst_processing_system7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF cpu_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF cpu_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF cpu_rst_processing_system7_0_100M_0_arch : ARCHITECTURE IS "cpu_rst_processing_system7_0_100M_0,proc_sys_reset,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF cpu_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "cpu_rst_processing_system7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=6,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END cpu_rst_processing_system7_0_100M_0_arch;
| gpl-3.0 | 131dae441701593606b32dc4426f7dd5 | 0.716669 | 3.446819 | false | false | false | false |
UnofficialRepos/OSVVM | RandomPkg.vhd | 1 | 89,151 | --
-- File Name : RandomPkg.vhd
-- Design Unit Name : RandomPkg
-- Revision : STANDARD VERSION
--
-- Maintainer : Jim Lewis email : [email protected]
-- Contributor(s) :
-- Jim Lewis email: [email protected]
-- Lars Asplund email: [email protected] - RandBool, RandSl, RandBit, DistBool, DistSl, DistBit
-- *
--
-- * In writing procedures normal, poisson, the following sources were referenced :
-- Wikipedia
-- package rnd2 written by John Breen and Ken Christensen
-- package RNG written by Gnanasekaran Swaminathan
--
--
-- Description :
-- RandomPType, a protected type, defined to hold randomization RandomSeeds and
-- function methods to facilitate randomization with uniform and weighted
-- distributions
--
-- Developed for :
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http ://www.SynthWorks.com
--
-- Revision History :
-- Date Version Description
-- 06/2021 2021.06 Updated InitSeed, moved shared stuff to RandomBasePkg
-- 08/2020 2020.08 RandBool, RandSl, RandBit, DistBool, DistSl, DistBit (from Lars)
-- 01/2020 2020.01 Updated Licenses to Apache
-- 11/2016 2016.11 No changes. Updated release numbers to make documentation and
-- package have consistent release identifiers.
-- 5/2015 2015.06 Revised Alerts to Alert(OSVVM_ALERTLOG_ID, ...) ;
-- 1/2015 2015.01 Changed Assert/Report to Alert
-- 1/2014 2014.01 Added RandTime, RandReal(set), RandIntV, RandRealV, RandTimeV
-- Made sort, revsort from SortListPkg_int visible via aliases
-- 5/2013 2013.05 Big vector randomization added overloading RandUnsigned, RandSlv, and RandSigned
-- Added NULL_RANGE_TYPE to minimize null range warnings
-- 5/2013 - Removed extra variable declaration in functions RandInt and RandReal
-- 04/2013 2013.04 Changed DistInt. Return array indices now match input
-- Better Min, Max error handling in Uniform, FavorBig, FavorSmall, Normal, Poisson
-- 06/2012 2.2 Removed '_' in the name of subprograms FavorBig and FavorSmall
-- 07/2011 2.1 Bug fix to convenience functions for slv, unsigned, and signed.
-- 03/2011 2.0 Major clean-up. Moved RandomParmType and control to here
-- 06/2010 1.2 Added Normal and Poisson distributions
-- 02/2009 : 1.0 First Public Released Version
-- 02/25/2009 1.1 Replaced reference to std_2008 with a reference to
-- ieee_proposed.standard_additions.all ;
-- Numerous revisions for SynthWorks' Advanced VHDL Testbenches and Verification
-- 12/2006 : 0.1 Initial revision
--
-- This file is part of OSVVM.
--
-- Copyright (c) 2006 - 2021 by SynthWorks Design Inc.
-- Copyright (C) 2021 by OSVVM Authors
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- https://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
use work.OsvvmGlobalPkg.all ;
use work.AlertLogPkg.all ;
use work.RandomBasePkg.all ;
use work.SortListPkg_int.all ;
use std.textio.all ;
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.numeric_std_unsigned.all ;
use ieee.math_real.all ;
-- comment out following 3 lines with VHDL-2008. Leave in for VHDL-2002
-- library ieee_proposed ; -- remove with VHDL-2008
-- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008
-- use ieee_proposed.standard_textio_additions.all ; -- remove with VHDL-2008
package RandomPkg is
-- make things from SortListPkg_int visible
-- alias sort is work.SortListPkg_int.sort [integer_vector return integer_vector] ;
-- alias revsort is work.SortListPkg_int.revsort[integer_vector return integer_vector] ;
-- Supports DistValInt functionality
type DistRecType is record
Value : integer ;
Weight : integer ;
end record ;
type DistType is array (natural range <>) of DistRecType ;
-- Weight vectors not indexed by integers
type NaturalVBoolType is array (boolean range <>) of natural;
type NaturalVSlType is array (std_logic range <>) of natural;
type NaturalVBitType is array (bit range <>) of natural;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
---
--- RandomPType
---
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
type RandomPType is protected
--- ///////////////////////////////////////////////////////////////////////////
---
--- Parameter Settings
---
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
-- Seed Manipulation
------------------------------------------------------------
-- Known ambiguity between InitSeed with string and integer_vector
-- Recommendation, use : RV.InitSeed(RV'instance_path) ;
-- For integer_vector use either : RV.InitSeed(IV => (1,5)) ;
-- or : RV.InitSeed(integer_vector'(1,5)) ;
-- Initialize Seeds
procedure InitSeed ( S : string ; UseNewSeedMethods : boolean := FALSE ) ;
procedure InitSeed ( I : integer ; UseNewSeedMethods : boolean := FALSE ) ;
procedure InitSeed ( T : time ; UseNewSeedMethods : boolean := TRUE ) ;
procedure InitSeed ( IV : integer_vector ; UseNewSeedMethods : boolean := FALSE ) ;
-- Save and restore seed values
procedure SetSeed (RandomSeedIn : RandomSeedType ) ;
impure function GetSeed return RandomSeedType ;
procedure SeedRandom (RandomSeedIn : RandomSeedType ) ;
impure function SeedRandom return RandomSeedType ;
-- alias SeedRandom is SetSeed [RandomSeedType] ;
-- alias SeedRandom is GetSeed [return RandomSeedType] ;
------------------------------------------------------------
-- Setting Randomization Parameters
------------------------------------------------------------
procedure SetRandomParm (RandomParmIn : RandomParmType) ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) ;
impure function GetRandomParm return RandomParmType ;
impure function GetRandomParm return RandomDistType ;
-- For compatibility with previous version - replace with alias
procedure SetRandomMode (RandomDistIn : RandomDistType) ;
-- alias SetRandomMode is SetRandomParm [RandomDistType, Real, Real] ;
--- ///////////////////////////////////////////////////////////////////////////
---
--- Base Randomization Distributions
---
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
--
-- Uniform
-- Generate a random number with a Uniform distribution
--
------------------------------------------------------------
impure function Uniform (Min, Max : in real) return real ;
impure function Uniform (Min, Max : integer) return integer ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer ;
------------------------------------------------------------
--
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
--
------------------------------------------------------------
impure function FavorSmall (Min, Max : real) return real ;
impure function FavorSmall (Min, Max : integer) return integer ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer ;
------------------------------------------------------------
--
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
--
------------------------------------------------------------
impure function FavorBig (Min, Max : real) return real ;
impure function FavorBig (Min, Max : integer) return integer ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer ;
-----------------------------------------------------------------
--
-- Normal
-- Generate a random number with a normal distribution
-- Uses Box Muller, per Wikipedia
--
------------------------------------------------------------
impure function Normal (Mean, StdDeviation : real) return real ;
impure function Normal (Mean, StdDeviation, Min, Max : real) return real ;
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-----------------------------------------------------------------
-- Poisson
-- Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
-- Uses knuth method, per Wikipedia
--
------------------------------------------------------------
impure function Poisson (Mean : real) return real ;
impure function Poisson (Mean, Min, Max : real) return real ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomization with range.
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandInt (Min, Max : integer) return integer ;
impure function RandReal (Min, Max : Real) return real ;
impure function RandTime (Min, Max : time ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural) return Signed ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomization with range and exclude vector.
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return Signed ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomly select a value within a set of values
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandInt (A : integer_vector ) return integer ;
impure function RandReal (A : real_vector ) return real ;
impure function RandTime (A : time_vector ) return time ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A : integer_vector ; Size : natural) return Signed ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandInt (A, Exclude : integer_vector ) return integer ;
impure function RandReal (A, Exclude : real_vector ) return real ;
impure function RandTime (A, Exclude : time_vector) return time ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Basic Discrete Distributions
-- Randomly select between 0 and N-1 based on the specified weight.
-- where N = number values in weight array
-- Always uses Uniform
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistInt (Weight : integer_vector ) return integer ;
impure function DistSlv (Weight : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned (Weight : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned (Weight : integer_vector ; Size : natural ) return signed ;
impure function DistBool (Weight : NaturalVBoolType ) return boolean ;
impure function DistSl (Weight : NaturalVSlType ) return std_logic ;
impure function DistBit (Weight : NaturalVBitType ) return bit ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Basic Distributions with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistInt (Weight : integer_vector ; Exclude : integer_vector ) return integer ;
impure function DistSlv (Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned (Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned (Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Distribution for sparse values
-- Specify weight and value
-- Always uses Uniform via DistInt
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistValInt (A : DistType ) return integer ;
impure function DistValSlv (A : DistType ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned (A : DistType ; Size : natural) return unsigned ;
impure function DistValSigned (A : DistType ; Size : natural) return signed ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Distribution for sparse values with exclude values (so can skip last or last n)
-- Specify weight and value
-- Always uses Uniform via DistInt
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistValInt (A : DistType ; Exclude : integer_vector ) return integer ;
impure function DistValSlv (A : DistType ; Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned (A : DistType ; Exclude : integer_vector ; Size : natural) return unsigned ;
impure function DistValSigned (A : DistType ; Exclude : integer_vector ; Size : natural) return signed ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Large vector handling.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandUnsigned (Size : natural) return unsigned ;
impure function RandSlv (Size : natural) return std_logic_vector ;
impure function RandSigned (Size : natural) return signed ;
impure function RandUnsigned (Max : Unsigned) return unsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Max : signed) return signed ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Min, Max : signed) return signed ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Convenience Functions. Resolve into calls into the other functions
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandReal return real ; -- 0.0 to 1.0
impure function RandReal (Max : Real) return real ; -- 0.0 to Max
impure function RandInt (Max : integer) return integer ;
impure function RandSlv (Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Max, Size : natural) return Unsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed ;
impure function RandBool return boolean;
impure function RandSl return std_logic;
impure function RandBit return bit;
end protected RandomPType ;
end RandomPkg ;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
package body RandomPkg is
-----------------------------------------------------------------
-- Local Randomization Support
-----------------------------------------------------------------
constant NULL_SLV : std_logic_vector (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_UV : unsigned (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_SV : signed (NULL_RANGE_TYPE) := (others => '0') ;
--- ///////////////////////////////////////////////////////////////////////////
--- RandomPType Body
--- ///////////////////////////////////////////////////////////////////////////
type RandomPType is protected body
variable RandomSeed : RandomSeedType := OldGenRandSeed(integer_vector'(1,7)) ;
--- ///////////////////////////////////////////////////////////////////////////
---
--- Base Call to Uniform. Use this one rather than RandomBasePkg
---
--- ///////////////////////////////////////////////////////////////////////////
-----------------------------------------------------------------
impure function Uniform return real is
-----------------------------------------------------------------
variable rRandom : real ;
begin
ieee.math_real.Uniform (RandomSeed(RandomSeed'left), RandomSeed(RandomSeed'right), rRandom) ;
return rRandom ;
end function Uniform ;
--- ///////////////////////////////////////////////////////////////////////////
---
--- Seed Manipulation
---
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
procedure InitSeed (S : string ; UseNewSeedMethods : boolean := FALSE ) is
------------------------------------------------------------
variable ChurnSeed : real ;
begin
if UseNewSeedMethods then
RandomSeed := GenRandSeed(S) ;
Uniform(ChurnSeed, RandomSeed) ;
else
RandomSeed := OldGenRandSeed(S) ;
end if ;
end procedure InitSeed ;
------------------------------------------------------------
procedure InitSeed (I : integer ; UseNewSeedMethods : boolean := FALSE ) is
------------------------------------------------------------
variable ChurnSeed : real ;
begin
if UseNewSeedMethods then
RandomSeed := GenRandSeed(I) ;
Uniform(ChurnSeed, RandomSeed) ;
else
RandomSeed := OldGenRandSeed(I) ;
end if ;
end procedure InitSeed ;
------------------------------------------------------------
procedure InitSeed (T : time ; UseNewSeedMethods : boolean := TRUE ) is
------------------------------------------------------------
variable ChurnSeed : real ;
begin
-- Allow specification of UseNewSeedMethods
-- but ignore it as this is a new method and will churn the seed.
-- Let integer values roll over - is well supported, infact,
-- math_real.uniform depends on it being supported.
-- Also considered:
-- RandomSeed := GenRandSeed((T REM (2**30 * std.env.resolution_limit))/std.env.resolution_limit) ;
-- RandomSeed := GenRandSeed( (T - (T/2**30)*2**30) /std.env.resolution_limit) ;
-- However, GHDL does not support REM and the calculation is not warrented.
RandomSeed := GenRandSeed(T /std.env.resolution_limit) ;
Uniform(ChurnSeed, RandomSeed) ;
end procedure InitSeed ;
------------------------------------------------------------
procedure InitSeed (IV : integer_vector ; UseNewSeedMethods : boolean := FALSE ) is
------------------------------------------------------------
variable ChurnSeed : real ;
begin
if UseNewSeedMethods then
RandomSeed := GenRandSeed(IV) ;
Uniform(ChurnSeed, RandomSeed) ;
else
RandomSeed := OldGenRandSeed(IV) ;
end if ;
end procedure InitSeed ;
------------------------------------------------------------
procedure SetSeed (RandomSeedIn : RandomSeedType ) is
------------------------------------------------------------
begin
RandomSeed := RandomSeedIn ;
end procedure SetSeed ;
------------------------------------------------------------
procedure SeedRandom (RandomSeedIn : RandomSeedType ) is
------------------------------------------------------------
begin
RandomSeed := RandomSeedIn ;
end procedure SeedRandom ;
------------------------------------------------------------
impure function GetSeed return RandomSeedType is
------------------------------------------------------------
begin
return RandomSeed ;
end function GetSeed ;
------------------------------------------------------------
impure function SeedRandom return RandomSeedType is
------------------------------------------------------------
begin
return RandomSeed ;
end function SeedRandom ;
--- ///////////////////////////////////////////////////////////////////////////
---
--- Setting Randomization Parameters
---
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
variable RandomParm : RandomParmType ; -- left most values ok for init
------------------------------------------------------------
procedure SetRandomParm (RandomParmIn : RandomParmType) is
------------------------------------------------------------
begin
RandomParm := RandomParmIn ;
end procedure SetRandomParm ;
------------------------------------------------------------
procedure SetRandomParm (
------------------------------------------------------------
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) is
begin
RandomParm := RandomParmType'(Distribution, Mean, Deviation) ;
end procedure SetRandomParm ;
------------------------------------------------------------
impure function GetRandomParm return RandomParmType is
------------------------------------------------------------
begin
return RandomParm ;
end function GetRandomParm ;
------------------------------------------------------------
impure function GetRandomParm return RandomDistType is
------------------------------------------------------------
begin
return RandomParm.Distribution ;
end function GetRandomParm ;
------------------------------------------------------------
-- Deprecated. For compatibility with previous version
procedure SetRandomMode (RandomDistIn : RandomDistType) is
------------------------------------------------------------
begin
SetRandomParm(RandomDistIn) ;
end procedure SetRandomMode ;
--- ///////////////////////////////////////////////////////////////////////////
---
--- Check ranges for Randomization and Generate FAILURE
---
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
-- PT Local
impure function CheckMinMax(
------------------------------------------------------------
constant Name : in string ;
constant Min : in real ;
constant Max : in real
) return real is
begin
if Min > Max then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg." & Name &
": Min: " & to_string(Min, 2) &
" > Max: " & to_string(Max, 2),
FAILURE ) ;
return Min ;
else
return Max ;
end if;
end function CheckMinMax ;
------------------------------------------------------------
-- PT Local
impure function CheckMinMax(
------------------------------------------------------------
constant Name : in string ;
constant Min : in integer ;
constant Max : in integer
) return integer is
begin
if Min > Max then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg." & Name &
": Min: " & to_string(Min) &
" > Max: " & to_string(Max),
FAILURE ) ;
return Min ;
else
return Max ;
end if;
end function CheckMinMax ;
------------------------------------------------------------
-- PT Local
impure function CheckMinMax(
------------------------------------------------------------
constant Name : in string ;
constant Min : in time ;
constant Max : in time
) return time is
begin
if Min > Max then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg." & Name &
": Min: " & to_string(Min, ns) &
" > Max: " & to_string(Max, ns),
FAILURE ) ;
return Min ;
else
return Max ;
end if;
end function CheckMinMax ;
--- ///////////////////////////////////////////////////////////////////////////
---
--- Base Randomization Distributions
---
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
--
-- Uniform
-- Generate a random number with a Uniform distribution
--
------------------------------------------------------------
impure function LocalUniform (Min, Max : in real) return real is
------------------------------------------------------------
begin
return scale(Uniform, Min, Max) ;
end function LocalUniform ;
------------------------------------------------------------
impure function Uniform (Min, Max : in real) return real is
------------------------------------------------------------
constant CkMax : real := CheckMinMax("Uniform", Min, Max) ;
begin
return LocalUniform(Min, CkMax) ;
end function Uniform ;
------------------------------------------------------------
impure function LocalUniform (Min, Max : integer) return integer is
------------------------------------------------------------
begin
return scale(Uniform, Min, Max) ;
end function LocalUniform ;
------------------------------------------------------------
impure function Uniform (Min, Max : integer) return integer is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("Uniform", Min, Max) ;
begin
return LocalUniform(Min, CkMax) ;
end function Uniform ;
------------------------------------------------------------
impure function LocalUniform (Min, Max : integer ; Exclude : integer_vector) return integer is
------------------------------------------------------------
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := Uniform(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function LocalUniform ;
------------------------------------------------------------
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("Uniform", Min, Max) ;
begin
return LocalUniform (Min, Max, Exclude) ;
end function Uniform ;
------------------------------------------------------------
--
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
--
------------------------------------------------------------
impure function FavorSmall (Min, Max : real) return real is
------------------------------------------------------------
constant CkMax : real := CheckMinMax("FavorSmall", Min, Max) ;
begin
return scale(FavorSmall(Uniform), Min, CkMax) ; -- real
end function FavorSmall ;
------------------------------------------------------------
impure function FavorSmall (Min, Max : integer) return integer is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("FavorSmall", Min, Max) ;
begin
return scale(FavorSmall(Uniform), Min, CkMax) ; -- integer
end function FavorSmall ;
------------------------------------------------------------
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer is
------------------------------------------------------------
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
constant CkMax : integer := CheckMinMax("FavorSmall", Min, Max) ;
begin
ExcludeList.add(Exclude, Min, CkMax) ;
count := ExcludeList.count ;
iRandomVal := FavorSmall(Min, CkMax - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorSmall ;
------------------------------------------------------------
--
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
--
------------------------------------------------------------
impure function FavorBig (Min, Max : real) return real is
------------------------------------------------------------
constant CkMax : real := CheckMinMax("FavorBig", Min, Max) ;
begin
return scale(FavorBig(Uniform), Min, CkMax) ; -- real
end function FavorBig ;
------------------------------------------------------------
impure function FavorBig (Min, Max : integer) return integer is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("FavorBig", Min, Max) ;
begin
return scale(FavorBig(Uniform), Min, CkMax) ; -- integer
end function FavorBig ;
------------------------------------------------------------
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer is
------------------------------------------------------------
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
constant CkMax : integer := CheckMinMax("FavorBig", Min, Max) ;
begin
ExcludeList.add(Exclude, Min, CkMax) ;
count := ExcludeList.count ;
iRandomVal := FavorBig(Min, CkMax - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorBig ;
-----------------------------------------------------------------
--
-- Normal
-- Generate a random number with a normal distribution
--
-- Use Box Muller, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Box%E2%80%93Muller_transform
--
------------------------------------------------------------
impure function Normal (Mean, StdDeviation : real) return real is
------------------------------------------------------------
variable x01, y01 : real ;
variable StdNormalDist : real ; -- mean 0, variance 1
begin
-- add this check to set parameters?
if StdDeviation < 0.0 then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.Normal: Standard deviation must be >= 0.0", FAILURE) ;
return -1.0 ;
end if ;
-- Box Muller
-- Uniform (x01, RandomSeed) ;
-- Uniform (y01, RandomSeed) ;
x01 := Uniform ;
y01 := Uniform ;
StdNormalDist := sqrt(-2.0 * log(x01)) * cos(math_2_pi*y01) ;
-- Polar form rejected due to mean 50.0, std deviation = 5 resulted
-- in a median of 49
-- -- find two Uniform distributed values with range -1 to 1
-- -- that satisify S = X **2 + Y**2 < 1.0
-- loop
-- Uniform (x01, RandomSeed) ;
-- Uniform (y01, RandomSeed) ;
-- x := 2.0 * x01 - 1.0 ; -- scale to -1 to 1
-- y := 2.0 * y01 - 1.0 ;
-- s := x*x + y*y ;
-- exit when s < 1.0 and s > 0.0 ;
-- end loop ;
-- -- Calculate Standard Normal Distribution
-- StdNormalDist := x * sqrt((-2.0 * log(s)) / s) ;
-- Convert to have Mean and StdDeviation
return StdDeviation * StdNormalDist + Mean ;
end function Normal ;
------------------------------------------------------------
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real is
------------------------------------------------------------
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg.Normal: Min: " & to_string(Min, 2) &
" > Max: " & to_string(Max, 2),
FAILURE) ;
return Mean ;
else
loop
rRandomVal := Normal (Mean, StdDeviation) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Normal ;
------------------------------------------------------------
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (
------------------------------------------------------------
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg.Normal: Min: " & to_string(Min) &
" > Max: " & to_string(Max),
FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Normal(Mean, StdDeviation) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Normal ;
-----------------------------------------------------------------
-- Poisson
-- Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
--
-- Use knuth method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Poisson_distribution
--
------------------------------------------------------------
impure function Poisson (Mean : real) return real is
------------------------------------------------------------
variable Product : Real := 1.0 ;
variable Bound : Real := 0.0 ;
variable UniformRand : Real := 0.0 ;
variable PoissonRand : Real := 0.0 ;
begin
Bound := exp(-1.0 * Mean) ;
Product := 1.0 ;
-- add this check to set parameters?
if Mean <= 0.0 or Bound <= 0.0 then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.Poisson: Mean < 0 or too large. Mean = " & real'image(Mean), FAILURE) ;
return Mean ;
end if ;
while (Product >= Bound) loop
PoissonRand := PoissonRand + 1.0 ;
UniformRand := Uniform ;
Product := Product * UniformRand ;
end loop ;
return PoissonRand ;
end function Poisson ; -- no range
------------------------------------------------------------
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real is
------------------------------------------------------------
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg.Poisson: Min: " & to_string(Min, 2) &
" > Max: " & to_string(Max, 2),
FAILURE) ;
return Mean ;
else
loop
rRandomVal := Poisson (Mean) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Poisson ;
------------------------------------------------------------
impure function Poisson (
------------------------------------------------------------
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_RANDOM_ALERTLOG_ID,
"RandomPkg.Poisson: Min: " & to_string(Min) &
" > Max: " & to_string(Max),
FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Poisson (Mean) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Poisson ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomization with range.
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function LocalRandInt (Min, Max : integer) return integer is
------------------------------------------------------------
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return LocalUniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandInt: RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function LocalRandInt ;
------------------------------------------------------------
impure function RandInt (Min, Max : integer) return integer is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandInt", Min, Max) ;
begin
return LocalRandInt(Min, CkMax) ;
end function RandInt ;
------------------------------------------------------------
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandSlv", Min, Max) ;
begin
return std_logic_vector(to_unsigned(LocalRandInt(Min, CkMax), Size)) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandUnsigned", Min, Max) ;
begin
return to_unsigned(LocalRandInt(Min, CkMax), Size) ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandSigned", Min, Max) ;
begin
return to_signed(LocalRandInt(Min, CkMax), Size) ;
end function RandSigned ;
------------------------------------------------------------
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
constant CkMax : integer := CheckMinMax("RandIntV", Min, Max) ;
begin
for i in result'range loop
result(i) := LocalRandInt(Min, CkMax) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
iUnique := Unique ;
if Max-Min+1 < Unique then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.(RandIntV | RandRealV | RandTimeV): Unique > number of values available", FAILURE) ;
iUnique := Max-Min+1 ;
end if ;
for i in result'range loop
result(i) := RandInt(Min, Max, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function LocalRandReal(Min, Max : Real) return real is
------------------------------------------------------------
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return LocalUniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandReal: Specified RandomParm.Distribution not implemented", FAILURE) ;
return real(integer'low) ;
end case ;
end function LocalRandReal ;
------------------------------------------------------------
impure function RandReal(Min, Max : Real) return real is
------------------------------------------------------------
constant CkMax : real := CheckMinMax("RandReal", Min, Max) ;
begin
return LocalRandReal(Min, CkMax) ;
end function RandReal ;
------------------------------------------------------------
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector is
------------------------------------------------------------
variable result : real_vector(1 to Size) ;
constant CkMax : real := CheckMinMax("RandRealV", Min, Max) ;
begin
for i in result'range loop
result(i) := LocalRandReal(Min, CkMax) ;
end loop ;
return result ;
end function RandRealV ;
------------------------------------------------------------
impure function LocalRandTime (Min, Max : time ; Unit :time := ns) return time is
------------------------------------------------------------
variable IntVal : integer ;
begin
-- if Max - Min > 2**31 result will be out of range
IntVal := LocalRandInt(0, (Max - Min)/Unit) ;
return Min + Unit*IntVal ;
end function LocalRandTime ;
------------------------------------------------------------
impure function RandTime (Min, Max : time ; Unit :time := ns) return time is
------------------------------------------------------------
constant CkMax : time := CheckMinMax("RandTime", Min, Max) ;
begin
return LocalRandTime (Min, CkMax, Unit) ;
end function RandTime ;
------------------------------------------------------------
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector is
------------------------------------------------------------
variable result : time_vector(1 to Size) ;
constant CkMax : time := CheckMinMax("RandTimeV", Min, Max) ;
begin
for i in result'range loop
result(i) := LocalRandTime(Min, CkMax, Unit) ;
end loop ;
return result ;
end function RandTimeV ;
------------------------------------------------------------
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector is
------------------------------------------------------------
constant CkMax : time := CheckMinMax("RandTimeV", Min, Max) ;
begin
-- if Unique = 0, it is more efficient to call RandTimeV(Min, Max, Size)
return to_time_vector(RandIntV(Min/Unit, CkMax/Unit, Unique, Size), Unit) ;
end function RandTimeV ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomization with range and exclude vector.
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function LocalRandInt (Min, Max : integer ; Exclude : integer_vector ) return integer is
------------------------------------------------------------
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return LocalUniform(Min, Max, Exclude) ;
when FAVOR_SMALL => return FavorSmall(Min, Max, Exclude) ;
when FAVOR_BIG => return FavorBig (Min, Max, Exclude) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max, Exclude) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max, Exclude) ;
when others =>
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandInt: Specified RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function LocalRandInt ;
------------------------------------------------------------
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandInt", Min, Max) ;
begin
return LocalRandInt(Min, CkMax, Exclude) ;
end function RandInt ;
------------------------------------------------------------
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandSlv", Min, Max) ;
begin
return std_logic_vector(to_unsigned(RandInt(Min, CkMax, Exclude), Size)) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandUnsigned", Min, Max) ;
begin
return to_unsigned(RandInt(Min, CkMax, Exclude), Size) ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed is
------------------------------------------------------------
constant CkMax : integer := CheckMinMax("RandSigned", Min, Max) ;
begin
return to_signed(RandInt(Min, CkMax, Exclude), Size) ;
end function RandSigned ;
------------------------------------------------------------
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
constant CkMax : integer := CheckMinMax("RandIntV", Min, Max) ;
begin
for i in result'range loop
result(i) := RandInt(Min, CkMax, Exclude) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
------------------------------------------------------------
variable ResultPlus : integer_vector(1 to Size + Exclude'length) ;
constant CkMax : integer := CheckMinMax("RandIntV", Min, Max) ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
ResultPlus(Size+1 to ResultPlus'right) := Exclude ;
for i in 1 to Size loop
ResultPlus(i) := RandInt(Min, CkMax, ResultPlus(maximum(1, 1 + i - Unique) to ResultPlus'right)) ;
end loop ;
return ResultPlus(1 to Size) ;
end function RandIntV ;
------------------------------------------------------------
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time is
------------------------------------------------------------
variable IntVal : integer ;
constant CkMax : time := CheckMinMax("RandTime", Min, Max) ;
begin
-- if Min or Max > 2**31 value will be out of range
return RandInt(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit)) * Unit ;
end function RandTime ;
------------------------------------------------------------
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector is
------------------------------------------------------------
constant CkMax : time := CheckMinMax("RandTimeV", Min, Max) ;
begin
return to_time_vector( RandIntV(Min/Unit, CkMax/Unit, to_integer_vector(Exclude, Unit), Size), Unit ) ;
end function RandTimeV ;
------------------------------------------------------------
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector is
------------------------------------------------------------
constant CkMax : time := CheckMinMax("RandTimeV", Min, Max) ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
return to_time_vector( RandIntV(Min/Unit, CkMax/Unit, to_integer_vector(Exclude, Unit), Unique, Size), Unit ) ;
end function RandTimeV ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomly select a value within a set of values
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandInt ( A : integer_vector ) return integer is
------------------------------------------------------------
alias A_norm : integer_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandInt ;
------------------------------------------------------------
impure function RandReal ( A : real_vector ) return real is
------------------------------------------------------------
alias A_norm : real_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandReal ;
------------------------------------------------------------
impure function RandTime ( A : time_vector ) return time is
------------------------------------------------------------
alias A_norm : time_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandTime ;
------------------------------------------------------------
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(RandInt(A), Size)) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned is
------------------------------------------------------------
begin
return to_unsigned(RandInt(A), Size) ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed is
------------------------------------------------------------
begin
return to_signed(RandInt(A), Size) ;
end function RandSigned ;
------------------------------------------------------------
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(A) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(A, Size)
-- require A'length >= Unique
iUnique := Unique ;
if A'length < Unique then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > length of set of values", FAILURE) ;
iUnique := A'length ;
end if ;
for i in result'range loop
result(i) := RandInt(A, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function RandRealV (A : real_vector ; Size : natural) return real_vector is
------------------------------------------------------------
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(A) ;
end loop ;
return result ;
end function RandRealV ;
------------------------------------------------------------
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector is
------------------------------------------------------------
alias A_norm : real_vector(1 to A'length) is A ;
variable result : real_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandRealV ;
------------------------------------------------------------
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector is
------------------------------------------------------------
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(A) ;
end loop ;
return result ;
end function RandTimeV ;
------------------------------------------------------------
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector is
------------------------------------------------------------
alias A_norm : time_vector(1 to A'length) is A ;
variable result : time_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandTimeV ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
-- Uses internal settings of RandomParm to deterimine distribution.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandInt ( A, Exclude : integer_vector ) return integer is
------------------------------------------------------------
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandInt ;
------------------------------------------------------------
impure function RandReal ( A, Exclude : real_vector ) return real is
------------------------------------------------------------
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandReal ;
------------------------------------------------------------
impure function RandTime ( A, Exclude : time_vector ) return time is
------------------------------------------------------------
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandTime ;
------------------------------------------------------------
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(RandInt(A, Exclude), Size)) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned is
------------------------------------------------------------
begin
return to_unsigned(RandInt(A, Exclude), Size) ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed is
------------------------------------------------------------
begin
return to_signed(RandInt(A, Exclude), Size) ;
end function RandSigned ;
------------------------------------------------------------
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
------------------------------------------------------------
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandInt(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
------------------------------------------------------------
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector is
------------------------------------------------------------
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandRealV ;
------------------------------------------------------------
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector is
------------------------------------------------------------
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandRealV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandReal(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandRealV ;
------------------------------------------------------------
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector is
------------------------------------------------------------
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandTimeV ;
------------------------------------------------------------
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector is
------------------------------------------------------------
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandTimeV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandTime(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandTimeV ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Basic Discrete Distributions
-- Always uses Uniform
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistInt ( Weight : integer_vector ) return integer is
------------------------------------------------------------
variable DistArray : integer_vector(weight'range) ;
variable sum : integer ;
variable iRandomVal : integer ;
begin
DistArray := Weight ;
sum := 0 ;
for i in DistArray'range loop
DistArray(i) := DistArray(i) + sum ;
if DistArray(i) < sum then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.DistInt: negative weight or sum > 31 bits", FAILURE) ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end if ;
sum := DistArray(i) ;
end loop ;
if sum >= 1 then
iRandomVal := Uniform(1, sum) ;
for i in DistArray'range loop
if iRandomVal <= DistArray(i) then
return i ;
end if ;
end loop ;
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.DistInt: randomization failed", FAILURE) ;
else
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.DistInt: No randomization weights", FAILURE) ;
end if ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end function DistInt ;
------------------------------------------------------------
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(DistInt(Weight), Size)) ;
end function DistSlv ;
------------------------------------------------------------
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned is
------------------------------------------------------------
begin
return to_unsigned(DistInt(Weight), Size) ;
end function DistUnsigned ;
------------------------------------------------------------
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed is
------------------------------------------------------------
begin
return to_signed(DistInt(Weight), Size) ;
end function DistSigned ;
------------------------------------------------------------
impure function DistBool ( Weight : NaturalVBoolType ) return boolean is
------------------------------------------------------------
variable FullWeight : integer_vector(0 to 1) := (others => 0);
begin
for i in Weight'range loop
FullWeight(boolean'pos(i)) := Weight(i) ;
end loop ;
return boolean'val(DistInt(FullWeight)) ;
end function DistBool ;
------------------------------------------------------------
impure function DistSl ( Weight : NaturalVSlType ) return std_logic is
------------------------------------------------------------
variable FullWeight : integer_vector(0 to 8) := (others => 0);
begin
for i in Weight'range loop
FullWeight(std_logic'pos(i)) := Weight(i) ;
end loop ;
return std_logic'val(DistInt(FullWeight)) ;
end function DistSl ;
------------------------------------------------------------
impure function DistBit ( Weight : NaturalVBitType ) return bit is
------------------------------------------------------------
variable FullWeight : integer_vector(0 to 1) := (others => 0);
begin
for i in Weight'range loop
FullWeight(bit'pos(i)) := Weight(i) ;
end loop ;
return bit'val(DistInt(FullWeight)) ;
end function DistBit ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Basic Distributions with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer is
------------------------------------------------------------
variable DistArray : integer_vector(weight'range) ;
variable ExcludeTemp : integer ;
begin
DistArray := Weight ;
for i in Exclude'range loop
ExcludeTemp := Exclude(i) ;
if ExcludeTemp >= DistArray'low and ExcludeTemp <= DistArray'high then
DistArray(ExcludeTemp) := 0 ;
end if ;
end loop ;
return DistInt(DistArray) ;
end function DistInt ;
------------------------------------------------------------
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(DistInt(Weight, Exclude), Size)) ;
end function DistSlv ;
------------------------------------------------------------
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned is
------------------------------------------------------------
begin
return to_unsigned(DistInt(Weight, Exclude), Size) ;
end function DistUnsigned ;
------------------------------------------------------------
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed is
------------------------------------------------------------
begin
return to_signed(DistInt(Weight, Exclude), Size) ;
end function DistSigned ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Distribution for sparse values
-- Always uses Uniform via DistInt
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistValInt ( A : DistType ) return integer is
------------------------------------------------------------
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistArray'range loop
DistArray(i) := DistRecArray(i).Weight ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
------------------------------------------------------------
impure function DistValSlv ( A : DistType ; Size : natural ) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(DistValInt(A), Size)) ;
end function DistValSlv ;
------------------------------------------------------------
impure function DistValUnsigned ( A : DistType ; Size : natural ) return unsigned is
------------------------------------------------------------
begin
return to_unsigned(DistValInt(A), Size) ;
end function DistValUnsigned ;
------------------------------------------------------------
impure function DistValSigned ( A : DistType ; Size : natural ) return signed is
------------------------------------------------------------
begin
return to_signed(DistValInt(A), Size) ;
end function DistValSigned ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Distribution for sparse values with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer is
------------------------------------------------------------
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistRecArray'range loop
if inside(DistRecArray(i).Value, exclude) then
DistArray(i) := 0 ; -- exclude
else
DistArray(i) := DistRecArray(i).Weight ;
end if ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
------------------------------------------------------------
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(DistValInt(A, Exclude), Size)) ;
end function DistValSlv ;
------------------------------------------------------------
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return unsigned is
------------------------------------------------------------
begin
return to_unsigned(DistValInt(A, Exclude), Size) ;
end function DistValUnsigned ;
------------------------------------------------------------
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return signed is
------------------------------------------------------------
begin
return to_signed(DistValInt(A, Exclude), Size) ;
end function DistValSigned ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Large vector handling.
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandUnsigned (Size : natural) return unsigned is
------------------------------------------------------------
constant NumLoops : integer := integer(ceil(real(Size)/30.0)) ;
constant Remain : integer := (Size - 1) mod 30 + 1 ; -- range 1 to 30
variable RandVal : unsigned(1 to Size) ;
begin
if size = 0 then
return NULL_UV ; -- Null array
end if ;
for i in 0 to NumLoops-2 loop
RandVal(1 + 30*i to 30 + 30*i) := to_unsigned(RandInt(0, 2**30-1), 30) ;
end loop ;
RandVal(1+30*(NumLoops-1) to Remain + 30*(NumLoops-1)) := to_unsigned(RandInt(0, 2**Remain-1), Remain) ;
return RandVal ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSlv (Size : natural) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(RandUnsigned(Size)) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandSigned (Size : natural) return signed is
------------------------------------------------------------
begin
return signed(RandUnsigned(Size)) ;
end function RandSigned ;
------------------------------------------------------------
impure function SizeByLeftMostBit (A : unsigned) return integer is
------------------------------------------------------------
alias normA : unsigned (A'length downto 1) is A ;
begin
for i in normA'range loop
if normA(i) = '1' then
return i ;
end if ;
end loop ;
return -1 ;
end function SizeByLeftMostBit ;
------------------------------------------------------------
impure function RandUnsigned (Max : unsigned) return unsigned is
------------------------------------------------------------
alias normMax : unsigned (Max'length downto 1) is Max ;
variable Result : unsigned(Max'range) := (others => '0') ;
alias normResult : unsigned(normMax'range) is Result ;
variable Size : integer ;
begin
-- Size = -1 if not found or Max'length = 0
Size := SizeByLeftMostBit(Max) ;
if Size > 0 then
loop
normResult(Size downto 1) := RandUnsigned(Size) ;
exit when normResult <= Max ;
end loop ;
return Result ; -- = normResult with range same as Max
else
return resize("0", Max'length) ;
end if ;
end function RandUnsigned ;
-- Working version that scales the value
-- impure function RandUnsigned (Max : unsigned) return unsigned is
-- constant MaxVal : unsigned(Max'length+3 downto 1) := (others => '1') ;
-- begin
-- if max'length > 0 then
-- -- "Max'length+3" creates 3 guard bits
-- return resize( RandUnsigned(Max'length+3) * ('0'&Max+1) / ('0'&MaxVal+1), Max'length) ;
-- else
-- return NULL_UV ; -- Null Array
-- end if ;
-- end function RandUnsigned ;
------------------------------------------------------------
impure function RandSlv (Max : std_logic_vector) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(RandUnsigned( unsigned(Max))) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandSigned (Max : signed) return signed is
------------------------------------------------------------
begin
if max'length > 0 then
AlertIf (OSVVM_RANDOM_ALERTLOG_ID, Max < 0, "RandomPkg.RandSigned: Max < 0", FAILURE) ;
return signed(RandUnsigned( unsigned(Max))) ;
else
return NULL_SV ; -- Null Array
end if ;
end function RandSigned ;
------------------------------------------------------------
impure function RandUnsigned (Min, Max : unsigned) return unsigned is
------------------------------------------------------------
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandUnsigned(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandUnsigned: Max < Min", FAILURE) ;
end if ;
return NULL_UV ;
end if ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector is
------------------------------------------------------------
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandSlv(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandSlv: Max < Min", FAILURE) ;
end if ;
return NULL_SlV ;
end if ;
end function RandSlv ;
------------------------------------------------------------
impure function RandSigned (Min, Max : signed) return signed is
------------------------------------------------------------
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return resize(RandSigned(resize(Max,LEN+1) - resize(Min,LEN+1)) + Min, LEN) ;
else
if Len > 0 then
Alert(OSVVM_RANDOM_ALERTLOG_ID, "RandomPkg.RandSigned: Max < Min", FAILURE) ;
end if ;
return NULL_SV ;
end if ;
end function RandSigned ;
--- ///////////////////////////////////////////////////////////////////////////
--
-- Convenience Functions. Resolve into calls into the other functions
--
--- ///////////////////////////////////////////////////////////////////////////
------------------------------------------------------------
impure function RandReal return real is
------------------------------------------------------------
begin
return RandReal(0.0, 1.0) ;
end function RandReal ;
------------------------------------------------------------
impure function RandReal(Max : Real) return real is -- 0.0 to Max
------------------------------------------------------------
begin
return RandReal(0.0, Max) ;
end function RandReal ;
------------------------------------------------------------
impure function RandInt (Max : integer) return integer is
------------------------------------------------------------
begin
return RandInt(0, Max) ;
end function RandInt ;
------------------------------------------------------------
impure function RandSlv (Max, Size : natural) return std_logic_vector is
------------------------------------------------------------
begin
return std_logic_vector(to_unsigned(RandInt(0, Max), Size)) ;
end function RandSlv ;
------------------------------------------------------------
impure function RandUnsigned (Max, Size : natural) return Unsigned is
------------------------------------------------------------
begin
return to_unsigned(RandInt(0, Max), Size) ;
end function RandUnsigned ;
------------------------------------------------------------
impure function RandSigned (Max : integer ; Size : natural ) return Signed is
------------------------------------------------------------
begin
-- chose 0 to Max rather than -Max to +Max to be same as RandUnsigned, either seems logical
return to_signed(RandInt(0, Max), Size) ;
end function RandSigned ;
------------------------------------------------------------
impure function RandBool return boolean is
------------------------------------------------------------
begin
return RandInt(1) = 1;
end function RandBool ;
------------------------------------------------------------
impure function RandSl return std_logic is
------------------------------------------------------------
begin
return std_logic'val(RandInt(8));
end function RandSl ;
------------------------------------------------------------
impure function RandBit return bit is
------------------------------------------------------------
begin
return bit'val(RandInt(1));
end function RandBit ;
end protected body RandomPType ;
end RandomPkg ;
| artistic-2.0 | ee2691f5a514e47a90147c24f0fe71dc | 0.471077 | 5.124799 | false | false | false | false |
ObKo/USBCore | Examples/EP1_Loopback/ep1_loopback.vhdl | 1 | 10,221 | --
-- USB Full-Speed/Hi-Speed Device Controller core - ep1_loopback.vhdl
--
-- Copyright (c) 2015 Konstantin Oblaukhov
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library work;
use work.USBCore.all;
use work.USBExtra.all;
entity ep1_loopback is
port (
led : out std_logic;
ulpi_data : inout std_logic_vector(7 downto 0);
ulpi_dir : in std_logic;
ulpi_nxt : in std_logic;
ulpi_stp : out std_logic;
ulpi_reset : out std_logic;
ulpi_clk60 : in std_logic;
main_clk : in std_logic
);
end ep1_loopback;
architecture ep1_loopback of ep1_loopback is
constant USE_HIGH_SPEED: boolean := true;
constant CONFIG_DESC : BYTE_ARRAY(0 to 8) := (
X"09", -- bLength = 9
X"02", -- bDescriptionType = Configuration Descriptor
X"20", X"00", -- wTotalLength = 32
X"01", -- bNumInterfaces = 1
X"01", -- bConfigurationValue
X"00", -- iConfiguration
X"C0", -- bmAttributes = Self-powered
X"32" -- bMaxPower = 100 mA
);
constant INTERFACE_DESC : BYTE_ARRAY(0 to 8) := (
X"09", -- bLength = 9
X"04", -- bDescriptorType = Interface Descriptor
X"00", -- bInterfaceNumber = 0
X"00", -- bAlternateSetting
X"02", -- bNumEndpoints = 2
X"00", -- bInterfaceClass
X"00", -- bInterfaceSubClass
X"00", -- bInterfaceProtocol
X"00" -- iInterface
);
constant EP1_IN_DESC : BYTE_ARRAY(0 to 6) := (
X"07", -- bLength = 7
X"05", -- bDescriptorType = Endpoint Descriptor
X"81", -- bEndpointAddress = IN1
B"00_00_00_10", -- bmAttributes = Bulk
X"00", X"02", -- wMaxPacketSize = 512 bytes
X"00" -- bInterval
);
constant EP1_OUT_DESC : BYTE_ARRAY(0 to 6) := (
X"07", -- bLength = 7
X"05", -- bDescriptorType = Endpoint Descriptor
X"01", -- bEndpointAddress = OUT1
B"00_00_00_10", -- bmAttributes = Bulk
X"00", X"02", -- wMaxPacketSize = 512 bytes
X"00" -- bInterval
);
signal ulpi_data_in : std_logic_vector(7 downto 0);
signal ulpi_data_out : std_logic_vector(7 downto 0);
signal usb_clk : std_logic;
signal usb_reset : std_logic;
signal usb_idle : std_logic;
signal usb_suspend : std_logic;
signal usb_configured : std_logic;
signal usb_crc_error : std_logic;
signal usb_sof : std_logic;
signal ctl_xfer_endpoint : std_logic_vector(3 downto 0);
signal ctl_xfer_type : std_logic_vector(7 downto 0);
signal ctl_xfer_request : std_logic_vector(7 downto 0);
signal ctl_xfer_value : std_logic_vector(15 downto 0);
signal ctl_xfer_index : std_logic_vector(15 downto 0);
signal ctl_xfer_length : std_logic_vector(15 downto 0);
signal ctl_xfer_accept : std_logic;
signal ctl_xfer : std_logic;
signal ctl_xfer_done : std_logic;
signal ctl_xfer_data_out : std_logic_vector(7 downto 0);
signal ctl_xfer_data_out_valid: std_logic;
signal ctl_xfer_data_in : std_logic_vector(7 downto 0);
signal ctl_xfer_data_in_valid : std_logic;
signal ctl_xfer_data_in_last : std_logic;
signal ctl_xfer_data_in_ready : std_logic;
signal blk_xfer_endpoint : std_logic_vector(3 downto 0);
signal blk_in_xfer : std_logic;
signal blk_out_xfer : std_logic;
signal blk_xfer_in_has_data : std_logic;
signal blk_xfer_in_data : std_logic_vector(7 downto 0);
signal blk_xfer_in_data_valid : std_logic;
signal blk_xfer_in_data_ready : std_logic;
signal blk_xfer_in_data_last : std_logic;
signal blk_xfer_out_ready_read: std_logic;
signal blk_xfer_out_data : std_logic_vector(7 downto 0);
signal blk_xfer_out_data_valid: std_logic;
signal ep1_in_axis_tdata : std_logic_vector(7 downto 0);
signal ep1_in_axis_tvalid : std_logic;
signal ep1_in_axis_tready : std_logic;
signal ep1_in_axis_tlast : std_logic;
signal ep1_out_axis_tdata : std_logic_vector(7 downto 0);
signal ep1_out_axis_tvalid : std_logic;
signal ep1_out_axis_tready : std_logic;
signal ep1_out_axis_tlast : std_logic;
signal led_counter : std_logic_vector(25 downto 0);
signal ulpi_stp_int : std_logic;
begin
ULPI_IO: for i in 7 downto 0 generate
begin
ULPI_IOBUF : IOBUF
port map (
O => ulpi_data_in(i),
IO => ulpi_data(i),
I => ulpi_data_out(i),
T => ulpi_dir
);
end generate;
USB_CONTROLLER: usb_tlp
generic map (
VENDOR_ID => X"DEAD",
PRODUCT_ID => X"BEEF",
MANUFACTURER => "USBCore",
PRODUCT => "Endpoint 1 Loopback Device",
SERIAL => "",
CONFIG_DESC => CONFIG_DESC & INTERFACE_DESC &
EP1_IN_DESC & EP1_OUT_DESC,
HIGH_SPEED => USE_HIGH_SPEED
)
port map (
ulpi_data_in => ulpi_data_in,
ulpi_data_out => ulpi_data_out,
ulpi_dir => ulpi_dir,
ulpi_nxt => ulpi_nxt,
ulpi_stp => ulpi_stp,
ulpi_reset => ulpi_reset,
ulpi_clk60 => ulpi_clk60,
usb_clk => usb_clk,
usb_reset => usb_reset,
usb_idle => usb_idle,
usb_suspend => usb_suspend,
usb_configured => usb_configured,
usb_crc_error => usb_crc_error,
usb_sof => usb_sof,
ctl_xfer_endpoint => ctl_xfer_endpoint,
ctl_xfer_type => ctl_xfer_type,
ctl_xfer_request => ctl_xfer_request,
ctl_xfer_value => ctl_xfer_value,
ctl_xfer_index => ctl_xfer_index,
ctl_xfer_length => ctl_xfer_length,
ctl_xfer_accept => ctl_xfer_accept,
ctl_xfer => ctl_xfer,
ctl_xfer_done => ctl_xfer_done,
ctl_xfer_data_out => ctl_xfer_data_out,
ctl_xfer_data_out_valid => ctl_xfer_data_out_valid,
ctl_xfer_data_in => ctl_xfer_data_in,
ctl_xfer_data_in_valid => ctl_xfer_data_in_valid,
ctl_xfer_data_in_last => ctl_xfer_data_in_last,
ctl_xfer_data_in_ready => ctl_xfer_data_in_ready,
blk_xfer_endpoint => blk_xfer_endpoint,
blk_in_xfer => blk_in_xfer,
blk_out_xfer => blk_out_xfer,
blk_xfer_in_has_data => blk_xfer_in_has_data,
blk_xfer_in_data => blk_xfer_in_data,
blk_xfer_in_data_valid => blk_xfer_in_data_valid,
blk_xfer_in_data_ready => blk_xfer_in_data_ready,
blk_xfer_in_data_last => blk_xfer_in_data_last,
blk_xfer_out_ready_read => blk_xfer_out_ready_read,
blk_xfer_out_data => blk_xfer_out_data,
blk_xfer_out_data_valid => blk_xfer_out_data_valid
);
EP1_IN_CTL: blk_ep_in_ctl
generic map (
USE_ASYNC_FIFO => true
)
port map (
rst => usb_reset,
usb_clk => usb_clk,
axis_clk => main_clk,
blk_in_xfer => blk_in_xfer,
blk_xfer_in_has_data => blk_xfer_in_has_data,
blk_xfer_in_data => blk_xfer_in_data,
blk_xfer_in_data_valid => blk_xfer_in_data_valid,
blk_xfer_in_data_ready => blk_xfer_in_data_ready,
blk_xfer_in_data_last => blk_xfer_in_data_last,
axis_tdata => ep1_in_axis_tdata,
axis_tvalid => ep1_in_axis_tvalid,
axis_tready => ep1_in_axis_tready,
axis_tlast => ep1_in_axis_tlast
);
EP1_OUT_CTL: blk_ep_out_ctl
generic map (
USE_ASYNC_FIFO => true
)
port map (
rst => usb_reset,
usb_clk => usb_clk,
axis_clk => main_clk,
blk_out_xfer => blk_out_xfer,
blk_xfer_out_ready_read => blk_xfer_out_ready_read,
blk_xfer_out_data => blk_xfer_out_data,
blk_xfer_out_data_valid => blk_xfer_out_data_valid,
axis_tdata => ep1_out_axis_tdata,
axis_tvalid => ep1_out_axis_tvalid,
axis_tready => ep1_out_axis_tready,
axis_tlast => ep1_out_axis_tlast
);
ep1_in_axis_tdata <= ep1_out_axis_tdata;
ep1_in_axis_tvalid <= ep1_out_axis_tvalid;
ep1_out_axis_tready <= ep1_in_axis_tready;
ep1_in_axis_tlast <= ep1_out_axis_tlast;
COUNT: process(usb_clk) is
begin
if rising_edge(usb_clk) then
led_counter <= led_counter + 1;
end if;
end process;
led <= '1' when usb_idle = '1' AND usb_configured = '1' else
led_counter(led_counter'left) when usb_idle = '1' else
'1' when led_counter(led_counter'left downto led_counter'left - 2) = "000" else
'0';
end ep1_loopback;
| mit | 3a0b647cd20a6cb6e6965ad8f973c956 | 0.574014 | 3.377726 | false | false | false | false |
saidwivedi/Face-Recognition-Hardware | ANN_FPGA/ipcore_dir/test_image/example_design/test_image_prod.vhd | 1 | 10,078 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: test_image_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : artix7
-- C_XDEVICEFAMILY : artix7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : test_image.mif
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 8
-- C_READ_WIDTH_A : 8
-- C_WRITE_DEPTH_A : 230
-- C_READ_DEPTH_A : 230
-- C_ADDRA_WIDTH : 8
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 8
-- C_READ_WIDTH_B : 8
-- C_WRITE_DEPTH_B : 230
-- C_READ_DEPTH_B : 230
-- C_ADDRB_WIDTH : 8
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY test_image_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END test_image_prod;
ARCHITECTURE xilinx OF test_image_prod IS
COMPONENT test_image_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : test_image_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
| bsd-2-clause | 863fd2f2953b3c90d82820defb90256c | 0.492558 | 3.824668 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_axi_epc_0_0/axi_epc_v2_0/hdl/src/vhdl/address_gen.vhd | 1 | 20,718 | -------------------------------------------------------------------------------
-- address_gen.vhd - entity/architecture pair
-------------------------------------------------------------------------------
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2005, 2006, 2008, 2009 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
-------------------------------------------------------------------------------
-- File : address_gen.vhd
-- Company : Xilinx
-- Version : v1.00.a
-- Description : External Peripheral Controller for AXI bus address generation
-- logic
-- Structure : VHDL-93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Structure:
-- axi_epc.vhd
-- -axi_lite_ipif
-- -epc_core.vhd
-- -ipic_if_decode.vhd
-- -sync_cntl.vhd
-- -async_cntl.vhd
-- -- async_counters.vhd
-- -- async_statemachine.vhd
-- -address_gen.vhd
-- -data_steer.vhd
-- -access_mux.vhd
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Author : VB
-- History :
--
-- VB 08-24-2010 -- v2_0 version for AXI
-- ^^^^^^
-- The core updated for AXI based on xps_epc_v1_02_a
-- ~~~~~~
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.unsigned;
use IEEE.std_logic_arith.conv_integer;
library axi_epc_v2_0;
use axi_epc_v2_0.ld_arith_reg;
-------------------------------------------------------------------------------
-- Definition of Generics --
-------------------------------------------------------------------------------
-- C_PRH_MAX_AWIDTH - Maximum of address bus width of all peripherals
-- NO_PRH_DWIDTH_MATCH - Indication that no device is employing data width
-- matching
-- NO_PRH_SYNC - Indicates all devices are configured for
-- asynchronous interface
-- NO_PRH_ASYNC - Indicates all devices are configured for
-- synchronous interface
-- ADDRCNT_WIDTH - Width of counter generating address suffix in case
-- of data width matching
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports --
-------------------------------------------------------------------------------
-- Bus2IP_Clk - IPIC clock
-- Bus2IP_Rst - IPIC reset
-- Local_Clk - Operational clock for peripheral interface
-- Local_Rst - Rest for peripheral interface
-- Bus2IP_Addr - Address bus from IPIC interface
-- Dev_fifo_access - Indicates if the current access is to a FIFO like
-- - structure within the external peripheral device
-- Dev_sync - Indicates if the current device being accessed
-- is synchronous device
-- Dev_dwidth_match - Indicates if the current device employs data
-- width matching
-- Dev_dbus_width - Indicates decoded value for the data bus width
-- Async_addr_cnt_ld - Load signal for the address suffix counter for
-- asynchronous interface
-- Async_addr_cnt_ce - Enable for address suffix counter for asynchronous
-- interface
-- Sync_addr_cnt_ld - Load signal for the address suffix counter for
-- synchronous interface
-- Sync_addr_cnt_ce - Enable for address suffix counter for synchronous
-- interface
-- Addr_Int - Internal address bus for peripheral interface
-- Addr_suffix - Address suffix (lower bits of address bus) generated
-- within this module when data width matching is
-- enabled
-------------------------------------------------------------------------------
entity address_gen is
generic (
C_PRH_MAX_AWIDTH : integer;
NO_PRH_DWIDTH_MATCH : integer;
NO_PRH_SYNC : integer;
NO_PRH_ASYNC : integer;
ADDRCNT_WIDTH : integer
);
port (
Bus2IP_Clk : in std_logic;
Bus2IP_Rst : in std_logic;
Local_Clk : in std_logic;
Local_Rst : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_PRH_MAX_AWIDTH-1);
Dev_fifo_access : in std_logic;
Dev_sync : in std_logic;
Dev_dwidth_match : in std_logic;
Dev_dbus_width : in std_logic_vector(0 to 2);
Async_addr_cnt_ld : in std_logic;
Async_addr_cnt_ce : in std_logic;
Sync_addr_cnt_ld : in std_logic;
Sync_addr_cnt_ce : in std_logic;
Addr_Int : out std_logic_vector(0 to C_PRH_MAX_AWIDTH-1);
Addr_suffix : out std_logic_vector(0 to ADDRCNT_WIDTH-1)
);
end entity address_gen;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture imp of address_gen is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant ADDRCNT_RST : std_logic_vector(0 to ADDRCNT_WIDTH-1)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal async_addr_cnt_i : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
signal async_addr_ld_cnt_val : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
signal sync_addr_cnt_i : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
signal sync_addr_ld_cnt_val : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
signal async_addr_suffix : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
signal sync_addr_suffix : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
signal addr_suffix_i : std_logic_vector(0 to ADDRCNT_WIDTH-1) :=
(others => '0');
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- NAME: NO_DEV_DWIDTH_MATCH_GEN
-------------------------------------------------------------------------------
-- Description: If no device employs data width matching, then generate
-- default values
-------------------------------------------------------------------------------
NO_DEV_DWIDTH_MATCH_GEN: if NO_PRH_DWIDTH_MATCH = 1 generate
Addr_suffix <= (others => '0');
Addr_Int <= Bus2IP_Addr;
end generate NO_DEV_DWIDTH_MATCH_GEN;
-------------------------------------------------------------------------------
-- NAME: DEV_DWIDTH_MATCH_GEN
-------------------------------------------------------------------------------
-- Description: If any device employs data width matching, then generate
-- address suffix, peripheral address bus, async and sync cycle
-- indications
-------------------------------------------------------------------------------
DEV_DWIDTH_MATCH_GEN: if NO_PRH_DWIDTH_MATCH = 0 generate
-----------------------------------------------------------------------------
-- NAME: SOME_DEV_SYNC_GEN
-----------------------------------------------------------------------------
-- Description: Some or all devices are configured as synchronous devices
-----------------------------------------------------------------------------
SOME_DEV_SYNC_GEN: if NO_PRH_SYNC = 0 generate
---------------------------------------------------------------------------
-- Counter for address suffix generation for synchronous peripheral
-- interface
---------------------------------------------------------------------------
I_SYNC_ADDRCNT: entity axi_epc_v2_0.ld_arith_reg
generic map ( C_ADD_SUB_NOT => true,
C_REG_WIDTH => ADDRCNT_WIDTH,
C_RESET_VALUE => ADDRCNT_RST,
C_LD_WIDTH => ADDRCNT_WIDTH,
C_LD_OFFSET => 0,
C_AD_WIDTH => 1,
C_AD_OFFSET => 0
)
port map ( CK => Local_Clk,
RST => Local_Rst,
Q => sync_addr_cnt_i,
LD => sync_addr_ld_cnt_val,
AD => "1",
LOAD => Sync_addr_cnt_ld,
OP => Sync_addr_cnt_ce
);
---------------------------------------------------------------------------
-- NAME : SYNC_ADDR_LD_VAL_PROCESS
---------------------------------------------------------------------------
-- Description: Initial load value for the address suffix counter
---------------------------------------------------------------------------
SYNC_ADDR_LD_VAL_PROCESS: process(Dev_dbus_width, Bus2IP_Addr)
begin
sync_addr_ld_cnt_val <= (others => '0');
case Dev_dbus_width is
when "001" =>
sync_addr_ld_cnt_val <=
Bus2IP_Addr(C_PRH_MAX_AWIDTH-ADDRCNT_WIDTH to C_PRH_MAX_AWIDTH - 1);
when "010" =>
sync_addr_ld_cnt_val <= '0' &
Bus2IP_Addr(C_PRH_MAX_AWIDTH-ADDRCNT_WIDTH to C_PRH_MAX_AWIDTH - 2);
when "100" =>
sync_addr_ld_cnt_val <= (others => '0');
when others =>
sync_addr_ld_cnt_val <= (others => '0');
end case;
end process SYNC_ADDR_LD_VAL_PROCESS;
---------------------------------------------------------------------------
-- NAME : SYNC_ADDR_SUFFIX_PROCESS
---------------------------------------------------------------------------
-- Description: Address suffix generation for synchronous interface
---------------------------------------------------------------------------
SYNC_ADDR_SUFFIX_PROCESS: process(Dev_dbus_width, sync_addr_cnt_i)
begin
sync_addr_suffix <= (others => '0');
case Dev_dbus_width is
when "001" =>
sync_addr_suffix <= sync_addr_cnt_i;
when "010" =>
sync_addr_suffix <= sync_addr_cnt_i(1 to ADDRCNT_WIDTH-1) & '0';
when "100" =>
sync_addr_suffix <= (others => '0');
when others =>
sync_addr_suffix <= (others => '0');
end case;
end process SYNC_ADDR_SUFFIX_PROCESS;
end generate SOME_DEV_SYNC_GEN;
-----------------------------------------------------------------------------
-- NAME: SOME_DEV_ASYNC_GEN
-----------------------------------------------------------------------------
-- Description: Some or all devices are configured as asynchronous devices
-----------------------------------------------------------------------------
SOME_DEV_ASYNC_GEN: if NO_PRH_ASYNC = 0 generate
---------------------------------------------------------------------------
-- Counter for address suffix generation for asynchronous peripheral
-- interface
---------------------------------------------------------------------------
I_ASYNC_ADDRCNT: entity axi_epc_v2_0.ld_arith_reg
generic map ( C_ADD_SUB_NOT => true,
C_REG_WIDTH => ADDRCNT_WIDTH,
C_RESET_VALUE => ADDRCNT_RST,
C_LD_WIDTH => ADDRCNT_WIDTH,
C_LD_OFFSET => 0,
C_AD_WIDTH => 1,
C_AD_OFFSET => 0
)
port map ( CK => Bus2IP_Clk,
RST => Bus2IP_Rst,
Q => async_addr_cnt_i,
LD => async_addr_ld_cnt_val,
AD => "1",
LOAD => Async_addr_cnt_ld,
OP => Async_addr_cnt_ce
);
---------------------------------------------------------------------------
-- NAME : ASYNC_ADDR_LD_VAL_PROCESS
---------------------------------------------------------------------------
-- Description: Initial load value for the address suffix counter
---------------------------------------------------------------------------
ASYNC_ADDR_LD_VAL_PROCESS: process(Dev_dbus_width, Bus2IP_Addr)
begin
async_addr_ld_cnt_val <= (others => '0');
case Dev_dbus_width is
when "001" =>
async_addr_ld_cnt_val <=
Bus2IP_Addr(C_PRH_MAX_AWIDTH-ADDRCNT_WIDTH to C_PRH_MAX_AWIDTH - 1);
when "010" =>
async_addr_ld_cnt_val <= '0' &
Bus2IP_Addr(C_PRH_MAX_AWIDTH-ADDRCNT_WIDTH to C_PRH_MAX_AWIDTH - 2);
when "100" =>
async_addr_ld_cnt_val <= (others => '0');
when others =>
async_addr_ld_cnt_val <= (others => '0');
end case;
end process ASYNC_ADDR_LD_VAL_PROCESS;
---------------------------------------------------------------------------
-- NAME : ASYNC_ADDR_SUFFIX_PROCESS
---------------------------------------------------------------------------
-- Description: Address suffix generation for asynchronous interface
---------------------------------------------------------------------------
ASYNC_ADDR_SUFFIX_PROCESS: process(Dev_dbus_width, async_addr_cnt_i)
begin
async_addr_suffix <= (others => '0');
case Dev_dbus_width is
when "001" =>
async_addr_suffix <= async_addr_cnt_i;
when "010" =>
async_addr_suffix <= async_addr_cnt_i(1 to ADDRCNT_WIDTH-1) & '0';
when "100" =>
async_addr_suffix <= (others => '0');
when others =>
async_addr_suffix <= (others => '0');
end case;
end process ASYNC_ADDR_SUFFIX_PROCESS;
end generate SOME_DEV_ASYNC_GEN;
-----------------------------------------------------------------------------
-- NAME: ALL_DEV_SYNC_GEN
-----------------------------------------------------------------------------
-- Description: All devices are configured as synchronous devices
-----------------------------------------------------------------------------
ALL_DEV_SYNC_GEN: if NO_PRH_ASYNC = 1 generate
addr_suffix_i <= sync_addr_suffix;
end generate ALL_DEV_SYNC_GEN;
-----------------------------------------------------------------------------
-- NAME: ALL_DEV_ASYNC_GEN
-----------------------------------------------------------------------------
-- Description: All devices are configured as asynchronous devices
-----------------------------------------------------------------------------
ALL_DEV_ASYNC_GEN: if NO_PRH_SYNC = 1 generate
addr_suffix_i <= async_addr_suffix;
end generate ALL_DEV_ASYNC_GEN;
-----------------------------------------------------------------------------
-- NAME: DEV_SYNC_AND_ASYNC_GEN
-----------------------------------------------------------------------------
-- Description: Some devices are configured as synchronous and some
-- asynchronous
-----------------------------------------------------------------------------
DEV_SYNC_AND_ASYNC_GEN: if NO_PRH_SYNC = 0 and NO_PRH_ASYNC = 0 generate
addr_suffix_i <= async_addr_suffix when dev_sync = '0'
else sync_addr_suffix;
end generate DEV_SYNC_AND_ASYNC_GEN;
Addr_suffix <= addr_suffix_i;
Addr_Int <= Bus2IP_Addr when (Dev_dwidth_match = '0' or Dev_fifo_access = '1')
else Bus2IP_Addr(0 to C_PRH_MAX_AWIDTH-ADDRCNT_WIDTH-1)
& addr_suffix_i;
end generate DEV_DWIDTH_MATCH_GEN;
end architecture imp;
--------------------------------end of file------------------------------------
| gpl-3.0 | 08f25a97f6b6d420e5e4b16e1d2f1449 | 0.39748 | 5.124413 | false | false | false | false |
peteut/nvc | test/simp/cfold.vhd | 1 | 3,444 | entity e is
end entity;
architecture a of e is
signal x : integer := -3 * 4 + 2;
type t is range -5 to 11 - 3;
constant c : integer := +4 + 1;
signal y : t;
type int_array is array (integer range <>) of integer;
constant a1 : int_array(1 to 5) := (1, 2, 3, 4, 5);
constant a2 : int_array(1 to 7) := (2 to 3 => 6, others => 5);
constant a3 : int_array(1 to 9) := (8 => 24, others => 0);
constant a4 : int_array(5 downto 1) := (1, 2, 3, 4, 5);
constant a5 : int_array(5 downto 1) := (5 downto 3 => -1, others => 1);
begin
process is
variable b : boolean;
begin
x <= c / 2;
y <= t'high;
y <= t'left;
b := t'right = 8;
b := (t'right - t'left) = 2;
b := t'high /= 2;
b := true and true;
b := true and false;
b := true or false;
b := true xor true;
b := not true;
b := not false;
b := true xnor false;
b := false nand false;
b := false nor true;
b := 7 > 5 and 6 < 2;
x <= a1(2);
x <= a2(1);
x <= a2(3);
x <= a3(8);
x <= a1'length;
x <= a4(2);
x <= a5(4);
x <= 2 ** 4;
end process;
process is
begin
if true then
x <= 1;
end if;
if false then
x <= 5;
end if;
if false then
null;
else
x <= 5;
end if;
while false loop
null;
end loop;
if true then
x <= 1;
x <= 5;
null;
end if;
end process;
process is
variable r : real;
variable b : boolean;
begin
r := 1.0 + 0.0;
r := 1.5 * 4.0;
r := 2.0 / 2.0;
b := 4.6 > 1.2;
end process;
process
variable k : time;
begin
end process;
process
type int2_vec is array (66 to 67) of integer;
variable b : boolean;
begin
b := a1'length = 5;
b := a1'low(1) = 1;
b := a1'high(1) = 5;
b := a1'left = 1;
b := a1'right = 5;
b := int2_vec'length = 2;
b := int2_vec'low = 66;
end process;
process is
begin
case 1 is
when 1 => null;
when others => report "bang";
end case;
end process;
process is
variable r : real;
begin
r := 1.5 * 2;
r := 3 * 0.2;
r := 5.0 / 2;
r := 2.0 ** 4;
end process;
process is
constant one : bit := '1';
variable b : boolean;
begin
b := one = '1';
b := '0' /= one;
end process;
-- Billowitch tc3170
tc3170: process is
constant L : REAL := -10.0;
constant R : REAL := 10.0;
type RT1 is range L to R;
begin
assert ( RT1'right = RT1(R) ); -- Should be removed
end process;
bitvec: process is
constant x : bit_vector(1 to 3) := "101";
constant y : bit_vector(1 to 3) := "110";
constant z : bit_vector(3 downto 1) := "011";
variable b : boolean;
begin
b := (x and y) = "100";
b := (y and z) = "010";
b := (x or y) = "111";
b := not x = "010";
b := (x xor y) = "011";
b := (x xnor y) = "100";
b := (x nand y) = "011";
b := (x nor y) = "000";
end process;
end architecture;
| gpl-3.0 | 66184b89c713ad7e3a6fed7cf5b7e33c | 0.421893 | 3.330754 | false | false | false | false |
peteut/nvc | lib/std/standard.vhd | 1 | 3,018 | -- -*- coding: latin-1; -*-
--
-- STANDARD package as defined by IEEE 1076-1993
--
package STANDARD is
type INTEGER;
type STRING;
type REAL;
type BOOLEAN is (FALSE, TRUE);
type BIT is ('0', '1');
type CHARACTER is (
NUL, SOH, STX, ETX, EOT, ENQ, ACK, BEL,
BS, HT, LF, VT, FF, CR, SO, SI,
DLE, DC1, DC2, DC3, DC4, NAK, SYN, ETB,
CAN, EM, SUB, ESC, FSP, GSP, RSP, USP,
' ', '!', '"', '#', '$', '%', '&', ''',
'(', ')', '*', '+', ',', '-', '.', '/',
'0', '1', '2', '3', '4', '5', '6', '7',
'8', '9', ':', ';', '<', '=', '>', '?',
'@', 'A', 'B', 'C', 'D', 'E', 'F', 'G',
'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O',
'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W',
'X', 'Y', 'Z', '[', '\', ']', '^', '_',
'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
'x', 'y', 'z', '{', '|', '}', '~', DEL,
C128, C129, C130, C131, C132, C133, C134, C135,
C136, C137, C138, C139, C140, C141, C142, C143,
C144, C145, C146, C147, C148, C149, C150, C151,
C152, C153, C154, C155, C156, C157, C158, C159,
' ', '¡', '¢', '£', '¤', '¥', '¦', '§',
'¨', '©', 'ª', '«', '¬', '', '®', '¯',
'°', '±', '²', '³', '´', 'µ', '¶', '¹',
C184, C185, C186, C187, C188, C189, C190, C191,
C192, C193, C194, C195, C196, C197, C198, C199,
C200, C201, C202, C203, C204, C205, C206, C207,
C208, C209, C210, C211, C212, C213, C214, C215,
C216, C217, C218, C219, C220, C221, C222, C223,
C224, C225, C226, C227, C228, C229, C230, C231,
C232, C233, C234, C235, C236, C237, C238, C239,
C240, C241, C242, C243, C244, C245, C246, C247,
C248, C249, C250, C251, C252, C253, C254, C255
);
type SEVERITY_LEVEL is (NOTE, WARNING, ERROR, FAILURE);
type INTEGER is range -2147483648 to 2147483647;
type REAL is range -1.7976931348623157e308 to 1.7976931348623157e308;
type TIME is range -9223372036854775808 to 9223372036854775807
units
fs;
ps = 1000 fs;
ns = 1000 ps;
us = 1000 ns;
ms = 1000 us;
sec = 1000 ms;
min = 60 sec;
hr = 60 min;
end units;
subtype DELAY_LENGTH is TIME range 0 fs to TIME'HIGH;
impure function NOW return DELAY_LENGTH;
subtype NATURAL is INTEGER range 0 to INTEGER'HIGH;
subtype POSITIVE is INTEGER range 1 to INTEGER'HIGH;
type STRING is array (POSITIVE range <>) of CHARACTER;
type BIT_VECTOR is array (NATURAL range <>) of BIT;
type FILE_OPEN_KIND is (READ_MODE, WRITE_MODE, APPEND_MODE);
type FILE_OPEN_STATUS is (OPEN_OK, STATUS_ERROR, NAME_ERROR,
MODE_ERROR);
attribute FOREIGN : STRING;
attribute FOREIGN of NOW : function is "_std_standard_now";
end package;
| gpl-3.0 | 19b7d1448a28cade5f38f78361a40fad | 0.463883 | 2.871551 | false | false | false | false |
UnofficialRepos/OSVVM | TbUtilPkg.vhd | 1 | 40,522 | --
-- File Name: TbUtilPkg.vhd
-- Design Unit Name: TbUtilPkg
-- Revision: STANDARD VERSION
--
-- Maintainer: Jim Lewis email: [email protected]
-- Contributor(s):
-- Jim Lewis email: [email protected]
--
-- Package Defines
--
-- Developed for:
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http://www.SynthWorks.com
--
-- Revision History:
-- Date Version Description
-- 03/2022 2022.03 Added EdgeRose, EdgeFell, FindRisingEdge, FindFallingEdge.
-- 01/2022 2022.01 Added MetaTo01. Added WaitForTransaction without clock for RdyType/AckType and bit.
-- 02/2021 2021.02 Added AckType, RdyType, RequestTransaction, WaitForTransaction for AckType/RdyType
-- 12/2020 2020.12 Added IfElse functions for string and integer.
-- Added Increment function for integer
-- 01/2020 2020.01 Updated Licenses to Apache
-- 08/2018 2018.08 Updated WaitForTransaction to allow 0 time transactions
-- 04/2018 2018.04 Added RequestTransaction, WaitForTransaction, Toggle, WaitForToggle for bit.
-- Added Increment and WaitForToggle for integer.
-- 11/2016 2016.11 First Public Release Version
-- Updated naming for consistency.
-- 10/2013 2013.10 Split out Text Utilities
-- 11/1999: 0.1 Initial revision
-- Numerous revisions for VHDL Testbenches and Verification
--
--
-- This file is part of OSVVM.
--
-- Copyright (c) 1999 - 2021 by SynthWorks Design Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- https://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
library ieee ;
use ieee.std_logic_1164.all ;
use work.AlertLogPkg.all ;
use work.TranscriptPkg.all ;
use work.ResolutionPkg.all ;
use work.OsvvmGlobalPkg.all ;
package TbUtilPkg is
constant CLK_ACTIVE : std_logic := '1' ;
constant t_sim_resolution : time := std.env.resolution_limit ; -- VHDL-2008
-- constant t_sim_resolution : time := 1 ns ; -- for non VHDL-2008 simulators
------------------------------------------------------------
-- ZeroOneHot, OneHot
-- OneHot: return true if exactly one value is 1
-- ZeroOneHot: return false when more than one value is a 1
------------------------------------------------------------
function OneHot ( constant A : in std_logic_vector ) return boolean ;
function ZeroOneHot ( constant A : in std_logic_vector ) return boolean ;
------------------------------------------------------------
-- EdgeRose, EdgeFell, FindRisingEdge, FindFallingEdge
------------------------------------------------------------
function EdgeRose ( signal C : in std_logic ) return boolean ;
function EdgeFell ( signal C : in std_logic ) return boolean ;
function EdgeActive ( signal C : in std_logic; A : std_logic ) return boolean ;
procedure FindRisingEdge ( signal C : in std_logic) ;
procedure FindFallingEdge ( signal C : in std_logic ) ;
procedure FindActiveEdge ( signal C : in std_logic; A : std_logic ) ;
------------------------------------------------------------
-- MetaTo01
-- Convert Meta values to 0
------------------------------------------------------------
function MetaTo01 ( constant A : in std_ulogic ) return std_ulogic ;
function MetaTo01 ( constant A : in std_ulogic_vector ) return std_ulogic_vector ;
------------------------------------------------------------
-- IfElse
-- Crutch until VHDL-2019 conditional initialization
-- If condition is true return first parameter otherwise return second
------------------------------------------------------------
function IfElse(Expr : boolean ; A, B : std_logic_vector) return std_logic_vector ;
function IfElse(Expr : boolean ; A, B : integer) return integer ;
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- RequestTransaction - Transaction initiation in transaction procedure
-- WaitForTransaction - Transaction execution control in VC
------------------------------------------------------------
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- std_logic
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : Out std_logic ;
signal Ack : In std_logic
) ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) ;
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- bit
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : Out bit ;
signal Ack : In bit
) ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In bit ;
signal Ack : Out bit
) ;
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- integer
------------------------------------------------------------
subtype RdyType is resolved_max integer range 0 to integer'high ;
subtype AckType is resolved_max integer range -1 to integer'high ;
procedure RequestTransaction (
signal Rdy : InOut RdyType ;
signal Ack : In AckType
) ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In RdyType ;
signal Ack : InOut AckType
) ;
------------------------------------------------------------
-- WaitForTransaction
-- Specializations for interrupt handling
-- Currently only std_logic based
------------------------------------------------------------
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic ;
signal TimeOut : In std_logic ;
constant Polarity : In std_logic := '1'
) ;
-- Variation for model that stops waiting when IntReq is asserted
-- Intended for models that need to switch between instruction streams
-- such as a CPU when interrupt is pending
procedure WaitForTransactionOrIrq (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal IntReq : In std_logic
) ;
-- Set Ack to Model starting value
procedure StartTransaction ( signal Ack : Out std_logic ) ;
-- Set Ack to Model finishing value
procedure FinishTransaction ( signal Ack : Out std_logic ) ;
-- If a transaction is pending, return true
function TransactionPending ( signal Rdy : In std_logic ) return boolean ;
-- Variation for clockless models
procedure WaitForTransaction (
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) ;
-- Variation for clockless models
procedure WaitForTransaction (
signal Rdy : In RdyType ;
signal Ack : InOut AckType
);
-- Variation for clockless models
procedure WaitForTransaction (
signal Rdy : in bit ;
signal Ack : out bit
) ;
------------------------------------------------------------
-- Toggle, WaitForToggle
-- Used for communicating between processes
------------------------------------------------------------
procedure Toggle (
signal Sig : InOut std_logic ;
constant DelayVal : time
) ;
procedure Toggle ( signal Sig : InOut std_logic ) ;
procedure ToggleHS ( signal Sig : InOut std_logic ) ;
function IsToggle ( signal Sig : In std_logic ) return boolean ;
procedure WaitForToggle ( signal Sig : In std_logic ) ;
-- Bit type versions
procedure Toggle ( signal Sig : InOut bit ; constant DelayVal : time ) ;
procedure Toggle ( signal Sig : InOut bit ) ;
procedure ToggleHS ( signal Sig : InOut bit ) ;
function IsToggle ( signal Sig : In bit ) return boolean ;
procedure WaitForToggle ( signal Sig : In bit ) ;
-- Integer type versions
procedure Increment ( signal Sig : InOut integer ; constant RollOverValue : in integer := 0) ;
function Increment (constant Sig : in integer ; constant Amount : in integer := 1) return integer ;
procedure WaitForToggle ( signal Sig : In integer ) ;
------------------------------------------------------------
-- WaitForBarrier
-- Barrier Synchronization
-- Multiple processes call it, it finishes when all have called it
------------------------------------------------------------
procedure WaitForBarrier ( signal Sig : InOut std_logic ) ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; constant TimeOut : time ) ;
-- resolved_barrier : summing resolution used in conjunction with integer based barriers
function resolved_barrier ( s : integer_vector ) return integer ;
subtype integer_barrier is resolved_barrier integer ;
-- Usage of integer barriers requires resolved_barrier. Initialization to 1 recommended, but not required
-- signal barrier1 : resolved_barrier integer := 1 ; -- using the resolution function
-- signal barrier2 : integer_barrier := 1 ; -- using the subtype that already applies the resolution function
procedure WaitForBarrier ( signal Sig : InOut integer ) ;
procedure WaitForBarrier ( signal Sig : InOut integer ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') ;
procedure WaitForBarrier ( signal Sig : InOut integer ; constant TimeOut : time ) ;
-- Using separate signals
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncIn : in std_logic ) ;
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncInV : in std_logic_vector ) ;
------------------------------------------------------------
-- WaitForClock
-- Sync to Clock - after a delay, after a number of clocks
------------------------------------------------------------
procedure WaitForClock ( signal Clk : in std_logic ; constant Delay : in time ) ;
procedure WaitForClock ( signal Clk : in std_logic ; constant NumberOfClocks : in integer := 1) ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in boolean ) ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in std_logic ; constant Polarity : std_logic := '1' ) ;
------------------------------------------------------------
-- WaitForLevel
-- Find a signal at a level
------------------------------------------------------------
procedure WaitForLevel ( signal A : in boolean ) ;
procedure WaitForLevel ( signal A : in std_logic ; Polarity : std_logic := '1' ) ;
------------------------------------------------------------
-- CreateClock, CreateReset
-- Note these do not exit
------------------------------------------------------------
procedure CreateClock (
signal Clk : inout std_logic ;
constant Period : time ;
constant DutyCycle : real := 0.5
) ;
procedure CheckClockPeriod (
constant AlertLogID : AlertLogIDType ;
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) ;
procedure CheckClockPeriod (
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) ;
procedure CreateReset (
signal Reset : out std_logic ;
constant ResetActive : in std_logic ;
signal Clk : in std_logic ;
constant Period : time ;
constant tpd : time := 0 ns
) ;
procedure LogReset (
constant AlertLogID : AlertLogIDType ;
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) ;
procedure LogReset (
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) ;
------------------------------------------------------------
-- Deprecated subprogram names
-- Maintaining backward compatibility using aliases
------------------------------------------------------------
-- History of RequestTransaction / WaitForTransaction
alias RequestAction is RequestTransaction [std_logic, std_logic] ;
alias WaitForRequest is WaitForTransaction [std_logic, std_logic, std_logic] ;
-- History of WaitForToggle
alias WaitOnToggle is WaitForToggle [std_logic] ;
-- History of WaitForBarrier
alias WayPointBlock is WaitForBarrier [std_logic] ;
alias SyncTo is WaitForBarrier2[std_logic, std_logic] ;
alias SyncTo is WaitForBarrier2[std_logic, std_logic_vector] ;
-- Backward compatible name
alias SyncToClk is WaitForClock [std_logic, time] ;
------------------------------------------------------------
-- Deprecated
-- WaitForAck, StrobeAck
-- Replaced by WaitForToggle and Toggle
------------------------------------------------------------
procedure WaitForAck ( signal Ack : In std_logic ) ;
procedure StrobeAck ( signal Ack : Out std_logic ) ;
end TbUtilPkg ;
-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
package body TbUtilPkg is
type stdulogic_indexby_stdulogic is array (std_ulogic) of std_ulogic;
------------------------------------------------------------
-- ZeroOneHot, OneHot
-- OneHot: return true if exactly one value is 1
-- ZeroOneHot: return false when more than one value is a 1
------------------------------------------------------------
function OneHot ( constant A : in std_logic_vector ) return boolean is
variable found_one : boolean := FALSE ;
begin
for i in A'range loop
if A(i) = '1' or A(i) = 'H' then
if found_one then
return FALSE ;
end if ;
found_one := TRUE ;
end if ;
end loop ;
return found_one ; -- found a one
end function OneHot ;
function ZeroOneHot ( constant A : in std_logic_vector ) return boolean is
variable found_one : boolean := FALSE ;
begin
for i in A'range loop
if A(i) = '1' or A(i) = 'H' then
if found_one then
return FALSE ;
end if ;
found_one := TRUE ;
end if ;
end loop ;
return TRUE ; -- all zero or found a one
end function ZeroOneHot ;
------------------------------------------------------------
-- EdgeRose, EdgeFell, FindRisingEdge, FindFallingEdge
------------------------------------------------------------
function EdgeRose ( signal C : in std_logic ) return boolean is
begin
return to_x01(C)='1' and to_x01(C'last_value)='0' and C'last_event= 0 sec ;
end function EdgeRose ;
function EdgeFell ( signal C : in std_logic ) return boolean is
begin
return to_x01(C)='0' and to_x01(C'last_value)='1' and C'last_event= 0 sec ;
end function EdgeFell ;
function EdgeActive ( signal C : in std_logic; A : std_logic ) return boolean is
begin
return to_x01(C)=A and to_x01(C'last_value)=not A and C'last_event= 0 sec ;
end function EdgeActive ;
procedure FindRisingEdge ( signal C : in std_logic) is
begin
if not EdgeRose(C) then
wait until rising_edge(C) ;
end if ;
end procedure FindRisingEdge ;
--!! Rejected as the semantic is confusing
--!! procedure FindRisingEdge ( signal C : in std_logic; Count : integer) is
--!! variable Start : integer := 1 ;
--!! begin
--!! if EdgeRose(C) then
--!! Start := 2 ;
--!! end if
--!! for i in Start to Count loop
--!! wait until rising_edge(C) ;
--!! end loop ;
--!! end procedure FindRisingEdge ;
procedure FindFallingEdge ( signal C : in std_logic ) is
begin
if not EdgeFell(C) then
wait until falling_edge(C) ;
end if ;
end procedure FindFallingEdge ;
procedure FindActiveEdge ( signal C : in std_logic; A : std_logic ) is
begin
if A = '1' then
FindRisingEdge(C) ;
else
FindFallingEdge(C) ;
end if ;
end procedure FindActiveEdge ;
------------------------------------------------------------
-- MetaTo01
-- Convert Meta values to 0
------------------------------------------------------------
constant MetaTo01Table : stdulogic_indexby_stdulogic := (
'1' => '1',
'H' => '1',
others => '0'
);
function MetaTo01 ( constant A : in std_ulogic ) return std_ulogic is
begin
return MetaTo01Table(A) ;
end function MetaTo01 ;
function MetaTo01 ( constant A : in std_ulogic_vector ) return std_ulogic_vector is
variable result : std_logic_vector(A'range) ;
begin
for i in A'range loop
result(i) := MetaTo01Table(A(i)) ;
end loop ;
return result ;
end function MetaTo01 ;
------------------------------------------------------------
-- IfElse
-- Crutch until VHDL-2019 conditional initialization
-- If condition is true return first parameter otherwise return second
------------------------------------------------------------
function IfElse(Expr : boolean ; A, B : std_logic_vector) return std_logic_vector is
begin
if Expr then
return A ;
else
return B ;
end if ;
end function IfElse ;
function IfElse(Expr : boolean ; A, B : integer) return integer is
begin
if Expr then
return A ;
else
return B ;
end if ;
end function IfElse ;
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- RequestTransaction - Transaction initiation in transaction procedure
-- WaitForTransaction - Transaction execution control in VC
------------------------------------------------------------
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- std_logic
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : Out std_logic ;
signal Ack : In std_logic
) is
begin
-- Record contains new transaction
Rdy <= '1' ;
-- Find Ack low = '0'
wait until Ack = '0' ;
-- Prepare for Next Transaction
Rdy <= '0' ;
-- Transaction Done
wait until Ack = '1' ;
end procedure RequestTransaction ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) is
variable AckTime : time ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
AckTime := NOW ;
-- Find Start of Transaction
wait for 0 ns ; -- Allow Rdy from previous cycle to clear
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
end if ;
-- align to clock if needed (not back-to-back transactions)
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
wait for 0 ns ; -- Allow transactions without time passing
end procedure WaitForTransaction ;
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- bit
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : Out bit ;
signal Ack : In bit
) is
begin
-- Record contains new transaction
Rdy <= '1' ;
-- Find Ack low = '0'
wait until Ack = '0' ;
-- Prepare for Next Transaction
Rdy <= '0' ;
-- Transaction Done
wait until Ack = '1' ;
end procedure RequestTransaction ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In bit ;
signal Ack : Out bit
) is
variable AckTime : time ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
AckTime := NOW ;
-- Find Start of Transaction
wait for 0 ns ; -- Allow Rdy from previous cycle to clear
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
-- align to clock if needed (not back-to-back transactions)
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
wait for 0 ns ; -- Allow transactions without time passing
end procedure WaitForTransaction ;
------------------------------------------------------------
-- RequestTransaction - WaitForTransaction
-- integer
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : InOut RdyType ;
signal Ack : In AckType
) is
begin
-- Initiate Transaction Request
Rdy <= Increment(Rdy) ;
wait for 0 ns ;
-- Wait for Transaction Completion
wait until Rdy = Ack ;
end procedure RequestTransaction ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In RdyType ;
signal Ack : InOut AckType
) is
begin
-- End of Previous Cycle. Signal Done
Ack <= Increment(Ack) ;
-- Find Start of Transaction
wait until Ack /= Rdy ;
-- Align to clock if needed (not back-to-back transactions)
if not EdgeActive(Clk, CLK_ACTIVE) then
wait until Clk = CLK_ACTIVE ;
end if ;
end procedure WaitForTransaction ;
procedure WaitForTransaction (
signal Rdy : In RdyType ;
signal Ack : InOut AckType
) is
begin
-- End of Previous Cycle. Signal Done
Ack <= Increment(Ack) ;
-- Find Start of Transaction
wait until Ack /= Rdy ;
end procedure WaitForTransaction ;
------------------------------------------------------------
-- WaitForTransaction
-- Specializations for interrupt handling
-- Currently only std_logic based
------------------------------------------------------------
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic ;
signal TimeOut : In std_logic ;
constant Polarity : In std_logic := '1'
) is
variable AckTime : time ;
variable FoundRdy : boolean ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
AckTime := NOW ;
-- Find Ready or Time out
wait for 0 ns ; -- Allow Rdy from previous cycle to clear
if (Rdy /= '1' and TimeOut /= Polarity) then
wait until Rdy = '1' or TimeOut = Polarity ;
end if ;
FoundRdy := Rdy = '1' ;
-- align to clock if Rdy or TimeOut does not happen within delta cycles from Ack
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
if FoundRdy then
-- Model active and owns the record
Ack <= '0' ; -- #3
wait for 0 ns ; -- Allow transactions without time passing
end if ;
end procedure WaitForTransaction ;
-- Variation for model that stops waiting when IntReq is asserted
-- Intended for models that need to switch between instruction streams
-- such as a CPU when interrupt is pending
procedure WaitForTransactionOrIrq (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal IntReq : In std_logic
) is
variable AckTime : time ;
constant POLARITY : std_logic := '1' ;
begin
AckTime := NOW ;
-- Find Ready or Time out
wait for 0 ns ; -- allow Rdy from previous cycle to clear
if (Rdy /= '1' and IntReq /= POLARITY) then
wait until Rdy = '1' or IntReq = POLARITY ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
-- align to clock if Rdy or IntReq does not happen within delta cycles from Ack
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
end procedure ;
-- Set Ack to Model starting value
-- Pairs with WaitForTransactionOrIrq above
procedure StartTransaction ( signal Ack : Out std_logic ) is
begin
Ack <= '0' ;
wait for 0 ns ; -- Allow transactions without time passing
end procedure StartTransaction ;
-- Set Ack to Model finishing value
-- Pairs with WaitForTransactionOrIrq above
procedure FinishTransaction ( signal Ack : Out std_logic ) is
begin
-- End of Cycle
Ack <= '1' ;
wait for 0 ns ; -- Allow Ack to update
end procedure FinishTransaction ;
-- If a transaction is pending, return true
-- Used to detect presence of transaction stream,
-- such as an interrupt handler
function TransactionPending (
signal Rdy : In std_logic
) return boolean is
begin
return Rdy = '1' ;
end function TransactionPending ;
-- Variation for clockless models
procedure WaitForTransaction (
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) is
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
-- Find Start of Transaction
wait for 0 ns ; -- Allow Rdy from previous cycle to clear
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
wait for 0 ns ; -- allow 0 time transactions
end procedure WaitForTransaction ;
procedure WaitForTransaction (
signal Rdy : in bit ;
signal Ack : out bit
) is
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
-- Find Start of Transaction
wait for 0 ns ; -- Allow Rdy from previous cycle to clear
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
wait for 0 ns ; -- allow 0 time transactions
end procedure WaitForTransaction ;
------------------------------------------------------------
-- Toggle, WaitForToggle
-- Used for communicating between processes
------------------------------------------------------------
constant toggle_sl_table : stdulogic_indexby_stdulogic := (
'0' => '1',
'L' => '1',
others => '0'
);
procedure Toggle (
signal Sig : InOut std_logic ;
constant DelayVal : time
) is
variable iDelayVal : time ;
begin
if DelayVal > t_sim_resolution then
iDelayVal := DelayVal - t_sim_resolution ;
else
iDelayVal := 0 sec ;
AlertIf(OSVVM_ALERTLOG_ID, DelayVal < 0 sec, "osvvm.TbUtilPkg.Toggle: Delay value < 0 ns") ;
end if ;
Sig <= toggle_sl_table(Sig) after iDelayVal ;
end procedure Toggle ;
procedure Toggle ( signal Sig : InOut std_logic ) is
begin
Sig <= toggle_sl_table(Sig) ;
end procedure Toggle ;
procedure ToggleHS ( signal Sig : InOut std_logic ) is
begin
Sig <= toggle_sl_table(Sig) ;
wait for 0 ns ; -- Sig toggles
wait for 0 ns ; -- new values updated into record
end procedure ToggleHS ;
function IsToggle ( signal Sig : In std_logic ) return boolean is
begin
return Sig'event ;
end function IsToggle ;
procedure WaitForToggle ( signal Sig : In std_logic ) is
begin
wait on Sig ;
end procedure WaitForToggle ;
-- Bit type versions
procedure Toggle ( signal Sig : InOut bit ; constant DelayVal : time ) is
variable iDelayVal : time ;
begin
if DelayVal > t_sim_resolution then
iDelayVal := DelayVal - t_sim_resolution ;
else
iDelayVal := 0 sec ;
AlertIf(OSVVM_ALERTLOG_ID, DelayVal < 0 sec,
"osvvm.TbUtilPkg.Toggle: Delay value < 0 ns", WARNING) ;
end if ;
Sig <= not Sig after iDelayVal ;
end procedure Toggle ;
procedure Toggle ( signal Sig : InOut bit ) is
begin
Sig <= not Sig ;
end procedure Toggle ;
procedure ToggleHS ( signal Sig : InOut bit ) is
begin
Sig <= not Sig ;
wait for 0 ns ; -- Sig toggles
wait for 0 ns ; -- new values updated into record
end procedure ToggleHS ;
function IsToggle ( signal Sig : In bit ) return boolean is
begin
return Sig'event ;
end function IsToggle ;
procedure WaitForToggle ( signal Sig : In bit ) is
begin
wait on Sig ;
end procedure WaitForToggle ;
-- Integer type versions
procedure Increment (signal Sig : InOut integer ; constant RollOverValue : in integer := 0) is
begin
--!! if Sig = integer'high then
if Sig = 2**30-1 then -- for consistency with function increment
Sig <= RollOverValue ;
else
Sig <= Sig + 1 ;
end if ;
end procedure Increment ;
function Increment (constant Sig : in integer ; constant Amount : in integer := 1) return integer is
begin
--! Sig = integer'high - Amount + 1 ;
return (Sig + Amount) mod 2**30 ;
end function Increment ;
procedure WaitForToggle ( signal Sig : In integer ) is
begin
wait on Sig ;
end procedure WaitForToggle ;
------------------------------------------------------------
-- WaitForBarrier
-- Barrier Synchronization
-- Multiple processes call it, it finishes when all have called it
------------------------------------------------------------
procedure WaitForBarrier ( signal Sig : InOut std_logic ) is
begin
Sig <= 'H' ;
-- Wait until all processes set Sig to H
-- Level check not necessary since last value /= H yet
wait until Sig = 'H' ;
-- Deactivate and propagate to allow back to back calls
Sig <= '0' ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') is
begin
Sig <= 'H' ;
-- Wait until all processes set Sig to H
-- Level check not necessary since last value /= H yet
wait until Sig = 'H' or TimeOut = Polarity ;
-- Deactivate and propagate to allow back to back calls
Sig <= '0' ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; constant TimeOut : time ) is
begin
Sig <= 'H' ;
-- Wait until all processes set Sig to H
-- Level check not necessary since last value /= H yet
wait until Sig = 'H' for TimeOut ;
-- Deactivate and propagate to allow back to back calls
Sig <= '0' ;
wait for 0 ns ;
end procedure WaitForBarrier ;
------------------------------------------------------------
-- resolved_barrier
-- summing resolution used in conjunction with integer based barriers
function resolved_barrier ( s : integer_vector ) return integer is
variable result : integer := 0 ;
begin
for i in s'RANGE loop
-- if s(i) /= integer'left then
-- result := result + s(i);
-- else
if s(i) /= 0 then
result := result + 1; -- removes the initialization requirement
end if ;
end loop ;
return result ;
end function resolved_barrier ;
-- Usage of integer barriers requires resolved_barrier. Initialization to 1 recommended, but not required
-- signal barrier1 : resolved_barrier integer := 1 ; -- using the resolution function
-- signal barrier2 : integer_barrier := 1 ; -- using the subtype that already applies the resolution function
procedure WaitForBarrier ( signal Sig : InOut integer ) is
begin
Sig <= 0 ;
-- Wait until all processes set Sig to 0
-- Level check not necessary since last value /= 0 yet
wait until Sig = 0 ;
-- Deactivate and propagate to allow back to back calls
Sig <= 1 ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut integer ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') is
begin
Sig <= 0 ;
-- Wait until all processes set Sig to 0
-- Level check not necessary since last value /= 0 yet
wait until Sig = 0 or TimeOut = Polarity ;
-- Deactivate and propagate to allow back to back calls
Sig <= 1 ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut integer ; constant TimeOut : time ) is
begin
Sig <= 0 ;
-- Wait until all processes set Sig to 0
-- Level check not necessary since last value /= 0 yet
wait until Sig = 0 for TimeOut ;
-- Deactivate and propagate to allow back to back calls
Sig <= 1 ;
wait for 0 ns ;
end procedure WaitForBarrier ;
-- Using separate signals
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncIn : in std_logic ) is
begin
-- Activate Rdy
SyncOut <= '1' ;
-- Make sure our Rdy is seen
wait for 0 ns ;
-- Wait until other process' Rdy is at level 1
if SyncIn /= '1' then
wait until SyncIn = '1' ;
end if ;
-- Deactivate Rdy
SyncOut <= '0' ;
end procedure WaitForBarrier2 ;
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncInV : in std_logic_vector ) is
constant ALL_ONE : std_logic_vector(SyncInV'Range) := (others => '1');
begin
-- Activate Rdy
SyncOut <= '1' ;
-- Make sure our Rdy is seen
wait for 0 ns ;
-- Wait until all other process' Rdy is at level 1
if SyncInV /= ALL_ONE then
wait until SyncInV = ALL_ONE ;
end if ;
-- Deactivate Rdy
SyncOut <= '0' ;
end procedure WaitForBarrier2 ;
------------------------------------------------------------
-- WaitForClock
-- Sync to Clock - after a delay, after a number of clocks
------------------------------------------------------------
procedure WaitForClock ( signal Clk : in std_logic ; constant Delay : in time ) is
begin
if delay > t_sim_resolution then
wait for delay - t_sim_resolution ;
end if ;
wait until Clk = CLK_ACTIVE ;
end procedure WaitForClock ;
procedure WaitForClock ( signal Clk : in std_logic ; constant NumberOfClocks : in integer := 1) is
begin
for i in 1 to NumberOfClocks loop
wait until Clk = CLK_ACTIVE ;
end loop ;
end procedure WaitForClock ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in boolean ) is
begin
wait on Clk until Clk = CLK_ACTIVE and Enable ;
end procedure WaitForClock ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in std_logic ; constant Polarity : std_logic := '1' ) is
begin
wait on Clk until Clk = CLK_ACTIVE and Enable = Polarity ;
end procedure WaitForClock ;
------------------------------------------------------------
-- WaitForLevel
-- Find a signal at a level
------------------------------------------------------------
procedure WaitForLevel ( signal A : in boolean ) is
begin
if not A then
wait until A ;
end if ;
end procedure WaitForLevel ;
procedure WaitForLevel ( signal A : in std_logic ; Polarity : std_logic := '1' ) is
begin
if A /= Polarity then
-- wait on A until A = Polarity ;
if Polarity = '1' then
wait until A = '1' ;
else
wait until A = '0' ;
end if ;
end if ;
end procedure WaitForLevel ;
------------------------------------------------------------
-- CreateClock, CreateReset
-- Note these do not exit
------------------------------------------------------------
procedure CreateClock (
signal Clk : inout std_logic ;
constant Period : time ;
constant DutyCycle : real := 0.5
) is
constant HIGH_TIME : time := Period * DutyCycle ;
constant LOW_TIME : time := Period - HIGH_TIME ;
begin
if HIGH_TIME = LOW_TIME then
loop
Clk <= toggle_sl_table(Clk) after HIGH_TIME ;
wait on Clk ;
end loop ;
else
-- Schedule s.t. all assignments after the first occur on delta cycle 0
Clk <= '0', '1' after LOW_TIME ;
wait for period - t_sim_resolution ; -- allows after on future Clk <= '0'
loop
Clk <= '0' after t_sim_resolution, '1' after LOW_TIME + t_sim_resolution ;
wait for period ;
end loop ;
end if ;
end procedure CreateClock ;
procedure CheckClockPeriod (
constant AlertLogID : AlertLogIDType ;
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) is
variable LastLogTime, ObservedPeriod : time ;
begin
wait until Clk = CLK_ACTIVE ;
LastLogTime := now ;
-- Check First HowMany clocks
for i in 1 to HowMany loop
wait until Clk = CLK_ACTIVE ;
ObservedPeriod := now - LastLogTime ;
AffirmIf(AlertLogID, ObservedPeriod = Period,
"CheckClockPeriod: " & ClkName & " Period: " & to_string(ObservedPeriod, GetOsvvmDefaultTimeUnits) &
" = Expected " & to_string(Period, GetOsvvmDefaultTimeUnits)) ;
LastLogTime := now ;
end loop ;
wait ;
end procedure CheckClockPeriod ;
procedure CheckClockPeriod (
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) is
begin
CheckClockPeriod (
AlertLogID => ALERTLOG_DEFAULT_ID,
Clk => Clk,
Period => Period,
ClkName => ClkName,
HowMany => HowMany
) ;
end procedure CheckClockPeriod ;
procedure CreateReset (
signal Reset : out std_logic ;
constant ResetActive : in std_logic ;
signal Clk : in std_logic ;
constant Period : time ;
constant tpd : time := 0 ns
) is
begin
wait until Clk = CLK_ACTIVE ;
Reset <= ResetActive after tpd ;
wait for Period - t_sim_resolution ;
wait until Clk = CLK_ACTIVE ;
Reset <= not ResetActive after tpd ;
wait ;
end procedure CreateReset ;
procedure LogReset (
constant AlertLogID : AlertLogIDType ;
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) is
begin
-- Does not log the value of Reset at time 0.
for_ever : loop
wait on Reset ;
if Reset = ResetActive then
LOG(AlertLogID, ResetName & " now active", INFO) ;
print("") ;
elsif Reset = not ResetActive then
LOG(AlertLogID, ResetName & " now inactive", INFO) ;
print("") ;
else
LOG(AlertLogID, ResetName & " = " & to_string(Reset), INFO) ;
print("") ;
end if ;
end loop for_ever ;
end procedure LogReset ;
procedure LogReset (
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) is
begin
LogReset (
AlertLogID => ALERTLOG_DEFAULT_ID,
Reset => Reset,
ResetActive => ResetActive,
ResetName => ResetName,
LogLevel => LogLevel
) ;
end procedure LogReset ;
------------------------------------------------------------
-- Deprecated
-- WaitForAck, StrobeAck
-- Replaced by WaitForToggle and Toggle
------------------------------------------------------------
procedure WaitForAck ( signal Ack : In std_logic ) is
begin
-- Wait for Model to be done
wait until Ack = '1' ;
wait for 0 ns ;
end procedure ;
procedure StrobeAck ( signal Ack : Out std_logic ) is
begin
-- Model done, drive rising edge on Ack
Ack <= '0' ;
wait for 0 ns ;
Ack <= '1' ;
wait for 0 ns ;
end procedure ;
end TbUtilPkg ;
| artistic-2.0 | 3f6ef2a88dc389fbdad16f08d0c55722 | 0.571097 | 4.411758 | false | false | false | false |
vira-lytvyn/labsAndOthersNiceThings | HardwareAndSoftwareOfNeuralNetworks/Lab_10/lab10_1_1/lpm_rom0.vhd | 1 | 6,284 | -- megafunction wizard: %LPM_ROM%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: lpm_rom0.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2009 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY lpm_rom0 IS
PORT
(
address : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
clock : IN STD_LOGIC := '1';
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END lpm_rom0;
ARCHITECTURE SYN OF lpm_rom0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (3 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
address_aclr_a : STRING;
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
clock0 : IN STD_LOGIC ;
address_a : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
q_a : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(3 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
address_aclr_a => "NONE",
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "lab10_1.mif",
intended_device_family => "Cyclone III",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 32,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
widthad_a => 5,
width_a => 4,
width_byteena_a => 1
)
PORT MAP (
clock0 => clock,
address_a => address,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "lab10_1.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "5"
-- Retrieval info: PRIVATE: WidthData NUMERIC "4"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "lab10_1.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "4"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 5 0 INPUT NODEFVAL address[4..0]
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC clock
-- Retrieval info: USED_PORT: q 0 0 4 0 OUTPUT NODEFVAL q[3..0]
-- Retrieval info: CONNECT: @address_a 0 0 5 0 address 0 0 5 0
-- Retrieval info: CONNECT: q 0 0 4 0 @q_a 0 0 4 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_inst.vhd FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: altera_mf
| gpl-2.0 | 705112b374dd2953cf53e083eb7fca35 | 0.669955 | 3.508654 | false | false | false | false |
olgirard/openmsp430 | fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/example_design/ram_16x8k_dp_exdes.vhd | 1 | 5,654 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ram_16x8k_dp_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY ram_16x8k_dp_exdes IS
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END ram_16x8k_dp_exdes;
ARCHITECTURE xilinx OF ram_16x8k_dp_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT ram_16x8k_dp IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : ram_16x8k_dp
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA_buf,
--Port B
ENB => ENB,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
| bsd-3-clause | 00549532756df2e6ee6589207d9502cf | 0.545278 | 4.431034 | false | false | false | false |
saidwivedi/Face-Recognition-Hardware | ANN_FPGA/ipcore_dir/blk_mem_gen_v7_3.vhd | 1 | 5,667 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2015 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file blk_mem_gen_v7_3.vhd when simulating
-- the core, blk_mem_gen_v7_3. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY blk_mem_gen_v7_3 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END blk_mem_gen_v7_3;
ARCHITECTURE blk_mem_gen_v7_3_a OF blk_mem_gen_v7_3 IS
-- synthesis translate_off
COMPONENT wrapped_blk_mem_gen_v7_3
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_blk_mem_gen_v7_3 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 4,
c_addrb_width => 4,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "00",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "artix7",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "blk_mem_gen_v7_3.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 0,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 16,
c_read_depth_b => 16,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 1,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 16,
c_write_depth_b => 16,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "artix7"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_blk_mem_gen_v7_3
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
douta => douta
);
-- synthesis translate_on
END blk_mem_gen_v7_3_a;
| bsd-2-clause | 28527d66c7cf461cb1dafb6838ffe293 | 0.530969 | 3.785571 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_xadc_wiz_0_0/cpu_xadc_wiz_0_0_xadc_core_drp.vhd | 1 | 45,152 | -------------------------------------------------------------------------------
-- cpu_xadc_wiz_0_0_xadc_core_drp.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010, 2011 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
-------------------------------------------------------------------------------
-- File : cpu_xadc_wiz_0_0_xadc_core_drp.vhd
-- Version : v1.00.a
-- Description : XADC for AXI bus on new FPGA devices.
-- This file containts actual interface between the core
-- and XADC hard macro.
-- Standard : VHDL-93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Structure:
-- axi_xadc.vhd
-- -cpu_xadc_wiz_0_0_xadc_core_drp.vhd
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.conv_std_logic_vector;
use IEEE.std_logic_arith.unsigned;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_misc.or_reduce;
use IEEE.numeric_std.all;
library work;
use work.cpu_xadc_wiz_0_0_ipif_pkg.all;
use work.cpu_xadc_wiz_0_0_proc_common_pkg.all;
Library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
-- un-comment below line if testing locally with BLH or UNISIM model
--use unisim.XADC;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- AXI4 Slave Single block generics
-------------------------------------------------------------------------------
-- C_S_AXI_ADDR_WIDTH -- AXI4 address bus width
-- C_S_AXI_DATA_WIDTH -- AXI4 Slave bus width
--
-------------------------------------------------------------------------------
-- XADC Specific Generics
-------------------------------------------------------------------------------
-- C_SIM_MONITOR_FILE -- stimuli file
-- CE_NUMBERS -- read/write chip enble no.
-- IP_INTR_NUM -- interrupt signals no.
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- AXI Slave Interface -- INPUT/OUTPUT Signals
-------------------------------------------------------------------------------
-- Bus2IP_Clk -- bus clock
-- Bus2IP_Rst -- bus reset
-- -- Bus 2 IP IPIC interface
-- Bus2IP_RdCE -- bus read chip enable signals
-- Bus2IP_WrCE -- bus write chip enable signals
-- Bus2IP_Addr -- bus address bits
-- Bus2IP_Data -- bus to ip data
-- -- IP 2 Bus IPIC interface
-- Sysmon_IP2Bus_Data -- data from sysmon
-- Sysmon_IP2Bus_WrAck -- write ack from sysmon
-- Sysmon_IP2Bus_RdAck -- read ack from sysmon
-------------------------------------------------------------------------------
-- XADC EXTERNAL INTERFACE -- INPUT Signals
-------------------------------------------------------------------------------
-- VAUXN -- user selectable differential inputs
-- VAUXP -- user selectable differential inputs
-- CONVST -- Conversion start signal for event-driven
-- sampling mode
-------------------------------------------------------------------------------
-- XADC Interrupt -- OUTPUT Signal to Interrupt Module
-------------------------------------------------------------------------------
-- Interrupt_status -- interrupt from the sysmon core
-- ALARM -- XADC alarm output signals of the hard macro
-------------------------------------------------------------------------------
entity cpu_xadc_wiz_0_0_xadc_core_drp is
generic
(
----------------
C_S_AXI_ADDR_WIDTH : integer;
C_S_AXI_DATA_WIDTH : integer;
C_FAMILY : string;
----------------
CE_NUMBERS : integer;
IP_INTR_NUM : integer;
C_SIM_MONITOR_FILE : string ;
----------------
MUX_ADDR_NO : integer
);
port
(
-- IP Interconnect (IPIC) port signals ---------
Bus2IP_Clk : in std_logic;
Bus2IP_Rst : in std_logic;
-- Bus 2 IP IPIC interface
Bus2IP_RdCE : in std_logic_vector(0 to CE_NUMBERS-1);
Bus2IP_WrCE : in std_logic_vector(0 to CE_NUMBERS-1);
Bus2IP_Addr : in std_logic_vector(0 to (C_S_AXI_ADDR_WIDTH-1));
Bus2IP_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1));
-- IP 2 Bus IPIC interface
Sysmon_IP2Bus_Data : out std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1));
Sysmon_IP2Bus_WrAck : out std_logic;
Sysmon_IP2Bus_RdAck : out std_logic;
---------------- interrupt interface with the system -----------
Interrupt_status : out std_logic_vector(0 to IP_INTR_NUM-1);
---------------- sysmon macro interface -------------------
busy_out : out STD_LOGIC; -- ADC Busy signal
channel_out : out STD_LOGIC_VECTOR (4 downto 0); -- Channel Selection Outputs
eoc_out : out STD_LOGIC; -- End of Conversion Signal
eos_out : out STD_LOGIC; -- End of Sequence Signal
ot_out : out STD_LOGIC;
alarm_out : out STD_LOGIC_VECTOR (7 downto 0);
vp_in : in STD_LOGIC; -- Dedicated Analog Input Pair
vn_in : in STD_LOGIC
);
end entity cpu_xadc_wiz_0_0_xadc_core_drp;
-------------------------------------------------------------------------------
-- Architecture Section
-------------------------------------------------------------------------------
architecture imp of cpu_xadc_wiz_0_0_xadc_core_drp is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant DATA_SIZE_DRP : integer := 16;
constant ADDR_SIZE_DRP : integer := 7;
constant CHANNEL_NO : integer := 5;
constant ALARM_NO : integer := 8; -- updated from 3 to 8 for XADC
constant ALARM_REG_LENGTH : integer := 9;-- internal constant-- updated from 4 to 9 for XADC
constant STATUS_REG_LENGTH : integer := 11;--internal constant
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal daddr_i : std_logic_vector(ADDR_SIZE_DRP-1 downto 0);
signal alm_i : std_logic_vector(ALARM_NO-1 downto 0);
signal channel_i : std_logic_vector(CHANNEL_NO-1 downto 0);
signal mux_addr_no_i : std_logic_vector(MUX_ADDR_NO-1 downto 0);-- added for XADC
signal do_i : std_logic_vector(DATA_SIZE_DRP-1 downto 0);
signal di_i : std_logic_vector(DATA_SIZE_DRP-1 downto 0);
signal den_i : std_logic;
signal dwe_i : std_logic;
signal busy_i : std_logic;
signal drdy_i : std_logic;
signal eoc_i : std_logic;
signal eos_i : std_logic;
signal ot_i : std_logic;
signal daddr_C : std_logic_vector(7 downto 0);
signal den_C : std_logic;
signal di_C : std_logic_vector(15 downto 0);
signal dwe_C : std_logic;
signal do_C : std_logic_vector(15 downto 0);
signal drdy_C : std_logic;
signal bgrant_B : std_logic;
signal daddr_i_int : std_logic_vector(ADDR_SIZE_DRP downto 0);
signal temp_bus_update: std_logic := '0';
signal temp_rd_wait_cycle_reg : std_logic_vector(15 downto 0) := X"03E8";
-- JTAG related signals
signal jtaglocked_i : std_logic;
signal jtagbusy_i : std_logic;
signal jtagmodified_i : std_logic;
signal jtagmodified_d1 : std_logic;
signal jtag_modified_info: std_logic;
-------------------------------------------------------------------------------
-- Following signals are used as internal signals
signal do_reg : std_logic_vector(DATA_SIZE_DRP-1 downto 0);
signal alarm_reg : std_logic_vector(ALARM_REG_LENGTH-1 downto 0);
signal status_reg : std_logic_vector(STATUS_REG_LENGTH-1 downto 0);
-------------------------------------------------------------------------------
signal convst_rst_wrce_or_reduce : std_logic;
signal local_rdce_or_reduce : std_logic;
signal register_rdce_select : std_logic_vector(0 to 2);
signal convst_reset_wrce_select : std_logic_vector(0 to 1);
-------------------------------------------------------------------------------
signal eoc_d1 : std_logic;
signal eos_d1 : std_logic;
signal eoc_info : std_logic;
signal eos_info : std_logic;
-------------------------------------------------------------------------------
signal convst_reg : std_logic := '0';
signal hard_macro_rst_reg : std_logic;
signal sysmon_hard_block_reset : std_logic;
-------------------------------------------------------------------------------
signal local_reg_rdack_final : std_logic;
signal status_reg_rdack : std_logic;
signal status_reg_rdack_d1 : std_logic;
-------------------------------------------------------------------------------
signal local_reg_wrack : std_logic;
signal local_reg_wrack_d1 : std_logic;
signal local_reg_rdack : std_logic;
signal local_reg_rdack_d1 : std_logic;
-------------------------------------------------------------------------------
signal sysmon_IP2Bus_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
-------------------------------------------------------------------------------
signal drdy_rd_ack_i : std_logic;
signal drdy_wr_ack_i : std_logic;
signal drdy_rd_ack_i_d1 : std_logic;
signal drdy_rd_ack_i_d2 : std_logic;
signal drdy_wr_ack_i_d1 : std_logic;
signal drdy_wr_ack_i_d2 : std_logic;
signal convst_d1 : std_logic;
-------------------------------------------------------------------------------
signal convst_reg_input : std_logic;
signal den_d1 : std_logic;
signal den_actual : std_logic;
-------------------------------------------------------------------------------
-- The following signals are locally declared signals and will not be connected
-- to any where from XADC hard macro. EDK has dedicated VN/VP ports and these
-- are connected to the board like power supply pins, so it is not required
-- that these ports to be listed in the port list of the core.
-- in simulation these signals will show as un-initialised.
-------------------------------------------------------------------------------
--following signals are added for providing the falling edge interrupt detection
signal ot_d1 : std_logic;
signal ot_falling_edge : std_logic;
--
signal alarm_0_d1 : std_logic;
signal alarm_0_falling_edge : std_logic;
--
signal alarm_3_d1 : std_logic;
signal vbram_alarm_3_falling_edge : std_logic;
--
signal alarm_4_d1 : std_logic;
signal vccpint_alarm_4_falling_edge : std_logic;
--
signal aux_channel_p : std_logic_vector (15 downto 0);
signal aux_channel_n : std_logic_vector (15 downto 0);
signal daddr_A : std_logic_vector(7 downto 0);
signal den_A : std_logic;
signal di_A : std_logic_vector(15 downto 0);
signal dwe_A : std_logic;
signal do_A : std_logic_vector(15 downto 0);
signal drdy_A : std_logic;
signal bbusy_A : std_logic;
signal drp_addr : std_logic_vector(7 downto 0);
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Assign temporary internal signal to separate out Addr bit 23 to Addr bit 29
-- from PLB address lines
-- As the addresses for XADC are word aligned, it is required to trim the
-- address bit 30 and 31. The incoming address from PLB is word aligned.
-- The internal register file interface are at sequential address like
-- 0x00h, 0x01h...etc
-------------------------------------------------------------------------------
-- daddr_i <= Bus2IP_Addr(23 to 29);
daddr_i <= Bus2IP_Addr(2 to 8);
-------------------------------------------------------------------------------
-- Data from PLB will be assigned to the DI port of DRP
-- Assign the last half word (bit 16 to 31)data from PLB DATA Bus to the
-- internal signal
-------------------------------------------------------------------------------
di_i <= Bus2IP_Data((C_S_AXI_DATA_WIDTH/2) to C_S_AXI_DATA_WIDTH-1);
-------------------------------------------------------------------------------
-- If jtaglocked_i output from XADC goes high, it prevents read/write access
-- to DRP port
-------------------------------------------------------------------------------
-- JTAGLOCKED_RD_PROCESS
------------------------
-- generate enable signal for DRP. the enable signal is logical AND of
-- chip enable for the address range of REG_FILE_BASEADDR
-------------------------------------------------------------------------------
JTAGLOCKED_RD_PROCESS: process(jtaglocked_i,
Bus2IP_RdCE(CE_NUMBERS-1),
Bus2IP_WrCE(CE_NUMBERS-1)
) is
begin
if (jtaglocked_i ='1') then
den_i <= '0';
else
den_i <= (
Bus2IP_RdCE(CE_NUMBERS-1)
or
Bus2IP_WrCE(CE_NUMBERS-1)
);
end if;
end process JTAGLOCKED_RD_PROCESS;
-------------------------------------------------------------------------------
-- DEN_REG_PROCESS
------------------------
-- generate enable signal for DRP for "Single Clock Cycle" only.
-------------------------------------------------------------------------------
DEN_REG_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
den_d1 <= den_i;
end if;
end process DEN_REG_PROCESS;
den_actual <= den_i and (not den_d1);
-------------------------------------------------------------------------------
-- JTAGLOCKED_WR_PROCESS
------------------------
-- This signal will be interfaced with DWE port of XADC
-------------------------------------------------------------------------------
JTAGLOCKED_WR_PROCESS: process(jtaglocked_i,
Bus2IP_WrCE(CE_NUMBERS-1)
) is
begin
if (jtaglocked_i ='1') then
dwe_i <= '0';
else
dwe_i <= Bus2IP_WrCE(CE_NUMBERS-1);
end if;
end process JTAGLOCKED_WR_PROCESS;
-------------------------------------------------------------------------------
-- JTAGLOCKED_WR_ACK_PROCESS
----------------------------
-- Generate the internal register write_ack, when the DRDY from XADC is high
-- as well as the WrCE(5) signal from PLB is high.
-- This Write Ack is only when PLB accesses DRP port.
-- _____|--------|____ WrCE
-- ___________|--|__ DRDY is active for 1 clock cycle = one clock width ack
-- DRDY will go high after the 4th clock cycle when the data, address, control
-- signals are present on the interface.
-- Delayed the ACK generated when jtaglock='1'.
-------------------------------------------------------------------------------
JTAGLOCKED_WR_ACK_PROCESS:process(Bus2IP_Clk) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk='1' then
if(Bus2IP_Rst = RESET_ACTIVE) then
drdy_wr_ack_i <= '0';
drdy_wr_ack_i_d1 <= '0';
drdy_wr_ack_i_d2 <= '0';
elsif (jtaglocked_i ='1') then
drdy_wr_ack_i_d1 <= Bus2IP_WrCE(CE_NUMBERS-1);
drdy_wr_ack_i_d2 <= drdy_wr_ack_i_d1;
drdy_wr_ack_i <= drdy_wr_ack_i_d1 and (not drdy_wr_ack_i_d2);
else
drdy_wr_ack_i <= drdy_i and Bus2IP_WrCE(CE_NUMBERS-1);
end if;
end if;
end process JTAGLOCKED_WR_ACK_PROCESS;
-------------------------------------------------------------------------------
-- JTAGLOCKED_RD_ACK_PROCESS
----------------------------
-- Generate the internal read_ack, when the DRDY from XADC is high as well as
-- the RdCE(5) signal from PLB is high
-- This Read Ack is only when PLB accesses DRP port.
-- Delayed the ACK generated when jtaglock='1'.
-------------------------------------------------------------------------------
JTAGLOCKED_RD_ACK_PROCESS:process(Bus2IP_Clk) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk='1' then
if(Bus2IP_Rst = RESET_ACTIVE) then
drdy_rd_ack_i <= '0';
drdy_rd_ack_i_d1 <= '0';
drdy_rd_ack_i_d2 <= '0';
elsif (jtaglocked_i ='1') then
drdy_rd_ack_i_d1 <= Bus2IP_RdCE(CE_NUMBERS-1);
drdy_rd_ack_i_d2 <= drdy_rd_ack_i_d1;
drdy_rd_ack_i <= drdy_rd_ack_i_d1 and (not drdy_rd_ack_i_d2);
else
drdy_rd_ack_i <= drdy_i and Bus2IP_RdCE(CE_NUMBERS-1);
end if;
end if;
end process JTAGLOCKED_RD_ACK_PROCESS;
-------------------------------------------------------------------------------
-- It is required to register the DRDY as well as DO ports of the XADC .
-- This will delay the ACK generation by one clock cycle.
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- DO_REG_PROCESS
-----------------
-- This process is used to register the DO port of DRP in the
-- local register. If JTAG access is going on, then core need to wait till the
-- JTAG access ends. Once the JTAG access is over the Bus2IP_Addr, DEN are
-- presented to the DRP, then DO of DRP put the data as per the DADDR by making
-- the DRDY high for 1 clock cycle.
-------------------------------------------------------------------------------
DO_REG_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if(Bus2IP_Rst = RESET_ACTIVE) then
do_reg <= (others => '0');
elsif (jtaglocked_i ='1') then
do_reg <= (others => '0');
else
do_reg <= do_i;
end if;
end if;
end process DO_REG_PROCESS;
-------------------------------------------------------------------------------
-- combine for CONVST and reset macro write chip enable signals
-------------------------------------------------------------------------------
convst_reset_wrce_select <= Bus2IP_WrCE(3) & Bus2IP_WrCE(4);
-------------------------------------------------------------------------------
-- CONVST_RST_PROCESS:
----------------------
-- This process is used to register the CONVST and XADC RST signals
-- The bit 31st Bus2IP_Data is used along with the Bus2IP_WrCE(3 to 4)
-- to start the conversion or to reset the sysmon through software.
-------------------------------------------------------------------------------
CONVST_RST_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
convst_reg_input <= '0';
hard_macro_rst_reg <= '0';
else
case convst_reset_wrce_select is
when "10" => convst_reg_input <= Bus2IP_Data(31);
when "01" => hard_macro_rst_reg <= Bus2IP_Data(31);
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end if;
end process CONVST_RST_PROCESS;
daddr_C <= '0' & daddr_i;
di_C <= di_i;
dwe_C <= dwe_i;
den_C <= den_actual;
do_i <= do_C;
drdy_i <= drdy_C;
-- Generate the WRITE ACK back to PLB
Sysmon_IP2Bus_WrAck <= (drdy_wr_ack_i or local_reg_wrack) ;
-- Generate the READ ACK back to PLB
Sysmon_IP2Bus_RdAck <= (drdy_rd_ack_i or local_reg_rdack_final);
-------------------------------------------------------------------------------
-- Bus reset as well as the hard macro register reset
-------------------------------------------------------------------------------
-- XADC Reset Register (SYSMONRR)
-------------------------------------------------------------------------------
sysmon_hard_block_reset<= Bus2IP_Rst or hard_macro_rst_reg;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- EOC_REG_EXTEND_PROCESS
-------------------------
-- Extend the EOC signal which is active high for 1 clock cycle till the
-- PLB reads the status register.
-- _____|--|__________ one clock width EOC
-- _____|--------|____ extended EOC
-------------------------------------------------------------------------------
EOC_REG_EXTEND_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
eoc_d1 <= '0';
elsif(eoc_i = '1') then
eoc_d1 <= '1';
elsif(status_reg_rdack = '1')then
eoc_d1 <= '0';
end if;
end if;
end process EOC_REG_EXTEND_PROCESS;
eoc_info <= eoc_d1 or eoc_i;
-------------------------------------------------------------------------------
-- EOS_REG_EXTEND_PROCESS
-------------------------
-- Extend the EOS signal which is active high for 1 clock cycle till the
-- PLB reads the status register.
-- _____|--|__________ one clock width EOS
-- _____|--------|____ extended EOS
-------------------------------------------------------------------------------
EOS_REG_EXTEND_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
eos_d1 <= '0';
elsif(eos_i = '1') then
eos_d1 <= '1';
elsif(status_reg_rdack = '1')then
eos_d1 <= '0';
end if;
end if;
end process EOS_REG_EXTEND_PROCESS;
eos_info <= eos_d1 or eos_i;
-------------------------------------------------------------------------------
-- JTAGMODIFIED_EXTEND_PROCESS
-------------------------
-- Extend the JTAGMODIFIED signal which is active high till the DRP read is
-- performed
-- __________|------ RDCE to DRP
-- _____|----|_____ JTAGMODIFIED
-- _______|------|____ extended JTAGMODIFIED
-- _____|--------|____ jtag_modified_info
-------------------------------------------------------------------------------
JTAGMODIFIED_EXTEND_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE or drdy_rd_ack_i = '1') then
jtagmodified_d1 <= '0';
elsif(jtagmodified_i = '1') then
jtagmodified_d1 <= '1';
end if;
end if;
end process JTAGMODIFIED_EXTEND_PROCESS;
jtag_modified_info <= jtagmodified_i or jtagmodified_d1;
-------------------------------------------------------------------------------
-- STATUS_REG_PROCESS
---------------------
-- This process is used to register the JTAG, BUSY, EOC, EOS,
-- & Channel bits in internal register
-------------------------------------------------------------------------------
STATUS_REG_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
status_reg <= (others => '0');
else
status_reg(10) <= jtagbusy_i;
status_reg(9) <= jtag_modified_info;
status_reg(8) <= jtaglocked_i;
status_reg(7) <= busy_i;
status_reg(6) <= eos_info;
status_reg(5) <= eoc_info;
status_reg(4) <= channel_i(4);
status_reg(3) <= channel_i(3);
status_reg(2) <= channel_i(2);
status_reg(1) <= channel_i(1);
status_reg(0) <= channel_i(0);
end if;
end if;
end process STATUS_REG_PROCESS;
busy_out <= busy_i;
channel_out <= channel_i;
eoc_out <= eoc_i;
eos_out <= eos_i;
-------------------------------------------------------------------------------
-- ALARM_REG_PROCESS (ALARM OUTPUT STATUS REGISTER - AOSR)
-----------------------------------------------------------
-- This process is used to register the ALARM, OT bits in internal register
-------------------------------------------------------------------------------
ALARM_REG_PROCESS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
alarm_reg <= (others => '0');
else
alarm_reg(8) <= alm_i(7);-- added for XADC
alarm_reg(7) <= alm_i(6);
alarm_reg(6) <= alm_i(5);
alarm_reg(5) <= alm_i(4);
alarm_reg(4) <= alm_i(3);-- added for XADC
alarm_reg(3) <= alm_i(2);
alarm_reg(2) <= alm_i(1);
alarm_reg(1) <= alm_i(0);
alarm_reg(0) <= ot_i;
end if;
end if;
end process ALARM_REG_PROCESS;
-- OT out to top level port
ot_out <= ot_i;
--------------------------
-- OT_FALLING_EDGE_DETECT: this process is used to register the OT.
--------------------------
-- ____|-------|________ ot_i
-- ______|-------|______ ot_d1
-- ____________|-|______ ot_falling_edge
----------------------------------------
OT_FALLING_EDGE_DETECT: process (Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
ot_d1 <= ot_i;
end if;
end process OT_FALLING_EDGE_DETECT;
ot_falling_edge <= ot_d1 and (not ot_i);
------------------------------
-- ALARM_0_FALLING_EDGE_DETECT: User temperature settings interrupt falling edge
------------------------------ detection logic
-- ____|-------|________ alm_i(0)
-- ______|-------|______ alm_i(0)_d1
-- ____________|-|______ alarm_0_falling_edge
---------------------------------------------
ALARM_0_FALLING_EDGE_DETECT: process (Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
alarm_0_d1 <= alm_i(0);
end if;
end process ALARM_0_FALLING_EDGE_DETECT;
alarm_0_falling_edge <= alarm_0_d1 and (not alm_i(0));
------------------------------
-- ALARM_3_FALLING_EDGE_DETECT: VBRM settings interrupt falling edge
------------------------------ detection logic
-- ____|-------|________ alm_i(3)
-- ______|-------|______ alm_i(3)_d1
-- ____________|-|______ vbram_alarm_3_falling_edge
---------------------------------------------
--ALARM_3_FALLING_EDGE_DETECT: process (Bus2IP_Clk) is
--begin
-- if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
-- alarm_3_d1 <= alm_i(3);
-- end if;
--end process ALARM_3_FALLING_EDGE_DETECT;
--vbram_alarm_3_falling_edge <= alarm_3_d1 and (not alm_i(3));
------------------------------
-- ALARM_4_FALLING_EDGE_DETECT: VCCPINT settings interrupt falling edge
------------------------------ detection logic
-- ____|-------|________ alm_i(4)
-- ______|-------|______ alm_i(4)_d1
-- ____________|-|______ vccpint_alarm_4_falling_edge
---------------------------------------------
--ALARM_4_FALLING_EDGE_DETECT: process (Bus2IP_Clk) is
--begin
-- if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
-- alarm_4_d1 <= alm_i(4);
-- end if;
--end process ALARM_4_FALLING_EDGE_DETECT;
--vccpint_alarm_4_falling_edge <= alarm_4_d1 and (not alm_i(4));
-------------------------------------------------------------------------------
-- dont register any interrupt signal and just pass
-- it on to the interrupt controller
-------------------------------------------------------------------------------
Interrupt_status(0) <= ot_i;
Interrupt_status(1) <= alm_i(0);
Interrupt_status(2) <= alm_i(1);
Interrupt_status(3) <= alm_i(2);
Interrupt_status(4) <= eos_i;
Interrupt_status(5) <= eoc_i;
Interrupt_status(6) <= jtaglocked_i;
Interrupt_status(7) <= jtagmodified_i;
Interrupt_status(8) <= ot_falling_edge;
Interrupt_status(9) <= alarm_0_falling_edge;
Interrupt_status(10) <= alm_i(3);-- Added for XADC VccBram sensor o/p
Interrupt_status(11) <= alm_i(4); -- XADC VCCPint sensor o/p for Zynq
Interrupt_status(12) <= alm_i(5); -- XADC VCCPaux sensor o/p for Zynq
Interrupt_status(13) <= alm_i(6); -- XADC VCCddro sensor o/p for Zynq
Interrupt_status(14) <= '0';
Interrupt_status(15) <= '0';
Interrupt_status(16) <= '0';
-------------------------------------------------------------------------------
-- Status Register, Alarm Reg and DRP Register File Interface (RFI) can be READ
-------------------------------------------------------------------------------
register_rdce_select <= Bus2IP_RdCE(1) & -- Status Register
Bus2IP_RdCE(2) & -- AOSR
Bus2IP_RdCE(CE_NUMBERS-1);-- DPR
-------------------------------------------------------------------------------
-- The upper bits are always '0'.
-------------------------------------------------------------------------------
sysmon_IP2Bus_Data_i(0 to 13)<=(others => '0');
-------------------------------------------------------------------------------
-- LOCAL_REG_READ_PROCESS
-------------------------
LOCAL_REG_READ_PROCESS: process (register_rdce_select,
status_reg,
alarm_reg,
do_reg,
jtag_modified_info,
jtaglocked_i) is
begin
case register_rdce_select is
-- bus2ip_rdce(1,2,8)
when "100" =>
sysmon_IP2Bus_Data_i(14 to 31) <= "0000000" & status_reg;
when "010" =>
sysmon_IP2Bus_Data_i(14 to 31) <= "000000000" & alarm_reg;
when "001" =>
sysmon_IP2Bus_Data_i(14 to 31) <= jtag_modified_info &
jtaglocked_i &
do_reg;
-- coverage off
when others =>
sysmon_IP2Bus_Data_i(14 to 31) <= (others => '0');
-- coverage on
end case;
end process LOCAL_REG_READ_PROCESS;
-------------------------------------------------------------------------------
-- STATUS_REG_READ_ACK_GEN_PROCESS
----------------------------------
-- To generate the RdAck for status registers, use RdCE
-------------------------------------------------------------------------------
-- _____|-----|_______ rdce
-- ________|--|__________ rd_ack from local registers i.e. status register
-------------------------------------------------------------------------------
STATUS_REG_READ_ACK_GEN_PROCESS:process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
status_reg_rdack_d1 <= '0';
status_reg_rdack <= '0';
else
status_reg_rdack_d1 <= Bus2IP_RdCE(1);
status_reg_rdack <= Bus2IP_RdCE(1) and (not status_reg_rdack_d1);
end if;
end if;
end process STATUS_REG_READ_ACK_GEN_PROCESS;
-------------------------------------------------------------------------------
-- For register which are just write-only a read ack is required for completing
-- the transaction.
-------------------------------------------------------------------------------
local_rdce_or_reduce <= or_reduce(Bus2IP_RdCE(2 to 4));
-------------------------------------------------------------------------------
-- LOCAL_REG_READ_ACK_GEN_PROCESS
---------------------------------
-- To generate the RdAck for alarm,CONVST,XADC Hard Macro registers,
-- use RdCE
-------------------------------------------------------------------------------
-- _____|-----|_______ rdce
-- ________|--|__________ rd_ack from local registers
-------------------------------------------------------------------------------
LOCAL_REG_READ_ACK_GEN_PROCESS:process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
local_reg_rdack_d1 <= '0';
local_reg_rdack <= '0';
else
local_reg_rdack_d1 <= local_rdce_or_reduce;
local_reg_rdack <= local_rdce_or_reduce and (not local_reg_rdack_d1);
end if;
end if;
end process LOCAL_REG_READ_ACK_GEN_PROCESS;
local_reg_rdack_final <= status_reg_rdack or local_reg_rdack;
-------------------------------------------------------------------------------
-- For register which are just read-only a write ack is required for completing
-- the transaction.
-------------------------------------------------------------------------------
convst_rst_wrce_or_reduce <= or_reduce(Bus2IP_WrCE(1 to 4));
-------------------------------------------------------------------------------
-- LOCAL_REG_WRITE_ACK_GEN_PROCESS
----------------------------------
-- To generate the WrAck for local registers, use WrCE
-------------------------------------------------------------------------------
-- _____|-----|_______ wrce
-- ________|--|__________ wr_ack from local registers
-- i.e. convst,reset register
-------------------------------------------------------------------------------
LOCAL_REG_WRITE_ACK_GEN_PROCESS:process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Bus2IP_Rst = RESET_ACTIVE) then
local_reg_wrack_d1 <= '0';
local_reg_wrack <= '0';
else
local_reg_wrack_d1 <= convst_rst_wrce_or_reduce;
local_reg_wrack <= convst_rst_wrce_or_reduce and
(not local_reg_wrack_d1);
end if;
end if;
end process LOCAL_REG_WRITE_ACK_GEN_PROCESS;
-------------------------------------------------------------------------------
-- All the signals listed here are FROM IP to PLB IPIF INTERFACE
-------------------------------------------------------------------------------
-- Present the DRP data to Sysmon_IP2Bus_Data
Sysmon_IP2Bus_Data <= sysmon_IP2Bus_Data_i;
-------------------------------------------------------------------------------
-- Added interface to ALARM signals from the XADC macro to core ports.
------------------------------------------------------------------------
alarm_out <= alarm_reg(8 downto 1);-- updated from 2 downto 1 to 8 downto 1 for XADC
------------------------------------------------------------------------
-- Added interface to MUX ADDRESS for external address multiplexer from the
-- XADC macro to core ports.
-------------------------------------------------------------------------------
-- == XADC INTERFACE -- OUTPUT Signals ==
-------------------------------------------------------------------------------
-- BUSY -- ADC busy signal
-- DRDY -- Data ready signal for Dynamic Reconfigurable Port
-- EOC -- End of conversion for ADC
-- EOS -- End of sequence used in auto sequence mode
-- JTAGBUSY -- Used to indicate that the JTAG DRP is doing transaction
-- JTAGLOCKED -- Used to indicate the DRP port lock is requested
-- JTAGMODIFIED -- Used to indicate that the JTAG write to JTAG is happened
-- OT -- Signal for Over Temperature alarm
-- ALM -- Sysmon Alarm outputs
-- CHANNEL -- Channel selection outputs
-- DO -- Output data bus for Dynamic Reconfigurable Port
-------------------------------------------------------------------------------
-- == XADC INTERFACE -- INPUT Signals ==
-------------------------------------------------------------------------------
-- VN -- High Bandwidth Dedicated analog input pair
-- VP which provides differential analog input. These pins are
-- just like dedicated suply pins and user dont have control
-- over these pins.
-- CONVST -- Conversion start input used in event driven sampling
-- CONVSTCLK -- Conversion start clock input
-- DCLK -- Clock input for Dynamic Reconfigurable Port
-- DEN -- Enable signal for Dynamic Reconfigurable Port
-- DWE -- Write Enable signal for Dynamic Reconfigurable Port
-- RESET -- External hard Reset input
-- DADDR -- Address bus for Dynamic Reconfigurable Port
-- DI -- Input data bus for Dynamic Reconfigurable Port
-- VAUXN -- Low Bandwidth, Sixteen auxiliary analog input pairs
-- VAUXP which provides differential analog inputs
-- MUXADDR -- External address multiplexer driven by Channel selection
-- Registers
aux_channel_p(0) <= '0';
aux_channel_n(0) <= '0';
aux_channel_p(1) <= '0';
aux_channel_n(1) <= '0';
aux_channel_p(2) <= '0';
aux_channel_n(2) <= '0';
aux_channel_p(3) <= '0';
aux_channel_n(3) <= '0';
aux_channel_p(4) <= '0';
aux_channel_n(4) <= '0';
aux_channel_p(5) <= '0';
aux_channel_n(5) <= '0';
aux_channel_p(6) <= '0';
aux_channel_n(6) <= '0';
aux_channel_p(7) <= '0';
aux_channel_n(7) <= '0';
aux_channel_p(8) <= '0';
aux_channel_n(8) <= '0';
aux_channel_p(9) <= '0';
aux_channel_n(9) <= '0';
aux_channel_p(10) <= '0';
aux_channel_n(10) <= '0';
aux_channel_p(11) <= '0';
aux_channel_n(11) <= '0';
aux_channel_p(12) <= '0';
aux_channel_n(12) <= '0';
aux_channel_p(13) <= '0';
aux_channel_n(13) <= '0';
aux_channel_p(14) <= '0';
aux_channel_n(14) <= '0';
aux_channel_p(15) <= '0';
aux_channel_n(15) <= '0';
XADC_INST : XADC
generic map(
INIT_40 => X"0000", -- config reg 0
INIT_41 => X"3100", -- config reg 1
INIT_42 => X"0400", -- config reg 2
INIT_48 => X"0100", -- Sequencer channel selection
INIT_49 => X"0000", -- Sequencer channel selection
INIT_4A => X"0000", -- Sequencer Average selection
INIT_4B => X"0000", -- Sequencer Average selection
INIT_4C => X"0000", -- Sequencer Bipolar selection
INIT_4D => X"0000", -- Sequencer Bipolar selection
INIT_4E => X"0000", -- Sequencer Acq time selection
INIT_4F => X"0000", -- Sequencer Acq time selection
INIT_50 => X"B5ED", -- Temp alarm trigger
INIT_51 => X"57E4", -- Vccint upper alarm limit
INIT_52 => X"A147", -- Vccaux upper alarm limit
INIT_53 => X"CA33", -- Temp alarm OT upper
INIT_54 => X"A93A", -- Temp alarm reset
INIT_55 => X"52C6", -- Vccint lower alarm limit
INIT_56 => X"9555", -- Vccaux lower alarm limit
INIT_57 => X"AE4E", -- Temp alarm OT reset
INIT_58 => X"5999", -- Vccbram upper alarm limit
INIT_5C => X"5111", -- Vccbram lower alarm limit
INIT_59 => X"5555", -- Vccpint upper alarm limit
INIT_5D => X"5111", -- Vccpint lower alarm limit
INIT_5A => X"9999", -- Vccpaux upper alarm limit
INIT_5E => X"91EB", -- Vccpaux lower alarm limit
INIT_5B => X"6AAA", -- Vccddro upper alarm limit
INIT_5F => X"6666", -- Vccddro lower alarm limit
SIM_DEVICE => "ZYNQ",
SIM_MONITOR_FILE => "/home/guest/cae/fpga/ntpserver/cpu/ip/cpu_xadc_wiz_0_0/cpu_xadc_wiz_0_0/simulation/functional/design.txt"
)
port map (
CONVST => '0',
CONVSTCLK => '0',
DADDR => daddr_C(6 downto 0), --: in (6 downto 0)
DCLK => Bus2IP_Clk, --: in
DEN => den_C, --: in
DI => di_C, --: in (15 downto 0)
DWE => dwe_C, --: in
RESET => sysmon_hard_block_reset, --: in
VAUXN(15 downto 0) => aux_channel_n(15 downto 0),
VAUXP(15 downto 0) => aux_channel_p(15 downto 0),
ALM => alm_i,
BUSY => busy_i, --: out
CHANNEL => channel_i, --: out (4 downto 0)
DO => do_C, --: out (15 downto 0)
DRDY => drdy_C, --: out
EOC => eoc_i, --: out
EOS => eos_i, --: out
JTAGLOCKED => jtaglocked_i, --: out
JTAGBUSY => jtagbusy_i, --: out
JTAGMODIFIED => jtagmodified_i, --: out
OT => ot_i, --: out
VN => vn_in,
VP => vp_in
);
end architecture imp;
--------------------------------------------------------------------------------
| gpl-3.0 | dd641af594b37b519c32c38eff4689a7 | 0.442107 | 4.367998 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_axi_epc_0_0/sim/cpu_axi_epc_0_0.vhd | 1 | 16,776 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_epc:2.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_epc_v2_0;
USE axi_epc_v2_0.axi_epc;
ENTITY cpu_axi_epc_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
prh_clk : IN STD_LOGIC;
prh_rst : IN STD_LOGIC;
prh_cs_n : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
prh_addr : OUT STD_LOGIC_VECTOR(0 TO 31);
prh_ads : OUT STD_LOGIC;
prh_be : OUT STD_LOGIC_VECTOR(0 TO 3);
prh_rnw : OUT STD_LOGIC;
prh_rd_n : OUT STD_LOGIC;
prh_wr_n : OUT STD_LOGIC;
prh_burst : OUT STD_LOGIC;
prh_rdy : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
prh_data_i : IN STD_LOGIC_VECTOR(0 TO 31);
prh_data_o : OUT STD_LOGIC_VECTOR(0 TO 31);
prh_data_t : OUT STD_LOGIC_VECTOR(0 TO 31)
);
END cpu_axi_epc_0_0;
ARCHITECTURE cpu_axi_epc_0_0_arch OF cpu_axi_epc_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF cpu_axi_epc_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_epc IS
GENERIC (
C_S_AXI_CLK_PERIOD_PS : INTEGER;
C_PRH_CLK_PERIOD_PS : INTEGER;
C_FAMILY : STRING;
C_INSTANCE : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_NUM_PERIPHERALS : INTEGER;
C_PRH_MAX_AWIDTH : INTEGER;
C_PRH_MAX_DWIDTH : INTEGER;
C_PRH_MAX_ADWIDTH : INTEGER;
C_PRH_CLK_SUPPORT : INTEGER;
C_PRH0_BASEADDR : STD_LOGIC_VECTOR;
C_PRH0_HIGHADDR : STD_LOGIC_VECTOR;
C_PRH0_FIFO_ACCESS : INTEGER;
C_PRH0_FIFO_OFFSET : INTEGER;
C_PRH0_AWIDTH : INTEGER;
C_PRH0_DWIDTH : INTEGER;
C_PRH0_DWIDTH_MATCH : INTEGER;
C_PRH0_SYNC : INTEGER;
C_PRH0_BUS_MULTIPLEX : INTEGER;
C_PRH0_ADDR_TSU : INTEGER;
C_PRH0_ADDR_TH : INTEGER;
C_PRH0_ADS_WIDTH : INTEGER;
C_PRH0_CSN_TSU : INTEGER;
C_PRH0_CSN_TH : INTEGER;
C_PRH0_WRN_WIDTH : INTEGER;
C_PRH0_WR_CYCLE : INTEGER;
C_PRH0_DATA_TSU : INTEGER;
C_PRH0_DATA_TH : INTEGER;
C_PRH0_RDN_WIDTH : INTEGER;
C_PRH0_RD_CYCLE : INTEGER;
C_PRH0_DATA_TOUT : INTEGER;
C_PRH0_DATA_TINV : INTEGER;
C_PRH0_RDY_TOUT : INTEGER;
C_PRH0_RDY_WIDTH : INTEGER;
C_PRH1_BASEADDR : STD_LOGIC_VECTOR;
C_PRH1_HIGHADDR : STD_LOGIC_VECTOR;
C_PRH1_FIFO_ACCESS : INTEGER;
C_PRH1_FIFO_OFFSET : INTEGER;
C_PRH1_AWIDTH : INTEGER;
C_PRH1_DWIDTH : INTEGER;
C_PRH1_DWIDTH_MATCH : INTEGER;
C_PRH1_SYNC : INTEGER;
C_PRH1_BUS_MULTIPLEX : INTEGER;
C_PRH1_ADDR_TSU : INTEGER;
C_PRH1_ADDR_TH : INTEGER;
C_PRH1_ADS_WIDTH : INTEGER;
C_PRH1_CSN_TSU : INTEGER;
C_PRH1_CSN_TH : INTEGER;
C_PRH1_WRN_WIDTH : INTEGER;
C_PRH1_WR_CYCLE : INTEGER;
C_PRH1_DATA_TSU : INTEGER;
C_PRH1_DATA_TH : INTEGER;
C_PRH1_RDN_WIDTH : INTEGER;
C_PRH1_RD_CYCLE : INTEGER;
C_PRH1_DATA_TOUT : INTEGER;
C_PRH1_DATA_TINV : INTEGER;
C_PRH1_RDY_TOUT : INTEGER;
C_PRH1_RDY_WIDTH : INTEGER;
C_PRH2_BASEADDR : STD_LOGIC_VECTOR;
C_PRH2_HIGHADDR : STD_LOGIC_VECTOR;
C_PRH2_FIFO_ACCESS : INTEGER;
C_PRH2_FIFO_OFFSET : INTEGER;
C_PRH2_AWIDTH : INTEGER;
C_PRH2_DWIDTH : INTEGER;
C_PRH2_DWIDTH_MATCH : INTEGER;
C_PRH2_SYNC : INTEGER;
C_PRH2_BUS_MULTIPLEX : INTEGER;
C_PRH2_ADDR_TSU : INTEGER;
C_PRH2_ADDR_TH : INTEGER;
C_PRH2_ADS_WIDTH : INTEGER;
C_PRH2_CSN_TSU : INTEGER;
C_PRH2_CSN_TH : INTEGER;
C_PRH2_WRN_WIDTH : INTEGER;
C_PRH2_WR_CYCLE : INTEGER;
C_PRH2_DATA_TSU : INTEGER;
C_PRH2_DATA_TH : INTEGER;
C_PRH2_RDN_WIDTH : INTEGER;
C_PRH2_RD_CYCLE : INTEGER;
C_PRH2_DATA_TOUT : INTEGER;
C_PRH2_DATA_TINV : INTEGER;
C_PRH2_RDY_TOUT : INTEGER;
C_PRH2_RDY_WIDTH : INTEGER;
C_PRH3_BASEADDR : STD_LOGIC_VECTOR;
C_PRH3_HIGHADDR : STD_LOGIC_VECTOR;
C_PRH3_FIFO_ACCESS : INTEGER;
C_PRH3_FIFO_OFFSET : INTEGER;
C_PRH3_AWIDTH : INTEGER;
C_PRH3_DWIDTH : INTEGER;
C_PRH3_DWIDTH_MATCH : INTEGER;
C_PRH3_SYNC : INTEGER;
C_PRH3_BUS_MULTIPLEX : INTEGER;
C_PRH3_ADDR_TSU : INTEGER;
C_PRH3_ADDR_TH : INTEGER;
C_PRH3_ADS_WIDTH : INTEGER;
C_PRH3_CSN_TSU : INTEGER;
C_PRH3_CSN_TH : INTEGER;
C_PRH3_WRN_WIDTH : INTEGER;
C_PRH3_WR_CYCLE : INTEGER;
C_PRH3_DATA_TSU : INTEGER;
C_PRH3_DATA_TH : INTEGER;
C_PRH3_RDN_WIDTH : INTEGER;
C_PRH3_RD_CYCLE : INTEGER;
C_PRH3_DATA_TOUT : INTEGER;
C_PRH3_DATA_TINV : INTEGER;
C_PRH3_RDY_TOUT : INTEGER;
C_PRH3_RDY_WIDTH : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
prh_clk : IN STD_LOGIC;
prh_rst : IN STD_LOGIC;
prh_cs_n : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
prh_addr : OUT STD_LOGIC_VECTOR(0 TO 31);
prh_ads : OUT STD_LOGIC;
prh_be : OUT STD_LOGIC_VECTOR(0 TO 3);
prh_rnw : OUT STD_LOGIC;
prh_rd_n : OUT STD_LOGIC;
prh_wr_n : OUT STD_LOGIC;
prh_burst : OUT STD_LOGIC;
prh_rdy : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
prh_data_i : IN STD_LOGIC_VECTOR(0 TO 31);
prh_data_o : OUT STD_LOGIC_VECTOR(0 TO 31);
prh_data_t : OUT STD_LOGIC_VECTOR(0 TO 31)
);
END COMPONENT axi_epc;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF prh_clk: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF CLK";
ATTRIBUTE X_INTERFACE_INFO OF prh_rst: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF RST";
ATTRIBUTE X_INTERFACE_INFO OF prh_cs_n: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF CS_N";
ATTRIBUTE X_INTERFACE_INFO OF prh_addr: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF ADDR";
ATTRIBUTE X_INTERFACE_INFO OF prh_ads: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF ADS";
ATTRIBUTE X_INTERFACE_INFO OF prh_be: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF BE";
ATTRIBUTE X_INTERFACE_INFO OF prh_rnw: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF RNW";
ATTRIBUTE X_INTERFACE_INFO OF prh_rd_n: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF RD_N";
ATTRIBUTE X_INTERFACE_INFO OF prh_wr_n: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF WR_N";
ATTRIBUTE X_INTERFACE_INFO OF prh_burst: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF BURST";
ATTRIBUTE X_INTERFACE_INFO OF prh_rdy: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF RDY";
ATTRIBUTE X_INTERFACE_INFO OF prh_data_i: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF DATA_I";
ATTRIBUTE X_INTERFACE_INFO OF prh_data_o: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF DATA_O";
ATTRIBUTE X_INTERFACE_INFO OF prh_data_t: SIGNAL IS "xilinx.com:interface:epc:1.0 EPC_INTF DATA_T";
BEGIN
U0 : axi_epc
GENERIC MAP (
C_S_AXI_CLK_PERIOD_PS => 10000,
C_PRH_CLK_PERIOD_PS => 10000,
C_FAMILY => "zynq",
C_INSTANCE => "axi_epc_inst",
C_S_AXI_ADDR_WIDTH => 32,
C_S_AXI_DATA_WIDTH => 32,
C_NUM_PERIPHERALS => 1,
C_PRH_MAX_AWIDTH => 32,
C_PRH_MAX_DWIDTH => 32,
C_PRH_MAX_ADWIDTH => 32,
C_PRH_CLK_SUPPORT => 0,
C_PRH0_BASEADDR => X"80600000",
C_PRH0_HIGHADDR => X"8060FFFF",
C_PRH0_FIFO_ACCESS => 0,
C_PRH0_FIFO_OFFSET => 0,
C_PRH0_AWIDTH => 32,
C_PRH0_DWIDTH => 32,
C_PRH0_DWIDTH_MATCH => 0,
C_PRH0_SYNC => 1,
C_PRH0_BUS_MULTIPLEX => 0,
C_PRH0_ADDR_TSU => 0,
C_PRH0_ADDR_TH => 0,
C_PRH0_ADS_WIDTH => 0,
C_PRH0_CSN_TSU => 0,
C_PRH0_CSN_TH => 0,
C_PRH0_WRN_WIDTH => 0,
C_PRH0_WR_CYCLE => 0,
C_PRH0_DATA_TSU => 0,
C_PRH0_DATA_TH => 0,
C_PRH0_RDN_WIDTH => 0,
C_PRH0_RD_CYCLE => 0,
C_PRH0_DATA_TOUT => 0,
C_PRH0_DATA_TINV => 0,
C_PRH0_RDY_TOUT => 0,
C_PRH0_RDY_WIDTH => 100000,
C_PRH1_BASEADDR => X"B000FFFF",
C_PRH1_HIGHADDR => X"BFFFFFFF",
C_PRH1_FIFO_ACCESS => 0,
C_PRH1_FIFO_OFFSET => 0,
C_PRH1_AWIDTH => 32,
C_PRH1_DWIDTH => 32,
C_PRH1_DWIDTH_MATCH => 0,
C_PRH1_SYNC => 0,
C_PRH1_BUS_MULTIPLEX => 0,
C_PRH1_ADDR_TSU => 0,
C_PRH1_ADDR_TH => 0,
C_PRH1_ADS_WIDTH => 0,
C_PRH1_CSN_TSU => 0,
C_PRH1_CSN_TH => 0,
C_PRH1_WRN_WIDTH => 0,
C_PRH1_WR_CYCLE => 0,
C_PRH1_DATA_TSU => 0,
C_PRH1_DATA_TH => 0,
C_PRH1_RDN_WIDTH => 0,
C_PRH1_RD_CYCLE => 0,
C_PRH1_DATA_TOUT => 0,
C_PRH1_DATA_TINV => 0,
C_PRH1_RDY_TOUT => 0,
C_PRH1_RDY_WIDTH => 0,
C_PRH2_BASEADDR => X"C000FFFF",
C_PRH2_HIGHADDR => X"CFFFFFFF",
C_PRH2_FIFO_ACCESS => 0,
C_PRH2_FIFO_OFFSET => 0,
C_PRH2_AWIDTH => 32,
C_PRH2_DWIDTH => 32,
C_PRH2_DWIDTH_MATCH => 0,
C_PRH2_SYNC => 0,
C_PRH2_BUS_MULTIPLEX => 0,
C_PRH2_ADDR_TSU => 0,
C_PRH2_ADDR_TH => 0,
C_PRH2_ADS_WIDTH => 0,
C_PRH2_CSN_TSU => 0,
C_PRH2_CSN_TH => 0,
C_PRH2_WRN_WIDTH => 0,
C_PRH2_WR_CYCLE => 0,
C_PRH2_DATA_TSU => 0,
C_PRH2_DATA_TH => 0,
C_PRH2_RDN_WIDTH => 0,
C_PRH2_RD_CYCLE => 0,
C_PRH2_DATA_TOUT => 0,
C_PRH2_DATA_TINV => 0,
C_PRH2_RDY_TOUT => 0,
C_PRH2_RDY_WIDTH => 0,
C_PRH3_BASEADDR => X"D000FFFF",
C_PRH3_HIGHADDR => X"DFFFFFFF",
C_PRH3_FIFO_ACCESS => 0,
C_PRH3_FIFO_OFFSET => 0,
C_PRH3_AWIDTH => 32,
C_PRH3_DWIDTH => 32,
C_PRH3_DWIDTH_MATCH => 0,
C_PRH3_SYNC => 0,
C_PRH3_BUS_MULTIPLEX => 0,
C_PRH3_ADDR_TSU => 0,
C_PRH3_ADDR_TH => 0,
C_PRH3_ADS_WIDTH => 0,
C_PRH3_CSN_TSU => 0,
C_PRH3_CSN_TH => 0,
C_PRH3_WRN_WIDTH => 0,
C_PRH3_WR_CYCLE => 0,
C_PRH3_DATA_TSU => 0,
C_PRH3_DATA_TH => 0,
C_PRH3_RDN_WIDTH => 0,
C_PRH3_RD_CYCLE => 0,
C_PRH3_DATA_TOUT => 0,
C_PRH3_DATA_TINV => 0,
C_PRH3_RDY_TOUT => 0,
C_PRH3_RDY_WIDTH => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
prh_clk => prh_clk,
prh_rst => prh_rst,
prh_cs_n => prh_cs_n,
prh_addr => prh_addr,
prh_ads => prh_ads,
prh_be => prh_be,
prh_rnw => prh_rnw,
prh_rd_n => prh_rd_n,
prh_wr_n => prh_wr_n,
prh_burst => prh_burst,
prh_rdy => prh_rdy,
prh_data_i => prh_data_i,
prh_data_o => prh_data_o,
prh_data_t => prh_data_t
);
END cpu_axi_epc_0_0_arch;
| gpl-3.0 | e4e3f22a1059e30da835a8b24412115e | 0.628398 | 2.816655 | false | false | false | false |
vira-lytvyn/labsAndOthersNiceThings | HardwareAndSoftwareOfNeuralNetworks/Lab_14/Lab_14_1_1/sync_RS.vhd | 1 | 672 | library ieee;
use ieee. std_logic_1164.all;
entity sync_RS is
PORT(S: in std_logic;
R: in std_logic;
CLOCK: in std_logic;
CLR: in std_logic;
PRESET: in std_logic;
Q: out std_logic;
QN: out std_logic);
end sync_RS;
Architecture Arch_sync_RS of sync_RS is
begin
FF: process (CLOCK, CLR, PRESET)
variable x: std_logic;
begin
if (CLR='0') then
x:='0';
elsif (PRESET='0') then
x:='1';
elsif (CLOCK='1' and CLOCK'EVENT) then
if (S='0' and R='0') then
x:=x;
elsif (S='1' and R='1')then
x:='Z';
elsif (S='0' and R='1')then
x:='0';
else
x:='1';
end if;
end if;
Q <= x;
QN <= not x;
end process FF;
end Arch_sync_RS; | gpl-2.0 | 8d82e36d40cbf4c10c94974a2cee293e | 0.581845 | 2.4 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_eb_fifo_counted_resized/simulation/k7_eb_fifo_counted_resized_pkg.vhd | 1 | 11,862 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: k7_eb_fifo_counted_resized_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for FIFO Generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE k7_eb_fifo_counted_resized_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT k7_eb_fifo_counted_resized_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_eb_fifo_counted_resized_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_eb_fifo_counted_resized_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT k7_eb_fifo_counted_resized_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_eb_fifo_counted_resized_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_eb_fifo_counted_resized_exdes IS
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
WR_DATA_COUNT : OUT std_logic_vector(15-1 DOWNTO 0);
RD_DATA_COUNT : OUT std_logic_vector(15-1 DOWNTO 0);
VALID : OUT std_logic;
RST : IN std_logic;
PROG_FULL : OUT std_logic;
PROG_EMPTY : OUT std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(64-1 DOWNTO 0);
DOUT : OUT std_logic_vector(64-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END k7_eb_fifo_counted_resized_pkg;
PACKAGE BODY k7_eb_fifo_counted_resized_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END k7_eb_fifo_counted_resized_pkg;
| gpl-2.0 | b91ac550a71280f58b27d3a29f171c23 | 0.508262 | 3.90969 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/rd_fifo_256to64/simulation/rd_fifo_256to64_pctrl.vhd | 1 | 18,589 |
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: rd_fifo_256to64_pctrl.vhd
--
-- Description:
-- Used for protocol control on write and read interface stimulus and status generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.rd_fifo_256to64_pkg.ALL;
ENTITY rd_fifo_256to64_pctrl IS
GENERIC(
AXI_CHANNEL : STRING :="NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_pc_arch OF rd_fifo_256to64_pctrl IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DIN_WIDTH/C_DOUT_WIDTH);
SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL state : STD_LOGIC := '0';
SIGNAL wr_control : STD_LOGIC := '0';
SIGNAL rd_control : STD_LOGIC := '0';
SIGNAL stop_on_err : STD_LOGIC := '0';
SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
SIGNAL sim_done_i : STD_LOGIC := '0';
SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL reset_en_i : STD_LOGIC := '0';
SIGNAL sim_done_d1 : STD_LOGIC := '0';
SIGNAL sim_done_wr1 : STD_LOGIC := '0';
SIGNAL sim_done_wr2 : STD_LOGIC := '0';
SIGNAL empty_d1 : STD_LOGIC := '0';
SIGNAL empty_wr_dom1 : STD_LOGIC := '0';
SIGNAL state_d1 : STD_LOGIC := '0';
SIGNAL state_rd_dom1 : STD_LOGIC := '0';
SIGNAL rd_en_d1 : STD_LOGIC := '0';
SIGNAL rd_en_wr1 : STD_LOGIC := '0';
SIGNAL wr_en_d1 : STD_LOGIC := '0';
SIGNAL wr_en_rd1 : STD_LOGIC := '0';
SIGNAL full_chk_d1 : STD_LOGIC := '0';
SIGNAL full_chk_rd1 : STD_LOGIC := '0';
SIGNAL empty_wr_dom2 : STD_LOGIC := '0';
SIGNAL state_rd_dom2 : STD_LOGIC := '0';
SIGNAL state_rd_dom3 : STD_LOGIC := '0';
SIGNAL rd_en_wr2 : STD_LOGIC := '0';
SIGNAL wr_en_rd2 : STD_LOGIC := '0';
SIGNAL full_chk_rd2 : STD_LOGIC := '0';
SIGNAL reset_en_d1 : STD_LOGIC := '0';
SIGNAL reset_en_rd1 : STD_LOGIC := '0';
SIGNAL reset_en_rd2 : STD_LOGIC := '0';
SIGNAL data_chk_wr_d1 : STD_LOGIC := '0';
SIGNAL data_chk_rd1 : STD_LOGIC := '0';
SIGNAL data_chk_rd2 : STD_LOGIC := '0';
SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
BEGIN
status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0';
STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0';
prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
SIM_DONE <= sim_done_i;
rdw_gt_wrw <= (OTHERS => '1');
PROCESS(RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(prc_re_i = '1') THEN
rd_activ_cont <= rd_activ_cont + "1";
END IF;
END IF;
END PROCESS;
PROCESS(sim_done_i)
BEGIN
assert sim_done_i = '0'
report "Simulation Complete for:" & AXI_CHANNEL
severity note;
END PROCESS;
-----------------------------------------------------
-- SIM_DONE SIGNAL GENERATION
-----------------------------------------------------
PROCESS (RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
--sim_done_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
sim_done_i <= '1';
END IF;
END IF;
END PROCESS;
-- TB Timeout/Stop
fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN
sim_stop_cntr <= sim_stop_cntr - "1";
END IF;
END IF;
END PROCESS;
END GENERATE fifo_tb_stop_run;
-- Stop when error found
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(sim_done_i = '0') THEN
status_d1_i <= status_i OR status_d1_i;
END IF;
IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
stop_on_err <= '1';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-----------------------------------------------------
-- CHECKS FOR FIFO
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
post_rst_dly_rd <= (OTHERS => '1');
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
END IF;
END PROCESS;
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
post_rst_dly_wr <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
END IF;
END PROCESS;
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wrw_gt_rdw <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1') THEN
wrw_gt_rdw <= wrw_gt_rdw + '1';
END IF;
END IF;
END PROCESS;
-- FULL de-assert Counter
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_ds_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
full_ds_timeout <= full_ds_timeout + '1';
END IF;
ELSE
full_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- EMPTY deassert counter
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_ds_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
empty_ds_timeout <= empty_ds_timeout + '1';
END IF;
ELSE
empty_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- Full check signal generation
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_chk_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
full_chk_i <= '0';
ELSE
full_chk_i <= AND_REDUCE(full_as_timeout) OR
AND_REDUCE(full_ds_timeout);
END IF;
END IF;
END PROCESS;
-- Empty checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_chk_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
empty_chk_i <= '0';
ELSE
empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
AND_REDUCE(empty_ds_timeout);
END IF;
END IF;
END PROCESS;
fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
PRC_WR_EN <= prc_we_i AFTER 50 ns;
PRC_RD_EN <= prc_re_i AFTER 100 ns;
data_chk_i <= dout_chk;
END GENERATE fifo_d_chk;
-----------------------------------------------------
-----------------------------------------------------
-- SYNCHRONIZERS B/W WRITE AND READ DOMAINS
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
empty_wr_dom1 <= '1';
empty_wr_dom2 <= '1';
state_d1 <= '0';
wr_en_d1 <= '0';
rd_en_wr1 <= '0';
rd_en_wr2 <= '0';
full_chk_d1 <= '0';
reset_en_d1 <= '0';
sim_done_wr1 <= '0';
sim_done_wr2 <= '0';
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
sim_done_wr1 <= sim_done_d1;
sim_done_wr2 <= sim_done_wr1;
reset_en_d1 <= reset_en_i;
state_d1 <= state;
empty_wr_dom1 <= empty_d1;
empty_wr_dom2 <= empty_wr_dom1;
wr_en_d1 <= wr_en_i;
rd_en_wr1 <= rd_en_d1;
rd_en_wr2 <= rd_en_wr1;
full_chk_d1 <= full_chk_i;
END IF;
END PROCESS;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_d1 <= '1';
state_rd_dom1 <= '0';
state_rd_dom2 <= '0';
state_rd_dom3 <= '0';
wr_en_rd1 <= '0';
wr_en_rd2 <= '0';
rd_en_d1 <= '0';
full_chk_rd1 <= '0';
full_chk_rd2 <= '0';
reset_en_rd1 <= '0';
reset_en_rd2 <= '0';
sim_done_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
sim_done_d1 <= sim_done_i;
reset_en_rd1 <= reset_en_d1;
reset_en_rd2 <= reset_en_rd1;
empty_d1 <= EMPTY;
rd_en_d1 <= rd_en_i;
state_rd_dom1 <= state_d1;
state_rd_dom2 <= state_rd_dom1;
state_rd_dom3 <= state_rd_dom2;
wr_en_rd1 <= wr_en_d1;
wr_en_rd2 <= wr_en_rd1;
full_chk_rd1 <= full_chk_d1;
full_chk_rd2 <= full_chk_rd1;
END IF;
END PROCESS;
RESET_EN <= reset_en_rd2;
data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
-----------------------------------------------------
-- WR_EN GENERATION
-----------------------------------------------------
gen_rand_wr_en:rd_fifo_256to64_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+1
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET_WR,
RANDOM_NUM => wr_en_gen,
ENABLE => '1'
);
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
ELSE
wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- WR_EN CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_cntr <= (OTHERS => '0');
wr_control <= '1';
full_as_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(wr_en_i = '1') THEN
wr_cntr <= wr_cntr + "1";
END IF;
full_as_timeout <= (OTHERS => '0');
ELSE
wr_cntr <= (OTHERS => '0');
IF(rd_en_wr2 = '0') THEN
IF(wr_en_i = '1') THEN
full_as_timeout <= full_as_timeout + "1";
END IF;
ELSE
full_as_timeout <= (OTHERS => '0');
END IF;
END IF;
wr_control <= NOT wr_cntr(wr_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN GENERATION
-----------------------------------------------------
gen_rand_rd_en:rd_fifo_256to64_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET_RD,
RANDOM_NUM => rd_en_gen,
ENABLE => '1'
);
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_en_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0') THEN
rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
ELSE
rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN CONTROL
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_cntr <= (OTHERS => '0');
rd_control <= '1';
empty_as_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0') THEN
IF(rd_en_i = '1') THEN
rd_cntr <= rd_cntr + "1";
END IF;
empty_as_timeout <= (OTHERS => '0');
ELSE
rd_cntr <= (OTHERS => '0');
IF(wr_en_rd2 = '0') THEN
IF(rd_en_i = '1') THEN
empty_as_timeout <= empty_as_timeout + "1";
END IF;
ELSE
empty_as_timeout <= (OTHERS => '0');
END IF;
END IF;
rd_control <= NOT rd_cntr(rd_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- STIMULUS CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
state <= '0';
reset_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
CASE state IS
WHEN '0' =>
IF(FULL = '1' AND empty_wr_dom2 = '0') THEN
state <= '1';
reset_en_i <= '0';
END IF;
WHEN '1' =>
IF(empty_wr_dom2 = '1' AND FULL = '0') THEN
state <= '0';
reset_en_i <= '1';
END IF;
WHEN OTHERS => state <= state;
END CASE;
END IF;
END PROCESS;
END GENERATE data_fifo_en;
END ARCHITECTURE;
| gpl-2.0 | 4b9dc1c986ec9f6f2d7ce071f2188c61 | 0.509441 | 3.23512 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_axi_gpio_0_0/sim/cpu_axi_gpio_0_0.vhd | 1 | 9,086 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_gpio:2.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_gpio_v2_0;
USE axi_gpio_v2_0.axi_gpio;
ENTITY cpu_axi_gpio_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END cpu_axi_gpio_0_0;
ARCHITECTURE cpu_axi_gpio_0_0_arch OF cpu_axi_gpio_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF cpu_axi_gpio_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_gpio IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_GPIO_WIDTH : INTEGER;
C_GPIO2_WIDTH : INTEGER;
C_ALL_INPUTS : INTEGER;
C_ALL_INPUTS_2 : INTEGER;
C_ALL_OUTPUTS : INTEGER;
C_ALL_OUTPUTS_2 : INTEGER;
C_INTERRUPT_PRESENT : INTEGER;
C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_IS_DUAL : INTEGER;
C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0)
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_gpio;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T";
BEGIN
U0 : axi_gpio
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_GPIO_WIDTH => 16,
C_GPIO2_WIDTH => 32,
C_ALL_INPUTS => 0,
C_ALL_INPUTS_2 => 0,
C_ALL_OUTPUTS => 0,
C_ALL_OUTPUTS_2 => 0,
C_INTERRUPT_PRESENT => 0,
C_DOUT_DEFAULT => X"00000013",
C_TRI_DEFAULT => X"FFFFFF2C",
C_IS_DUAL => 0,
C_DOUT_DEFAULT_2 => X"00000000",
C_TRI_DEFAULT_2 => X"FFFFFFFF"
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
gpio_io_i => gpio_io_i,
gpio_io_o => gpio_io_o,
gpio_io_t => gpio_io_t,
gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END cpu_axi_gpio_0_0_arch;
| gpl-3.0 | 0a8a9dc932af698793f4b3f96ccf91a9 | 0.678847 | 3.223129 | false | false | false | false |
saidwivedi/Face-Recognition-Hardware | ANN_FPGA/netgen/synthesis/controller_synthesis.vhd | 1 | 471,899 | --------------------------------------------------------------------------------
-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: P.20131013
-- \ \ Application: netgen
-- / / Filename: controller_synthesis.vhd
-- /___/ /\ Timestamp: Sat Jul 04 18:44:17 2015
-- \ \ / \
-- \___\/\___\
--
-- Command : -intstyle ise -ar Structure -tm controller -w -dir netgen/synthesis -ofmt vhdl -sim controller.ngc controller_synthesis.vhd
-- Device : xc7a100t-1-csg324
-- Input file : controller.ngc
-- Output file : C:\Users\saidwivedi\OneDrive\Project\NIT\ANN_proto_final\netgen\synthesis\controller_synthesis.vhd
-- # of Entities : 1
-- Design Name : controller
-- Xilinx : C:\Xilinx\14.7\ISE_DS\ISE\
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
-- synthesis translate_off
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;
entity controller is
port (
clk : in STD_LOGIC := 'X';
reset : in STD_LOGIC := 'X'
);
end controller;
architecture Structure of controller is
component test_image
port (
clka : in STD_LOGIC := 'X';
wea : in STD_LOGIC_VECTOR ( 0 downto 0 );
addra : in STD_LOGIC_VECTOR ( 2 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
end component;
component weight_hid
port (
clka : in STD_LOGIC := 'X';
wea : in STD_LOGIC_VECTOR ( 0 downto 0 );
addra : in STD_LOGIC_VECTOR ( 2 downto 0 );
dina : in STD_LOGIC_VECTOR ( 23 downto 0 );
douta : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
end component;
component weight_out
port (
clka : in STD_LOGIC := 'X';
wea : in STD_LOGIC_VECTOR ( 0 downto 0 );
addra : in STD_LOGIC_VECTOR ( 2 downto 0 );
dina : in STD_LOGIC_VECTOR ( 23 downto 0 );
douta : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
end component;
component mul_hid
port (
clk : in STD_LOGIC := 'X';
ce : in STD_LOGIC := 'X';
sclr : in STD_LOGIC := 'X';
bypass : in STD_LOGIC := 'X';
a : in STD_LOGIC_VECTOR ( 7 downto 0 );
b : in STD_LOGIC_VECTOR ( 7 downto 0 );
s : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end component;
component acticv_mul
port (
clk : in STD_LOGIC := 'X';
ce : in STD_LOGIC := 'X';
a : in STD_LOGIC_VECTOR ( 15 downto 0 );
b : in STD_LOGIC_VECTOR ( 15 downto 0 );
d : in STD_LOGIC_VECTOR ( 15 downto 0 );
p : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component;
signal clk_BUFGP_0 : STD_LOGIC;
signal reset_IBUF_1 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shift_over_flag_34 : STD_LOGIC;
signal curr_state_FSM_FFd1_150 : STD_LOGIC;
signal \Q_n0319_3)\ : STD_LOGIC;
signal \Q_n0319_1)\ : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_7_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_6_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_5_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_4_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_3_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_2_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_1_Q : STD_LOGIC;
signal transition_num_1_output_3_7_wide_mux_4_OUT_0_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_31_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_30_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_29_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_28_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_27_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_26_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_25_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_24_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_23_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_22_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_21_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_20_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_19_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_18_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_17_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_16_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_15_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_14_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_13_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_12_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_11_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_10_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_9_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_8_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_7_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_6_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_5_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_4_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_3_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_2_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_1_Q : STD_LOGIC;
signal transition_num_31_GND_7_o_add_6_OUT_0_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_31_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_30_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_29_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_28_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_27_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_26_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_25_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_24_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_23_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_22_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_21_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_20_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_19_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_18_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_17_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_16_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_15_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_14_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_13_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_12_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_11_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_10_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_9_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_8_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_7_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_6_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_5_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_4_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_3_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_2_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_1_Q : STD_LOGIC;
signal GND_7_o_transition_num_31_mux_7_OUT_0_Q : STD_LOGIC;
signal N0 : STD_LOGIC;
signal Q_n0240_inv : STD_LOGIC;
signal curr_state_FSM_FFd3_In : STD_LOGIC;
signal curr_state_FSM_FFd2_In : STD_LOGIC;
signal curr_state_FSM_FFd1_In : STD_LOGIC;
signal curr_state_FSM_FFd3_266 : STD_LOGIC;
signal curr_state_FSM_FFd2_267 : STD_LOGIC;
signal Mcount_addra_image : STD_LOGIC;
signal Mcount_addra_image1 : STD_LOGIC;
signal Mcount_addra_image2 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_lut_0_Q : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_0_Q_275 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_Q_276 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_Q_277 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_Q_278 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_Q_279 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_Q_280 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_Q_281 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_Q_282 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_Q_283 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_Q_284 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_Q_285 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_Q_286 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_Q_287 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_Q_288 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_Q_289 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_Q_290 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_Q_291 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_Q_292 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_Q_293 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_Q_294 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_Q_295 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_Q_296 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_Q_297 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_Q_298 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_Q_299 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_Q_300 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_Q_301 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_Q_302 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_Q_303 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_Q_304 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_Q_305 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi_306 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_0_Q_307 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_0_Q_308 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi1_309 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_1_Q_310 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_1_Q_311 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi2_312 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_2_Q_313 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_2_Q_314 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi3_315 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_3_Q_316 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_3_Q_317 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi4_318 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_4_Q_319 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_4_Q_320 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi5_321 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_5_Q_322 : STD_LOGIC;
signal Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323 : STD_LOGIC;
signal Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324 : STD_LOGIC;
signal layer_map_count_en_inv : STD_LOGIC;
signal layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv : STD_LOGIC;
signal layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o : STD_LOGIC;
signal layer_map_ce : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_401 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_402 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_403 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_404 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_405 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_406 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_407 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_408 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_409 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_410 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_411 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_412 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_413 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_414 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_415 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_416 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_417 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_418 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_419 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_420 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_421 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_422 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_423 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_424 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_425 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_426 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_427 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_428 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_429 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_430 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_431 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_lut_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_433 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_434 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_435 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_436 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_437 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_438 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_439 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_440 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_441 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_442 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_443 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_444 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_445 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_447 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_448 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_449 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_450 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_451 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_452 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_453 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_454 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_455 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_456 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_457 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_458 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_459 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_460 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_31_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_30_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_29_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_28_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_27_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_26_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_25_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_24_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_23_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_22_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_21_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_20_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_19_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_18_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_17_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_16_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_15_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_14_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_13_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_12_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_11_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_10_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_9_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_8_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_7_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_6_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_5_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_4_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_3_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_2_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_1_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Result_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_n0056_inv : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_enable_inv : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_GND_14_o_MUX_60_o : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_INV_16_o : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_1_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_2_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_3_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_4_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_5_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_6_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_7_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_8_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_9_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_10_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_11_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_12_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_13_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_14_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifted_output_temp_15_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_5_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_6_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_7_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_8_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_9_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_10_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_11_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_12_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_13_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_14_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_15_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_16_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_17_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_18_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_19_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_20_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_21_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_22_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_23_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_24_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_25_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_26_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_27_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_28_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_29_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_30_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_1_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_2_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_3_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_4_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_5_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_6_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_7_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_8_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_9_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_10_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_11_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_12_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_13_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_14_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_acticv_mul_en_562 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_0_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_1_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_2_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_3_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_4_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_5_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_6_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_7_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_8_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_9_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_10_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_11_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_12_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_13_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_14_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_input_temp_15_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_595 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_596 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_597 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_598 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_599 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_600 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_601 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_602 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_603 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_604 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_605 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_606 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_607 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_608 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_609 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_610 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_611 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_612 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_613 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_614 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_615 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_616 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_617 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_618 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_619 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_620 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_621 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_622 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_623 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_624 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_625 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_lut_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_627 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_628 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_629 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_630 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_631 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_632 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_633 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_634 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_635 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_636 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_637 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_638 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_639 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_641 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_642 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_643 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_644 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_645 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_646 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_647 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_648 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_649 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_650 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_651 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_652 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_653 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_654 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_31_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_30_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_29_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_28_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_27_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_26_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_25_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_24_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_23_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_22_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_21_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_20_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_19_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_18_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_17_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_16_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_15_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_14_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_13_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_12_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_11_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_10_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_9_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_8_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_7_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_6_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_5_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_4_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_3_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_2_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_1_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Result_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_n0056_inv : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_GND_14_o_MUX_60_o : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_INV_16_o : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_1_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_2_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_3_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_4_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_5_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_6_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_7_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_8_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_9_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_10_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_11_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_12_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_13_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_14_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifted_output_temp_15_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_5_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_6_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_7_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_8_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_9_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_10_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_11_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_12_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_13_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_14_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_15_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_16_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_17_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_18_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_19_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_20_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_21_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_22_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_23_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_24_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_25_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_26_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_27_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_28_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_29_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_30_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_1_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_2_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_3_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_4_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_5_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_6_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_7_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_8_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_9_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_10_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_11_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_12_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_13_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_14_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_acticv_mul_en_755 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_0_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_1_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_2_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_3_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_4_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_5_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_6_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_7_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_8_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_9_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_10_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_11_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_12_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_13_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_14_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_input_temp_15_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_788 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_789 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_790 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_791 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_792 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_793 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_794 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_795 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_796 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_797 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_798 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_799 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_800 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_801 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_802 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_803 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_804 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_805 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_806 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_807 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_808 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_809 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_810 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_811 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_812 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_813 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_814 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_815 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_816 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_817 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_818 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_lut_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_820 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_821 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_822 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_823 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_824 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_825 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_826 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_827 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_828 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_829 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_830 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_831 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_832 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_834 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_835 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_836 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_837 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_838 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_839 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_840 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_841 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_842 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_843 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_844 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_845 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_846 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_847 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_31_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_30_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_29_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_28_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_27_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_26_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_25_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_24_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_23_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_22_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_21_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_20_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_19_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_18_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_17_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_16_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_15_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_14_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_13_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_12_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_11_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_10_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_9_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_8_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_7_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_6_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_5_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_4_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_3_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_2_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_1_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Result_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_n0056_inv : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_GND_14_o_MUX_60_o : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_INV_16_o : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_1_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_2_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_3_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_4_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_5_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_6_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_7_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_8_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_9_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_10_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_11_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_12_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_13_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_14_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifted_output_temp_15_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_5_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_6_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_7_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_8_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_9_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_10_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_11_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_12_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_13_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_14_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_15_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_16_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_17_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_18_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_19_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_20_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_21_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_22_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_23_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_24_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_25_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_26_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_27_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_28_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_29_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_30_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_1_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_2_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_3_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_4_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_5_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_6_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_7_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_8_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_9_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_10_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_11_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_12_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_13_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_14_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_acticv_mul_en_948 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_0_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_1_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_2_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_3_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_4_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_5_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_6_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_7_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_8_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_9_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_10_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_11_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_12_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_13_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_14_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_input_temp_15_Q : STD_LOGIC;
signal N01 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_983 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_984 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_985 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_986 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_987 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_989 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_990 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_991 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_992 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_993 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_995 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_996 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_997 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_998 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_999 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_rt_1002 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_rt_1003 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_rt_1004 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_rt_1005 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_rt_1006 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_rt_1007 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_rt_1008 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_rt_1009 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_rt_1010 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_rt_1011 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_rt_1012 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_rt_1013 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_rt_1014 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_rt_1015 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_rt_1016 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_rt_1017 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_rt_1018 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_rt_1019 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_rt_1020 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_rt_1021 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_rt_1022 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_rt_1023 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_rt_1024 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_rt_1025 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_rt_1026 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_rt_1027 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_rt_1028 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_rt_1029 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_rt_1030 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_rt_1031 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_cy_6_rt_1032 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_cy_5_rt_1033 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_cy_4_rt_1034 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_cy_3_rt_1035 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_cy_2_rt_1036 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_cy_1_rt_1037 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1038 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1039 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1040 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1041 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1042 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1043 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1044 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1045 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1046 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1047 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1048 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1049 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1050 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1051 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1052 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1053 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1054 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1055 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1056 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1057 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1058 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1059 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1060 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1061 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1062 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1063 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1064 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1065 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1066 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1067 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1068 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1069 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1070 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1071 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1072 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1073 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1074 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1075 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1076 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1077 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1078 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1079 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1080 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1081 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1082 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1083 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1084 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1085 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1086 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1087 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1088 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1089 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1090 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1091 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1092 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1093 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1094 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1095 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1096 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1097 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1098 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1099 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1100 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1101 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1102 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1103 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1104 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1105 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1106 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1107 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1108 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1109 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1110 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1111 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1112 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1113 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1114 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1115 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1116 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1117 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1118 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1119 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1120 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1121 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1122 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1123 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1124 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1125 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1126 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1127 : STD_LOGIC;
signal Madd_transition_num_31_GND_7_o_add_6_OUT_xor_31_rt_1128 : STD_LOGIC;
signal layer_map_activation_hid_count_map_Mcount_count_xor_7_rt_1129 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1130 : STD_LOGIC;
signal layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1131 : STD_LOGIC;
signal layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1132 : STD_LOGIC;
signal layer_map_shift_map_0_shifter_map_shift_over_flag_rstpot_1133 : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_31_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_30_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_29_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_28_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_27_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_26_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_17_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_16_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_15_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_14_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_13_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_12_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_11_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_10_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_9_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_8_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_7_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_6_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_5_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_4_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_3_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_2_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_1_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_0_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_31_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_30_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_29_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_28_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_27_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_26_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_17_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_16_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_15_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_14_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_13_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_12_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_11_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_10_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_9_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_8_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_7_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_6_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_5_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_4_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_3_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_2_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_1_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_0_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_31_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_30_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_29_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_28_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_27_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_26_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_17_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_16_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_15_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_14_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_13_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_12_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_11_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_10_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_9_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_8_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_7_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_6_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_5_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_4_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_3_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_2_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_1_UNCONNECTED : STD_LOGIC;
signal NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_0_UNCONNECTED : STD_LOGIC;
signal image : STD_LOGIC_VECTOR ( 7 downto 0 );
signal output_hid : STD_LOGIC_VECTOR2 ( 2 downto 0 , 7 downto 0 );
signal out_weight_hid : STD_LOGIC_VECTOR ( 23 downto 0 );
signal out_weight_out : STD_LOGIC_VECTOR ( 23 downto 0 );
signal addra_image : STD_LOGIC_VECTOR ( 2 downto 0 );
signal output_3 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal output_2 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal output_1 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal output_temp : STD_LOGIC_VECTOR ( 7 downto 0 );
signal transition_num : STD_LOGIC_VECTOR ( 31 downto 0 );
signal GND_7_o_GND_7_o_mux_14_OUT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal input : STD_LOGIC_VECTOR ( 7 downto 0 );
signal weight : STD_LOGIC_VECTOR2 ( 2 downto 0 , 7 downto 0 );
signal dina_image : STD_LOGIC_VECTOR ( 0 downto 0 );
signal addr_weight_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal layer_map_activation_hid_count_map_Mcount_count_cy : STD_LOGIC_VECTOR ( 6 downto 0 );
signal layer_map_activation_hid_count_map_Mcount_count_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal layer_map_Result : STD_LOGIC_VECTOR ( 7 downto 0 );
signal layer_map_activation_hid_count_map_count : STD_LOGIC_VECTOR ( 7 downto 0 );
signal layer_map_weighted_sum : STD_LOGIC_VECTOR2 ( 2 downto 0 , 15 downto 0 );
begin
XST_VCC : VCC
port map (
P => N0
);
XST_GND : GND
port map (
G => dina_image(0)
);
output_3_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 0),
Q => output_3(0)
);
output_3_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 1),
Q => output_3(1)
);
output_3_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 2),
Q => output_3(2)
);
output_3_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 3),
Q => output_3(3)
);
output_3_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 4),
Q => output_3(4)
);
output_3_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 5),
Q => output_3(5)
);
output_3_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 6),
Q => output_3(6)
);
output_3_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(2, 7),
Q => output_3(7)
);
output_2_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 0),
Q => output_2(0)
);
output_2_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 1),
Q => output_2(1)
);
output_2_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 2),
Q => output_2(2)
);
output_2_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 3),
Q => output_2(3)
);
output_2_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 4),
Q => output_2(4)
);
output_2_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 5),
Q => output_2(5)
);
output_2_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 6),
Q => output_2(6)
);
output_2_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(1, 7),
Q => output_2(7)
);
output_1_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 0),
Q => output_1(0)
);
output_1_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 1),
Q => output_1(1)
);
output_1_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 2),
Q => output_1(2)
);
output_1_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 3),
Q => output_1(3)
);
output_1_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 4),
Q => output_1(4)
);
output_1_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 5),
Q => output_1(5)
);
output_1_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 6),
Q => output_1(6)
);
output_1_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => Q_n0240_inv,
CLR => reset_IBUF_1,
D => output_hid(0, 7),
Q => output_1(7)
);
transition_num_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_0_Q,
Q => transition_num(0)
);
transition_num_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_1_Q,
Q => transition_num(1)
);
transition_num_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_2_Q,
Q => transition_num(2)
);
transition_num_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_3_Q,
Q => transition_num(3)
);
transition_num_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_4_Q,
Q => transition_num(4)
);
transition_num_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_5_Q,
Q => transition_num(5)
);
transition_num_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_6_Q,
Q => transition_num(6)
);
transition_num_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_7_Q,
Q => transition_num(7)
);
transition_num_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_8_Q,
Q => transition_num(8)
);
transition_num_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_9_Q,
Q => transition_num(9)
);
transition_num_10 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_10_Q,
Q => transition_num(10)
);
transition_num_11 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_11_Q,
Q => transition_num(11)
);
transition_num_12 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_12_Q,
Q => transition_num(12)
);
transition_num_13 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_13_Q,
Q => transition_num(13)
);
transition_num_14 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_14_Q,
Q => transition_num(14)
);
transition_num_15 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_15_Q,
Q => transition_num(15)
);
transition_num_16 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_16_Q,
Q => transition_num(16)
);
transition_num_17 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_17_Q,
Q => transition_num(17)
);
transition_num_18 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_18_Q,
Q => transition_num(18)
);
transition_num_19 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_19_Q,
Q => transition_num(19)
);
transition_num_20 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_20_Q,
Q => transition_num(20)
);
transition_num_21 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_21_Q,
Q => transition_num(21)
);
transition_num_22 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_22_Q,
Q => transition_num(22)
);
transition_num_23 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_23_Q,
Q => transition_num(23)
);
transition_num_24 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_24_Q,
Q => transition_num(24)
);
transition_num_25 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_25_Q,
Q => transition_num(25)
);
transition_num_26 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_26_Q,
Q => transition_num(26)
);
transition_num_27 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_27_Q,
Q => transition_num(27)
);
transition_num_28 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_28_Q,
Q => transition_num(28)
);
transition_num_29 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_29_Q,
Q => transition_num(29)
);
transition_num_30 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_30_Q,
Q => transition_num(30)
);
transition_num_31 : FDCE
generic map(
INIT => '1'
)
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => GND_7_o_transition_num_31_mux_7_OUT_31_Q,
Q => transition_num(31)
);
addr_weight_out_0 : FDC
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => GND_7_o_GND_7_o_mux_14_OUT(0),
Q => addr_weight_out(0)
);
addr_weight_out_1 : FDC
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => GND_7_o_GND_7_o_mux_14_OUT(1),
Q => addr_weight_out(1)
);
addr_weight_out_2 : FDC
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => GND_7_o_GND_7_o_mux_14_OUT(2),
Q => addr_weight_out(2)
);
output_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_0_Q,
Q => output_temp(0)
);
output_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_1_Q,
Q => output_temp(1)
);
output_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_2_Q,
Q => output_temp(2)
);
output_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_3_Q,
Q => output_temp(3)
);
output_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_4_Q,
Q => output_temp(4)
);
output_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_5_Q,
Q => output_temp(5)
);
output_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_6_Q,
Q => output_temp(6)
);
output_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324,
CLR => reset_IBUF_1,
D => transition_num_1_output_3_7_wide_mux_4_OUT_7_Q,
Q => output_temp(7)
);
curr_state_FSM_FFd3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => curr_state_FSM_FFd3_In,
Q => curr_state_FSM_FFd3_266
);
curr_state_FSM_FFd2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => curr_state_FSM_FFd2_In,
Q => curr_state_FSM_FFd2_267
);
curr_state_FSM_FFd1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => curr_state_FSM_FFd1_In,
Q => curr_state_FSM_FFd1_150
);
addra_image_0 : FDC
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => Mcount_addra_image,
Q => addra_image(0)
);
addra_image_1 : FDC
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => Mcount_addra_image1,
Q => addra_image(1)
);
addra_image_2 : FDC
port map (
C => clk_BUFGP_0,
CLR => reset_IBUF_1,
D => Mcount_addra_image2,
Q => addra_image(2)
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_0_Q : MUXCY
port map (
CI => dina_image(0),
DI => N0,
S => Madd_transition_num_31_GND_7_o_add_6_OUT_lut_0_Q,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_0_Q_275
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_0_Q : XORCY
port map (
CI => dina_image(0),
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_lut_0_Q,
O => transition_num_31_GND_7_o_add_6_OUT_0_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_0_Q_275,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_rt_1002,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_Q_276
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_1_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_0_Q_275,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_rt_1002,
O => transition_num_31_GND_7_o_add_6_OUT_1_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_Q_276,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_rt_1003,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_Q_277
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_2_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_Q_276,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_rt_1003,
O => transition_num_31_GND_7_o_add_6_OUT_2_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_Q_277,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_rt_1004,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_Q_278
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_3_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_Q_277,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_rt_1004,
O => transition_num_31_GND_7_o_add_6_OUT_3_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_Q_278,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_rt_1005,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_Q_279
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_4_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_Q_278,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_rt_1005,
O => transition_num_31_GND_7_o_add_6_OUT_4_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_Q_279,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_rt_1006,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_Q_280
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_5_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_Q_279,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_rt_1006,
O => transition_num_31_GND_7_o_add_6_OUT_5_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_Q_280,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_rt_1007,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_Q_281
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_6_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_Q_280,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_rt_1007,
O => transition_num_31_GND_7_o_add_6_OUT_6_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_Q_281,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_rt_1008,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_Q_282
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_7_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_Q_281,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_rt_1008,
O => transition_num_31_GND_7_o_add_6_OUT_7_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_Q_282,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_rt_1009,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_Q_283
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_8_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_Q_282,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_rt_1009,
O => transition_num_31_GND_7_o_add_6_OUT_8_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_Q_283,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_rt_1010,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_Q_284
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_9_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_Q_283,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_rt_1010,
O => transition_num_31_GND_7_o_add_6_OUT_9_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_Q_284,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_rt_1011,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_Q_285
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_10_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_Q_284,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_rt_1011,
O => transition_num_31_GND_7_o_add_6_OUT_10_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_Q_285,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_rt_1012,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_Q_286
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_11_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_Q_285,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_rt_1012,
O => transition_num_31_GND_7_o_add_6_OUT_11_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_Q_286,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_rt_1013,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_Q_287
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_12_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_Q_286,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_rt_1013,
O => transition_num_31_GND_7_o_add_6_OUT_12_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_Q_287,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_rt_1014,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_Q_288
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_13_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_Q_287,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_rt_1014,
O => transition_num_31_GND_7_o_add_6_OUT_13_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_Q_288,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_rt_1015,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_Q_289
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_14_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_Q_288,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_rt_1015,
O => transition_num_31_GND_7_o_add_6_OUT_14_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_Q_289,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_rt_1016,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_Q_290
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_15_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_Q_289,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_rt_1016,
O => transition_num_31_GND_7_o_add_6_OUT_15_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_Q_290,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_rt_1017,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_Q_291
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_16_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_Q_290,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_rt_1017,
O => transition_num_31_GND_7_o_add_6_OUT_16_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_Q_291,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_rt_1018,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_Q_292
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_17_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_Q_291,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_rt_1018,
O => transition_num_31_GND_7_o_add_6_OUT_17_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_Q_292,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_rt_1019,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_Q_293
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_18_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_Q_292,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_rt_1019,
O => transition_num_31_GND_7_o_add_6_OUT_18_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_Q_293,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_rt_1020,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_Q_294
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_19_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_Q_293,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_rt_1020,
O => transition_num_31_GND_7_o_add_6_OUT_19_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_Q_294,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_rt_1021,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_Q_295
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_20_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_Q_294,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_rt_1021,
O => transition_num_31_GND_7_o_add_6_OUT_20_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_Q_295,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_rt_1022,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_Q_296
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_21_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_Q_295,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_rt_1022,
O => transition_num_31_GND_7_o_add_6_OUT_21_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_Q_296,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_rt_1023,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_Q_297
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_22_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_Q_296,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_rt_1023,
O => transition_num_31_GND_7_o_add_6_OUT_22_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_Q_297,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_rt_1024,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_Q_298
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_23_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_Q_297,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_rt_1024,
O => transition_num_31_GND_7_o_add_6_OUT_23_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_Q_298,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_rt_1025,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_Q_299
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_24_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_Q_298,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_rt_1025,
O => transition_num_31_GND_7_o_add_6_OUT_24_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_Q_299,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_rt_1026,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_Q_300
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_25_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_Q_299,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_rt_1026,
O => transition_num_31_GND_7_o_add_6_OUT_25_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_Q_300,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_rt_1027,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_Q_301
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_26_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_Q_300,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_rt_1027,
O => transition_num_31_GND_7_o_add_6_OUT_26_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_Q_301,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_rt_1028,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_Q_302
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_27_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_Q_301,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_rt_1028,
O => transition_num_31_GND_7_o_add_6_OUT_27_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_Q_302,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_rt_1029,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_Q_303
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_28_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_Q_302,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_rt_1029,
O => transition_num_31_GND_7_o_add_6_OUT_28_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_Q_303,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_rt_1030,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_Q_304
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_29_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_Q_303,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_rt_1030,
O => transition_num_31_GND_7_o_add_6_OUT_29_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_Q : MUXCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_Q_304,
DI => dina_image(0),
S => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_rt_1031,
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_Q_305
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_30_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_Q_304,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_rt_1031,
O => transition_num_31_GND_7_o_add_6_OUT_30_Q
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_31_Q : XORCY
port map (
CI => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_Q_305,
LI => Madd_transition_num_31_GND_7_o_add_6_OUT_xor_31_rt_1128,
O => transition_num_31_GND_7_o_add_6_OUT_31_Q
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi : LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => transition_num(4),
I1 => transition_num(3),
I2 => transition_num(2),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi_306
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_0_Q : LUT5
generic map(
INIT => X"01000000"
)
port map (
I0 => transition_num(2),
I1 => transition_num(3),
I2 => transition_num(4),
I3 => transition_num(1),
I4 => transition_num(0),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_0_Q_307
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi_306,
S => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_0_Q_307,
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_0_Q_308
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi1 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => transition_num(9),
I1 => transition_num(8),
I2 => transition_num(7),
I3 => transition_num(6),
I4 => transition_num(5),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi1_309
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => transition_num(5),
I1 => transition_num(6),
I2 => transition_num(7),
I3 => transition_num(8),
I4 => transition_num(9),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_1_Q_310
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_1_Q : MUXCY
port map (
CI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_0_Q_308,
DI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi1_309,
S => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_1_Q_310,
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_1_Q_311
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi2 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => transition_num(14),
I1 => transition_num(13),
I2 => transition_num(12),
I3 => transition_num(11),
I4 => transition_num(10),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi2_312
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => transition_num(10),
I1 => transition_num(11),
I2 => transition_num(12),
I3 => transition_num(13),
I4 => transition_num(14),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_2_Q_313
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_2_Q : MUXCY
port map (
CI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_1_Q_311,
DI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi2_312,
S => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_2_Q_313,
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_2_Q_314
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi3 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => transition_num(19),
I1 => transition_num(18),
I2 => transition_num(17),
I3 => transition_num(16),
I4 => transition_num(15),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi3_315
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => transition_num(15),
I1 => transition_num(16),
I2 => transition_num(17),
I3 => transition_num(18),
I4 => transition_num(19),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_3_Q_316
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_3_Q : MUXCY
port map (
CI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_2_Q_314,
DI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi3_315,
S => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_3_Q_316,
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_3_Q_317
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi4 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => transition_num(24),
I1 => transition_num(23),
I2 => transition_num(22),
I3 => transition_num(21),
I4 => transition_num(20),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi4_318
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => transition_num(20),
I1 => transition_num(21),
I2 => transition_num(22),
I3 => transition_num(23),
I4 => transition_num(24),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_4_Q_319
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_4_Q : MUXCY
port map (
CI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_3_Q_317,
DI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi4_318,
S => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_4_Q_319,
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_4_Q_320
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi5 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => transition_num(29),
I1 => transition_num(28),
I2 => transition_num(27),
I3 => transition_num(26),
I4 => transition_num(25),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi5_321
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => transition_num(25),
I1 => transition_num(26),
I2 => transition_num(27),
I3 => transition_num(28),
I4 => transition_num(29),
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_5_Q_322
);
Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q : MUXCY
port map (
CI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_4_Q_320,
DI => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lutdi5_321,
S => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_lut_5_Q_322,
O => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323
);
layer_map_activation_hid_count_map_Mcount_count_xor_7_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(6),
LI => layer_map_activation_hid_count_map_Mcount_count_xor_7_rt_1129,
O => layer_map_Result(7)
);
layer_map_activation_hid_count_map_Mcount_count_xor_6_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(5),
LI => layer_map_activation_hid_count_map_Mcount_count_cy_6_rt_1032,
O => layer_map_Result(6)
);
layer_map_activation_hid_count_map_Mcount_count_cy_6_Q : MUXCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(5),
DI => dina_image(0),
S => layer_map_activation_hid_count_map_Mcount_count_cy_6_rt_1032,
O => layer_map_activation_hid_count_map_Mcount_count_cy(6)
);
layer_map_activation_hid_count_map_Mcount_count_xor_5_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(4),
LI => layer_map_activation_hid_count_map_Mcount_count_cy_5_rt_1033,
O => layer_map_Result(5)
);
layer_map_activation_hid_count_map_Mcount_count_cy_5_Q : MUXCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(4),
DI => dina_image(0),
S => layer_map_activation_hid_count_map_Mcount_count_cy_5_rt_1033,
O => layer_map_activation_hid_count_map_Mcount_count_cy(5)
);
layer_map_activation_hid_count_map_Mcount_count_xor_4_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(3),
LI => layer_map_activation_hid_count_map_Mcount_count_cy_4_rt_1034,
O => layer_map_Result(4)
);
layer_map_activation_hid_count_map_Mcount_count_cy_4_Q : MUXCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(3),
DI => dina_image(0),
S => layer_map_activation_hid_count_map_Mcount_count_cy_4_rt_1034,
O => layer_map_activation_hid_count_map_Mcount_count_cy(4)
);
layer_map_activation_hid_count_map_Mcount_count_xor_3_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(2),
LI => layer_map_activation_hid_count_map_Mcount_count_cy_3_rt_1035,
O => layer_map_Result(3)
);
layer_map_activation_hid_count_map_Mcount_count_cy_3_Q : MUXCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(2),
DI => dina_image(0),
S => layer_map_activation_hid_count_map_Mcount_count_cy_3_rt_1035,
O => layer_map_activation_hid_count_map_Mcount_count_cy(3)
);
layer_map_activation_hid_count_map_Mcount_count_xor_2_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(1),
LI => layer_map_activation_hid_count_map_Mcount_count_cy_2_rt_1036,
O => layer_map_Result(2)
);
layer_map_activation_hid_count_map_Mcount_count_cy_2_Q : MUXCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(1),
DI => dina_image(0),
S => layer_map_activation_hid_count_map_Mcount_count_cy_2_rt_1036,
O => layer_map_activation_hid_count_map_Mcount_count_cy(2)
);
layer_map_activation_hid_count_map_Mcount_count_xor_1_Q : XORCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(0),
LI => layer_map_activation_hid_count_map_Mcount_count_cy_1_rt_1037,
O => layer_map_Result(1)
);
layer_map_activation_hid_count_map_Mcount_count_cy_1_Q : MUXCY
port map (
CI => layer_map_activation_hid_count_map_Mcount_count_cy(0),
DI => dina_image(0),
S => layer_map_activation_hid_count_map_Mcount_count_cy_1_rt_1037,
O => layer_map_activation_hid_count_map_Mcount_count_cy(1)
);
layer_map_activation_hid_count_map_Mcount_count_xor_0_Q : XORCY
port map (
CI => dina_image(0),
LI => layer_map_activation_hid_count_map_Mcount_count_lut(0),
O => layer_map_Result(0)
);
layer_map_activation_hid_count_map_Mcount_count_cy_0_Q : MUXCY
port map (
CI => dina_image(0),
DI => N0,
S => layer_map_activation_hid_count_map_Mcount_count_lut(0),
O => layer_map_activation_hid_count_map_Mcount_count_cy(0)
);
layer_map_activation_hid_count_map_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(7),
Q => layer_map_activation_hid_count_map_count(7)
);
layer_map_activation_hid_count_map_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(6),
Q => layer_map_activation_hid_count_map_count(6)
);
layer_map_activation_hid_count_map_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(5),
Q => layer_map_activation_hid_count_map_count(5)
);
layer_map_activation_hid_count_map_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(4),
Q => layer_map_activation_hid_count_map_count(4)
);
layer_map_activation_hid_count_map_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(3),
Q => layer_map_activation_hid_count_map_count(3)
);
layer_map_activation_hid_count_map_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(2),
Q => layer_map_activation_hid_count_map_count(2)
);
layer_map_activation_hid_count_map_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(1),
Q => layer_map_activation_hid_count_map_count(1)
);
layer_map_activation_hid_count_map_count_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CE => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv,
CLR => layer_map_count_en_inv,
D => layer_map_Result(0),
Q => layer_map_activation_hid_count_map_count(0)
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_31_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_401,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1130,
O => layer_map_shift_map_0_shifter_map_Result_31_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_30_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_402,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1038,
O => layer_map_shift_map_0_shifter_map_Result_30_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_402,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1038,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_401
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_29_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_403,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1039,
O => layer_map_shift_map_0_shifter_map_Result_29_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_403,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1039,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_402
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_28_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_404,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1040,
O => layer_map_shift_map_0_shifter_map_Result_28_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_404,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1040,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_403
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_27_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_405,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1041,
O => layer_map_shift_map_0_shifter_map_Result_27_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_405,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1041,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_404
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_26_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_406,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1042,
O => layer_map_shift_map_0_shifter_map_Result_26_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_406,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1042,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_405
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_25_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_407,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1043,
O => layer_map_shift_map_0_shifter_map_Result_25_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_407,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1043,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_406
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_24_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_408,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1044,
O => layer_map_shift_map_0_shifter_map_Result_24_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_408,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1044,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_407
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_23_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_409,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1045,
O => layer_map_shift_map_0_shifter_map_Result_23_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_409,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1045,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_408
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_22_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_410,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1046,
O => layer_map_shift_map_0_shifter_map_Result_22_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_410,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1046,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_409
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_21_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_411,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1047,
O => layer_map_shift_map_0_shifter_map_Result_21_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_411,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1047,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_410
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_20_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_412,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1048,
O => layer_map_shift_map_0_shifter_map_Result_20_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_412,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1048,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_411
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_19_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_413,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1049,
O => layer_map_shift_map_0_shifter_map_Result_19_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_413,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1049,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_412
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_18_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_414,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1050,
O => layer_map_shift_map_0_shifter_map_Result_18_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_414,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1050,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_413
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_17_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_415,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1051,
O => layer_map_shift_map_0_shifter_map_Result_17_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_415,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1051,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_414
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_16_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_416,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1052,
O => layer_map_shift_map_0_shifter_map_Result_16_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_416,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1052,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_415
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_15_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_417,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1053,
O => layer_map_shift_map_0_shifter_map_Result_15_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_417,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1053,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_416
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_14_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_418,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1054,
O => layer_map_shift_map_0_shifter_map_Result_14_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_418,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1054,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_417
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_13_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_419,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1055,
O => layer_map_shift_map_0_shifter_map_Result_13_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_419,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1055,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_418
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_12_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_420,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1056,
O => layer_map_shift_map_0_shifter_map_Result_12_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_420,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1056,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_419
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_11_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_421,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1057,
O => layer_map_shift_map_0_shifter_map_Result_11_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_421,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1057,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_420
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_10_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_422,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1058,
O => layer_map_shift_map_0_shifter_map_Result_10_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_422,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1058,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_421
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_9_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_423,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1059,
O => layer_map_shift_map_0_shifter_map_Result_9_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_423,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1059,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_422
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_8_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_424,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1060,
O => layer_map_shift_map_0_shifter_map_Result_8_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_424,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1060,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_423
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_7_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_425,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1061,
O => layer_map_shift_map_0_shifter_map_Result_7_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_425,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1061,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_424
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_6_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_426,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1062,
O => layer_map_shift_map_0_shifter_map_Result_6_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_426,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1062,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_425
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_5_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_427,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1063,
O => layer_map_shift_map_0_shifter_map_Result_5_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_427,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1063,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_426
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_4_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_428,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1064,
O => layer_map_shift_map_0_shifter_map_Result_4_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_428,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1064,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_427
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_3_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_429,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1065,
O => layer_map_shift_map_0_shifter_map_Result_3_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_429,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1065,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_428
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_2_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_430,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1066,
O => layer_map_shift_map_0_shifter_map_Result_2_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_430,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1066,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_429
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_1_Q : XORCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_431,
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1067,
O => layer_map_shift_map_0_shifter_map_Result_1_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_431,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1067,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_430
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_0_Q : XORCY
port map (
CI => dina_image(0),
LI => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_lut_0_Q,
O => layer_map_shift_map_0_shifter_map_Result_0_Q
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_0_Q : MUXCY
port map (
CI => dina_image(0),
DI => N0,
S => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_lut_0_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_431
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_433,
DI => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q,
S => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_987,
O => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_INV_16_o
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_6_Q : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_30_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_987
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_435,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_434,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_433
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_26_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_27_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_28_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_434
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_437,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_436,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_435
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_20_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_21_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_22_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_23_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_436
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_439,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_438,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_437
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_15_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_16_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_17_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_18_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_438
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_441,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_440,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_439
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_10_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_11_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_12_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_13_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_440
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_443,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_442,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_441
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_5_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_7_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_8_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_442
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_445,
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_444,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_443
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q : LUT5
generic map(
INIT => X"00010000"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_0_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_444
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi : LUT3
generic map(
INIT => X"01"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_445
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_448,
DI => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q,
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_447,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_30_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_447
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_450,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_449,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_448
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_26_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_27_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_28_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_449
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_452,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_451,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_450
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_20_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_21_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_22_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_23_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_451
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_454,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_453,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_452
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_15_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_16_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_17_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_18_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_453
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_456,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_455,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_454
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_10_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_11_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_12_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_13_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_455
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_458,
DI => dina_image(0),
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_457,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_456
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_5_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_7_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_8_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_457
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_460,
S => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_459,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_458
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q : LUT5
generic map(
INIT => X"00010000"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_0_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_459
);
layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi : LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_460
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_31 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_31_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_30 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_30_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_30_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_29 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_29_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_29_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_28 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_28_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_28_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_27 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_27_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_27_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_26 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_26_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_26_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_25 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_25_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_25_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_24 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_24_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_24_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_23 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_23_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_23_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_22 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_22_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_22_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_21 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_21_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_21_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_20 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_20_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_20_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_19 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_19_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_19_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_18 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_18_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_18_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_17 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_17_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_17_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_16 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_16_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_16_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_15 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_15_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_15_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_14 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_14_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_14_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_13 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_13_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_13_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_12 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_12_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_12_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_11 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_11_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_11_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_10_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_10_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_9_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_9_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_8_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_8_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_7_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_7_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_6_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_6_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_5_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_5_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_4_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_3_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_2_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_1_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q
);
layer_map_shift_map_0_shifter_map_shifter_shift_counter_0 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_Result_0_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_shift_counter_0_Q
);
layer_map_shift_map_0_shifter_map_acticv_mul_en : FDC
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_GND_14_o_GND_14_o_MUX_60_o,
Q => layer_map_shift_map_0_shifter_map_acticv_mul_en_562
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_15 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_15_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_14 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_14_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_14_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_13 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_13_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_13_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_12 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_12_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_12_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_11 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_11_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_11_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_10 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_10_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_10_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_9 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_9_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_9_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_8 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_8_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_8_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_7_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_7_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_6_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_6_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_5_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_5_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_4_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_4_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_3_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_3_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_2_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_2_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_1_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_1_Q
);
layer_map_shift_map_0_shifter_map_shifted_output_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_0_Q,
Q => layer_map_shift_map_0_shifter_map_shifted_output_temp_0_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_15 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_14 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_14_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_13 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_13_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_12 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_12_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_11 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_11_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_10_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_9_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_8_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_7_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_6_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_5_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_4_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_3_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_2_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_1_Q
);
layer_map_shift_map_0_shifter_map_shifter_temp_reg_0 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q,
Q => layer_map_shift_map_0_shifter_map_shifter_temp_reg_0_Q
);
layer_map_shift_map_0_shifter_map_input_temp_15 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 15),
Q => layer_map_shift_map_0_shifter_map_input_temp_15_Q
);
layer_map_shift_map_0_shifter_map_input_temp_14 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 14),
Q => layer_map_shift_map_0_shifter_map_input_temp_14_Q
);
layer_map_shift_map_0_shifter_map_input_temp_13 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 13),
Q => layer_map_shift_map_0_shifter_map_input_temp_13_Q
);
layer_map_shift_map_0_shifter_map_input_temp_12 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 12),
Q => layer_map_shift_map_0_shifter_map_input_temp_12_Q
);
layer_map_shift_map_0_shifter_map_input_temp_11 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 11),
Q => layer_map_shift_map_0_shifter_map_input_temp_11_Q
);
layer_map_shift_map_0_shifter_map_input_temp_10 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 10),
Q => layer_map_shift_map_0_shifter_map_input_temp_10_Q
);
layer_map_shift_map_0_shifter_map_input_temp_9 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 9),
Q => layer_map_shift_map_0_shifter_map_input_temp_9_Q
);
layer_map_shift_map_0_shifter_map_input_temp_8 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 8),
Q => layer_map_shift_map_0_shifter_map_input_temp_8_Q
);
layer_map_shift_map_0_shifter_map_input_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 7),
Q => layer_map_shift_map_0_shifter_map_input_temp_7_Q
);
layer_map_shift_map_0_shifter_map_input_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 6),
Q => layer_map_shift_map_0_shifter_map_input_temp_6_Q
);
layer_map_shift_map_0_shifter_map_input_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 5),
Q => layer_map_shift_map_0_shifter_map_input_temp_5_Q
);
layer_map_shift_map_0_shifter_map_input_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 4),
Q => layer_map_shift_map_0_shifter_map_input_temp_4_Q
);
layer_map_shift_map_0_shifter_map_input_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 3),
Q => layer_map_shift_map_0_shifter_map_input_temp_3_Q
);
layer_map_shift_map_0_shifter_map_input_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 2),
Q => layer_map_shift_map_0_shifter_map_input_temp_2_Q
);
layer_map_shift_map_0_shifter_map_input_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 1),
Q => layer_map_shift_map_0_shifter_map_input_temp_1_Q
);
layer_map_shift_map_0_shifter_map_input_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(0, 0),
Q => layer_map_shift_map_0_shifter_map_input_temp_0_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_31_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_595,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1131,
O => layer_map_shift_map_1_shifter_map_Result_31_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_30_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_596,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1068,
O => layer_map_shift_map_1_shifter_map_Result_30_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_596,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1068,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_595
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_29_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_597,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1069,
O => layer_map_shift_map_1_shifter_map_Result_29_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_597,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1069,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_596
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_28_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_598,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1070,
O => layer_map_shift_map_1_shifter_map_Result_28_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_598,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1070,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_597
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_27_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_599,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1071,
O => layer_map_shift_map_1_shifter_map_Result_27_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_599,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1071,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_598
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_26_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_600,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1072,
O => layer_map_shift_map_1_shifter_map_Result_26_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_600,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1072,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_599
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_25_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_601,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1073,
O => layer_map_shift_map_1_shifter_map_Result_25_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_601,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1073,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_600
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_24_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_602,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1074,
O => layer_map_shift_map_1_shifter_map_Result_24_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_602,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1074,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_601
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_23_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_603,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1075,
O => layer_map_shift_map_1_shifter_map_Result_23_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_603,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1075,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_602
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_22_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_604,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1076,
O => layer_map_shift_map_1_shifter_map_Result_22_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_604,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1076,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_603
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_21_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_605,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1077,
O => layer_map_shift_map_1_shifter_map_Result_21_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_605,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1077,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_604
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_20_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_606,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1078,
O => layer_map_shift_map_1_shifter_map_Result_20_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_606,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1078,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_605
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_19_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_607,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1079,
O => layer_map_shift_map_1_shifter_map_Result_19_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_607,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1079,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_606
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_18_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_608,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1080,
O => layer_map_shift_map_1_shifter_map_Result_18_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_608,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1080,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_607
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_17_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_609,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1081,
O => layer_map_shift_map_1_shifter_map_Result_17_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_609,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1081,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_608
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_16_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_610,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1082,
O => layer_map_shift_map_1_shifter_map_Result_16_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_610,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1082,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_609
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_15_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_611,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1083,
O => layer_map_shift_map_1_shifter_map_Result_15_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_611,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1083,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_610
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_14_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_612,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1084,
O => layer_map_shift_map_1_shifter_map_Result_14_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_612,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1084,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_611
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_13_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_613,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1085,
O => layer_map_shift_map_1_shifter_map_Result_13_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_613,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1085,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_612
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_12_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_614,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1086,
O => layer_map_shift_map_1_shifter_map_Result_12_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_614,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1086,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_613
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_11_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_615,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1087,
O => layer_map_shift_map_1_shifter_map_Result_11_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_615,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1087,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_614
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_10_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_616,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1088,
O => layer_map_shift_map_1_shifter_map_Result_10_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_616,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1088,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_615
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_9_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_617,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1089,
O => layer_map_shift_map_1_shifter_map_Result_9_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_617,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1089,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_616
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_8_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_618,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1090,
O => layer_map_shift_map_1_shifter_map_Result_8_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_618,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1090,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_617
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_7_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_619,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1091,
O => layer_map_shift_map_1_shifter_map_Result_7_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_619,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1091,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_618
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_6_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_620,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1092,
O => layer_map_shift_map_1_shifter_map_Result_6_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_620,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1092,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_619
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_5_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_621,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1093,
O => layer_map_shift_map_1_shifter_map_Result_5_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_621,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1093,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_620
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_4_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_622,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1094,
O => layer_map_shift_map_1_shifter_map_Result_4_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_622,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1094,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_621
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_3_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_623,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1095,
O => layer_map_shift_map_1_shifter_map_Result_3_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_623,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1095,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_622
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_2_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_624,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1096,
O => layer_map_shift_map_1_shifter_map_Result_2_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_624,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1096,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_623
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_1_Q : XORCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_625,
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1097,
O => layer_map_shift_map_1_shifter_map_Result_1_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_625,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1097,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_624
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_0_Q : XORCY
port map (
CI => dina_image(0),
LI => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_lut_0_Q,
O => layer_map_shift_map_1_shifter_map_Result_0_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_0_Q : MUXCY
port map (
CI => dina_image(0),
DI => N0,
S => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_lut_0_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_625
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_627,
DI => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q,
S => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_993,
O => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_INV_16_o
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_6_Q : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_30_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_993
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_629,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_628,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_627
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_26_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_27_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_28_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_628
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_631,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_630,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_629
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_20_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_21_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_22_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_23_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_630
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_633,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_632,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_631
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_15_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_16_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_17_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_18_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_632
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_635,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_634,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_633
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_10_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_11_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_12_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_13_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_634
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_637,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_636,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_635
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_5_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_7_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_8_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_636
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_639,
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_638,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_637
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q : LUT5
generic map(
INIT => X"00010000"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_0_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_638
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi : LUT3
generic map(
INIT => X"01"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_639
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_642,
DI => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q,
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_641,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_30_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_641
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_644,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_643,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_642
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_26_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_27_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_28_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_643
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_646,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_645,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_644
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_20_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_21_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_22_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_23_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_645
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_648,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_647,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_646
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_15_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_16_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_17_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_18_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_647
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_650,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_649,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_648
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_10_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_11_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_12_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_13_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_649
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_652,
DI => dina_image(0),
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_651,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_650
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_5_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_7_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_8_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_651
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_654,
S => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_653,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_652
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q : LUT5
generic map(
INIT => X"00010000"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_0_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_653
);
layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi : LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_654
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_31 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_31_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_30 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_30_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_30_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_29 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_29_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_29_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_28 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_28_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_28_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_27 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_27_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_27_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_26 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_26_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_26_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_25 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_25_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_25_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_24 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_24_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_24_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_23 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_23_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_23_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_22 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_22_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_22_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_21 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_21_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_21_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_20 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_20_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_20_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_19 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_19_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_19_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_18 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_18_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_18_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_17 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_17_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_17_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_16 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_16_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_16_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_15 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_15_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_15_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_14 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_14_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_14_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_13 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_13_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_13_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_12 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_12_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_12_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_11 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_11_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_11_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_10_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_10_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_9_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_9_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_8_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_8_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_7_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_7_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_6_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_6_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_5_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_5_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_4_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_3_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_2_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_1_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q
);
layer_map_shift_map_1_shifter_map_shifter_shift_counter_0 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_Result_0_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_shift_counter_0_Q
);
layer_map_shift_map_1_shifter_map_acticv_mul_en : FDC
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_GND_14_o_GND_14_o_MUX_60_o,
Q => layer_map_shift_map_1_shifter_map_acticv_mul_en_755
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_15 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_15_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_14 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_14_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_14_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_13 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_13_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_13_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_12 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_12_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_12_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_11 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_11_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_11_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_10 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_10_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_10_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_9 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_9_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_9_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_8 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_8_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_8_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_7_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_7_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_6_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_6_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_5_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_5_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_4_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_4_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_3_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_3_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_2_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_2_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_1_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_1_Q
);
layer_map_shift_map_1_shifter_map_shifted_output_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_0_Q,
Q => layer_map_shift_map_1_shifter_map_shifted_output_temp_0_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_15 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_14 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_14_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_13 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_13_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_12 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_12_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_11 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_11_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_10_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_9_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_8_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_7_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_6_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_5_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_4_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_3_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_2_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_1_Q
);
layer_map_shift_map_1_shifter_map_shifter_temp_reg_0 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q,
Q => layer_map_shift_map_1_shifter_map_shifter_temp_reg_0_Q
);
layer_map_shift_map_1_shifter_map_input_temp_15 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 15),
Q => layer_map_shift_map_1_shifter_map_input_temp_15_Q
);
layer_map_shift_map_1_shifter_map_input_temp_14 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 14),
Q => layer_map_shift_map_1_shifter_map_input_temp_14_Q
);
layer_map_shift_map_1_shifter_map_input_temp_13 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 13),
Q => layer_map_shift_map_1_shifter_map_input_temp_13_Q
);
layer_map_shift_map_1_shifter_map_input_temp_12 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 12),
Q => layer_map_shift_map_1_shifter_map_input_temp_12_Q
);
layer_map_shift_map_1_shifter_map_input_temp_11 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 11),
Q => layer_map_shift_map_1_shifter_map_input_temp_11_Q
);
layer_map_shift_map_1_shifter_map_input_temp_10 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 10),
Q => layer_map_shift_map_1_shifter_map_input_temp_10_Q
);
layer_map_shift_map_1_shifter_map_input_temp_9 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 9),
Q => layer_map_shift_map_1_shifter_map_input_temp_9_Q
);
layer_map_shift_map_1_shifter_map_input_temp_8 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 8),
Q => layer_map_shift_map_1_shifter_map_input_temp_8_Q
);
layer_map_shift_map_1_shifter_map_input_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 7),
Q => layer_map_shift_map_1_shifter_map_input_temp_7_Q
);
layer_map_shift_map_1_shifter_map_input_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 6),
Q => layer_map_shift_map_1_shifter_map_input_temp_6_Q
);
layer_map_shift_map_1_shifter_map_input_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 5),
Q => layer_map_shift_map_1_shifter_map_input_temp_5_Q
);
layer_map_shift_map_1_shifter_map_input_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 4),
Q => layer_map_shift_map_1_shifter_map_input_temp_4_Q
);
layer_map_shift_map_1_shifter_map_input_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 3),
Q => layer_map_shift_map_1_shifter_map_input_temp_3_Q
);
layer_map_shift_map_1_shifter_map_input_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 2),
Q => layer_map_shift_map_1_shifter_map_input_temp_2_Q
);
layer_map_shift_map_1_shifter_map_input_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 1),
Q => layer_map_shift_map_1_shifter_map_input_temp_1_Q
);
layer_map_shift_map_1_shifter_map_input_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(1, 0),
Q => layer_map_shift_map_1_shifter_map_input_temp_0_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_31_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_788,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1132,
O => layer_map_shift_map_2_shifter_map_Result_31_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_30_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_789,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1098,
O => layer_map_shift_map_2_shifter_map_Result_30_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_789,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1098,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_Q_788
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_29_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_790,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1099,
O => layer_map_shift_map_2_shifter_map_Result_29_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_790,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1099,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_Q_789
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_28_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_791,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1100,
O => layer_map_shift_map_2_shifter_map_Result_28_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_791,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1100,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_Q_790
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_27_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_792,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1101,
O => layer_map_shift_map_2_shifter_map_Result_27_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_792,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1101,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_Q_791
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_26_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_793,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1102,
O => layer_map_shift_map_2_shifter_map_Result_26_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_793,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1102,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_Q_792
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_25_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_794,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1103,
O => layer_map_shift_map_2_shifter_map_Result_25_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_794,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1103,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_Q_793
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_24_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_795,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1104,
O => layer_map_shift_map_2_shifter_map_Result_24_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_795,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1104,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_Q_794
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_23_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_796,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1105,
O => layer_map_shift_map_2_shifter_map_Result_23_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_796,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1105,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_Q_795
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_22_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_797,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1106,
O => layer_map_shift_map_2_shifter_map_Result_22_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_797,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1106,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_Q_796
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_21_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_798,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1107,
O => layer_map_shift_map_2_shifter_map_Result_21_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_798,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1107,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_Q_797
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_20_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_799,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1108,
O => layer_map_shift_map_2_shifter_map_Result_20_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_799,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1108,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_Q_798
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_19_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_800,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1109,
O => layer_map_shift_map_2_shifter_map_Result_19_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_800,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1109,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_Q_799
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_18_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_801,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1110,
O => layer_map_shift_map_2_shifter_map_Result_18_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_801,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1110,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_Q_800
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_17_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_802,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1111,
O => layer_map_shift_map_2_shifter_map_Result_17_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_802,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1111,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_Q_801
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_16_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_803,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1112,
O => layer_map_shift_map_2_shifter_map_Result_16_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_803,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1112,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_Q_802
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_15_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_804,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1113,
O => layer_map_shift_map_2_shifter_map_Result_15_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_804,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1113,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_Q_803
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_14_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_805,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1114,
O => layer_map_shift_map_2_shifter_map_Result_14_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_805,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1114,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_Q_804
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_13_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_806,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1115,
O => layer_map_shift_map_2_shifter_map_Result_13_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_806,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1115,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_Q_805
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_12_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_807,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1116,
O => layer_map_shift_map_2_shifter_map_Result_12_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_807,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1116,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_Q_806
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_11_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_808,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1117,
O => layer_map_shift_map_2_shifter_map_Result_11_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_808,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1117,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_Q_807
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_10_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_809,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1118,
O => layer_map_shift_map_2_shifter_map_Result_10_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_809,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1118,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_Q_808
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_9_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_810,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1119,
O => layer_map_shift_map_2_shifter_map_Result_9_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_810,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1119,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_Q_809
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_8_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_811,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1120,
O => layer_map_shift_map_2_shifter_map_Result_8_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_811,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1120,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_Q_810
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_7_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_812,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1121,
O => layer_map_shift_map_2_shifter_map_Result_7_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_812,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1121,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_Q_811
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_6_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_813,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1122,
O => layer_map_shift_map_2_shifter_map_Result_6_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_813,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1122,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_Q_812
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_5_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_814,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1123,
O => layer_map_shift_map_2_shifter_map_Result_5_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_814,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1123,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_Q_813
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_4_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_815,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1124,
O => layer_map_shift_map_2_shifter_map_Result_4_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_815,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1124,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_Q_814
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_3_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_816,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1125,
O => layer_map_shift_map_2_shifter_map_Result_3_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_816,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1125,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_Q_815
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_2_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_817,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1126,
O => layer_map_shift_map_2_shifter_map_Result_2_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_817,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1126,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_Q_816
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_1_Q : XORCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_818,
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1127,
O => layer_map_shift_map_2_shifter_map_Result_1_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_818,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1127,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_Q_817
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_0_Q : XORCY
port map (
CI => dina_image(0),
LI => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_lut_0_Q,
O => layer_map_shift_map_2_shifter_map_Result_0_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_0_Q : MUXCY
port map (
CI => dina_image(0),
DI => N0,
S => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_lut_0_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_0_Q_818
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_820,
DI => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q,
S => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_999,
O => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_INV_16_o
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_6_Q : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_30_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_999
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_822,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_821,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_5_Q_820
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_26_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_27_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_28_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_5_Q_821
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_824,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_823,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_4_Q_822
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_20_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_21_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_22_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_23_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_4_Q_823
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_826,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_825,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_3_Q_824
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_15_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_16_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_17_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_18_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_3_Q_825
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_828,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_827,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_2_Q_826
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_10_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_11_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_12_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_13_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_2_Q_827
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_830,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_829,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_1_Q_828
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_5_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_7_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_8_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_1_Q_829
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_832,
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_831,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_cy_0_Q_830
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q : LUT5
generic map(
INIT => X"00010000"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_0_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lut_0_Q_831
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi : LUT3
generic map(
INIT => X"01"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_INV_16_o_lutdi_832
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_835,
DI => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q,
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_834,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_30_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_6_Q_834
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_837,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_836,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_5_Q_835
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_26_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_27_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_28_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_5_Q_836
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_839,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_838,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_4_Q_837
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_20_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_21_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_22_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_23_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_4_Q_838
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_841,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_840,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_3_Q_839
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_15_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_16_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_17_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_18_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_3_Q_840
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_843,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_842,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_2_Q_841
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_10_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_11_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_12_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_13_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_2_Q_842
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q : MUXCY
port map (
CI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_845,
DI => dina_image(0),
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_844,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_1_Q_843
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q : LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_5_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_7_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_8_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_1_Q_844
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q : MUXCY
port map (
CI => N0,
DI => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_847,
S => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_846,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_0_Q_845
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q : LUT5
generic map(
INIT => X"00010000"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_0_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lut_0_Q_846
);
layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi : LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_lutdi_847
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_31 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_31_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_30 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_30_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_30_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_29 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_29_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_29_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_28 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_28_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_28_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_27 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_27_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_27_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_26 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_26_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_26_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_25 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_25_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_25_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_24 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_24_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_24_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_23 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_23_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_23_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_22 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_22_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_22_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_21 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_21_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_21_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_20 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_20_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_20_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_19 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_19_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_19_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_18 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_18_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_18_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_17 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_17_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_17_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_16 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_16_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_16_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_15 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_15_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_15_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_14 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_14_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_14_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_13 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_13_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_13_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_12 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_12_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_12_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_11 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_11_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_11_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_10_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_10_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_9_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_9_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_8_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_8_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_7_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_7_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_6_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_6_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_5_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_5_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_4_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_3_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_2_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_1_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q
);
layer_map_shift_map_2_shifter_map_shifter_shift_counter_0 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_Result_0_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_shift_counter_0_Q
);
layer_map_shift_map_2_shifter_map_acticv_mul_en : FDC
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_GND_14_o_GND_14_o_MUX_60_o,
Q => layer_map_shift_map_2_shifter_map_acticv_mul_en_948
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_15 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_15_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_14 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_14_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_14_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_13 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_13_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_13_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_12 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_12_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_12_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_11 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_11_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_11_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_10 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_10_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_10_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_9 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_9_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_9_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_8 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_8_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_8_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_7_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_7_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_6_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_6_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_5_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_5_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_4_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_4_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_3_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_3_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_2_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_2_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_1_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_1_Q
);
layer_map_shift_map_2_shifter_map_shifted_output_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_n0056_inv,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_0_Q,
Q => layer_map_shift_map_2_shifter_map_shifted_output_temp_0_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_15 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_14 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_14_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_13 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_13_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_12 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_12_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_11 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_11_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_10_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_9_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_8_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_7_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_6_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_5_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_4_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_3_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_2_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_1_Q
);
layer_map_shift_map_2_shifter_map_shifter_temp_reg_0 : FDC
generic map(
INIT => '0'
)
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q,
Q => layer_map_shift_map_2_shifter_map_shifter_temp_reg_0_Q
);
layer_map_shift_map_2_shifter_map_input_temp_15 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 15),
Q => layer_map_shift_map_2_shifter_map_input_temp_15_Q
);
layer_map_shift_map_2_shifter_map_input_temp_14 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 14),
Q => layer_map_shift_map_2_shifter_map_input_temp_14_Q
);
layer_map_shift_map_2_shifter_map_input_temp_13 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 13),
Q => layer_map_shift_map_2_shifter_map_input_temp_13_Q
);
layer_map_shift_map_2_shifter_map_input_temp_12 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 12),
Q => layer_map_shift_map_2_shifter_map_input_temp_12_Q
);
layer_map_shift_map_2_shifter_map_input_temp_11 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 11),
Q => layer_map_shift_map_2_shifter_map_input_temp_11_Q
);
layer_map_shift_map_2_shifter_map_input_temp_10 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 10),
Q => layer_map_shift_map_2_shifter_map_input_temp_10_Q
);
layer_map_shift_map_2_shifter_map_input_temp_9 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 9),
Q => layer_map_shift_map_2_shifter_map_input_temp_9_Q
);
layer_map_shift_map_2_shifter_map_input_temp_8 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 8),
Q => layer_map_shift_map_2_shifter_map_input_temp_8_Q
);
layer_map_shift_map_2_shifter_map_input_temp_7 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 7),
Q => layer_map_shift_map_2_shifter_map_input_temp_7_Q
);
layer_map_shift_map_2_shifter_map_input_temp_6 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 6),
Q => layer_map_shift_map_2_shifter_map_input_temp_6_Q
);
layer_map_shift_map_2_shifter_map_input_temp_5 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 5),
Q => layer_map_shift_map_2_shifter_map_input_temp_5_Q
);
layer_map_shift_map_2_shifter_map_input_temp_4 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 4),
Q => layer_map_shift_map_2_shifter_map_input_temp_4_Q
);
layer_map_shift_map_2_shifter_map_input_temp_3 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 3),
Q => layer_map_shift_map_2_shifter_map_input_temp_3_Q
);
layer_map_shift_map_2_shifter_map_input_temp_2 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 2),
Q => layer_map_shift_map_2_shifter_map_input_temp_2_Q
);
layer_map_shift_map_2_shifter_map_input_temp_1 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 1),
Q => layer_map_shift_map_2_shifter_map_input_temp_1_Q
);
layer_map_shift_map_2_shifter_map_input_temp_0 : FDCE
port map (
C => clk_BUFGP_0,
CE => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_weighted_sum(2, 0),
Q => layer_map_shift_map_2_shifter_map_input_temp_0_Q
);
Q_n0319_3_1 : LUT2
generic map(
INIT => X"4"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
O => \Q_n0319_3)\
);
Mmux_GND_7_o_GND_7_o_mux_14_OUT211 : LUT3
generic map(
INIT => X"10"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
O => Mmux_GND_7_o_GND_7_o_mux_14_OUT21_324
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT81 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(7),
I3 => output_3(7),
I4 => output_2(7),
O => transition_num_1_output_3_7_wide_mux_4_OUT_7_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT71 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(6),
I3 => output_3(6),
I4 => output_2(6),
O => transition_num_1_output_3_7_wide_mux_4_OUT_6_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT61 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(5),
I3 => output_3(5),
I4 => output_2(5),
O => transition_num_1_output_3_7_wide_mux_4_OUT_5_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT51 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(4),
I3 => output_3(4),
I4 => output_2(4),
O => transition_num_1_output_3_7_wide_mux_4_OUT_4_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT41 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(3),
I3 => output_3(3),
I4 => output_2(3),
O => transition_num_1_output_3_7_wide_mux_4_OUT_3_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT31 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(2),
I3 => output_3(2),
I4 => output_2(2),
O => transition_num_1_output_3_7_wide_mux_4_OUT_2_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT21 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(1),
I3 => output_3(1),
I4 => output_2(1),
O => transition_num_1_output_3_7_wide_mux_4_OUT_1_Q
);
Mmux_transition_num_1_output_3_7_wide_mux_4_OUT11 : LUT5
generic map(
INIT => X"EC64A820"
)
port map (
I0 => transition_num(0),
I1 => transition_num(1),
I2 => output_1(0),
I3 => output_3(0),
I4 => output_2(0),
O => transition_num_1_output_3_7_wide_mux_4_OUT_0_Q
);
Q_n0240_inv1 : LUT3
generic map(
INIT => X"28"
)
port map (
I0 => curr_state_FSM_FFd2_267,
I1 => curr_state_FSM_FFd1_150,
I2 => curr_state_FSM_FFd3_266,
O => Q_n0240_inv
);
Q_n0319_1_1 : LUT3
generic map(
INIT => X"54"
)
port map (
I0 => curr_state_FSM_FFd3_266,
I1 => curr_state_FSM_FFd1_150,
I2 => curr_state_FSM_FFd2_267,
O => \Q_n0319_1)\
);
input_7_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(7),
I4 => image(7),
O => input(7)
);
input_6_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(6),
I4 => image(6),
O => input(6)
);
input_5_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(5),
I4 => image(5),
O => input(5)
);
input_4_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(4),
I4 => image(4),
O => input(4)
);
input_3_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(3),
I4 => image(3),
O => input(3)
);
input_2_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(2),
I4 => image(2),
O => input(2)
);
input_1_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(1),
I4 => image(1),
O => input(1)
);
input_0_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => output_temp(0),
I4 => image(0),
O => input(0)
);
weight_2_7_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(23),
I4 => out_weight_hid(23),
O => weight(2, 7)
);
weight_2_6_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(22),
I4 => out_weight_hid(22),
O => weight(2, 6)
);
weight_2_5_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(21),
I4 => out_weight_hid(21),
O => weight(2, 5)
);
weight_2_4_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(20),
I4 => out_weight_hid(20),
O => weight(2, 4)
);
weight_2_3_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(19),
I4 => out_weight_hid(19),
O => weight(2, 3)
);
weight_2_2_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(18),
I4 => out_weight_hid(18),
O => weight(2, 2)
);
weight_2_1_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(17),
I4 => out_weight_hid(17),
O => weight(2, 1)
);
weight_2_0_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(16),
I4 => out_weight_hid(16),
O => weight(2, 0)
);
weight_1_7_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(15),
I4 => out_weight_hid(15),
O => weight(1, 7)
);
weight_1_6_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(14),
I4 => out_weight_hid(14),
O => weight(1, 6)
);
weight_1_5_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(13),
I4 => out_weight_hid(13),
O => weight(1, 5)
);
weight_1_4_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(12),
I4 => out_weight_hid(12),
O => weight(1, 4)
);
weight_1_3_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(11),
I4 => out_weight_hid(11),
O => weight(1, 3)
);
weight_1_2_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(10),
I4 => out_weight_hid(10),
O => weight(1, 2)
);
weight_1_1_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(9),
I4 => out_weight_hid(9),
O => weight(1, 1)
);
weight_1_0_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(8),
I4 => out_weight_hid(8),
O => weight(1, 0)
);
weight_0_7_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(7),
I4 => out_weight_hid(7),
O => weight(0, 7)
);
weight_0_6_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(6),
I4 => out_weight_hid(6),
O => weight(0, 6)
);
weight_0_5_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(5),
I4 => out_weight_hid(5),
O => weight(0, 5)
);
weight_0_4_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(4),
I4 => out_weight_hid(4),
O => weight(0, 4)
);
weight_0_3_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(3),
I4 => out_weight_hid(3),
O => weight(0, 3)
);
weight_0_2_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(2),
I4 => out_weight_hid(2),
O => weight(0, 2)
);
weight_0_1_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(1),
I4 => out_weight_hid(1),
O => weight(0, 1)
);
weight_0_0_1 : LUT5
generic map(
INIT => X"14041000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => out_weight_out(0),
I4 => out_weight_hid(0),
O => weight(0, 0)
);
Mcount_addra_image_xor_0_11 : LUT4
generic map(
INIT => X"0010"
)
port map (
I0 => addra_image(0),
I1 => curr_state_FSM_FFd1_150,
I2 => curr_state_FSM_FFd3_266,
I3 => curr_state_FSM_FFd2_267,
O => Mcount_addra_image
);
Mcount_addra_image_xor_1_11 : LUT5
generic map(
INIT => X"00101000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd2_267,
I2 => curr_state_FSM_FFd3_266,
I3 => addra_image(0),
I4 => addra_image(1),
O => Mcount_addra_image1
);
Mcount_addra_image_xor_2_11 : LUT6
generic map(
INIT => X"0010100010001000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd2_267,
I2 => curr_state_FSM_FFd3_266,
I3 => addra_image(2),
I4 => addra_image(0),
I5 => addra_image(1),
O => Mcount_addra_image2
);
layer_map_shift_map_0_shifter_map_Mmux_GND_14_o_GND_14_o_MUX_60_o11 : LUT3
generic map(
INIT => X"10"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_INV_16_o,
O => layer_map_shift_map_0_shifter_map_GND_14_o_GND_14_o_MUX_60_o
);
layer_map_shift_map_0_shifter_map_n0056_inv1 : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
O => layer_map_shift_map_0_shifter_map_n0056_inv
);
layer_map_shift_map_1_shifter_map_Mmux_GND_14_o_GND_14_o_MUX_60_o11 : LUT3
generic map(
INIT => X"10"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_INV_16_o,
O => layer_map_shift_map_1_shifter_map_GND_14_o_GND_14_o_MUX_60_o
);
layer_map_shift_map_1_shifter_map_n0056_inv1 : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
O => layer_map_shift_map_1_shifter_map_n0056_inv
);
layer_map_shift_map_2_shifter_map_Mmux_GND_14_o_GND_14_o_MUX_60_o11 : LUT3
generic map(
INIT => X"10"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_INV_16_o,
O => layer_map_shift_map_2_shifter_map_GND_14_o_GND_14_o_MUX_60_o
);
layer_map_shift_map_2_shifter_map_n0056_inv1 : LUT2
generic map(
INIT => X"1"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
O => layer_map_shift_map_2_shifter_map_n0056_inv
);
layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o8_SW0 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => layer_map_activation_hid_count_map_count(7),
I1 => layer_map_activation_hid_count_map_count(6),
I2 => layer_map_activation_hid_count_map_count(5),
I3 => layer_map_activation_hid_count_map_count(4),
I4 => layer_map_activation_hid_count_map_count(3),
O => N01
);
layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o8 : LUT6
generic map(
INIT => X"4001000000004001"
)
port map (
I0 => N01,
I1 => layer_map_activation_hid_count_map_count(1),
I2 => layer_map_activation_hid_count_map_count(0),
I3 => \Q_n0319_1)\,
I4 => \Q_n0319_3)\,
I5 => layer_map_activation_hid_count_map_count(2),
O => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o
);
layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_13_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_12_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_14_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_15_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_16_Q,
I5 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_17_Q,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q
);
layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_19_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_18_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_20_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_21_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_22_Q,
I5 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_23_Q,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_983
);
layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_0_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q,
I5 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_5_Q,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_984
);
layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_7_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_8_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_9_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_10_Q,
I5 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_11_Q,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_985
);
layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_24_Q,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_26_Q,
I3 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_27_Q,
I4 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_28_Q,
I5 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_986
);
layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_7 : LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q,
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_983,
I2 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_984,
I3 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_985,
I4 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_986,
I5 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_987,
O => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o
);
layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_13_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_12_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_14_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_15_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_16_Q,
I5 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_17_Q,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q
);
layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_19_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_18_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_20_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_21_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_22_Q,
I5 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_23_Q,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_989
);
layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_0_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q,
I5 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_5_Q,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_990
);
layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_7_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_8_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_9_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_10_Q,
I5 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_11_Q,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_991
);
layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_24_Q,
I2 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_26_Q,
I3 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_27_Q,
I4 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_28_Q,
I5 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_992
);
layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_7 : LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q,
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_989,
I2 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_990,
I3 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_991,
I4 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_992,
I5 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_993,
O => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o
);
layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_13_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_12_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_14_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_15_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_16_Q,
I5 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_17_Q,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q
);
layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_19_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_18_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_20_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_21_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_22_Q,
I5 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_23_Q,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_995
);
layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_0_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q,
I5 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_5_Q,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_996
);
layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_7_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_6_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_8_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_9_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_10_Q,
I5 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_11_Q,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_997
);
layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5 : LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_25_Q,
I1 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_24_Q,
I2 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_26_Q,
I3 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_27_Q,
I4 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_28_Q,
I5 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_998
);
layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_7 : LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_Q,
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_1_995,
I2 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_2_996,
I3 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_3_997,
I4 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_4_998,
I5 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o_31_5_999,
O => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o
);
reset_IBUF : IBUF
port map (
I => reset,
O => reset_IBUF_1
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(1),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_1_rt_1002
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(2),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_2_rt_1003
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(3),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_3_rt_1004
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(4),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_4_rt_1005
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(5),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_5_rt_1006
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(6),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_6_rt_1007
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(7),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_7_rt_1008
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(8),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_8_rt_1009
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(9),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_9_rt_1010
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(10),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_10_rt_1011
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(11),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_11_rt_1012
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(12),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_12_rt_1013
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(13),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_13_rt_1014
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(14),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_14_rt_1015
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(15),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_15_rt_1016
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(16),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_16_rt_1017
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(17),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_17_rt_1018
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(18),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_18_rt_1019
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(19),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_19_rt_1020
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(20),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_20_rt_1021
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(21),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_21_rt_1022
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(22),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_22_rt_1023
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(23),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_23_rt_1024
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(24),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_24_rt_1025
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(25),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_25_rt_1026
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(26),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_26_rt_1027
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(27),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_27_rt_1028
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(28),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_28_rt_1029
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(29),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_29_rt_1030
);
Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(30),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_cy_30_rt_1031
);
layer_map_activation_hid_count_map_Mcount_count_cy_6_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(6),
O => layer_map_activation_hid_count_map_Mcount_count_cy_6_rt_1032
);
layer_map_activation_hid_count_map_Mcount_count_cy_5_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(5),
O => layer_map_activation_hid_count_map_Mcount_count_cy_5_rt_1033
);
layer_map_activation_hid_count_map_Mcount_count_cy_4_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(4),
O => layer_map_activation_hid_count_map_Mcount_count_cy_4_rt_1034
);
layer_map_activation_hid_count_map_Mcount_count_cy_3_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(3),
O => layer_map_activation_hid_count_map_Mcount_count_cy_3_rt_1035
);
layer_map_activation_hid_count_map_Mcount_count_cy_2_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(2),
O => layer_map_activation_hid_count_map_Mcount_count_cy_2_rt_1036
);
layer_map_activation_hid_count_map_Mcount_count_cy_1_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(1),
O => layer_map_activation_hid_count_map_Mcount_count_cy_1_rt_1037
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_30_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1038
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1039
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_28_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1040
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_27_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1041
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_26_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1042
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_25_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1043
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1044
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_23_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1045
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_22_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1046
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_21_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1047
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_20_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1048
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1049
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_18_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1050
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_17_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1051
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_16_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1052
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_15_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1053
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1054
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_13_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1055
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_12_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1056
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_11_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1057
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_10_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1058
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1059
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_8_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1060
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_7_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1061
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_6_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1062
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_5_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1063
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1064
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_3_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1065
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_2_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1066
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_1_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1067
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_30_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1068
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1069
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_28_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1070
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_27_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1071
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_26_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1072
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_25_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1073
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1074
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_23_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1075
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_22_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1076
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_21_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1077
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_20_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1078
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1079
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_18_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1080
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_17_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1081
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_16_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1082
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_15_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1083
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1084
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_13_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1085
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_12_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1086
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_11_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1087
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_10_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1088
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1089
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_8_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1090
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_7_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1091
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_6_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1092
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_5_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1093
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1094
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_3_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1095
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_2_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1096
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_1_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1097
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_30_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_30_rt_1098
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_29_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_29_rt_1099
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_28_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_28_rt_1100
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_27_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_27_rt_1101
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_26_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_26_rt_1102
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_25_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_25_rt_1103
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_24_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_24_rt_1104
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_23_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_23_rt_1105
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_22_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_22_rt_1106
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_21_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_21_rt_1107
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_20_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_20_rt_1108
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_19_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_19_rt_1109
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_18_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_18_rt_1110
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_17_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_17_rt_1111
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_16_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_16_rt_1112
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_15_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_15_rt_1113
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_14_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_14_rt_1114
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_13_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_13_rt_1115
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_12_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_12_rt_1116
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_11_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_11_rt_1117
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_10_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_10_rt_1118
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_9_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_9_rt_1119
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_8_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_8_rt_1120
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_7_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_7_rt_1121
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_6_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_6_rt_1122
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_5_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_5_rt_1123
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_4_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_4_rt_1124
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_3_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_3_rt_1125
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_2_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_2_rt_1126
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_1_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_cy_1_rt_1127
);
Madd_transition_num_31_GND_7_o_add_6_OUT_xor_31_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => transition_num(31),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_xor_31_rt_1128
);
layer_map_activation_hid_count_map_Mcount_count_xor_7_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_activation_hid_count_map_count(7),
O => layer_map_activation_hid_count_map_Mcount_count_xor_7_rt_1129
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_31_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1130
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_31_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_1_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1131
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_31_rt : LUT1
generic map(
INIT => X"2"
)
port map (
I0 => layer_map_shift_map_2_shifter_map_shifter_shift_counter_31_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_xor_31_rt_1132
);
layer_map_shift_map_0_shifter_map_shift_over_flag : FDC
port map (
C => clk_BUFGP_0,
CLR => layer_map_shift_map_0_shifter_map_enable_inv,
D => layer_map_shift_map_0_shifter_map_shift_over_flag_rstpot_1133,
Q => layer_map_shift_map_0_shifter_map_shift_over_flag_34
);
layer_map_shift_map_0_shifter_map_shift_over_flag_rstpot : LUT4
generic map(
INIT => X"FF01"
)
port map (
I0 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I1 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I2 => layer_map_shift_map_0_shifter_map_shifter_shift_counter_31_INV_16_o,
I3 => layer_map_shift_map_0_shifter_map_shift_over_flag_34,
O => layer_map_shift_map_0_shifter_map_shift_over_flag_rstpot_1133
);
layer_map_count_en_inv1 : LUT3
generic map(
INIT => X"EB"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
O => layer_map_count_en_inv
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT18 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 0),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_1_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT21 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 10),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_11_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT31 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 11),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_12_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT41 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 12),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_13_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT51 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 13),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_14_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT71 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 15),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT81 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 1),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_2_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT91 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 2),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_3_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT101 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 3),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_4_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT111 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 4),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_5_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT121 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 5),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_6_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT131 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 6),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_7_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT141 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 7),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_8_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT151 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 8),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_9_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT161 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(0, 9),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
I3 => layer_map_shift_map_0_shifter_map_shifter_temp_reg_10_Q,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT18 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 0),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_1_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT21 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 10),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_11_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT31 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 11),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_12_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT41 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 12),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_13_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT51 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 13),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_14_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT71 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 15),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT81 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 1),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_2_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT91 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 2),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_3_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT101 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 3),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_4_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT111 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 4),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_5_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT121 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 5),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_6_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT131 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 6),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_7_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT141 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 7),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_8_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT151 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 8),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_9_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT161 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(1, 9),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
I3 => layer_map_shift_map_1_shifter_map_shifter_temp_reg_10_Q,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT18 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 0),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_1_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_0_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT21 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 10),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_11_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_10_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT31 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 11),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_12_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_11_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT41 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 12),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_13_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_12_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT51 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 13),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_14_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_13_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT71 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 15),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_15_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT81 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 1),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_2_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_1_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT91 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 2),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_3_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_2_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT101 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 3),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_4_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_3_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT111 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 4),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_5_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_4_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT121 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 5),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_6_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_5_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT131 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 6),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_7_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_6_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT141 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 7),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_8_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_7_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT151 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 8),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_9_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_8_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT161 : LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => layer_map_weighted_sum(2, 9),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
I3 => layer_map_shift_map_2_shifter_map_shifter_temp_reg_10_Q,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_9_Q
);
layer_map_shift_map_0_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT61 : LUT3
generic map(
INIT => X"8A"
)
port map (
I0 => layer_map_weighted_sum(0, 14),
I1 => layer_map_shift_map_0_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_0_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_446,
O => layer_map_shift_map_0_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q
);
layer_map_shift_map_1_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT61 : LUT3
generic map(
INIT => X"8A"
)
port map (
I0 => layer_map_weighted_sum(1, 14),
I1 => layer_map_shift_map_1_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_1_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_640,
O => layer_map_shift_map_1_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q
);
layer_map_shift_map_2_shifter_map_Mmux_shifter_temp_reg_15_input_15_mux_4_OUT61 : LUT3
generic map(
INIT => X"8A"
)
port map (
I0 => layer_map_weighted_sum(2, 14),
I1 => layer_map_shift_map_2_shifter_map_GND_14_o_shifter_shift_counter_31_equal_1_o,
I2 => layer_map_shift_map_2_shifter_map_Mcompar_shifter_shift_counter_31_GND_14_o_LessThan_2_o_cy_6_Q_833,
O => layer_map_shift_map_2_shifter_map_shifter_temp_reg_15_input_15_mux_4_OUT_14_Q
);
layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv1 : LUT6
generic map(
INIT => X"FFFFFFFF7FF7EFFE"
)
port map (
I0 => layer_map_activation_hid_count_map_count(1),
I1 => layer_map_activation_hid_count_map_count(0),
I2 => \Q_n0319_3)\,
I3 => layer_map_activation_hid_count_map_count(2),
I4 => \Q_n0319_1)\,
I5 => N01,
O => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o_inv
);
layer_map_ce1 : LUT3
generic map(
INIT => X"4E"
)
port map (
I0 => curr_state_FSM_FFd3_266,
I1 => curr_state_FSM_FFd2_267,
I2 => curr_state_FSM_FFd1_150,
O => layer_map_ce
);
Mmux_GND_7_o_GND_7_o_mux_14_OUT11 : LUT4
generic map(
INIT => X"0010"
)
port map (
I0 => addr_weight_out(0),
I1 => curr_state_FSM_FFd1_150,
I2 => curr_state_FSM_FFd2_267,
I3 => curr_state_FSM_FFd3_266,
O => GND_7_o_GND_7_o_mux_14_OUT(0)
);
Mmux_GND_7_o_GND_7_o_mux_14_OUT31 : LUT6
generic map(
INIT => X"0010100010001000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => addr_weight_out(2),
I4 => addr_weight_out(0),
I5 => addr_weight_out(1),
O => GND_7_o_GND_7_o_mux_14_OUT(2)
);
Mmux_GND_7_o_GND_7_o_mux_14_OUT21 : LUT5
generic map(
INIT => X"00101000"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => addr_weight_out(0),
I4 => addr_weight_out(1),
O => GND_7_o_GND_7_o_mux_14_OUT(1)
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT321 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_9_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_9_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT311 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_8_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_8_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT301 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_7_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_7_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT291 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_6_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_6_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT281 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_5_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_5_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT271 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_4_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_4_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT261 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_3_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_3_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT231 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_2_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_2_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT121 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_1_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_1_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT11 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_0_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_0_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT21 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_10_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_10_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT31 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_11_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_11_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT41 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_12_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_12_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT51 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_13_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_13_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT61 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_14_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_14_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT71 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_15_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_15_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT81 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_16_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_16_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT91 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_17_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_17_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT101 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_18_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_18_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT111 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_19_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_19_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT131 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_20_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_20_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT251 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_31_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_31_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT241 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_30_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_30_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT221 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_29_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_29_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT211 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_28_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_28_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT201 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_27_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_27_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT191 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_26_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_26_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT181 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_25_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_25_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT171 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_24_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_24_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT161 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_23_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_23_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT151 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_22_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_22_Q
);
Mmux_GND_7_o_transition_num_31_mux_7_OUT141 : LUT4
generic map(
INIT => X"AA02"
)
port map (
I0 => transition_num_31_GND_7_o_add_6_OUT_21_Q,
I1 => transition_num(30),
I2 => Mcompar_GND_7_o_transition_num_31_LessThan_6_o_cy_5_Q_323,
I3 => transition_num(31),
O => GND_7_o_transition_num_31_mux_7_OUT_21_Q
);
curr_state_FSM_FFd3_In1 : LUT4
generic map(
INIT => X"7D75"
)
port map (
I0 => curr_state_FSM_FFd2_267,
I1 => curr_state_FSM_FFd1_150,
I2 => curr_state_FSM_FFd3_266,
I3 => layer_map_shift_map_0_shifter_map_shift_over_flag_34,
O => curr_state_FSM_FFd3_In
);
layer_map_shift_map_0_shifter_map_enable_inv1 : LUT4
generic map(
INIT => X"F137"
)
port map (
I0 => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o,
I1 => curr_state_FSM_FFd2_267,
I2 => curr_state_FSM_FFd1_150,
I3 => curr_state_FSM_FFd3_266,
O => layer_map_shift_map_0_shifter_map_enable_inv
);
curr_state_FSM_FFd2_In1 : LUT5
generic map(
INIT => X"B2BEA2AE"
)
port map (
I0 => curr_state_FSM_FFd2_267,
I1 => curr_state_FSM_FFd1_150,
I2 => curr_state_FSM_FFd3_266,
I3 => layer_map_shift_map_0_shifter_map_shift_over_flag_34,
I4 => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o,
O => curr_state_FSM_FFd2_In
);
curr_state_FSM_FFd1_In1 : LUT5
generic map(
INIT => X"7A6A3A2A"
)
port map (
I0 => curr_state_FSM_FFd1_150,
I1 => curr_state_FSM_FFd3_266,
I2 => curr_state_FSM_FFd2_267,
I3 => layer_map_activation_hid_count_map_count_7_num_neurons_7_equal_1_o,
I4 => layer_map_shift_map_0_shifter_map_shift_over_flag_34,
O => curr_state_FSM_FFd1_In
);
clk_BUFGP : BUFGP
port map (
I => clk,
O => clk_BUFGP_0
);
Madd_transition_num_31_GND_7_o_add_6_OUT_lut_0_INV_0 : INV
port map (
I => transition_num(0),
O => Madd_transition_num_31_GND_7_o_add_6_OUT_lut_0_Q
);
layer_map_activation_hid_count_map_Mcount_count_lut_0_INV_0 : INV
port map (
I => layer_map_activation_hid_count_map_count(0),
O => layer_map_activation_hid_count_map_Mcount_count_lut(0)
);
layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_lut_0_INV_0 : INV
port map (
I => layer_map_shift_map_0_shifter_map_shifter_shift_counter_0_Q,
O => layer_map_shift_map_0_shifter_map_Mcount_shifter_shift_counter_lut_0_Q
);
layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_lut_0_INV_0 : INV
port map (
I => layer_map_shift_map_1_shifter_map_shifter_shift_counter_0_Q,
O => layer_map_shift_map_1_shifter_map_Mcount_shifter_shift_counter_lut_0_Q
);
layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_lut_0_INV_0 : INV
port map (
I => layer_map_shift_map_2_shifter_map_shifter_shift_counter_0_Q,
O => layer_map_shift_map_2_shifter_map_Mcount_shifter_shift_counter_lut_0_Q
);
test_image_map : test_image
port map (
clka => clk_BUFGP_0,
wea(0) => dina_image(0),
addra(2) => addra_image(2),
addra(1) => addra_image(1),
addra(0) => addra_image(0),
dina(7) => dina_image(0),
dina(6) => dina_image(0),
dina(5) => dina_image(0),
dina(4) => dina_image(0),
dina(3) => dina_image(0),
dina(2) => dina_image(0),
dina(1) => dina_image(0),
dina(0) => dina_image(0),
douta(7) => image(7),
douta(6) => image(6),
douta(5) => image(5),
douta(4) => image(4),
douta(3) => image(3),
douta(2) => image(2),
douta(1) => image(1),
douta(0) => image(0)
);
weight_hid_map : weight_hid
port map (
clka => clk_BUFGP_0,
wea(0) => dina_image(0),
addra(2) => addra_image(2),
addra(1) => addra_image(1),
addra(0) => addra_image(0),
dina(23) => dina_image(0),
dina(22) => dina_image(0),
dina(21) => dina_image(0),
dina(20) => dina_image(0),
dina(19) => dina_image(0),
dina(18) => dina_image(0),
dina(17) => dina_image(0),
dina(16) => dina_image(0),
dina(15) => dina_image(0),
dina(14) => dina_image(0),
dina(13) => dina_image(0),
dina(12) => dina_image(0),
dina(11) => dina_image(0),
dina(10) => dina_image(0),
dina(9) => dina_image(0),
dina(8) => dina_image(0),
dina(7) => dina_image(0),
dina(6) => dina_image(0),
dina(5) => dina_image(0),
dina(4) => dina_image(0),
dina(3) => dina_image(0),
dina(2) => dina_image(0),
dina(1) => dina_image(0),
dina(0) => dina_image(0),
douta(23) => out_weight_hid(23),
douta(22) => out_weight_hid(22),
douta(21) => out_weight_hid(21),
douta(20) => out_weight_hid(20),
douta(19) => out_weight_hid(19),
douta(18) => out_weight_hid(18),
douta(17) => out_weight_hid(17),
douta(16) => out_weight_hid(16),
douta(15) => out_weight_hid(15),
douta(14) => out_weight_hid(14),
douta(13) => out_weight_hid(13),
douta(12) => out_weight_hid(12),
douta(11) => out_weight_hid(11),
douta(10) => out_weight_hid(10),
douta(9) => out_weight_hid(9),
douta(8) => out_weight_hid(8),
douta(7) => out_weight_hid(7),
douta(6) => out_weight_hid(6),
douta(5) => out_weight_hid(5),
douta(4) => out_weight_hid(4),
douta(3) => out_weight_hid(3),
douta(2) => out_weight_hid(2),
douta(1) => out_weight_hid(1),
douta(0) => out_weight_hid(0)
);
weight_out_map : weight_out
port map (
clka => clk_BUFGP_0,
wea(0) => dina_image(0),
addra(2) => addr_weight_out(2),
addra(1) => addr_weight_out(1),
addra(0) => addr_weight_out(0),
dina(23) => dina_image(0),
dina(22) => dina_image(0),
dina(21) => dina_image(0),
dina(20) => dina_image(0),
dina(19) => dina_image(0),
dina(18) => dina_image(0),
dina(17) => dina_image(0),
dina(16) => dina_image(0),
dina(15) => dina_image(0),
dina(14) => dina_image(0),
dina(13) => dina_image(0),
dina(12) => dina_image(0),
dina(11) => dina_image(0),
dina(10) => dina_image(0),
dina(9) => dina_image(0),
dina(8) => dina_image(0),
dina(7) => dina_image(0),
dina(6) => dina_image(0),
dina(5) => dina_image(0),
dina(4) => dina_image(0),
dina(3) => dina_image(0),
dina(2) => dina_image(0),
dina(1) => dina_image(0),
dina(0) => dina_image(0),
douta(23) => out_weight_out(23),
douta(22) => out_weight_out(22),
douta(21) => out_weight_out(21),
douta(20) => out_weight_out(20),
douta(19) => out_weight_out(19),
douta(18) => out_weight_out(18),
douta(17) => out_weight_out(17),
douta(16) => out_weight_out(16),
douta(15) => out_weight_out(15),
douta(14) => out_weight_out(14),
douta(13) => out_weight_out(13),
douta(12) => out_weight_out(12),
douta(11) => out_weight_out(11),
douta(10) => out_weight_out(10),
douta(9) => out_weight_out(9),
douta(8) => out_weight_out(8),
douta(7) => out_weight_out(7),
douta(6) => out_weight_out(6),
douta(5) => out_weight_out(5),
douta(4) => out_weight_out(4),
douta(3) => out_weight_out(3),
douta(2) => out_weight_out(2),
douta(1) => out_weight_out(1),
douta(0) => out_weight_out(0)
);
layer_map_neuron_map_2_neurons_mul_hid_map : mul_hid
port map (
clk => clk_BUFGP_0,
ce => layer_map_ce,
sclr => dina_image(0),
bypass => dina_image(0),
a(7) => input(7),
a(6) => input(6),
a(5) => input(5),
a(4) => input(4),
a(3) => input(3),
a(2) => input(2),
a(1) => input(1),
a(0) => input(0),
b(7) => weight(2, 7),
b(6) => weight(2, 6),
b(5) => weight(2, 5),
b(4) => weight(2, 4),
b(3) => weight(2, 3),
b(2) => weight(2, 2),
b(1) => weight(2, 1),
b(0) => weight(2, 0),
s(15) => layer_map_weighted_sum(2, 15),
s(14) => layer_map_weighted_sum(2, 14),
s(13) => layer_map_weighted_sum(2, 13),
s(12) => layer_map_weighted_sum(2, 12),
s(11) => layer_map_weighted_sum(2, 11),
s(10) => layer_map_weighted_sum(2, 10),
s(9) => layer_map_weighted_sum(2, 9),
s(8) => layer_map_weighted_sum(2, 8),
s(7) => layer_map_weighted_sum(2, 7),
s(6) => layer_map_weighted_sum(2, 6),
s(5) => layer_map_weighted_sum(2, 5),
s(4) => layer_map_weighted_sum(2, 4),
s(3) => layer_map_weighted_sum(2, 3),
s(2) => layer_map_weighted_sum(2, 2),
s(1) => layer_map_weighted_sum(2, 1),
s(0) => layer_map_weighted_sum(2, 0)
);
layer_map_neuron_map_1_neurons_mul_hid_map : mul_hid
port map (
clk => clk_BUFGP_0,
ce => layer_map_ce,
sclr => dina_image(0),
bypass => dina_image(0),
a(7) => input(7),
a(6) => input(6),
a(5) => input(5),
a(4) => input(4),
a(3) => input(3),
a(2) => input(2),
a(1) => input(1),
a(0) => input(0),
b(7) => weight(1, 7),
b(6) => weight(1, 6),
b(5) => weight(1, 5),
b(4) => weight(1, 4),
b(3) => weight(1, 3),
b(2) => weight(1, 2),
b(1) => weight(1, 1),
b(0) => weight(1, 0),
s(15) => layer_map_weighted_sum(1, 15),
s(14) => layer_map_weighted_sum(1, 14),
s(13) => layer_map_weighted_sum(1, 13),
s(12) => layer_map_weighted_sum(1, 12),
s(11) => layer_map_weighted_sum(1, 11),
s(10) => layer_map_weighted_sum(1, 10),
s(9) => layer_map_weighted_sum(1, 9),
s(8) => layer_map_weighted_sum(1, 8),
s(7) => layer_map_weighted_sum(1, 7),
s(6) => layer_map_weighted_sum(1, 6),
s(5) => layer_map_weighted_sum(1, 5),
s(4) => layer_map_weighted_sum(1, 4),
s(3) => layer_map_weighted_sum(1, 3),
s(2) => layer_map_weighted_sum(1, 2),
s(1) => layer_map_weighted_sum(1, 1),
s(0) => layer_map_weighted_sum(1, 0)
);
layer_map_neuron_map_0_neurons_mul_hid_map : mul_hid
port map (
clk => clk_BUFGP_0,
ce => layer_map_ce,
sclr => dina_image(0),
bypass => dina_image(0),
a(7) => input(7),
a(6) => input(6),
a(5) => input(5),
a(4) => input(4),
a(3) => input(3),
a(2) => input(2),
a(1) => input(1),
a(0) => input(0),
b(7) => weight(0, 7),
b(6) => weight(0, 6),
b(5) => weight(0, 5),
b(4) => weight(0, 4),
b(3) => weight(0, 3),
b(2) => weight(0, 2),
b(1) => weight(0, 1),
b(0) => weight(0, 0),
s(15) => layer_map_weighted_sum(0, 15),
s(14) => layer_map_weighted_sum(0, 14),
s(13) => layer_map_weighted_sum(0, 13),
s(12) => layer_map_weighted_sum(0, 12),
s(11) => layer_map_weighted_sum(0, 11),
s(10) => layer_map_weighted_sum(0, 10),
s(9) => layer_map_weighted_sum(0, 9),
s(8) => layer_map_weighted_sum(0, 8),
s(7) => layer_map_weighted_sum(0, 7),
s(6) => layer_map_weighted_sum(0, 6),
s(5) => layer_map_weighted_sum(0, 5),
s(4) => layer_map_weighted_sum(0, 4),
s(3) => layer_map_weighted_sum(0, 3),
s(2) => layer_map_weighted_sum(0, 2),
s(1) => layer_map_weighted_sum(0, 1),
s(0) => layer_map_weighted_sum(0, 0)
);
layer_map_shift_map_2_shifter_map_acticv_mul_map : acticv_mul
port map (
clk => clk_BUFGP_0,
ce => layer_map_shift_map_2_shifter_map_acticv_mul_en_948,
a(15) => layer_map_shift_map_2_shifter_map_shifted_output_temp_15_Q,
a(14) => layer_map_shift_map_2_shifter_map_shifted_output_temp_14_Q,
a(13) => layer_map_shift_map_2_shifter_map_shifted_output_temp_13_Q,
a(12) => layer_map_shift_map_2_shifter_map_shifted_output_temp_12_Q,
a(11) => layer_map_shift_map_2_shifter_map_shifted_output_temp_11_Q,
a(10) => layer_map_shift_map_2_shifter_map_shifted_output_temp_10_Q,
a(9) => layer_map_shift_map_2_shifter_map_shifted_output_temp_9_Q,
a(8) => layer_map_shift_map_2_shifter_map_shifted_output_temp_8_Q,
a(7) => layer_map_shift_map_2_shifter_map_shifted_output_temp_7_Q,
a(6) => layer_map_shift_map_2_shifter_map_shifted_output_temp_6_Q,
a(5) => layer_map_shift_map_2_shifter_map_shifted_output_temp_5_Q,
a(4) => layer_map_shift_map_2_shifter_map_shifted_output_temp_4_Q,
a(3) => layer_map_shift_map_2_shifter_map_shifted_output_temp_3_Q,
a(2) => layer_map_shift_map_2_shifter_map_shifted_output_temp_2_Q,
a(1) => layer_map_shift_map_2_shifter_map_shifted_output_temp_1_Q,
a(0) => layer_map_shift_map_2_shifter_map_shifted_output_temp_0_Q,
b(15) => layer_map_shift_map_2_shifter_map_input_temp_15_Q,
b(14) => layer_map_shift_map_2_shifter_map_input_temp_14_Q,
b(13) => layer_map_shift_map_2_shifter_map_input_temp_13_Q,
b(12) => layer_map_shift_map_2_shifter_map_input_temp_12_Q,
b(11) => layer_map_shift_map_2_shifter_map_input_temp_11_Q,
b(10) => layer_map_shift_map_2_shifter_map_input_temp_10_Q,
b(9) => layer_map_shift_map_2_shifter_map_input_temp_9_Q,
b(8) => layer_map_shift_map_2_shifter_map_input_temp_8_Q,
b(7) => layer_map_shift_map_2_shifter_map_input_temp_7_Q,
b(6) => layer_map_shift_map_2_shifter_map_input_temp_6_Q,
b(5) => layer_map_shift_map_2_shifter_map_input_temp_5_Q,
b(4) => layer_map_shift_map_2_shifter_map_input_temp_4_Q,
b(3) => layer_map_shift_map_2_shifter_map_input_temp_3_Q,
b(2) => layer_map_shift_map_2_shifter_map_input_temp_2_Q,
b(1) => layer_map_shift_map_2_shifter_map_input_temp_1_Q,
b(0) => layer_map_shift_map_2_shifter_map_input_temp_0_Q,
d(15) => dina_image(0),
d(14) => dina_image(0),
d(13) => dina_image(0),
d(12) => N0,
d(11) => dina_image(0),
d(10) => dina_image(0),
d(9) => dina_image(0),
d(8) => dina_image(0),
d(7) => dina_image(0),
d(6) => dina_image(0),
d(5) => dina_image(0),
d(4) => dina_image(0),
d(3) => dina_image(0),
d(2) => dina_image(0),
d(1) => dina_image(0),
d(0) => dina_image(0),
p(31) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_31_UNCONNECTED,
p(30) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_30_UNCONNECTED,
p(29) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_29_UNCONNECTED,
p(28) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_28_UNCONNECTED,
p(27) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_27_UNCONNECTED,
p(26) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_26_UNCONNECTED,
p(25) => output_hid(2, 7),
p(24) => output_hid(2, 6),
p(23) => output_hid(2, 5),
p(22) => output_hid(2, 4),
p(21) => output_hid(2, 3),
p(20) => output_hid(2, 2),
p(19) => output_hid(2, 1),
p(18) => output_hid(2, 0),
p(17) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_17_UNCONNECTED,
p(16) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_16_UNCONNECTED,
p(15) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_15_UNCONNECTED,
p(14) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_14_UNCONNECTED,
p(13) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_13_UNCONNECTED,
p(12) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_12_UNCONNECTED,
p(11) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_11_UNCONNECTED,
p(10) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_10_UNCONNECTED,
p(9) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_9_UNCONNECTED,
p(8) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_8_UNCONNECTED,
p(7) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_7_UNCONNECTED,
p(6) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_6_UNCONNECTED,
p(5) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_5_UNCONNECTED,
p(4) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_4_UNCONNECTED,
p(3) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_3_UNCONNECTED,
p(2) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_2_UNCONNECTED,
p(1) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_1_UNCONNECTED,
p(0) => NLW_layer_map_shift_map_2_shifter_map_acticv_mul_map_p_0_UNCONNECTED
);
layer_map_shift_map_1_shifter_map_acticv_mul_map : acticv_mul
port map (
clk => clk_BUFGP_0,
ce => layer_map_shift_map_1_shifter_map_acticv_mul_en_755,
a(15) => layer_map_shift_map_1_shifter_map_shifted_output_temp_15_Q,
a(14) => layer_map_shift_map_1_shifter_map_shifted_output_temp_14_Q,
a(13) => layer_map_shift_map_1_shifter_map_shifted_output_temp_13_Q,
a(12) => layer_map_shift_map_1_shifter_map_shifted_output_temp_12_Q,
a(11) => layer_map_shift_map_1_shifter_map_shifted_output_temp_11_Q,
a(10) => layer_map_shift_map_1_shifter_map_shifted_output_temp_10_Q,
a(9) => layer_map_shift_map_1_shifter_map_shifted_output_temp_9_Q,
a(8) => layer_map_shift_map_1_shifter_map_shifted_output_temp_8_Q,
a(7) => layer_map_shift_map_1_shifter_map_shifted_output_temp_7_Q,
a(6) => layer_map_shift_map_1_shifter_map_shifted_output_temp_6_Q,
a(5) => layer_map_shift_map_1_shifter_map_shifted_output_temp_5_Q,
a(4) => layer_map_shift_map_1_shifter_map_shifted_output_temp_4_Q,
a(3) => layer_map_shift_map_1_shifter_map_shifted_output_temp_3_Q,
a(2) => layer_map_shift_map_1_shifter_map_shifted_output_temp_2_Q,
a(1) => layer_map_shift_map_1_shifter_map_shifted_output_temp_1_Q,
a(0) => layer_map_shift_map_1_shifter_map_shifted_output_temp_0_Q,
b(15) => layer_map_shift_map_1_shifter_map_input_temp_15_Q,
b(14) => layer_map_shift_map_1_shifter_map_input_temp_14_Q,
b(13) => layer_map_shift_map_1_shifter_map_input_temp_13_Q,
b(12) => layer_map_shift_map_1_shifter_map_input_temp_12_Q,
b(11) => layer_map_shift_map_1_shifter_map_input_temp_11_Q,
b(10) => layer_map_shift_map_1_shifter_map_input_temp_10_Q,
b(9) => layer_map_shift_map_1_shifter_map_input_temp_9_Q,
b(8) => layer_map_shift_map_1_shifter_map_input_temp_8_Q,
b(7) => layer_map_shift_map_1_shifter_map_input_temp_7_Q,
b(6) => layer_map_shift_map_1_shifter_map_input_temp_6_Q,
b(5) => layer_map_shift_map_1_shifter_map_input_temp_5_Q,
b(4) => layer_map_shift_map_1_shifter_map_input_temp_4_Q,
b(3) => layer_map_shift_map_1_shifter_map_input_temp_3_Q,
b(2) => layer_map_shift_map_1_shifter_map_input_temp_2_Q,
b(1) => layer_map_shift_map_1_shifter_map_input_temp_1_Q,
b(0) => layer_map_shift_map_1_shifter_map_input_temp_0_Q,
d(15) => dina_image(0),
d(14) => dina_image(0),
d(13) => dina_image(0),
d(12) => N0,
d(11) => dina_image(0),
d(10) => dina_image(0),
d(9) => dina_image(0),
d(8) => dina_image(0),
d(7) => dina_image(0),
d(6) => dina_image(0),
d(5) => dina_image(0),
d(4) => dina_image(0),
d(3) => dina_image(0),
d(2) => dina_image(0),
d(1) => dina_image(0),
d(0) => dina_image(0),
p(31) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_31_UNCONNECTED,
p(30) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_30_UNCONNECTED,
p(29) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_29_UNCONNECTED,
p(28) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_28_UNCONNECTED,
p(27) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_27_UNCONNECTED,
p(26) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_26_UNCONNECTED,
p(25) => output_hid(1, 7),
p(24) => output_hid(1, 6),
p(23) => output_hid(1, 5),
p(22) => output_hid(1, 4),
p(21) => output_hid(1, 3),
p(20) => output_hid(1, 2),
p(19) => output_hid(1, 1),
p(18) => output_hid(1, 0),
p(17) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_17_UNCONNECTED,
p(16) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_16_UNCONNECTED,
p(15) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_15_UNCONNECTED,
p(14) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_14_UNCONNECTED,
p(13) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_13_UNCONNECTED,
p(12) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_12_UNCONNECTED,
p(11) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_11_UNCONNECTED,
p(10) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_10_UNCONNECTED,
p(9) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_9_UNCONNECTED,
p(8) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_8_UNCONNECTED,
p(7) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_7_UNCONNECTED,
p(6) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_6_UNCONNECTED,
p(5) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_5_UNCONNECTED,
p(4) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_4_UNCONNECTED,
p(3) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_3_UNCONNECTED,
p(2) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_2_UNCONNECTED,
p(1) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_1_UNCONNECTED,
p(0) => NLW_layer_map_shift_map_1_shifter_map_acticv_mul_map_p_0_UNCONNECTED
);
layer_map_shift_map_0_shifter_map_acticv_mul_map : acticv_mul
port map (
clk => clk_BUFGP_0,
ce => layer_map_shift_map_0_shifter_map_acticv_mul_en_562,
a(15) => layer_map_shift_map_0_shifter_map_shifted_output_temp_15_Q,
a(14) => layer_map_shift_map_0_shifter_map_shifted_output_temp_14_Q,
a(13) => layer_map_shift_map_0_shifter_map_shifted_output_temp_13_Q,
a(12) => layer_map_shift_map_0_shifter_map_shifted_output_temp_12_Q,
a(11) => layer_map_shift_map_0_shifter_map_shifted_output_temp_11_Q,
a(10) => layer_map_shift_map_0_shifter_map_shifted_output_temp_10_Q,
a(9) => layer_map_shift_map_0_shifter_map_shifted_output_temp_9_Q,
a(8) => layer_map_shift_map_0_shifter_map_shifted_output_temp_8_Q,
a(7) => layer_map_shift_map_0_shifter_map_shifted_output_temp_7_Q,
a(6) => layer_map_shift_map_0_shifter_map_shifted_output_temp_6_Q,
a(5) => layer_map_shift_map_0_shifter_map_shifted_output_temp_5_Q,
a(4) => layer_map_shift_map_0_shifter_map_shifted_output_temp_4_Q,
a(3) => layer_map_shift_map_0_shifter_map_shifted_output_temp_3_Q,
a(2) => layer_map_shift_map_0_shifter_map_shifted_output_temp_2_Q,
a(1) => layer_map_shift_map_0_shifter_map_shifted_output_temp_1_Q,
a(0) => layer_map_shift_map_0_shifter_map_shifted_output_temp_0_Q,
b(15) => layer_map_shift_map_0_shifter_map_input_temp_15_Q,
b(14) => layer_map_shift_map_0_shifter_map_input_temp_14_Q,
b(13) => layer_map_shift_map_0_shifter_map_input_temp_13_Q,
b(12) => layer_map_shift_map_0_shifter_map_input_temp_12_Q,
b(11) => layer_map_shift_map_0_shifter_map_input_temp_11_Q,
b(10) => layer_map_shift_map_0_shifter_map_input_temp_10_Q,
b(9) => layer_map_shift_map_0_shifter_map_input_temp_9_Q,
b(8) => layer_map_shift_map_0_shifter_map_input_temp_8_Q,
b(7) => layer_map_shift_map_0_shifter_map_input_temp_7_Q,
b(6) => layer_map_shift_map_0_shifter_map_input_temp_6_Q,
b(5) => layer_map_shift_map_0_shifter_map_input_temp_5_Q,
b(4) => layer_map_shift_map_0_shifter_map_input_temp_4_Q,
b(3) => layer_map_shift_map_0_shifter_map_input_temp_3_Q,
b(2) => layer_map_shift_map_0_shifter_map_input_temp_2_Q,
b(1) => layer_map_shift_map_0_shifter_map_input_temp_1_Q,
b(0) => layer_map_shift_map_0_shifter_map_input_temp_0_Q,
d(15) => dina_image(0),
d(14) => dina_image(0),
d(13) => dina_image(0),
d(12) => N0,
d(11) => dina_image(0),
d(10) => dina_image(0),
d(9) => dina_image(0),
d(8) => dina_image(0),
d(7) => dina_image(0),
d(6) => dina_image(0),
d(5) => dina_image(0),
d(4) => dina_image(0),
d(3) => dina_image(0),
d(2) => dina_image(0),
d(1) => dina_image(0),
d(0) => dina_image(0),
p(31) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_31_UNCONNECTED,
p(30) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_30_UNCONNECTED,
p(29) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_29_UNCONNECTED,
p(28) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_28_UNCONNECTED,
p(27) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_27_UNCONNECTED,
p(26) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_26_UNCONNECTED,
p(25) => output_hid(0, 7),
p(24) => output_hid(0, 6),
p(23) => output_hid(0, 5),
p(22) => output_hid(0, 4),
p(21) => output_hid(0, 3),
p(20) => output_hid(0, 2),
p(19) => output_hid(0, 1),
p(18) => output_hid(0, 0),
p(17) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_17_UNCONNECTED,
p(16) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_16_UNCONNECTED,
p(15) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_15_UNCONNECTED,
p(14) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_14_UNCONNECTED,
p(13) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_13_UNCONNECTED,
p(12) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_12_UNCONNECTED,
p(11) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_11_UNCONNECTED,
p(10) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_10_UNCONNECTED,
p(9) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_9_UNCONNECTED,
p(8) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_8_UNCONNECTED,
p(7) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_7_UNCONNECTED,
p(6) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_6_UNCONNECTED,
p(5) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_5_UNCONNECTED,
p(4) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_4_UNCONNECTED,
p(3) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_3_UNCONNECTED,
p(2) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_2_UNCONNECTED,
p(1) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_1_UNCONNECTED,
p(0) => NLW_layer_map_shift_map_0_shifter_map_acticv_mul_map_p_0_UNCONNECTED
);
end Structure;
-- synthesis translate_on
| bsd-2-clause | c264cd63409017dd686b45d90bd3346c | 0.646291 | 2.615645 | false | false | false | false |
olgirard/openmsp430 | fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/example_design/ram_16x1k_dp_prod.vhd | 1 | 10,695 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: ram_16x1k_dp_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan6
-- C_XDEVICEFAMILY : spartan6
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 2
-- C_BYTE_SIZE : 8
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 1
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 1
-- C_WEA_WIDTH : 2
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 16
-- C_READ_WIDTH_A : 16
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 1
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 1
-- C_WEB_WIDTH : 2
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 16
-- C_READ_WIDTH_B : 16
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 1
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY ram_16x1k_dp_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END ram_16x1k_dp_prod;
ARCHITECTURE xilinx OF ram_16x1k_dp_prod IS
COMPONENT ram_16x1k_dp_exdes IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : ram_16x1k_dp_exdes
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA,
--Port B
ENB => ENB,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
| bsd-3-clause | 727fd4fe1a8ab9604b3af82caa87ba40 | 0.48892 | 3.80605 | false | false | false | false |
olgirard/openmsp430 | core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.vhd | 1 | 5,206 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2009 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file spartan3adsp_pmem.vhd when simulating
-- the core, spartan3adsp_pmem. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY spartan3adsp_pmem IS
port (
clka: IN std_logic;
ena: IN std_logic;
wea: IN std_logic_VECTOR(1 downto 0);
addra: IN std_logic_VECTOR(11 downto 0);
dina: IN std_logic_VECTOR(15 downto 0);
douta: OUT std_logic_VECTOR(15 downto 0));
END spartan3adsp_pmem;
ARCHITECTURE spartan3adsp_pmem_a OF spartan3adsp_pmem IS
-- synthesis translate_off
component wrapped_spartan3adsp_pmem
port (
clka: IN std_logic;
ena: IN std_logic;
wea: IN std_logic_VECTOR(1 downto 0);
addra: IN std_logic_VECTOR(11 downto 0);
dina: IN std_logic_VECTOR(15 downto 0);
douta: OUT std_logic_VECTOR(15 downto 0));
end component;
-- Configuration specification
for all : wrapped_spartan3adsp_pmem use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
generic map(
c_has_regceb => 0,
c_has_regcea => 0,
c_mem_type => 0,
c_rstram_b => 0,
c_rstram_a => 0,
c_has_injecterr => 0,
c_rst_type => "SYNC",
c_prim_type => 1,
c_read_width_b => 16,
c_initb_val => "0",
c_family => "spartan3",
c_read_width_a => 16,
c_disable_warn_bhv_coll => 0,
c_write_mode_b => "WRITE_FIRST",
c_init_file_name => "no_coe_file_loaded",
c_write_mode_a => "WRITE_FIRST",
c_mux_pipeline_stages => 0,
c_has_mem_output_regs_b => 0,
c_has_mem_output_regs_a => 0,
c_load_init_file => 0,
c_xdevicefamily => "spartan3adsp",
c_write_depth_b => 4096,
c_write_depth_a => 4096,
c_has_rstb => 0,
c_has_rsta => 0,
c_has_mux_output_regs_b => 0,
c_inita_val => "0",
c_has_mux_output_regs_a => 0,
c_addra_width => 12,
c_addrb_width => 12,
c_default_data => "0",
c_use_ecc => 0,
c_algorithm => 1,
c_disable_warn_bhv_range => 0,
c_write_width_b => 16,
c_write_width_a => 16,
c_read_depth_b => 4096,
c_read_depth_a => 4096,
c_byte_size => 8,
c_sim_collision_check => "ALL",
c_common_clk => 0,
c_wea_width => 2,
c_has_enb => 0,
c_web_width => 2,
c_has_ena => 1,
c_use_byte_web => 1,
c_use_byte_wea => 1,
c_rst_priority_b => "CE",
c_rst_priority_a => "CE",
c_use_default_data => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_spartan3adsp_pmem
port map (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta);
-- synthesis translate_on
END spartan3adsp_pmem_a;
| bsd-3-clause | 0006b04e169b327c275c60a027fefe95 | 0.564157 | 3.694819 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/OpenSource/tx_Transact.vhd | 1 | 58,569 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library work;
use work.abb64Package.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity tx_Transact is
port (
-- Common ports
trn_clk : IN std_logic;
trn_reset_n : IN std_logic;
trn_lnk_up_n : IN std_logic;
-- Transaction
trn_tsof_n : OUT std_logic;
trn_teof_n : OUT std_logic;
trn_td : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
trn_trem_n : OUT std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
trn_terrfwd_n : OUT std_logic;
trn_tsrc_rdy_n : OUT std_logic;
trn_tdst_rdy_n : IN std_logic;
trn_tsrc_dsc_n : OUT std_logic;
trn_tdst_dsc_n : IN std_logic;
trn_tbuf_av : IN std_logic_vector(C_TBUF_AWIDTH-1 downto 0);
-- Upstream DMA transferred bytes count up
us_DMA_Bytes_Add : OUT std_logic;
us_DMA_Bytes : OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0);
-- Event Buffer FIFO read port
eb_FIFO_re : OUT std_logic;
eb_FIFO_empty : IN std_logic;
eb_FIFO_qout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Read interface for Tx port
Regs_RdAddr : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0);
Regs_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Irpt Channel
Irpt_Req : IN std_logic;
Irpt_RE : OUT std_logic;
Irpt_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- PIO MRd Channel
pioCplD_Req : IN std_logic;
pioCplD_RE : OUT std_logic;
pioCplD_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
pio_FC_stop : OUT std_logic;
-- downstream MRd Channel
dsMRd_Req : IN std_logic;
dsMRd_RE : OUT std_logic;
dsMRd_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- upstream MWr/MRd Channel
usTlp_Req : IN std_logic;
usTlp_RE : OUT std_logic;
usTlp_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
us_FC_stop : OUT std_logic;
us_Last_sof : OUT std_logic;
us_Last_eof : OUT std_logic;
-- Message routing method
Msg_Routing : IN std_logic_vector(C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT downto 0);
-- DDR read port
DDR_rdc_sof : OUT std_logic;
DDR_rdc_eof : OUT std_logic;
DDR_rdc_v : OUT std_logic;
DDR_rdc_FA : OUT std_logic;
DDR_rdc_Shift : OUT std_logic;
DDR_rdc_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : IN std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : OUT std_logic;
DDR_FIFO_Empty : IN std_logic;
DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- DDR_rdD_sof : IN std_logic;
-- DDR_rdD_eof : IN std_logic;
-- DDR_rdDout_V : IN std_logic;
-- DDR_rdDout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Additional
Tx_TimeOut : OUT std_logic;
Tx_eb_TimeOut : OUT std_logic;
Format_Shower : OUT std_logic;
mbuf_UserFull : IN std_logic;
Tx_Reset : IN std_logic;
localID : IN std_logic_vector(C_ID_WIDTH-1 downto 0)
);
end tx_Transact;
architecture Behavioral of tx_Transact is
type TxTrnStates is ( St_TxIdle -- Idle
, St_d_CmdReq -- Issue the read command to MemReader
, St_d_CmdAck -- Wait for the read command ACK from MemReader
, St_d_Header0 -- 1st Header for TLP with payload
, St_d_Header2 -- 2nd Header for TLP with payload
-- , St_d_HeaderPlus -- Extra Header for TLP4 with payload
, St_d_1st_Data -- Last Header for TLP3/4 with payload
, St_d_Payload -- Data for TLP with payload
, St_d_Payload_used -- Data flow from memory buffer discontinued
, St_d_Tail -- Last data for TLP with payload
, St_d_Tail_chk -- Last data extended for TLP with payload
, St_nd_Prepare -- Prepare for 1st Header of TLP without payload
-- , St_nd_Header1 -- 1st Header for TLP without payload
, St_nd_Header2 -- 2nd Header for TLP without payload
-- , St_nd_HeaderPlus -- Extra Header for TLP4 without payload
, St_nd_HeaderLast -- Tail processing for the last dword of TLP w/o payload
, St_nd_Arbitration -- One extra cycle for arbitration
);
-- State variables
signal TxTrn_State : TxTrnStates;
-- Signals with the arbitrator
signal take_an_Arbitration : std_logic;
signal Req_Bundle : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Read_a_Buffer : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Ack_Indice : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Tx_Indicator : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal b1_Tx_Indicator : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal vec_ChQout_Valid : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Tx_Busy : std_logic;
-- Channel buffer output token bits
signal usTLP_is_MWr : std_logic;
signal TLP_is_CplD : std_logic;
-- Bit information, telling whether the outgoing TLP has payload
signal ChBuf_has_Payload : std_logic;
signal ChBuf_No_Payload : std_logic;
-- Channel buffers output OR'ed and registered
signal Trn_Qout_wire : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal Trn_Qout_reg : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- Addresses from different channel buffer
signal mAddr_pioCplD : std_logic_vector(C_PRAM_AWIDTH-1+2 downto 0);
signal mAddr_usTlp : std_logic_vector(C_PRAM_AWIDTH-1+2 downto 0);
signal DDRAddr_usTlp : std_logic_vector(C_DDR_IAWIDTH-1 downto 0);
signal Regs_Addr_pioCplD : std_logic_vector(C_EP_AWIDTH-1 downto 0);
signal DDRAddr_pioCplD : std_logic_vector(C_DDR_IAWIDTH-1 downto 0);
-- BAR number
signal BAR_pioCplD : std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
signal BAR_usTlp : std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
-- Misc. info.
signal AInc_usTlp : std_logic;
signal pioCplD_is_0Leng : std_logic;
-- Delay for requests from Channel Buffers
signal Irpt_Req_r1 : std_logic;
signal pioCplD_Req_r1 : std_logic;
signal dsMRd_Req_r1 : std_logic;
signal usTlp_Req_r1 : std_logic;
-- Registered channel buffer outputs
signal Irpt_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal pioCplD_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal dsMRd_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal usTlp_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal pioCplD_Req_Min_Leng : std_logic;
signal pioCplD_Req_2DW_Leng : std_logic;
signal usTlp_Req_Min_Leng : std_logic;
signal usTlp_Req_2DW_Leng : std_logic;
-- Channel buffer read enables
signal Irpt_RE_i : std_logic;
signal pioCplD_RE_i : std_logic;
signal dsMRd_RE_i : std_logic;
signal usTlp_RE_i : std_logic;
-- Flow controls
signal pio_FC_stop_i : std_logic;
signal us_FC_stop_i : std_logic;
-- Local reset for tx
signal trn_tx_Reset_n : std_logic;
-- Alias for transaction interface signals
signal trn_td_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal trn_tsof_n_i : std_logic;
signal trn_trem_n_i : std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
signal trn_teof_n_i : std_logic;
signal Format_Shower_i : std_logic;
signal trn_tsrc_rdy_n_i : std_logic;
signal trn_tsrc_dsc_n_i : std_logic;
signal trn_terrfwd_n_i : std_logic;
signal trn_tdst_rdy_n_i : std_logic;
signal trn_tdst_dsc_n_i : std_logic;
signal trn_tbuf_av_i : std_logic_vector(C_TBUF_AWIDTH-1 downto 0);
-- Upstream DMA transferred bytes count up
signal us_DMA_Bytes_Add_i : std_logic;
signal us_DMA_Bytes_i : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0);
--------------------- Memory Reader -----------------------------
---
--- Memory reader is the interface to access all sorts of memories
--- BRAM, FIFO, Registers, as well as possible DDR SDRAM
---
-------------------------------------------------------------------
COMPONENT
tx_Mem_Reader
PORT(
DDR_rdc_sof : OUT std_logic;
DDR_rdc_eof : OUT std_logic;
DDR_rdc_v : OUT std_logic;
DDR_rdc_FA : OUT std_logic;
DDR_rdc_Shift : OUT std_logic;
DDR_rdc_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : IN std_logic;
-- DDR_rdD_sof : IN std_logic;
-- DDR_rdD_eof : IN std_logic;
-- DDR_rdDout_V : IN std_logic;
-- DDR_rdDout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_FIFO_RdEn : OUT std_logic;
DDR_FIFO_Empty : IN std_logic;
DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_re : OUT std_logic;
eb_FIFO_empty : IN std_logic;
eb_FIFO_qout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Regs_RdAddr : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0);
Regs_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
RdNumber : IN std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG-1 downto 0);
RdNumber_eq_One : IN std_logic;
RdNumber_eq_Two : IN std_logic;
StartAddr : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Shift_1st_QWord : IN std_logic;
FixedAddr : IN std_logic;
is_CplD : IN std_logic;
BAR_value : IN std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
RdCmd_Req : IN std_logic;
RdCmd_Ack : OUT std_logic;
mbuf_WE : OUT std_logic;
mbuf_Din : OUT std_logic_vector(C_DBUS_WIDTH*9/8-1 downto 0);
mbuf_Full : IN std_logic;
mbuf_aFull : IN std_logic;
mbuf_UserFull : IN std_logic;
Tx_TimeOut : OUT std_logic;
Tx_eb_TimeOut : OUT std_logic;
mReader_Rst_n : IN std_logic;
trn_clk : IN std_logic
);
END COMPONENT;
signal RdNumber : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG-1 downto 0);
signal RdNumber_eq_One : std_logic;
signal RdNumber_eq_Two : std_logic;
signal StartAddr : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal Shift_1st_QWord : std_logic;
signal FixedAddr : std_logic;
signal is_CplD : std_logic;
signal BAR_value : std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
signal RdCmd_Req : std_logic;
signal RdCmd_Ack : std_logic;
--------------------- Memory Buffer -----------------------------
---
--- A unified memory buffer holding the payload for the next tx TLP
--- 34 bits wide, wherein 2 additional framing bits
--- temporarily 64 data depth, possibly deepened.
---
-------------------------------------------------------------------
component
k7_mBuf_128x72
port (
clk : IN std_logic;
rst : IN std_logic;
wr_en : IN std_logic;
din : IN std_logic_VECTOR(C_DBUS_WIDTH*9/8-1 downto 0);
prog_full : OUT std_logic;
full : OUT std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_VECTOR(C_DBUS_WIDTH*9/8-1 downto 0);
empty : OUT std_logic
);
end component;
signal mbuf_reset : std_logic;
signal mbuf_WE : std_logic;
signal mbuf_Din : std_logic_VECTOR(C_DBUS_WIDTH*9/8-1 downto 0);
signal mbuf_Full : std_logic;
signal mbuf_aFull : std_logic;
signal mbuf_RE : std_logic;
signal mbuf_Qout : std_logic_VECTOR(C_DBUS_WIDTH*9/8-1 downto 0);
signal mbuf_Empty : std_logic;
-- Calculated infomation
signal mbuf_RE_ok : std_logic;
signal mbuf_Qvalid : std_logic;
--------------------- Output arbitration ------------------------
---
--- For sake of fairness, the priorities are cycled every time
--- a service is done, after which the priority of the request
--- just serviced is set to the lowest and other lower priorities
--- increased and higher stay.
---
-------------------------------------------------------------------
COMPONENT
Tx_Output_Arbitor
PORT(
rst_n : IN std_logic;
clk : IN std_logic;
arbtake : IN std_logic;
Req : IN std_logic_vector(C_ARBITRATE_WIDTH-1 downto 0);
bufread : OUT std_logic_vector(C_ARBITRATE_WIDTH-1 downto 0);
Ack : OUT std_logic_vector(C_ARBITRATE_WIDTH-1 downto 0)
);
END COMPONENT;
begin
-- Connect outputs
trn_td <= trn_td_i;
trn_tsof_n <= trn_tsof_n_i;
trn_trem_n <= trn_trem_n_i;
trn_teof_n <= trn_teof_n_i;
trn_tsrc_rdy_n <= trn_tsrc_rdy_n_i;
trn_tsrc_dsc_n <= trn_tsrc_dsc_n_i;
trn_terrfwd_n <= trn_terrfwd_n_i;
Format_Shower <= Format_Shower_i;
us_Last_sof <= usTLP_is_MWr and not trn_tsof_n_i;
us_Last_eof <= usTLP_is_MWr and not trn_teof_n_i;
-- Connect inputs
trn_tdst_rdy_n_i <= trn_tdst_rdy_n;
trn_tdst_dsc_n_i <= trn_tdst_dsc_n;
trn_tbuf_av_i <= trn_tbuf_av;
-- Always deasserted
trn_tsrc_dsc_n_i <= '1';
trn_terrfwd_n_i <= '1';
-- trn_trem_n_i <= (OTHERS=>'0');
-- Upstream DMA transferred bytes counting up
us_DMA_Bytes_Add <= us_DMA_Bytes_Add_i;
us_DMA_Bytes <= us_DMA_Bytes_i ;
-- Flow controls
pio_FC_stop <= pio_FC_stop_i;
us_FC_stop <= us_FC_stop_i;
---------------------------------------------------------------------------------
-- Synchronous Calculation: us_FC_stop, pio_FC_stop
--
Synch_Calc_FC_stop:
process ( trn_clk, Tx_Reset)
begin
if Tx_Reset = '1' then
us_FC_stop_i <= '1';
pio_FC_stop_i <= '1';
elsif trn_clk'event and trn_clk = '1' then
if trn_tbuf_av_i(C_TBUF_AWIDTH-1 downto 1) /=C_ALL_ZEROS(C_TBUF_AWIDTH-1 downto 1) then
us_FC_stop_i <= '0';
pio_FC_stop_i <= '0';
else
us_FC_stop_i <= '1';
pio_FC_stop_i <= '1';
end if;
end if;
end process;
-- Channel buffer read enable
Irpt_RE <= Irpt_RE_i;
pioCplD_RE <= pioCplD_RE_i;
dsMRd_RE <= dsMRd_RE_i;
usTlp_RE <= usTlp_RE_i;
-- -----------------------------------
-- Synchronized Local reset
--
Syn_Local_Reset:
process ( trn_clk, trn_reset_n)
begin
if trn_reset_n = '0' then
trn_tx_Reset_n <= '0';
elsif trn_clk'event and trn_clk = '1' then
trn_tx_Reset_n <= trn_tdst_dsc_n_i and not Tx_Reset;
end if;
end process;
-- -----------------------------------
-- Format detector
--
Syn_Format_Shower:
process ( trn_clk, trn_reset_n)
begin
if trn_reset_n = '0' then
Format_Shower_i <= '0';
elsif trn_clk'event and trn_clk = '1' then
if Format_Shower_i = '0' then
if trn_tsof_n_i='0' and trn_tsrc_rdy_n_i='0' and trn_tdst_rdy_n_i='0' then
Format_Shower_i <= '1';
else
Format_Shower_i <= '0';
end if;
else
if trn_teof_n_i='0' and trn_tsrc_rdy_n_i='0' and trn_tdst_rdy_n_i='0' then
Format_Shower_i <= '0';
else
Format_Shower_i <= '1';
end if;
end if;
end if;
end process;
------------------------------------------------------------
--- Memory reader
------------------------------------------------------------
ABB_Tx_MReader:
tx_Mem_Reader
PORT MAP(
DDR_rdc_sof => DDR_rdc_sof , -- OUT std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- OUT std_logic;
DDR_rdc_v => DDR_rdc_v , -- OUT std_logic;
DDR_rdc_FA => DDR_rdc_FA , -- OUT std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- OUT std_logic;
DDR_rdc_din => DDR_rdc_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- IN std_logic;
-- DDR_rdD_sof => DDR_rdD_sof , -- IN std_logic;
-- DDR_rdD_eof => DDR_rdD_eof , -- IN std_logic;
-- DDR_rdDout_V => DDR_rdDout_V , -- IN std_logic;
-- DDR_rdDout => DDR_rdDout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- OUT std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_re => eb_FIFO_re , -- OUT std_logic;
eb_FIFO_empty => eb_FIFO_empty , -- IN std_logic;
eb_FIFO_qout => eb_FIFO_qout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Regs_RdAddr => Regs_RdAddr , -- OUT std_logic_vector(C_EP_AWIDTH-1 downto 0);
Regs_RdQout => Regs_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
RdNumber => RdNumber , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
RdNumber_eq_One => RdNumber_eq_One , -- IN std_logic;
RdNumber_eq_Two => RdNumber_eq_Two , -- IN std_logic;
StartAddr => StartAddr , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Shift_1st_QWord => Shift_1st_QWord , -- IN std_logic;
FixedAddr => '0', -- FixedAddr , -- IN std_logic;
is_CplD => is_CplD , -- IN std_logic;
BAR_value => BAR_value , -- IN std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
RdCmd_Req => RdCmd_Req , -- IN std_logic;
RdCmd_Ack => RdCmd_Ack , -- OUT std_logic;
mbuf_WE => mbuf_WE , -- OUT std_logic;
mbuf_Din => mbuf_Din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
mbuf_Full => mbuf_Full , -- IN std_logic;
mbuf_aFull => mbuf_aFull , -- IN std_logic;
mbuf_UserFull => mbuf_UserFull , -- IN std_logic;
Tx_TimeOut => Tx_TimeOut , -- OUT std_logic;
Tx_eb_TimeOut => Tx_eb_TimeOut , -- OUT std_logic;
mReader_Rst_n => trn_tx_Reset_n , -- IN std_logic;
trn_clk => trn_clk -- IN std_logic
);
------------------------------------------------------------
--- Memory buffer
------------------------------------------------------------
ABB_Tx_MBuffer:
k7_mBuf_128x72
PORT MAP(
wr_en => mbuf_WE , -- IN std_logic;
din => mbuf_Din , -- IN std_logic_VECTOR(C_DBUS_WIDTH+1 downto 0);
prog_full => mbuf_aFull , -- OUT std_logic;
full => mbuf_Full , -- OUT std_logic;
rd_en => mbuf_RE , -- IN std_logic;
dout => mbuf_Qout , -- OUT std_logic_VECTOR(C_DBUS_WIDTH+1 downto 0);
empty => mbuf_Empty , -- OUT std_logic
rst => mbuf_reset, --Tx_Reset , -- IN std_logic;
clk => trn_clk -- IN std_logic;
);
mbuf_RE <= mbuf_RE_ok and (not trn_tdst_rdy_n_i or trn_tsrc_rdy_n_i);
---------------------------------------------------------------------------------
-- Synchronous Delay: mbuf_Qout Valid
--
Synchron_Delay_mbuf_Qvalid:
process ( trn_clk, Tx_Reset)
begin
if Tx_Reset = '1' then
mbuf_Qvalid <= '0';
elsif trn_clk'event and trn_clk = '1' then
if mbuf_Qvalid='0' and mbuf_RE='1' and mbuf_Empty='0' then -- a valid data is going out
mbuf_Qvalid <= '1';
elsif mbuf_Qvalid='1' and mbuf_RE='1' and mbuf_Empty='1' then -- an invalid data is going out
mbuf_Qvalid <= '0';
else -- state stays
mbuf_Qvalid <= mbuf_Qvalid;
end if;
end if;
end process;
------------------------------------------------------------
--- Output arbitration
------------------------------------------------------------
O_Arbitration:
Tx_Output_Arbitor
PORT MAP(
rst_n => trn_tx_Reset_n,
clk => trn_clk,
arbtake => take_an_Arbitration,
Req => Req_Bundle,
bufread => Read_a_Buffer,
Ack => Ack_Indice
);
-----------------------------------------------------
-- Synchronous Delay: Channel Requests
--
Synchron_Delay_ChRequests:
process ( trn_clk )
begin
if trn_clk'event and trn_clk = '1' then
Irpt_Req_r1 <= Irpt_Req;
pioCplD_Req_r1 <= pioCplD_Req;
dsMRd_Req_r1 <= dsMRd_Req;
usTlp_Req_r1 <= usTlp_Req;
end if;
end process;
-----------------------------------------------------
-- Synchronous Delay: Tx_Busy
--
Synchron_Delay_Tx_Busy:
process ( trn_clk )
begin
if trn_clk'event and trn_clk = '1' then
Tx_Indicator <= b1_Tx_Indicator;
Tx_Busy <= (b1_Tx_Indicator(C_CHAN_INDEX_IRPT) and vec_ChQout_Valid(C_CHAN_INDEX_IRPT) )
or (b1_Tx_Indicator(C_CHAN_INDEX_MRD) and vec_ChQout_Valid(C_CHAN_INDEX_MRD) )
or (b1_Tx_Indicator(C_CHAN_INDEX_DMA_DS) and vec_ChQout_Valid(C_CHAN_INDEX_DMA_DS))
or (b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) and vec_ChQout_Valid(C_CHAN_INDEX_DMA_US))
;
end if;
end process;
-- ---------------------------------------------
-- Reg : Channel Buffer Qout has Payload
--
Reg_ChBuf_with_Payload:
process ( trn_clk )
begin
if trn_clk'event and trn_clk = '1' then
ChBuf_has_Payload <= (b1_Tx_Indicator(C_CHAN_INDEX_MRD) and TLP_is_CplD and vec_ChQout_Valid(C_CHAN_INDEX_MRD) )
or (b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) and usTLP_is_MWr and vec_ChQout_Valid(C_CHAN_INDEX_DMA_US))
;
end if;
end process;
-- ---------------------------------------------
-- Channel Buffer Qout has no Payload
-- (! subordinate to ChBuf_has_Payload ! )
--
ChBuf_No_Payload <= Tx_Busy;
-- Arbitrator inputs
Req_Bundle(C_CHAN_INDEX_IRPT) <= Irpt_Req_r1;
Req_Bundle(C_CHAN_INDEX_MRD) <= pioCplD_Req_r1;
Req_Bundle(C_CHAN_INDEX_DMA_DS) <= dsMRd_Req_r1;
Req_Bundle(C_CHAN_INDEX_DMA_US) <= usTlp_Req_r1;
-- Arbitrator outputs
b1_Tx_Indicator(C_CHAN_INDEX_IRPT) <= Ack_Indice(C_CHAN_INDEX_IRPT);
b1_Tx_Indicator(C_CHAN_INDEX_MRD) <= Ack_Indice(C_CHAN_INDEX_MRD);
b1_Tx_Indicator(C_CHAN_INDEX_DMA_DS) <= Ack_Indice(C_CHAN_INDEX_DMA_DS);
b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) <= Ack_Indice(C_CHAN_INDEX_DMA_US);
-- Arbitrator reads channel buffers
Irpt_RE_i <= Read_a_Buffer(C_CHAN_INDEX_IRPT);
pioCplD_RE_i <= Read_a_Buffer(C_CHAN_INDEX_MRD);
dsMRd_RE_i <= Read_a_Buffer(C_CHAN_INDEX_DMA_DS);
usTlp_RE_i <= Read_a_Buffer(C_CHAN_INDEX_DMA_US);
-- determine whether the upstream TLP is an MWr or an MRd.
usTLP_is_MWr <= usTlp_Qout (C_CHBUF_FMT_BIT_TOP);
TLP_is_CplD <= pioCplD_Qout(C_CHBUF_FMT_BIT_TOP);
-- check if the Channel buffer output is valid
vec_ChQout_Valid(C_CHAN_INDEX_IRPT) <= Irpt_Qout (C_CHBUF_QVALID_BIT);
vec_ChQout_Valid(C_CHAN_INDEX_MRD) <= pioCplD_Qout(C_CHBUF_QVALID_BIT);
vec_ChQout_Valid(C_CHAN_INDEX_DMA_DS) <= dsMRd_Qout (C_CHBUF_QVALID_BIT);
vec_ChQout_Valid(C_CHAN_INDEX_DMA_US) <= usTlp_Qout (C_CHBUF_QVALID_BIT);
-- -----------------------------------
-- Delay : Channel_Buffer_Qout
-- Bit-mapping is done
--
Delay_Channel_Buffer_Qout:
process ( trn_clk, trn_tx_Reset_n)
begin
if trn_tx_Reset_n = '0' then
Irpt_Qout_to_TLP <= (Others=>'0');
pioCplD_Qout_to_TLP <= (Others=>'0');
dsMRd_Qout_to_TLP <= (Others=>'0');
usTlp_Qout_to_TLP <= (Others=>'0');
pioCplD_Req_Min_Leng <= '0';
pioCplD_Req_2DW_Leng <= '0';
usTlp_Req_Min_Leng <= '0';
usTlp_Req_2DW_Leng <= '0';
Regs_Addr_pioCplD <= (Others=>'1');
mAddr_pioCplD <= (Others=>'1');
mAddr_usTlp <= (Others=>'1');
AInc_usTlp <= '1';
BAR_pioCplD <= (Others=>'1');
BAR_usTlp <= (Others=>'1');
pioCplD_is_0Leng <= '0';
elsif trn_clk'event and trn_clk = '1' then
if b1_Tx_Indicator(C_CHAN_INDEX_IRPT)='1' then
Irpt_Qout_to_TLP <= (Others=>'0'); -- must be 1st argument
-- 1st header Hi
Irpt_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= Irpt_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
-- Irpt_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_TYPE_OF_MSG; --Irpt_Qout(C_CHBUF_MSGTYPE_BIT_TOP downto C_CHBUF_MSGTYPE_BIT_BOT);
Irpt_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_TYPE_OF_MSG(C_TLP_TYPE_BIT_TOP
downto C_TLP_TYPE_BIT_BOT+1+C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT)
& Msg_Routing;
Irpt_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= Irpt_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
Irpt_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= Irpt_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header Lo
Irpt_Qout_to_TLP(C_TLP_REQID_BIT_TOP downto C_TLP_REQID_BIT_BOT) <= localID;
Irpt_Qout_to_TLP(C_TLP_TAG_BIT_TOP downto C_TLP_TAG_BIT_BOT) <= Irpt_Qout(C_CHBUF_TAG_BIT_TOP downto C_CHBUF_TAG_BIT_BOT);
Irpt_Qout_to_TLP(C_MSG_CODE_BIT_TOP downto C_MSG_CODE_BIT_BOT) <= Irpt_Qout(C_CHBUF_MSG_CODE_BIT_TOP downto C_CHBUF_MSG_CODE_BIT_BOT);
-- 2nd headers all zero
-- ...
else
Irpt_Qout_to_TLP <= (Others=>'0');
end if;
if b1_Tx_Indicator(C_CHAN_INDEX_MRD)='1' then
pioCplD_Qout_to_TLP <= (Others=>'0'); -- must be 1st argument
-- 1st header Hi
pioCplD_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= pioCplD_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_TYPE_COMPLETION; --pioCplD_Qout(C_CHBUF_TYPE_BIT_TOP downto C_CHBUF_TYPE_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= pioCplD_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_ATTR_BIT_TOP downto C_TLP_ATTR_BIT_BOT) <= pioCplD_Qout(C_CHBUF_ATTR_BIT_TOP downto C_CHBUF_ATTR_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= pioCplD_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header Lo
pioCplD_Qout_to_TLP(C_CPLD_CPLT_ID_BIT_TOP downto C_CPLD_CPLT_ID_BIT_BOT) <= localID;
pioCplD_Qout_to_TLP(C_CPLD_CS_BIT_TOP downto C_CPLD_CS_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_CS_BIT_TOP downto C_CHBUF_CPLD_CS_BIT_BOT);
pioCplD_Qout_to_TLP(C_CPLD_BC_BIT_TOP downto C_CPLD_BC_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_BC_BIT_TOP downto C_CHBUF_CPLD_BC_BIT_BOT);
-- 2nd header Hi
pioCplD_Qout_to_TLP(C_DBUS_WIDTH+C_CPLD_REQID_BIT_TOP downto C_DBUS_WIDTH+C_CPLD_REQID_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_REQID_BIT_TOP downto C_CHBUF_CPLD_REQID_BIT_BOT);
pioCplD_Qout_to_TLP(C_DBUS_WIDTH+C_CPLD_TAG_BIT_TOP downto C_DBUS_WIDTH+C_CPLD_TAG_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_TAG_BIT_TOP downto C_CHBUF_CPLD_TAG_BIT_BOT);
pioCplD_Qout_to_TLP(C_DBUS_WIDTH+C_CPLD_LA_BIT_TOP downto C_DBUS_WIDTH+C_CPLD_LA_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_LA_BIT_TOP downto C_CHBUF_CPLD_LA_BIT_BOT);
-- no 2nd header Lo
if pioCplD_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(1, C_TLP_FLD_WIDTH_OF_LENG)
then
pioCplD_Req_Min_Leng <= '1';
else
pioCplD_Req_Min_Leng <= '0';
end if;
if pioCplD_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(2, C_TLP_FLD_WIDTH_OF_LENG)
then
pioCplD_Req_2DW_Leng <= '1';
else
pioCplD_Req_2DW_Leng <= '0';
end if;
-- Misc
Regs_Addr_pioCplD <= pioCplD_Qout(C_CHBUF_PA_BIT_TOP downto C_CHBUF_PA_BIT_BOT);
mAddr_pioCplD <= pioCplD_Qout(C_CHBUF_MA_BIT_TOP downto C_CHBUF_MA_BIT_BOT); -- !! C_CHBUF_MA_BIT_BOT);
DDRAddr_pioCplD <= pioCplD_Qout(C_CHBUF_DDA_BIT_TOP downto C_CHBUF_DDA_BIT_BOT);
BAR_pioCplD <= pioCplD_Qout(C_CHBUF_CPLD_BAR_BIT_TOP downto C_CHBUF_CPLD_BAR_BIT_BOT);
pioCplD_is_0Leng <= pioCplD_Qout(C_CHBUF_0LENG_BIT);
else
pioCplD_Req_Min_Leng <= '0';
pioCplD_Req_2DW_Leng <= '0';
pioCplD_Qout_to_TLP <= (Others=>'0');
Regs_Addr_pioCplD <= (Others=>'1');
mAddr_pioCplD <= (Others=>'1');
DDRAddr_pioCplD <= (Others=>'1');
BAR_pioCplD <= (Others=>'1');
pioCplD_is_0Leng <= '0';
end if;
if b1_Tx_Indicator(C_CHAN_INDEX_DMA_US)='1' then
usTlp_Qout_to_TLP <= (Others=>'0'); -- must be 1st argument
-- 1st header HI
usTlp_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= usTlp_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_ALL_ZEROS(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= usTlp_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_ATTR_BIT_TOP downto C_TLP_ATTR_BIT_BOT) <= usTlp_Qout(C_CHBUF_ATTR_BIT_TOP downto C_CHBUF_ATTR_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= usTlp_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header LO
usTlp_Qout_to_TLP(C_TLP_REQID_BIT_TOP downto C_TLP_REQID_BIT_BOT) <= localID;
usTlp_Qout_to_TLP(C_TLP_TAG_BIT_TOP downto C_TLP_TAG_BIT_BOT) <= usTlp_Qout(C_CHBUF_TAG_BIT_TOP downto C_CHBUF_TAG_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT);
-- 2nd header HI (Address)
-- usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP downto C_CHBUF_HA_BIT_BOT);
if usTlp_Qout(C_CHBUF_FMT_BIT_BOT)='1' then -- 4DW MWr
usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH+32) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP downto C_CHBUF_HA_BIT_BOT+32);
else
usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH+32) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP-32 downto C_CHBUF_HA_BIT_BOT);
end if;
-- 2nd header LO (Address)
usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1-32 downto C_DBUS_WIDTH) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP-32 downto C_CHBUF_HA_BIT_BOT);
--
if usTlp_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(1, C_TLP_FLD_WIDTH_OF_LENG)
then
usTlp_Req_Min_Leng <= '1';
else
usTlp_Req_Min_Leng <= '0';
end if;
if usTlp_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(2, C_TLP_FLD_WIDTH_OF_LENG)
then
usTlp_Req_2DW_Leng <= '1';
else
usTlp_Req_2DW_Leng <= '0';
end if;
-- Misc
DDRAddr_usTlp <= usTlp_Qout(C_CHBUF_DDA_BIT_TOP downto C_CHBUF_DDA_BIT_BOT);
mAddr_usTlp <= usTlp_Qout(C_CHBUF_MA_BIT_TOP downto C_CHBUF_MA_BIT_BOT); -- !! C_CHBUF_MA_BIT_BOT);
AInc_usTlp <= usTlp_Qout(C_CHBUF_AINC_BIT);
BAR_usTlp <= usTlp_Qout(C_CHBUF_DMA_BAR_BIT_TOP downto C_CHBUF_DMA_BAR_BIT_BOT);
else
usTlp_Req_Min_Leng <= '0';
usTlp_Req_2DW_Leng <= '0';
usTlp_Qout_to_TLP <= (Others=>'0');
DDRAddr_usTlp <= (Others=>'1');
mAddr_usTlp <= (Others=>'1');
AInc_usTlp <= '1';
BAR_usTlp <= (Others=>'1');
end if;
if b1_Tx_Indicator(C_CHAN_INDEX_DMA_DS)='1' then
dsMRd_Qout_to_TLP <= (Others=>'0'); -- must be 1st argument
-- 1st header HI
dsMRd_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= dsMRd_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_ALL_ZEROS(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= dsMRd_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_ATTR_BIT_TOP downto C_TLP_ATTR_BIT_BOT) <= dsMRd_Qout(C_CHBUF_ATTR_BIT_TOP downto C_CHBUF_ATTR_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= dsMRd_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header LO
dsMRd_Qout_to_TLP(C_TLP_REQID_BIT_TOP downto C_TLP_REQID_BIT_BOT) <= localID;
dsMRd_Qout_to_TLP(C_TLP_TAG_BIT_TOP downto C_TLP_TAG_BIT_BOT) <= dsMRd_Qout(C_CHBUF_TAG_BIT_TOP downto C_CHBUF_TAG_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT);
-- 2nd header (Address)
dsMRd_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH) <= dsMRd_Qout(C_CHBUF_HA_BIT_TOP downto C_CHBUF_HA_BIT_BOT);
else
dsMRd_Qout_to_TLP <= (Others=>'0');
end if;
end if;
end process;
-- OR-wired channel buffer outputs
Trn_Qout_wire <= Irpt_Qout_to_TLP
or pioCplD_Qout_to_TLP
or dsMRd_Qout_to_TLP
or usTlp_Qout_to_TLP
;
-- ---------------------------------------------------
-- State Machine: Tx output control
--
TxFSM_OutputControl:
process ( trn_clk, trn_tx_Reset_n)
begin
if trn_tx_Reset_n = '0' then
take_an_Arbitration <= '0';
RdNumber <= (Others=>'0');
RdNumber_eq_One <= '0';
RdNumber_eq_Two <= '0';
StartAddr <= (Others=>'0');
Shift_1st_QWord <= '0';
-- FixedAddr <= '0';
is_CplD <= '0';
BAR_value <= (Others=>'0');
RdCmd_Req <= '0';
mbuf_reset <= '1';
mbuf_RE_ok <= '0';
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= (Others=>'0');
trn_trem_n_i <= (Others=>'0');
TxTrn_State <= St_TxIdle;
Trn_Qout_reg <= (Others=>'0');
elsif trn_clk'event and trn_clk = '1' then
case TxTrn_State is
when St_TxIdle =>
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= (Others=>'0');
trn_trem_n_i <= (Others=>'0');
mbuf_RE_ok <= '0';
take_an_Arbitration <= '0';
Trn_Qout_reg <= Trn_Qout_wire;
RdNumber <= Trn_Qout_wire (C_TLP_FLD_WIDTH_OF_LENG-1+32 downto 32);
RdNumber_eq_One <= pioCplD_Req_Min_Leng or usTlp_Req_Min_Leng;
RdNumber_eq_Two <= pioCplD_Req_2DW_Leng or usTlp_Req_2DW_Leng;
-- FixedAddr <= not AInc_usTlp;
-- BAR_value <= BAR_pioCplD and BAR_usTlp;
RdCmd_Req <= ChBuf_has_Payload;
if pioCplD_is_0Leng='1' then
BAR_value <= '0' & CONV_STD_LOGIC_VECTOR(CINT_REGS_SPACE_BAR, C_ENCODE_BAR_NUMBER-1);
StartAddr <= C_ALL_ONES(C_DBUS_WIDTH-1 downto 0) ;
Shift_1st_QWord <= '1';
is_CplD <= '0';
elsif BAR_pioCplD=CONV_STD_LOGIC_VECTOR(CINT_DDR_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= '0' & BAR_pioCplD(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= (C_ALL_ONES(C_DBUS_WIDTH-1 downto C_DDR_IAWIDTH) & DDRAddr_pioCplD);
Shift_1st_QWord <= '1';
is_CplD <= '1';
elsif BAR_pioCplD=CONV_STD_LOGIC_VECTOR(CINT_FIFO_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= '0' & BAR_pioCplD(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= (C_ALL_ONES(C_DBUS_WIDTH-1 downto C_PRAM_AWIDTH+2) & mAddr_pioCplD);
Shift_1st_QWord <= '1';
is_CplD <= '1';
-- elsif BAR_usTlp=CONV_STD_LOGIC_VECTOR(CINT_FIFO_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
-- BAR_value <= '0' & BAR_usTlp(C_ENCODE_BAR_NUMBER-2 downto 0);
-- StartAddr <= C_ALL_ONES(C_DBUS_WIDTH-1 downto C_PRAM_AWIDTH+4) & mAddr_usTlp & "00";
elsif BAR_usTlp=CONV_STD_LOGIC_VECTOR(CINT_DDR_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= '0' & BAR_usTlp(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= C_ALL_ONES(C_DBUS_WIDTH-1 downto C_DDR_IAWIDTH) & DDRAddr_usTlp;
Shift_1st_QWord <= not usTlp_Qout_to_TLP(C_TLP_FMT_BIT_BOT);
is_CplD <= '0';
elsif BAR_usTlp=CONV_STD_LOGIC_VECTOR(CINT_FIFO_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= '0' & BAR_usTlp(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= C_ALL_ONES(C_DBUS_WIDTH-1 downto C_DDR_IAWIDTH) & DDRAddr_usTlp;
Shift_1st_QWord <= not usTlp_Qout_to_TLP(C_TLP_FMT_BIT_BOT);
is_CplD <= '0';
else
BAR_value <= '0' & BAR_pioCplD(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= (C_ALL_ONES(C_DBUS_WIDTH-1 downto C_EP_AWIDTH) & Regs_Addr_pioCplD)
-- and (C_ALL_ONES(C_DBUS_WIDTH-1 downto C_PRAM_AWIDTH+2) & mAddr_usTlp)
;
Shift_1st_QWord <= '1';
is_CplD <= '0';
end if;
if ChBuf_has_Payload = '1' then
TxTrn_State <= St_d_CmdReq;
mbuf_reset <= '0';
elsif ChBuf_No_Payload = '1' then
TxTrn_State <= St_nd_Prepare;
mbuf_reset <= '0';
else
TxTrn_State <= St_TxIdle;
mbuf_reset <= not mbuf_Empty; -- '1';
end if;
--- --- --- --- --- --- --- --- --- --- --- --- ---
--- --- --- --- --- --- --- --- --- --- --- --- ---
when St_nd_Prepare =>
trn_teof_n_i <= '1';
if trn_tdst_rdy_n_i = '0' then
TxTrn_State <= St_nd_Header2; -- St_nd_Header1
trn_tsrc_rdy_n_i <= '0';
trn_tsof_n_i <= '0';
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
else
TxTrn_State <= St_nd_Prepare;
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_td_i <= (Others=>'0');
end if;
when St_nd_Header2 =>
trn_tsrc_rdy_n_i <= '0';
if trn_tdst_rdy_n_i = '1' then
TxTrn_State <= St_nd_Header2;
take_an_Arbitration <= '0';
trn_tsof_n_i <= trn_tsof_n_i;
trn_teof_n_i <= '1';
trn_td_i <= trn_td_i; -- Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
else -- 3DW header
TxTrn_State <= St_nd_HeaderLast;
take_an_Arbitration <= '1';
trn_tsof_n_i <= '1';
trn_teof_n_i <= '0';
if Trn_Qout_reg (C_TLP_FMT_BIT_BOT) = '1' then -- 4DW header
trn_trem_n_i <= X"00";
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH*2-1 downto C_DBUS_WIDTH);
else
trn_trem_n_i <= X"0F";
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1+32 downto C_DBUS_WIDTH) & X"00000000";
end if;
end if;
when St_nd_HeaderLast =>
trn_tsof_n_i <= '1';
take_an_Arbitration <= '0';
if trn_tdst_rdy_n_i = '1' then
TxTrn_State <= St_nd_HeaderLast;
trn_tsrc_rdy_n_i <= '0';
trn_teof_n_i <= '0';
trn_td_i <= trn_td_i;
trn_trem_n_i <= trn_trem_n_i;
else
TxTrn_State <= St_nd_Arbitration; -- St_TxIdle;
trn_tsrc_rdy_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= trn_td_i;
trn_trem_n_i <= trn_trem_n_i;
end if;
when St_nd_Arbitration =>
trn_tsof_n_i <= '1';
TxTrn_State <= St_TxIdle;
trn_tsrc_rdy_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= trn_td_i;
trn_trem_n_i <= (OTHERS=>'0');
--- --- --- --- --- --- --- --- --- --- --- --- ---
--- --- --- --- --- --- --- --- --- --- --- --- ---
when St_d_CmdReq =>
if RdCmd_Ack = '1' then
RdCmd_Req <= '0';
TxTrn_State <= St_d_CmdAck;
else
RdCmd_Req <= '1';
TxTrn_State <= St_d_CmdReq;
end if;
when St_d_CmdAck =>
trn_teof_n_i <= '1';
if mbuf_Empty = '0' and trn_tdst_rdy_n_i = '0' then
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_td_i <= (Others=>'0');
mbuf_RE_ok <= '1';
TxTrn_State <= St_d_Header0; -- St_d_Header1
else
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_td_i <= (Others=>'0');
mbuf_RE_ok <= '0';
TxTrn_State <= St_d_CmdAck;
end if;
when St_d_Header0 =>
if trn_tdst_rdy_n_i = '0' then
take_an_Arbitration <= '1';
trn_tsrc_rdy_n_i <= '0';
trn_tsof_n_i <= '0';
trn_teof_n_i <= '1';
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
mbuf_RE_ok <= not Trn_Qout_reg (C_TLP_FMT_BIT_BOT); -- '1'; -- 4DW
TxTrn_State <= St_d_Header2;
else
take_an_Arbitration <= '0';
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= trn_td_i;
mbuf_RE_ok <= '0';
TxTrn_State <= St_d_Header0;
end if;
when St_d_Header2 =>
trn_tsrc_rdy_n_i <= '0';
trn_trem_n_i <= (OTHERS=>'0');
take_an_Arbitration <= '0';
if trn_tdst_rdy_n_i = '1' then
TxTrn_State <= St_d_Header2;
-- trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1+32 downto 32);
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
trn_tsof_n_i <= '0';
trn_teof_n_i <= '1';
mbuf_RE_ok <= not Trn_Qout_reg (C_TLP_FMT_BIT_BOT);
elsif Trn_Qout_reg (C_TLP_FMT_BIT_BOT) = '1' then -- 4DW header
TxTrn_State <= St_d_1st_Data; -- St_d_HeaderPlus;
-- trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1+96 downto 96);
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH*2-1 downto C_DBUS_WIDTH);
trn_tsof_n_i <= '1';
trn_teof_n_i <= '1';
mbuf_RE_ok <= '1';
else -- 3DW header
-- trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH-1+64 downto 64);
trn_td_i <= Trn_Qout_reg (C_DBUS_WIDTH*2-1 downto C_DBUS_WIDTH+32)
& mbuf_Qout(C_DBUS_WIDTH-1-32 downto 0);
trn_tsof_n_i <= '1';
trn_teof_n_i <= mbuf_Qout(C_DBUS_WIDTH);
mbuf_RE_ok <= not trn_tsrc_rdy_n_i and mbuf_Qout(C_DBUS_WIDTH);
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
else
TxTrn_State <= St_d_1st_Data;
end if;
end if;
when St_d_1st_Data =>
mbuf_RE_ok <= not trn_tsrc_rdy_n_i and mbuf_Qout(C_DBUS_WIDTH);
-- trn_tsof_n_i <= '1';
take_an_Arbitration <= '0';
if trn_tdst_rdy_n_i = '1' then
TxTrn_State <= St_d_1st_Data;
trn_teof_n_i <= '1';
trn_td_i <= trn_td_i;
trn_tsrc_rdy_n_i <= '0';
elsif mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
trn_teof_n_i <= '0';
trn_trem_n_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
trn_tsrc_rdy_n_i <= not mbuf_Qvalid; -- '0';
elsif mbuf_Qvalid = '0' then
TxTrn_State <= St_d_Payload_used;
trn_teof_n_i <= '1';
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
trn_tsrc_rdy_n_i <= '1';
else
TxTrn_State <= St_d_Payload;
trn_teof_n_i <= '1';
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
trn_tsrc_rdy_n_i <= '0';
end if;
when St_d_Payload =>
mbuf_RE_ok <= '1';
-- trn_tsof_n_i <= '1';
take_an_Arbitration <= '0';
if trn_tdst_rdy_n_i='1' then
trn_td_i <= trn_td_i;
trn_teof_n_i <= trn_teof_n_i;
trn_trem_n_i <= trn_trem_n_i;
trn_tsrc_rdy_n_i <= '0';
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail;
elsif mbuf_Qvalid='1' then
TxTrn_State <= St_d_Payload;
else
TxTrn_State <= St_d_Payload_used;
end if;
else
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
trn_teof_n_i <= mbuf_Qout(C_DBUS_WIDTH);
trn_tsrc_rdy_n_i <= mbuf_Qout(C_DBUS_WIDTH) and not mbuf_Qvalid;
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
trn_trem_n_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
elsif mbuf_Qvalid='1' then
trn_trem_n_i <= (OTHERS=>'0');
TxTrn_State <= St_d_Payload;
else
trn_trem_n_i <= (OTHERS=>'0');
TxTrn_State <= St_d_Payload_used;
end if;
end if;
when St_d_Payload_used =>
mbuf_RE_ok <= '1';
take_an_Arbitration <= '0';
-- trn_tsof_n_i <= '1';
if trn_tsrc_rdy_n_i='0' then
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
trn_tsrc_rdy_n_i <= not mbuf_Qvalid and not trn_tdst_rdy_n_i;
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
trn_teof_n_i <= '0';
trn_trem_n_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
else
trn_teof_n_i <= '1';
trn_trem_n_i <= (OTHERS=>'0');
end if;
if mbuf_Qvalid='1' then
TxTrn_State <= St_d_Payload;
else
TxTrn_State <= St_d_Payload_used;
end if;
elsif mbuf_Qvalid='1' then
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
trn_tsrc_rdy_n_i <= '0';
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
trn_teof_n_i <= '0';
trn_trem_n_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
else
trn_teof_n_i <= '1';
trn_trem_n_i <= (OTHERS=>'0');
end if;
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
else
TxTrn_State <= St_d_Payload;
end if;
else
TxTrn_State <= St_d_Payload_used;
trn_td_i <= trn_td_i;
trn_teof_n_i <= trn_teof_n_i;
trn_trem_n_i <= trn_trem_n_i;
trn_tsrc_rdy_n_i <= '1';
end if;
when St_d_Tail =>
take_an_Arbitration <= '0';
mbuf_RE_ok <= '0';
-- trn_tsof_n_i <= '1';
trn_tsrc_rdy_n_i <= '0';
if trn_tdst_rdy_n_i = '1' then
TxTrn_State <= St_d_Tail;
trn_teof_n_i <= trn_teof_n_i;
trn_trem_n_i <= trn_trem_n_i;
trn_td_i <= trn_td_i;
else
TxTrn_State <= St_d_Tail_chk;
trn_teof_n_i <= '0';
trn_trem_n_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
trn_td_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
end if;
when St_d_Tail_chk =>
take_an_Arbitration <= '0';
mbuf_RE_ok <= '0';
-- trn_tsof_n_i <= '1';
if trn_tdst_rdy_n_i = '1' then
trn_tsrc_rdy_n_i <= '0';
trn_teof_n_i <= '0';
trn_trem_n_i <= trn_trem_n_i;
trn_td_i <= trn_td_i;
TxTrn_State <= St_d_Tail_chk;
else
trn_tsrc_rdy_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= (Others=>'0');
trn_trem_n_i <= (Others=>'0');
TxTrn_State <= St_TxIdle;
end if;
when Others =>
take_an_Arbitration <= '0';
RdNumber <= (Others=>'0');
RdNumber_eq_One <= '0';
RdNumber_eq_Two <= '0';
StartAddr <= (Others=>'0');
-- FixedAddr <= '0';
BAR_value <= (Others=>'0');
RdCmd_Req <= '0';
mbuf_reset <= '0';
mbuf_RE_ok <= '0';
trn_tsrc_rdy_n_i <= '1';
trn_tsof_n_i <= '1';
trn_teof_n_i <= '1';
trn_td_i <= (Others=>'0');
trn_trem_n_i <= (Others=>'0');
TxTrn_State <= St_TxIdle;
end case;
end if;
end process;
---------------------------------------------------------------------------------
-- Synchronous Accumulation: us_DMA_Bytes
--
Synch_Acc_us_DMA_Bytes:
process ( trn_clk )
begin
if trn_clk'event and trn_clk = '1' then
us_DMA_Bytes_i <= '0' & trn_td_i(32+C_TLP_FLD_WIDTH_OF_LENG-1 downto 32) & "00";
if trn_td_i(C_TLP_FMT_BIT_TOP) = '1'
and trn_td_i(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT)
= C_ALL_ZEROS(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) then
us_DMA_Bytes_Add_i <= not trn_tsof_n_i
and not trn_tsrc_rdy_n_i
and not trn_tdst_rdy_n_i
;
else
us_DMA_Bytes_Add_i <= '0';
end if;
end if;
end process;
end architecture Behavioral;
| gpl-2.0 | f20fa668d79168b743797abdfe5a9e87 | 0.457341 | 3.397865 | false | false | false | false |
saidwivedi/Face-Recognition-Hardware | ANN_FPGA/shifter_tb.vhd | 1 | 1,505 |
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY shifter_tb IS
END shifter_tb;
ARCHITECTURE behavior OF shifter_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT shifter
PORT(
clk : IN std_logic;
input : IN std_logic_vector(15 downto 0);
enable : IN std_logic;
active_output : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal input : std_logic_vector(15 downto 0) := (others => '0');
signal enable : std_logic := '0';
--Outputs
signal active_output : std_logic_vector(31 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: shifter PORT MAP (
clk => clk,
input => input,
enable => enable,
active_output => active_output
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
enable <= '0';
wait for clk_period;
enable <= '1';
input <= "0000000001111000";
-- wait for clk_period*3;
--
-- input <= "0000000000000111";
wait for clk_period;
input <= "0000000000000000";
wait;
end process;
END;
| bsd-2-clause | b155977355e7516bf9473099721e394f | 0.583389 | 3.697789 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_xadc_wiz_0_0/axi_lite_ipif_v1_01_a/hdl/src/vhdl/cpu_xadc_wiz_0_0_address_decoder.vhd | 1 | 23,175 | -------------------------------------------------------------------------------
-- Address Decoder - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: address_decoder.vhd
-- Version: v1.01.a
-- Description: Address decoder utilizing unconstrained arrays for Base
-- Address specification and ce number.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 08/09/2010 --
-- - updated the core with optimziation. Closed CR 574507
-- - combined the CE generation logic to further optimize the code.
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.cpu_xadc_wiz_0_0_proc_common_pkg.all;
use work.cpu_xadc_wiz_0_0_pselect_f;
use work.cpu_xadc_wiz_0_0_ipif_pkg.all;
use work.cpu_xadc_wiz_0_0_family_support.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_BUS_AWIDTH -- Address bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- Bus_clk -- Clock
-- Bus_rst -- Reset
-- Address_In_Erly -- Adddress in
-- Address_Valid_Erly -- Address is valid
-- Bus_RNW -- Read or write registered
-- Bus_RNW_Erly -- Read or Write
-- CS_CE_ld_enable -- chip select and chip enable registered
-- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear
-- RW_CE_ld_enable -- Read or Write Chip Enable
-- CS_for_gaps -- CS generation for the gaps between address ranges
-- CS_Out -- Chip select
-- RdCE_Out -- Read Chip enable
-- WrCE_Out -- Write chip enable
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity cpu_xadc_wiz_0_0_address_decoder is
generic (
C_BUS_AWIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF";
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE :=
(
X"0000_0000_1000_0000", -- IP user0 base address
X"0000_0000_1000_01FF", -- IP user0 high address
X"0000_0000_1000_0200", -- IP user1 base address
X"0000_0000_1000_02FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
8, -- User0 CE Number
1 -- User1 CE Number
);
C_FAMILY : string := "virtex6"
);
port (
Bus_clk : in std_logic;
Bus_rst : in std_logic;
-- PLB Interface signals
Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1);
Address_Valid_Erly : in std_logic;
Bus_RNW : in std_logic;
Bus_RNW_Erly : in std_logic;
-- Registering control signals
CS_CE_ld_enable : in std_logic;
Clear_CS_CE_Reg : in std_logic;
RW_CE_ld_enable : in std_logic;
CS_for_gaps : out std_logic;
-- Decode output signals
CS_Out : out std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
RdCE_Out : out std_logic_vector
(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
WrCE_Out : out std_logic_vector
(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1)
);
end entity cpu_xadc_wiz_0_0_address_decoder;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of cpu_xadc_wiz_0_0_address_decoder is
-- local type declarations ----------------------------------------------------
type decode_bit_array_type is Array(natural range 0 to (
(C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of
integer;
type short_addr_array_type is Array(natural range 0 to
C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of
std_logic_vector(0 to C_BUS_AWIDTH-1);
-------------------------------------------------------------------------------
-- Function Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- This function converts a 64 bit address range array to a AWIDTH bit
-- address range array.
-------------------------------------------------------------------------------
function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE;
awidth : integer)
return short_addr_array_type is
variable temp_addr : std_logic_vector(0 to 63);
variable slv_array : short_addr_array_type;
begin
for array_index in 0 to slv64_addr_array'length-1 loop
temp_addr := slv64_addr_array(array_index);
slv_array(array_index) := temp_addr((64-awidth) to 63);
end loop;
return(slv_array);
end function slv64_2_slv_awidth;
-------------------------------------------------------------------------------
--Function Addr_bits
--function to convert an address range (base address and an upper address)
--into the number of upper address bits needed for decoding a device
--select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1))
return integer is
variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
addr_nor := x xor y;
for i in 0 to C_BUS_AWIDTH-1 loop
if addr_nor(i)='1' then
return i;
end if;
end loop;
--coverage off
return(C_BUS_AWIDTH);
--coverage on
end function Addr_Bits;
-------------------------------------------------------------------------------
--Function Get_Addr_Bits
--function calculates the array which has the decode bits for the each address
--range.
-------------------------------------------------------------------------------
function Get_Addr_Bits (baseaddrs : short_addr_array_type)
return decode_bit_array_type is
variable num_bits : decode_bit_array_type;
begin
for i in 0 to ((baseaddrs'length)/2)-1 loop
num_bits(i) := Addr_Bits (baseaddrs(i*2),
baseaddrs(i*2+1));
end loop;
return(num_bits);
end function Get_Addr_Bits;
-------------------------------------------------------------------------------
-- NEEDED_ADDR_BITS
--
-- Function Description:
-- This function calculates the number of address bits required
-- to support the CE generation logic. This is determined by
-- multiplying the number of CEs for an address space by the
-- data width of the address space (in bytes). Each address
-- space entry is processed and the biggest of the spaces is
-- used to set the number of address bits required to be latched
-- and used for CE decoding. A minimum value of 1 is returned by
-- this function.
--
-------------------------------------------------------------------------------
function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE)
return integer is
constant NUM_CE_ENTRIES : integer := CE_ARRAY'length;
variable biggest : integer := 2;
variable req_ce_addr_size : integer := 0;
variable num_addr_bits : integer := 0;
begin
for i in 0 to NUM_CE_ENTRIES-1 loop
req_ce_addr_size := ce_array(i) * 4;
if (req_ce_addr_size > biggest) Then
biggest := req_ce_addr_size;
end if;
end loop;
num_addr_bits := clog2(biggest);
return(num_addr_bits);
end function NEEDED_ADDR_BITS;
-----------------------------------------------------------------------------
-- Function calc_high_address
--
-- This function is used to calculate the high address of the each address
-- range
-----------------------------------------------------------------------------
function calc_high_address (high_address : short_addr_array_type;
index : integer) return std_logic_vector is
variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then
calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31);
else
calc_high_addr := high_address(index*2+2);
end if;
return(calc_high_addr);
end function calc_high_address;
----------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type :=
slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY,
C_BUS_AWIDTH);
constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2;
constant DECODE_BITS : decode_bit_array_type :=
Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY);
constant NUM_CE_SIGNALS : integer :=
calc_num_ce(C_ARD_NUM_CE_ARRAY);
constant NUM_S_H_ADDR_BITS : integer :=
needed_addr_bits(C_ARD_NUM_CE_ARRAY);
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal pselect_hit_i : std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal cs_out_i : std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); --
signal cs_ce_clr : std_logic;
signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1);
signal Bus_RNW_reg : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-- Register clears
cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg;
addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS
to C_BUS_AWIDTH-1);
-------------------------------------------------------------------------------
-- MEM_DECODE_GEN: Universal Address Decode Block
-------------------------------------------------------------------------------
MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate
---------------
constant CE_INDEX_START : integer
:= calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index);
constant CE_ADDR_SIZE : Integer range 0 to 15
:= clog2(C_ARD_NUM_CE_ARRAY(bar_index));
constant OFFSET : integer := 2;
constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1)
:= ARD_ADDR_RANGE_ARRAY(bar_index*2+1);
constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1)
:= calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index);
--constant DECODE_BITS_0 : integer:= DECODE_BITS(0);
---------
begin
---------
-- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address
-- -----------------
GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate
-- Instantiate the basic Base Address Decoders
MEM_SELECT_I: entity work.cpu_xadc_wiz_0_0_pselect_f
generic map
(
C_AB => DECODE_BITS(bar_index),
C_AW => C_BUS_AWIDTH,
C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2),
C_FAMILY => C_FAMILY
)
port map
(
A => Address_In_Erly, -- [in]
AValid => Address_Valid_Erly, -- [in]
CS => pselect_hit_i(bar_index) -- [out]
);
end generate GEN_FOR_MULTI_CS;
-- GEN_FOR_ONE_CS: below logic decodes the CS for single address range
-- ---------------
GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate
pselect_hit_i(bar_index) <= Address_Valid_Erly;
end generate GEN_FOR_ONE_CS;
-- Instantate backend registers for the Chip Selects
BKEND_CS_REG : process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then
cs_out_i(bar_index) <= '0';
elsif(CS_CE_ld_enable='1')then
cs_out_i(bar_index) <= pselect_hit_i(bar_index);
end if;
end if;
end process BKEND_CS_REG;
-------------------------------------------------------------------------
-- PER_CE_GEN: Now expand the individual CEs for each base address.
-------------------------------------------------------------------------
PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate
-----------
begin
-----------
----------------------------------------------------------------------
-- CE decoders for multiple CE's
----------------------------------------------------------------------
MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate
constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
CE_I : entity work.cpu_xadc_wiz_0_0_pselect_f
generic map (
C_AB => CE_ADDR_SIZE ,
C_AW => CE_ADDR_SIZE ,
C_BAR => BAR ,
C_FAMILY => C_FAMILY
)
port map (
A => addr_out_s_h
(NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE
to NUM_S_H_ADDR_BITS - OFFSET - 1) ,
AValid => pselect_hit_i(bar_index) ,
CS => ce_expnd_i(CE_INDEX_START+j)
);
end generate MULTIPLE_CES_THIS_CS_GEN;
--------------------------------------
----------------------------------------------------------------------
-- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE
----------------------------------------------------------------------
SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate
ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index);
end generate;
-------------
end generate PER_CE_GEN;
------------------------
end generate MEM_DECODE_GEN;
-- RNW_REG_P: Register the incoming RNW signal at the time of registering the
-- address. This is need to generate the CE's separately.
RNW_REG_P:process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(RW_CE_ld_enable='1')then
Bus_RNW_reg <= Bus_RNW_Erly;
end if;
end if;
end process RNW_REG_P;
---------------------------------------------------------------------------
-- GEN_BKEND_CE_REGISTERS
-- This ForGen implements the backend registering for
-- the CE, RdCE, and WrCE output buses.
---------------------------------------------------------------------------
GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate
signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
------
begin
------
BKEND_RDCE_REG : process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(cs_ce_clr='1')then
ce_out_i(ce_index) <= '0';
elsif(RW_CE_ld_enable='1')then
ce_out_i(ce_index) <= ce_expnd_i(ce_index);
end if;
end if;
end process BKEND_RDCE_REG;
rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg;
wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg;
-------------------------------
end generate GEN_BKEND_CE_REGISTERS;
-------------------------------------------------------------------------------
CS_for_gaps <= '0'; -- Removed the GAP adecoder logic
---------------------------------
CS_Out <= cs_out_i ;
RdCE_Out <= rdce_out_i ;
WrCE_Out <= wrce_out_i ;
end architecture IMP;
| gpl-3.0 | f8c7bed4a2f5803ca32df3bc419bfcc1 | 0.42753 | 4.686552 | false | false | false | false |
peteut/nvc | test/regress/stack1.vhd | 2 | 432 | entity stack1 is
end entity;
architecture arch of stack1 is
signal clk : bit;
begin
process
variable cnt : natural;
begin
if(clk='0') then
clk <= '1';
else
clk <= '0';
end if;
if now < 50 ns then
wait for 2 ns;
end if;
cnt := cnt + 1;
if cnt = 1000000 then
wait;
end if;
end process;
end arch;
| gpl-3.0 | 8bea37f3af98f42befc584b56a6a7fe8 | 0.462963 | 4.037383 | false | false | false | false |
olgirard/openmsp430 | fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/example_design/ram_16x1k_sp_exdes.vhd | 1 | 4,750 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ram_16x1k_sp_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY ram_16x1k_sp_exdes IS
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END ram_16x1k_sp_exdes;
ARCHITECTURE xilinx OF ram_16x1k_sp_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT ram_16x1k_sp IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bmg0 : ram_16x1k_sp
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA_buf
);
END xilinx;
| bsd-3-clause | c5572105039954183bb759e5ab47f569 | 0.563789 | 4.580521 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_xadc_wiz_0_0/proc_common_v3_00_a/hdl/src/vhdl/cpu_xadc_wiz_0_0_pselect_f.vhd | 1 | 12,520 | -------------------------------------------------------------------------------
-- cpu_xadc_wiz_0_0_pselect_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: cpu_xadc_wiz_0_0_pselect_f.vhd
--
-- Description:
-- (Note: At least as early as I.31, XST implements a carry-
-- chain structure for most decoders when these are coded in
-- inferrable VHLD. An example of such code can be seen
-- below in the "INFERRED_GEN" Generate Statement.
--
-- -> New code should not need to instantiate pselect-type
-- components.
--
-- -> Existing code can be ported to Virtex5 and later by
-- replacing pselect instances by pselect_f instances.
-- As long as the C_FAMILY parameter is not included
-- in the Generic Map, an inferred implementation
-- will result.
--
-- -> If the designer wishes to force an explicit carry-
-- chain implementation, pselect_f can be used with
-- the C_FAMILY parameter set to the target
-- Xilinx FPGA family.
-- )
--
-- Parameterizeable peripheral select (address decode).
-- AValid qualifier comes in on Carry In at bottom
-- of carry chain.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: cpu_xadc_wiz_0_0_pselect_f.vhd
-- cpu_xadc_wiz_0_0_family_support.vhd
--
-------------------------------------------------------------------------------
-- History:
-- Vaibhav & FLO 05/26/06 First Version
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Changed proc_common library version to v3_00_a
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library work;
use work.cpu_xadc_wiz_0_0_family_support.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- CS -- peripheral select
-------------------------------------------------------------------------------
entity cpu_xadc_wiz_0_0_pselect_f is
generic (
C_AB : integer := 9;
C_AW : integer := 32;
C_BAR : std_logic_vector;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
CS : out std_logic
);
end entity cpu_xadc_wiz_0_0_pselect_f;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of cpu_xadc_wiz_0_0_pselect_f is
component MUXCY is
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component MUXCY;
constant NLS : natural := native_lut_size(C_FAMILY);
constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY)
or NLS=0 -- LUT not supported.
or C_AB <= NLS; -- Just one LUT
-- needed.
-----------------------------------------------------------------------------
-- C_BAR may not be indexed from 0 and may not be ascending;
-- BAR recasts C_BAR to have these properties.
-----------------------------------------------------------------------------
constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR;
type bo2sl_type is array (boolean) of std_logic;
constant bo2sl : bo2sl_type := (false => '0', true => '1');
function min(i, j: integer) return integer is
begin
if i<j then return i; else return j; end if;
end;
begin
------------------------------------------------------------------------------
-- Check that the generics are valid.
------------------------------------------------------------------------------
-- synthesis translate_off
assert (C_AB <= C_BAR'length) and (C_AB <= C_AW)
report "cpu_xadc_wiz_0_0_pselect_f generic error: " &
"(C_AB <= C_BAR'length) and (C_AB <= C_AW)" &
" does not hold."
severity failure;
-- synthesis translate_on
------------------------------------------------------------------------------
-- Build a behavioral decoder
------------------------------------------------------------------------------
INFERRED_GEN : if (USE_INFERRED = TRUE ) generate
begin
XST_WA:if C_AB > 0 generate
CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else
'0' ;
end generate XST_WA;
PASS_ON_GEN:if C_AB = 0 generate
CS <= AValid ;
end generate PASS_ON_GEN;
end generate INFERRED_GEN;
------------------------------------------------------------------------------
-- Build a structural decoder using the fast carry chain
------------------------------------------------------------------------------
GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate
constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS;
signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround
signal carry_chain : std_logic_vector(0 to NUM_LUTS);
begin
carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain.
CS <= carry_chain(0); -- Assign end of carry chain to output.
XST_WA: if NUM_LUTS > 0 generate -- workaround for XST
begin
GEN_DECODE: for i in 0 to NUM_LUTS-1 generate
constant NI : natural := i;
constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT
begin
lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT
BAR(NI*NLS to NI*NLS+BTL-1));
MUXCY_I: component MUXCY -- MUXCY
port map (
O => carry_chain(i),
CI => carry_chain(i+1),
DI => '0',
S => lut_out(i)
);
end generate GEN_DECODE;
end generate XST_WA;
end generate GEN_STRUCTURAL_A;
end imp;
| gpl-3.0 | cc6aa889cf327c1f072878b9b642647b | 0.411901 | 5.276022 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_bram4096x64/example_design/k7_bram4096x64_prod.vhd | 1 | 10,568 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: k7_bram4096x64_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 2
-- C_BYTE_SIZE : 8
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 1
-- C_WEA_WIDTH : 8
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 64
-- C_READ_WIDTH_A : 64
-- C_WRITE_DEPTH_A : 4096
-- C_READ_DEPTH_A : 4096
-- C_ADDRA_WIDTH : 12
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 1
-- C_WEB_WIDTH : 8
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 64
-- C_READ_WIDTH_B : 64
-- C_WRITE_DEPTH_B : 4096
-- C_READ_DEPTH_B : 4096
-- C_ADDRB_WIDTH : 12
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 1
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY k7_bram4096x64_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END k7_bram4096x64_prod;
ARCHITECTURE xilinx OF k7_bram4096x64_prod IS
COMPONENT k7_bram4096x64_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : k7_bram4096x64_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA,
--Port B
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
| gpl-2.0 | bf53769594dceb4d038476fa81171b0e | 0.491957 | 3.817919 | false | false | false | false |
esar/hdmilight-v1 | fpga/test_ambilight.vhd | 2 | 5,251 | ----------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Stephen Robinson
--
-- This file is part of HDMI-Light
--
-- HDMI-Light is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 2 of the License, or
-- (at your option) any later version.
--
-- HDMI-Light is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file names COPING).
-- If not, see <http://www.gnu.org/licenses/>.
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY test_ambilight IS
END test_ambilight;
ARCHITECTURE behavior OF test_ambilight IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ambilight
PORT(
vidclk : IN std_logic;
viddata_r : IN std_logic_vector(7 downto 0);
viddata_g : IN std_logic_vector(7 downto 0);
viddata_b : IN std_logic_vector(7 downto 0);
hblank : IN std_logic;
vblank : IN std_logic;
cfgclk : IN std_logic;
cfgwe : IN std_logic;
cfglight : IN std_logic_vector(7 downto 0);
cfgcomponent : IN std_logic_vector(3 downto 0);
cfgdatain : IN std_logic_vector(7 downto 0);
cfgdataout : OUT std_logic_vector(7 downto 0);
output : OUT std_logic
);
END COMPONENT;
--Inputs
signal vidclk : std_logic := '0';
signal viddata_r : std_logic_vector(7 downto 0) := (others => '0');
signal viddata_g : std_logic_vector(7 downto 0) := (others => '0');
signal viddata_b : std_logic_vector(7 downto 0) := (others => '0');
signal hblank : std_logic := '0';
signal vblank : std_logic := '0';
signal cfgclk : std_logic := '0';
signal cfgwe : std_logic := '0';
signal cfglight : std_logic_vector(7 downto 0) := (others => '0');
signal cfgcomponent : std_logic_vector(3 downto 0) := (others => '0');
signal cfgdatain : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal cfgdataout : std_logic_vector(7 downto 0);
signal output : std_logic;
-- Clock period definitions
constant vidclk_period : time := 10 ns;
constant cfgclk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ambilight PORT MAP (
vidclk => vidclk,
viddata_r => viddata_r,
viddata_g => viddata_g,
viddata_b => viddata_b,
hblank => hblank,
vblank => vblank,
cfgclk => cfgclk,
cfgwe => cfgwe,
cfglight => cfglight,
cfgcomponent => cfgcomponent,
cfgdatain => cfgdatain,
cfgdataout => cfgdataout,
output => output
);
-- Clock process definitions
vidclk_process :process
begin
vidclk <= '0';
wait for vidclk_period/2;
vidclk <= '1';
wait for vidclk_period/2;
end process;
cfgclk_process :process
begin
cfgclk <= '0';
wait for cfgclk_period/2;
cfgclk <= '1';
wait for cfgclk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for vidclk_period*10;
cfglight <= (others => '0');
cfgcomponent <= x"0";
wait for cfgclk_period*2;
cfgdatain <= x"00";
cfgwe <= '1';
wait for cfgclk_period*2;
cfgcomponent <= x"1";
wait for cfgclk_period*2;
cfgdatain <= x"07";
cfgwe <= '1';
wait for cfgclk_period*2;
cfgcomponent <= x"2";
wait for cfgclk_period*2;
cfgdatain <= x"00";
cfgwe <= '1';
wait for cfgclk_period*2;
cfgcomponent <= x"3";
wait for cfgclk_period*2;
cfgdatain <= x"07";
cfgwe <= '1';
wait for cfgclk_period*2;
cfgcomponent <= x"4";
wait for cfgclk_period*2;
cfgdatain <= x"06";
cfgwe <= '1';
wait for cfgclk_period*2;
cfgwe <= '0';
wait for cfgclk_period;
for field in 0 to 10 loop
-- vblank = hBlank = 1
hblank <= '1';
vblank <= '1';
for y in 0 to 20 loop
for x in 0 to 820 loop
viddata_r <= x"00";
viddata_g <= x"00";
viddata_b <= x"00";
wait for vidclk_period;
end loop;
end loop;
for y in 0 to 288 loop
-- vBlank = hBlank = 0
hblank <= '0';
vblank <= '0';
-- line of video, 720 pixels in total
for x in 0 to 720 loop
viddata_r <= x"aa";
viddata_g <= x"00";
viddata_b <= x"00";
wait for vidclk_period;
end loop;
-- hBlank = 1
hblank <= '1';
-- blank data
for x in 0 to 100 loop
viddata_r <= x"00";
viddata_g <= x"00";
viddata_b <= x"00";
wait for vidclk_period;
end loop;
end loop;
end loop;
wait;
end process;
END;
| gpl-2.0 | e60b45aba49e8321245e8a1057f583fc | 0.580842 | 3.550372 | false | false | false | false |
dcsun88/ntpserver-fpga | vhd/hdl/fan.vhd | 1 | 5,462 | -------------------------------------------------------------------------------
-- Title : Clock
-- Project :
-------------------------------------------------------------------------------
-- File : fan.vhd
-- Author : Daniel Sun <[email protected]>
-- Company :
-- Created : 2016-04-28
-- Last update: 2016-08-16
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: Pulse width/density modulator
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-04-28 1.0 dcsun88osh Created
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
library work;
use work.util_pkg.all;
entity fan is
port (
rst_n : in std_logic;
clk : in std_logic;
tsc_1ppms : in std_logic;
tsc_1ppus : in std_logic;
fan_pct : in std_logic_vector(7 downto 0);
fan_tach : in std_logic;
fan_pwm : out std_logic;
fan_uspr : out std_logic_vector(19 downto 0)
);
end fan;
architecture rtl of fan is
signal pwm_div : std_logic_vector(3 downto 0);
signal pwm_ce : std_logic;
signal pwm_cnt : std_logic_vector(7 downto 0);
signal pwm_term : std_logic;
signal pwm_out : std_logic;
signal tach_dly : std_logic_vector(2 downto 0);
signal tach_pulse : std_logic;
signal tach_meas : std_logic_vector(19 downto 0);
signal tach_msout : std_logic_vector(19 downto 0);
begin
-- First divider to generate clock enable for the PWM
-- Divide by 16
fan_pwmdiv:
process (rst_n, clk) is
begin
if (rst_n = '0') then
pwm_div <= (others => '0');
pwm_ce <= '0';
elsif (clk'event and clk = '1') then
if (pwm_ce = '1') then
pwm_div <= (others => '0');
else
pwm_div <= pwm_div + 1;
end if;
if (pwm_div = x"E") then
pwm_ce <= '1';
else
pwm_ce <= '0';
end if;
end if;
end process;
-- Pulse width modulator counter
fan_pwmcnt:
process (rst_n, clk) is
begin
if (rst_n = '0') then
pwm_cnt <= (others => '0');
pwm_term <= '0';
elsif (clk'event and clk = '1') then
if (pwm_ce = '1') then
pwm_cnt <= pwm_cnt + 1;
if (pwm_cnt = x"FE") then
pwm_term <= '1';
else
pwm_term <= '0';
end if;
end if;
end if;
end process;
-- Pulse width modulator output
fan_pwmout:
process (rst_n, clk) is
begin
if (rst_n = '0') then
pwm_out <= '0';
elsif (clk'event and clk = '1') then
if (pwm_ce = '1') then
if (pwm_term = '1') then
pwm_out <= '1';
elsif (pwm_cnt = fan_pct) then
pwm_out <= '0';
end if;
end if;
end if;
end process;
-- Final output register
fan_oreg: delay_sig generic map (1) port map (rst_n, clk, pwm_out, fan_pwm);
-- ----------------------------------------------------------------------
-- Tach measurement reference is 1 us
-- Tach input buffer and rising edge detector
fan_ireg:
process (rst_n, clk) is
begin
if (rst_n = '0') then
tach_dly <= (others => '0');
tach_pulse <= '0';
elsif (clk'event and clk = '1') then
tach_dly(0) <= fan_tach; -- input register
if (tsc_1ppus = '1') then
tach_dly(1) <= tach_dly(0);
tach_dly(2) <= tach_dly(1);
tach_pulse <= not tach_dly(2) and tach_dly(1);
end if;
end if;
end process;
-- Measure time between tach pulses
fan_meas:
process (rst_n, clk) is
variable tach_add : std_logic_vector(fan_uspr'left + 1 downto 0);
begin
if (rst_n = '0') then
tach_meas <= (others => '0');
tach_msout <= (others => '0');
elsif (clk'event and clk = '1') then
if (tsc_1ppus = '1') then
if (tach_pulse = '1') then
tach_meas <= (others => '0');
tach_meas(0) <= '1'; -- Start measurement at one
else
-- saturating up counter
tach_add := ('0' & tach_meas) + 1;
if (tach_add(tach_add'left) = '0') then
tach_meas <= tach_add(tach_meas'range);
end if;
end if;
-- Output at next pulse or overflow
if (tach_pulse = '1' or tach_add(tach_add'left) = '1') then
tach_msout <= tach_meas;
end if;
end if;
end if;
end process;
fan_uspr <= tach_msout;
end rtl;
| gpl-3.0 | aa251c5bd1ba329530b903680f537b64 | 0.413036 | 3.83837 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_bram4096x64/simulation/k7_bram4096x64_tb.vhd | 1 | 4,553 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: k7_bram4096x64_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY k7_bram4096x64_tb IS
END ENTITY;
ARCHITECTURE k7_bram4096x64_tb_ARCH OF k7_bram4096x64_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL CLKB : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
CLKB_GEN: PROCESS BEGIN
CLKB <= NOT CLKB;
WAIT FOR 100 NS;
CLKB <= NOT CLKB;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
k7_bram4096x64_synth_inst:ENTITY work.k7_bram4096x64_synth
PORT MAP(
CLK_IN => CLK,
CLKB_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| gpl-2.0 | f6d61c4f2e373513ccff817a4204862a | 0.618274 | 4.507921 | false | false | false | false |
peteut/nvc | test/elab/issue19.vhd | 2 | 1,939 | entity comp6_bot is
generic (num : integer := 2 );
port (
x : in bit_vector(7 downto 0);
y : out bit_vector(7 downto 0) );
end entity;
architecture rtl of comp6_bot is
function cfunc (constant val : integer) return integer is
variable tmp : integer;
begin tmp := 0;
for i in 0 to 3 loop
tmp := tmp + val;
end loop;
return tmp;
end function cfunc;
function cfunc2 (constant k : integer) return integer is
variable tmp : integer;
begin
tmp := 1;
for i in 0 to k loop
if tmp > k then
return i;
end if;
tmp := tmp + tmp;
end loop;
end cfunc2;
function my_cfunc2 (constant k: integer) return integer is
begin
if k > 1 then
return cfunc(k);
end if;
return 1;
end my_cfunc2;
constant cnum : integer := cfunc(num);
type m_a_t is array (cnum-1 downto 0) of bit_vector(num-1 downto 0);
signal ma : m_a_t;
signal tmp : integer := cnum;
constant cnum2 : integer := cfunc2(num);
type m_a_t2 is array (cnum2-1 downto 0) of bit_vector(num-1 downto 0);
signal ma2 : m_a_t2;
signal tmp2 : integer := cnum2;
constant cnum3 : integer := my_cfunc2(num);
type m_a_t3 is array (cnum3-1 downto 0) of bit_vector(num-1 downto 0);
signal ma3 : m_a_t3;
signal tmp3 : integer := cnum3;
begin
y <= x;
g1: if cnum /= 32 generate
assert false;
end generate;
g2: if cnum3 /= 32 generate
assert false;
end generate;
end architecture;
-------------------------------------------------------------------------------
entity comp6 is
end entity;
architecture rtl of comp6 is
signal b: bit_vector(7 downto 0);
component comp6_bot is
generic (num : integer := 2 );
port (
y : out bit_vector(7 downto 0);
x : in bit_vector(7 downto 0) );
end component;
begin
c1: component comp6_bot
generic map (num => 8)
port map ( x=>x"aa", y=>b );
end architecture;
| gpl-3.0 | f15ce4f746c82e3e2b5a85f87325784e | 0.587932 | 3.303237 | false | false | false | false |
peteut/nvc | test/bounds/issue356.vhd | 2 | 621 | entity nvc_bug is
end nvc_bug;
architecture behav of nvc_bug is
type std_logic_vector is array (integer range <>) of integer;
function to_bitvector(x : std_logic_vector) return bit_vector;
signal mode : std_logic_vector(1 downto 0);
begin
process
subtype modetype is bit_vector(mode'range);
begin
case modetype'(to_bitvector(mode)) is
when "00" =>
when "01" =>
when "10" =>
when "11" =>
when others =>
end case;
assert false report "end of test" severity note;
wait;
end process;
end behav;
| gpl-3.0 | 1aed18483e77b8808ea797d33ed9f04b | 0.5781 | 3.955414 | false | false | false | false |
dcsun88/ntpserver-fpga | cpu/ip/cpu_axi_iic_0_0/axi_iic_v2_0/hdl/src/vhdl/axi_ipif_ssp1.vhd | 2 | 22,534 | -------------------------------------------------------------------------------
-- axi_ipif_ssp1.vhd - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX is PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS is" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT to NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2011 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
-------------------------------------------------------------------------------
-- Filename: axi_ipif_ssp1.vhd
-- Version: v1.01.b
--
-- Description: AXI IPIF Slave Services Package 1
-- This block provides the following services:
-- - wraps the axi_lite_ipif interface to IPIC block and
-- sets up its address decoding.
-- - Provides the Software Reset register
-- - Provides interrupt servicing
-- - IPIC multiplexing service between the external IIC
-- register block IP2Bus data path and the internal
-- Interrupt controller's IP2Bus data path.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_iic.vhd
-- -- iic.vhd
-- -- axi_ipif_ssp1.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- soft_reset.vhd
-- -- reg_interface.vhd
-- -- filter.vhd
-- -- debounce.vhd
-- -- iic_control.vhd
-- -- upcnt_n.vhd
-- -- shift8.vhd
-- -- dynamic_master.vhd
-- -- iic_pkg.vhd
--
-------------------------------------------------------------------------------
-- Author: USM
--
-- USM 10/15/09
-- ^^^^^^
-- - Initial release of v1.00.a
-- ~~~~~~
--
-- USM 09/06/10
-- ^^^^^^
-- - Release of v1.01.a
-- ~~~~~~
-- NLR 01/07/11
-- ^^^^^^
-- - Updated the version to v1_01_b
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.or_reduce;
library axi_iic_v2_0;
library axi_lite_ipif_v3_0;
-- axi_lite_ipif refered from axi_lite_ipif_v2_0
use axi_lite_ipif_v3_0.axi_lite_ipif;
use axi_lite_ipif_v3_0.ipif_pkg.all;
library interrupt_control_v3_1;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_IIC_REGS -- Number of IIC registers
-- C_S_AXI_ADDR_WIDTH -- Width of AXI Address Bus (in bits)
-- C_S_AXI_DATA_WIDTH -- Width of the AXI Data Bus (in bits)
-- C_FAMILY -- Target FPGA architecture
-------------------------------------------------------------------------------
-- Definition of Ports:
-- System Signals
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESETN -- AXI Reset
-- IP2INTC_Irpt -- System interrupt output
--
-- AXI signals
-- S_AXI_AWADDR -- AXI Write address
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
-- S_AXI_WDATA -- Write data
-- S_AXI_WSTRB -- Write strobes
-- S_AXI_WVALID -- Write valid
-- S_AXI_WREADY -- Write ready
-- S_AXI_BRESP -- Write response
-- S_AXI_BVALID -- Write response valid
-- S_AXI_BREADY -- Response ready
-- S_AXI_ARADDR -- Read address
-- S_AXI_ARVALID -- Read address valid
-- S_AXI_ARREADY -- Read address ready
-- S_AXI_RDATA -- Read data
-- S_AXI_RRESP -- Read response
-- S_AXI_RVALID -- Read valid
-- S_AXI_RREADY -- Read ready
--
-- IP interconnect port signals
-- Bus2IP_Clk -- Bus to IIC clock
-- Bus2IP_Reset -- Bus to IIC reset
-- Bus2IIC_Addr -- Bus to IIC address
-- Bus2IIC_Data -- Bus to IIC data bus
-- Bus2IIC_RNW -- Bus to IIC read not write
-- Bus2IIC_RdCE -- Bus to IIC read chip enable
-- Bus2IIC_WrCE -- Bus to IIC write chip enable
-- IIC2Bus_Data -- IIC to Bus data bus
-- IIC2Bus_IntrEvent -- IIC Interrupt events
-------------------------------------------------------------------------------
-- Entity section
-------------------------------------------------------------------------------
entity axi_ipif_ssp1 is
generic
(
C_NUM_IIC_REGS : integer := 10;
-- Number of IIC Registers
C_S_AXI_ADDR_WIDTH : integer := 9;
C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32;
C_FAMILY : string := "virtex7"
-- Select the target architecture type
);
port
(
-- System signals
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
IIC2Bus_IntrEvent : in std_logic_vector (0 to 7);
-- IIC Interrupt events
IIC2INTC_Irpt : out std_logic; -- IP-2-interrupt controller
-- AXI signals
S_AXI_AWADDR : in std_logic_vector
(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector
(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector
((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector
(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector
(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- IP Interconnect (IPIC) port signals used by the IIC registers.
Bus2IIC_Clk : out std_logic;
Bus2IIC_Reset : out std_logic;
Bus2IIC_Addr : out std_logic_vector(0 to C_S_AXI_ADDR_WIDTH - 1);
Bus2IIC_Data : out std_logic_vector(0 to C_S_AXI_DATA_WIDTH - 1);
Bus2IIC_RNW : out std_logic;
Bus2IIC_RdCE : out std_logic_vector(0 to C_NUM_IIC_REGS-1);
Bus2IIC_WrCE : out std_logic_vector(0 to C_NUM_IIC_REGS-1);
IIC2Bus_Data : in std_logic_vector(0 to C_S_AXI_DATA_WIDTH - 1)
);
end entity axi_ipif_ssp1;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture RTL of axi_ipif_ssp1 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes";
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant ZEROES : std_logic_vector(0 to 31) := X"00000000";
constant INTR_BASEADDR : std_logic_vector := X"00000000";
constant INTR_HIGHADDR : std_logic_vector
:= X"0000003F";
constant RST_BASEADDR : std_logic_vector
:= X"00000040";
constant RST_HIGHADDR : std_logic_vector
:= X"00000043";
constant IIC_REG_BASEADDR : std_logic_vector
:= X"00000100";
constant IIC_REG_HIGHADDR : std_logic_vector
:= X"000001FF";
constant C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZEROES & INTR_BASEADDR, -- Interrupt controller
ZEROES & INTR_HIGHADDR,
ZEROES & RST_BASEADDR, -- Software reset register
ZEROES & RST_HIGHADDR,
ZEROES & IIC_REG_BASEADDR, -- IIC registers
ZEROES & IIC_REG_HIGHADDR
);
constant C_ARD_IDX_INTERRUPT : integer := 0;
constant C_ARD_IDX_RESET : integer := 1;
constant C_ARD_IDX_IIC_REGS : integer := 2;
-- The C_IP_INTR_MODE_ARRAY must have the same width as the IP2Bus_IntrEvent
-- entity port.
constant C_IP_INTR_MODE_ARRAY : integer_array_type
:= (3, 3, 3, 3, 3, 3, 3, 3);
constant C_INCLUDE_DEV_PENCODER : boolean := FALSE;
constant C_INCLUDE_DEV_ISC : boolean := FALSE;
constant C_NUM_INTERRUPT_REGS : integer := 16;
constant C_NUM_RESET_REGS : integer := 1;
constant C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
C_ARD_IDX_INTERRUPT => C_NUM_INTERRUPT_REGS,
C_ARD_IDX_RESET => C_NUM_RESET_REGS,
C_ARD_IDX_IIC_REGS => C_NUM_IIC_REGS
);
constant C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0)
:= X"000001FF";
constant C_USE_WSTRB : integer := 0;
constant C_DPHASE_TIMEOUT : integer := 8;
SUBTYPE INTERRUPT_CE_RNG is integer
range calc_start_ce_index(C_ARD_NUM_CE_ARRAY, 0)
to calc_start_ce_index(C_ARD_NUM_CE_ARRAY, 0)+C_ARD_NUM_CE_ARRAY(0)-1;
SUBTYPE RESET_CE_RNG is integer
range calc_start_ce_index(C_ARD_NUM_CE_ARRAY, 1)
to calc_start_ce_index(C_ARD_NUM_CE_ARRAY, 1)+C_ARD_NUM_CE_ARRAY(1)-1;
SUBTYPE IIC_CE_RNG is integer
range calc_start_ce_index(C_ARD_NUM_CE_ARRAY, 2)
to calc_start_ce_index(C_ARD_NUM_CE_ARRAY, 2)+C_ARD_NUM_CE_ARRAY(2)-1;
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
-- IPIC Signals
signal AXI_Bus2IP_Clk : std_logic;
signal AXI_Bus2IP_Resetn: std_logic;
signal AXI_Bus2IP_Reset : std_logic;
signal AXI_IP2Bus_Data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH - 1);
signal AXI_IP2Bus_WrAck : std_logic;
signal AXI_IP2Bus_RdAck : std_logic;
signal AXI_IP2Bus_WrAck1 : std_logic;
signal AXI_IP2Bus_RdAck1 : std_logic;
signal AXI_IP2Bus_WrAck2 : std_logic;
signal AXI_IP2Bus_RdAck2 : std_logic;
signal Intr2Bus_WrAck : std_logic;
signal Intr2Bus_RdAck : std_logic;
signal AXI_IP2Bus_Error : std_logic;
signal AXI_Bus2IP_Addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH - 1);
signal AXI_Bus2IP_Data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH - 1);
signal AXI_Bus2IP_RNW : std_logic;
signal AXI_Bus2IP_CS : std_logic_vector(0 to
((C_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal AXI_Bus2IP_RdCE : std_logic_vector(0 to
calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
signal AXI_Bus2IP_WrCE : std_logic_vector(0 to
calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
-- Derived IPIC signals for use with the reset register functionality
signal reset2Bus_Error : std_logic;
signal reset2IP_Reset : std_logic;
-- Derived IPIC signals for use with the interrupt controller
signal Intr2Bus_DevIntr : std_logic;
signal Intr2Bus_DBus : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
--------------------------------------------------------------------------
-- RESET signal assignment - IPIC RESET is active low
--------------------------------------------------------------------------
AXI_Bus2IP_Reset <= not AXI_Bus2IP_Resetn;
AXI_LITE_IPIF_I : entity axi_lite_ipif_v3_0.axi_lite_ipif
generic map
(
C_FAMILY => C_FAMILY,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY
)
port map
(
-- System signals
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
-- AXI Interface signals
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_RREADY => S_AXI_RREADY,
-- IP Interconnect (IPIC) port signals
Bus2IP_Clk => AXI_Bus2IP_Clk,
Bus2IP_Resetn => AXI_Bus2IP_Resetn,
IP2Bus_Data => AXI_IP2Bus_Data,
IP2Bus_WrAck => AXI_IP2Bus_WrAck,
IP2Bus_RdAck => AXI_IP2Bus_RdAck,
IP2Bus_Error => AXI_IP2Bus_Error,
Bus2IP_Addr => AXI_Bus2IP_Addr,
Bus2IP_Data => AXI_Bus2IP_Data,
Bus2IP_RNW => AXI_Bus2IP_RNW,
Bus2IP_BE => open,
Bus2IP_CS => AXI_Bus2IP_CS,
Bus2IP_RdCE => AXI_Bus2IP_RdCE,
Bus2IP_WrCE => AXI_Bus2IP_WrCE
);
-------------------------------------------------------------------------------
-- INTERRUPT DEVICE
-------------------------------------------------------------------------------
X_INTERRUPT_CONTROL : entity interrupt_control_v3_1.interrupt_control
generic map (
C_NUM_CE => C_NUM_INTERRUPT_REGS, -- [integer range 4 to 16]
-- Number of register chip enables required
-- For C_IPIF_DWIDTH=32 Set C_NUM_CE = 16
-- For C_IPIF_DWIDTH=64 Set C_NUM_CE = 8
-- For C_IPIF_DWIDTH=128 Set C_NUM_CE = 4
C_NUM_IPIF_IRPT_SRC => 1, -- [integer range 1 to 29]
C_IP_INTR_MODE_ARRAY => C_IP_INTR_MODE_ARRAY, -- [INTEGER_ARRAY_TYPE]
-- Interrupt Modes
--1, -- pass through (non-inverting)
--2, -- pass through (inverting)
--3, -- registered level (non-inverting)
--4, -- registered level (inverting)
--5, -- positive edge detect
--6 -- negative edge detect
C_INCLUDE_DEV_PENCODER => C_INCLUDE_DEV_PENCODER, -- [boolean]
-- Specifies device Priority Encoder function
C_INCLUDE_DEV_ISC => C_INCLUDE_DEV_ISC, -- [boolean]
-- Specifies device ISC hierarchy
-- Exclusion of Device ISC requires
-- exclusion of Priority encoder
C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH -- [integer range 32 to 128]
)
port map (
-- Inputs From the IPIF Bus
Bus2IP_Clk => AXI_Bus2IP_Clk,
Bus2IP_Reset => reset2IP_Reset,
Bus2IP_Data => AXI_Bus2IP_Data,
Bus2IP_BE => "1111",
Interrupt_RdCE => AXI_Bus2IP_RdCE(INTERRUPT_CE_RNG),
Interrupt_WrCE => AXI_Bus2IP_WrCE(INTERRUPT_CE_RNG),
-- Interrupt inputs from the IPIF sources that will
-- get registered in this design
IPIF_Reg_Interrupts => "00",
-- Level Interrupt inputs from the IPIF sources
IPIF_Lvl_Interrupts => "0",
-- Inputs from the IP Interface
IP2Bus_IntrEvent => IIC2Bus_IntrEvent,
-- Final Device Interrupt Output
Intr2Bus_DevIntr => IIC2INTC_Irpt,
-- Status Reply Outputs to the Bus
Intr2Bus_DBus => Intr2Bus_DBus,
Intr2Bus_WrAck => open,
Intr2Bus_RdAck => open,
Intr2Bus_Error => open,
Intr2Bus_Retry => open,
Intr2Bus_ToutSup => open
);
-------------------------------------------------------------------------------
-- SOFT RESET REGISTER
-------------------------------------------------------------------------------
X_SOFT_RESET : entity axi_iic_v2_0.soft_reset
generic map (
C_SIPIF_DWIDTH => C_S_AXI_DATA_WIDTH, -- [integer]
-- Width of the write data bus
C_RESET_WIDTH => 4)
port map (
-- Inputs From the IPIF Bus
Bus2IP_Reset => AXI_Bus2IP_Reset,
Bus2IP_Clk => AXI_Bus2IP_Clk,
Bus2IP_WrCE => AXI_Bus2IP_WrCE(RESET_CE_RNG'LEFT),
Bus2IP_Data => AXI_Bus2IP_Data,
Bus2IP_BE => "1111",
-- Final Device Reset Output
reset2IP_Reset => reset2IP_Reset,
-- Status Reply Outputs to the Bus
reset2Bus_WrAck => open,
reset2Bus_Error => reset2Bus_Error,
Reset2Bus_ToutSup => open);
-------------------------------------------------------------------------------
-- IIC Register (External) Connections
-------------------------------------------------------------------------------
Bus2IIC_Clk <= AXI_Bus2IP_Clk;
Bus2IIC_Reset <= reset2IP_Reset;
Bus2IIC_Addr <= AXI_Bus2IP_Addr;
Bus2IIC_Data <= AXI_Bus2IP_Data;
Bus2IIC_RNW <= AXI_Bus2IP_RNW;
Bus2IIC_RdCE <= AXI_Bus2IP_RdCE(IIC_CE_RNG);
Bus2IIC_WrCE <= AXI_Bus2IP_WrCE(IIC_CE_RNG);
-------------------------------------------------------------------------------
-- Read Ack/Write Ack generation
-------------------------------------------------------------------------------
process(AXI_Bus2IP_Clk)
begin
if(AXI_Bus2IP_Clk'event and AXI_Bus2IP_Clk = '1') then
AXI_IP2Bus_RdAck2 <= or_reduce(AXI_Bus2IP_CS) and AXI_Bus2IP_RNW;
AXI_IP2Bus_RdAck1 <= AXI_IP2Bus_RdAck2;
end if;
end process;
AXI_IP2Bus_RdAck <= (not (AXI_IP2Bus_RdAck1)) and AXI_IP2Bus_RdAck2;
process(AXI_Bus2IP_Clk)
begin
if(AXI_Bus2IP_Clk'event and AXI_Bus2IP_Clk = '1') then
AXI_IP2Bus_WrAck2 <= (or_reduce(AXI_Bus2IP_CS) and not AXI_Bus2IP_RNW);
AXI_IP2Bus_WrAck1 <= AXI_IP2Bus_WrAck2;
end if;
end process;
AXI_IP2Bus_WrAck <= (not AXI_IP2Bus_WrAck1) and AXI_IP2Bus_WrAck2;
-------------------------------------------------------------------------------
-- Data and Error generation
-------------------------------------------------------------------------------
AXI_IP2Bus_Data <= Intr2Bus_DBus or IIC2Bus_Data;
AXI_IP2Bus_Error <= reset2Bus_Error;
end architecture RTL;
| gpl-3.0 | c6574877e7cdb8b354ebf8d03bee12d0 | 0.468093 | 4.025366 | false | false | false | false |
ErikAndren/VGA3BitTestPattern | VGA3BitTestPattern.vhd | 1 | 2,184 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.Types.all;
entity VGA3BitTestPattern is
port (
RstN : in bit1;
Clk : in bit1;
--
Button : in word(3-1 downto 0);
--
HSync : out bit1;
VSync : out bit1;
VgaRed : out word(3-1 downto 0);
VgaGreen : out word(3-1 downto 0);
VgaBlue : out word(3-1 downto 0)
);
end entity;
architecture rtl of VGA3BitTestPattern is
signal ButtonDB, Button_N, Button_D : word(Button'length-1 downto 0);
signal Blue_D, Red_D, Green_D : word(3-1 downto 0);
signal Blue_N, Red_N, Green_N : word(3-1 downto 0);
signal InView : bit1;
begin
Db0 : entity work.Debounce
port map (
Clk => Clk,
x => Button(0),
DBx => ButtonDB(0)
);
Db1 : entity work.Debounce
port map (
Clk => Clk,
x => Button(1),
DBx => ButtonDB(1)
);
Db2 : entity work.Debounce
port map (
Clk => Clk,
x => Button(2),
DBx => ButtonDB(2)
);
Sync : process (RstN, Clk)
begin
if RstN = '0' then
Button_D <= (others => '1');
Red_D <= (others => '0');
Blue_D <= (others => '0');
Green_D <= (others => '0');
elsif rising_edge(Clk) then
Button_D <= Button_N;
Red_D <= Red_N;
Green_D <= Green_N;
Blue_D <= Blue_N;
end if;
end process;
AsyncProc : process (Green_D, Blue_D, Red_D, Button_D, ButtonDB)
begin
Red_N <= Red_D;
Green_N <= Green_D;
Blue_N <= Blue_D;
Button_N <= ButtonDB;
if (ButtonDB(0) = '0' and Button_D(0) = '1') then
Red_N <= Red_D + 1;
end if;
if (ButtonDB(1) = '0' and Button_D(1) = '1') then
Blue_N <= Blue_D + 1;
end if;
if (ButtonDB(2) = '0' and Button_D(2) = '1') then
Green_N <= Green_D + 1;
end if;
end process;
VgaRed <= Red_D when inView = '1' else (others => '0');
VgaBlue <= Blue_D when inView = '1' else (others => '0');
VgaGreen <= Green_D when inView = '1' else (others => '0');
VgaGen : entity work.VGAGen
generic map (
ClkDiv => true
)
port map (
RstN => RstN,
Clk => Clk,
--
HSync => HSync,
VSync => VSync,
RedOut => open,
GreenOut => open,
BlueOut => open,
--
InView => InView
);
end architecture rtl;
| gpl-2.0 | eae7b7d5879047b4bb1da6283652e5d6 | 0.576923 | 2.490308 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_eb_fifo_counted_resized/simulation/k7_eb_fifo_counted_resized_synth.vhd | 1 | 11,718 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: k7_eb_fifo_counted_resized_synth.vhd
--
-- Description:
-- This is the demo testbench for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_unsigned.ALL;
USE IEEE.STD_LOGIC_arith.ALL;
USE ieee.numeric_std.ALL;
USE ieee.STD_LOGIC_misc.ALL;
LIBRARY std;
USE std.textio.ALL;
LIBRARY work;
USE work.k7_eb_fifo_counted_resized_pkg.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY k7_eb_fifo_counted_resized_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE simulation_arch OF k7_eb_fifo_counted_resized_synth IS
-- FIFO interface signal declarations
SIGNAL wr_clk_i : STD_LOGIC;
SIGNAL rd_clk_i : STD_LOGIC;
SIGNAL wr_data_count : STD_LOGIC_VECTOR(15-1 DOWNTO 0);
SIGNAL rd_data_count : STD_LOGIC_VECTOR(15-1 DOWNTO 0);
SIGNAL valid : STD_LOGIC;
SIGNAL rst : STD_LOGIC;
SIGNAL prog_full : STD_LOGIC;
SIGNAL prog_empty : STD_LOGIC;
SIGNAL wr_en : STD_LOGIC;
SIGNAL rd_en : STD_LOGIC;
SIGNAL din : STD_LOGIC_VECTOR(64-1 DOWNTO 0);
SIGNAL dout : STD_LOGIC_VECTOR(64-1 DOWNTO 0);
SIGNAL full : STD_LOGIC;
SIGNAL empty : STD_LOGIC;
-- TB Signals
SIGNAL wr_data : STD_LOGIC_VECTOR(64-1 DOWNTO 0);
SIGNAL dout_i : STD_LOGIC_VECTOR(64-1 DOWNTO 0);
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL full_i : STD_LOGIC := '0';
SIGNAL empty_i : STD_LOGIC := '0';
SIGNAL almost_full_i : STD_LOGIC := '0';
SIGNAL almost_empty_i : STD_LOGIC := '0';
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL dout_chk_i : STD_LOGIC := '0';
SIGNAL rst_int_rd : STD_LOGIC := '0';
SIGNAL rst_int_wr : STD_LOGIC := '0';
SIGNAL rst_s_wr1 : STD_LOGIC := '0';
SIGNAL rst_s_wr2 : STD_LOGIC := '0';
SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL rst_s_wr3 : STD_LOGIC := '0';
SIGNAL rst_s_rd : STD_LOGIC := '0';
SIGNAL reset_en : STD_LOGIC := '0';
SIGNAL rst_async_wr1 : STD_LOGIC := '0';
SIGNAL rst_async_wr2 : STD_LOGIC := '0';
SIGNAL rst_async_wr3 : STD_LOGIC := '0';
SIGNAL rst_async_rd1 : STD_LOGIC := '0';
SIGNAL rst_async_rd2 : STD_LOGIC := '0';
SIGNAL rst_async_rd3 : STD_LOGIC := '0';
BEGIN
---- Reset generation logic -----
rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
rst_int_rd <= rst_async_rd3 OR rst_s_rd;
--Testbench reset synchronization
PROCESS(rd_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_rd1 <= '1';
rst_async_rd2 <= '1';
rst_async_rd3 <= '1';
ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_async_rd1 <= RESET;
rst_async_rd2 <= rst_async_rd1;
rst_async_rd3 <= rst_async_rd2;
END IF;
END PROCESS;
PROCESS(wr_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_wr1 <= '1';
rst_async_wr2 <= '1';
rst_async_wr3 <= '1';
ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_async_wr1 <= RESET;
rst_async_wr2 <= rst_async_wr1;
rst_async_wr3 <= rst_async_wr2;
END IF;
END PROCESS;
--Soft reset for core and testbench
PROCESS(rd_clk_i)
BEGIN
IF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_gen_rd <= rst_gen_rd + "1";
IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
rst_s_rd <= '1';
assert false
report "Reset applied..Memory Collision checks are not valid"
severity note;
ELSE
IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
rst_s_rd <= '0';
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(wr_clk_i)
BEGIN
IF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_s_wr1 <= rst_s_rd;
rst_s_wr2 <= rst_s_wr1;
rst_s_wr3 <= rst_s_wr2;
IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
assert false
report "Reset removed..Memory Collision checks are valid"
severity note;
END IF;
END IF;
END PROCESS;
------------------
---- Clock buffers for testbench ----
wr_clk_i <= WR_CLK;
rd_clk_i <= RD_CLK;
------------------
rst <= RESET OR rst_s_rd AFTER 12 ns;
din <= wr_data;
dout_i <= dout;
wr_en <= wr_en_i;
rd_en <= rd_en_i;
full_i <= full;
empty_i <= empty;
fg_dg_nv: k7_eb_fifo_counted_resized_dgen
GENERIC MAP (
C_DIN_WIDTH => 64,
C_DOUT_WIDTH => 64,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP ( -- Write Port
RESET => rst_int_wr,
WR_CLK => wr_clk_i,
PRC_WR_EN => prc_we_i,
FULL => full_i,
WR_EN => wr_en_i,
WR_DATA => wr_data
);
fg_dv_nv: k7_eb_fifo_counted_resized_dverif
GENERIC MAP (
C_DOUT_WIDTH => 64,
C_DIN_WIDTH => 64,
C_USE_EMBEDDED_REG => 0,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP(
RESET => rst_int_rd,
RD_CLK => rd_clk_i,
PRC_RD_EN => prc_re_i,
RD_EN => rd_en_i,
EMPTY => empty_i,
DATA_OUT => dout_i,
DOUT_CHK => dout_chk_i
);
fg_pc_nv: k7_eb_fifo_counted_resized_pctrl
GENERIC MAP (
AXI_CHANNEL => "Native",
C_APPLICATION_TYPE => 0,
C_DOUT_WIDTH => 64,
C_DIN_WIDTH => 64,
C_WR_PNTR_WIDTH => 15,
C_RD_PNTR_WIDTH => 15,
C_CH_TYPE => 0,
FREEZEON_ERROR => FREEZEON_ERROR,
TB_SEED => TB_SEED,
TB_STOP_CNT => TB_STOP_CNT
)
PORT MAP(
RESET_WR => rst_int_wr,
RESET_RD => rst_int_rd,
RESET_EN => reset_en,
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
PRC_WR_EN => prc_we_i,
PRC_RD_EN => prc_re_i,
FULL => full_i,
ALMOST_FULL => almost_full_i,
ALMOST_EMPTY => almost_empty_i,
DOUT_CHK => dout_chk_i,
EMPTY => empty_i,
DATA_IN => wr_data,
DATA_OUT => dout,
SIM_DONE => SIM_DONE,
STATUS => STATUS
);
k7_eb_fifo_counted_resized_inst : k7_eb_fifo_counted_resized_exdes
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
WR_DATA_COUNT => wr_data_count,
RD_DATA_COUNT => rd_data_count,
VALID => valid,
RST => rst,
PROG_FULL => prog_full,
PROG_EMPTY => prog_empty,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
END ARCHITECTURE;
| gpl-2.0 | dbbbef4a8ec6a5f144e677c8f5786826 | 0.460147 | 3.960122 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_sfifo_15x128/simulation/k7_sfifo_15x128_dverif.vhd | 1 | 5,853 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: k7_sfifo_15x128_dverif.vhd
--
-- Description:
-- Used for FIFO read interface stimulus generation and data checking
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.k7_sfifo_15x128_pkg.ALL;
ENTITY k7_sfifo_15x128_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE fg_dv_arch OF k7_sfifo_15x128_dverif IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8);
SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL data_chk : STD_LOGIC := '1';
SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0);
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL pr_r_en : STD_LOGIC := '0';
SIGNAL rd_en_d1 : STD_LOGIC := '0';
BEGIN
DOUT_CHK <= data_chk;
RD_EN <= rd_en_i;
rd_en_i <= PRC_RD_EN;
data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE
-------------------------------------------------------
-- Expected data generation and checking for data_fifo
-------------------------------------------------------
PROCESS (RD_CLK,RESET)
BEGIN
IF (RESET = '1') THEN
rd_en_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF(EMPTY = '0' AND rd_en_i='1' AND rd_en_d1 = '0') THEN
rd_en_d1 <= '1';
END IF;
END IF;
END PROCESS;
pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1;
expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0);
gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
rd_gen_inst2:k7_sfifo_15x128_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+N
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET,
RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
ENABLE => pr_r_en
);
END GENERATE;
PROCESS (RD_CLK,RESET)
BEGIN
IF(RESET = '1') THEN
data_chk <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF((EMPTY = '0') AND (rd_en_i = '1' AND rd_en_d1 = '1')) THEN
IF(DATA_OUT = expected_dout) THEN
data_chk <= '0';
ELSE
data_chk <= '1';
END IF;
END IF;
END IF;
END PROCESS;
END GENERATE data_fifo_chk;
END ARCHITECTURE;
| gpl-2.0 | 92fed792a00a87b98a14c6aa78dec5b2 | 0.56911 | 3.965447 | false | false | false | false |
olgirard/openmsp430 | fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/bmg_stim_gen.vhd | 1 | 16,101 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_2 Core - Stimulus Generator For TDP
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For TDP
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC_TDP IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC_TDP;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_TDP IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
--USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
ENA : OUT STD_LOGIC :='0';
WEA : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
WEB : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
ADDRB : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
DINB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
ENB : OUT STD_LOGIC :='0';
CHECK_DATA: OUT STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0')
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
CONSTANT ADDR_ZERO : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
CONSTANT DATA_PART_CNT_A : INTEGER:= DIVROUNDUP(16,16);
CONSTANT DATA_PART_CNT_B : INTEGER:= DIVROUNDUP(16,16);
SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINB_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL MAX_COUNT : STD_LOGIC_VECTOR(10 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(1024,11);
SIGNAL DO_WRITE_A : STD_LOGIC := '0';
SIGNAL DO_READ_A : STD_LOGIC := '0';
SIGNAL DO_WRITE_B : STD_LOGIC := '0';
SIGNAL DO_READ_B : STD_LOGIC := '0';
SIGNAL COUNT_NO : STD_LOGIC_VECTOR (10 DOWNTO 0):=(OTHERS => '0');
SIGNAL DO_READ_RA : STD_LOGIC := '0';
SIGNAL DO_READ_RB : STD_LOGIC := '0';
SIGNAL DO_READ_REG_A: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
SIGNAL DO_READ_REG_B: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
SIGNAL WEA_VCC: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '1');
SIGNAL WEA_GND: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '0');
SIGNAL WEB_VCC: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '1');
SIGNAL WEB_GND: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '0');
SIGNAL COUNT : integer := 0;
SIGNAL COUNT_B : integer := 0;
CONSTANT WRITE_CNT_A : integer := 6;
CONSTANT READ_CNT_A : integer := 6;
CONSTANT WRITE_CNT_B : integer := 4;
CONSTANT READ_CNT_B : integer := 4;
signal porta_wr_rd : std_logic:='0';
signal portb_wr_rd : std_logic:='0';
signal porta_wr_rd_complete: std_logic:='0';
signal portb_wr_rd_complete: std_logic:='0';
signal incr_cnt : std_logic :='0';
signal incr_cnt_b : std_logic :='0';
SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0';
SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0';
SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0';
BEGIN
WRITE_ADDR_INT_A(9 DOWNTO 0) <= WRITE_ADDR_A(9 DOWNTO 0);
READ_ADDR_INT_A(9 DOWNTO 0) <= READ_ADDR_A(9 DOWNTO 0);
ADDRA <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A) ;
WRITE_ADDR_INT_B(9 DOWNTO 0) <= WRITE_ADDR_B(9 DOWNTO 0);
--To avoid collision during idle period, negating the read_addr of port A
READ_ADDR_INT_B(9 DOWNTO 0) <= IF_THEN_ELSE( (DO_WRITE_B='0' AND DO_READ_B='0'),ADDR_ZERO,READ_ADDR_B(9 DOWNTO 0));
ADDRB <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B) ;
DINA <= DINA_INT ;
DINB <= DINB_INT ;
CHECK_DATA(0) <= DO_READ_A;
CHECK_DATA(1) <= DO_READ_B;
RD_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 1024,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_READ_A,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR_A
);
WR_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH =>1024 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE_A,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR_A
);
RD_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 1024 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_READ_B,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR_B
);
WR_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 1024 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_WRITE_B,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR_B
);
WR_DATA_GEN_INST_A:ENTITY work.DATA_GEN
GENERIC MAP ( DATA_GEN_WIDTH =>16,
DOUT_WIDTH => 16,
DATA_PART_CNT => 1,
SEED => 2)
PORT MAP (
CLK =>CLKA,
RST => TB_RST,
EN => DO_WRITE_A,
DATA_OUT => DINA_INT
);
WR_DATA_GEN_INST_B:ENTITY work.DATA_GEN
GENERIC MAP ( DATA_GEN_WIDTH =>16,
DOUT_WIDTH =>16 ,
DATA_PART_CNT =>1,
SEED => 2)
PORT MAP (
CLK =>CLKB,
RST => TB_RST,
EN => DO_WRITE_B,
DATA_OUT => DINB_INT
);
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
LATCH_PORTB_WR_RD_COMPLETE<='0';
ELSIF(PORTB_WR_RD_COMPLETE='1') THEN
LATCH_PORTB_WR_RD_COMPLETE <='1';
ELSIF(PORTA_WR_RD_HAPPENED='1') THEN
LATCH_PORTB_WR_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_WR_RD_L1 <='0';
PORTB_WR_RD_L2 <='0';
ELSE
PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE;
PORTB_WR_RD_L2 <= PORTB_WR_RD_L1;
END IF;
END IF;
END PROCESS;
PORTA_WR_RD_EN: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTA_WR_RD <='1';
ELSE
PORTA_WR_RD <= PORTB_WR_RD_L2;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_RD_R1 <='0';
PORTA_WR_RD_R2 <='0';
ELSE
PORTA_WR_RD_R1 <=PORTA_WR_RD;
PORTA_WR_RD_R2 <=PORTA_WR_RD_R1;
END IF;
END IF;
END PROCESS;
PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
LATCH_PORTA_WR_RD_COMPLETE<='0';
ELSIF(PORTA_WR_RD_COMPLETE='1') THEN
LATCH_PORTA_WR_RD_COMPLETE <='1';
ELSIF(PORTB_WR_RD_HAPPENED='1') THEN
LATCH_PORTA_WR_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_RD_L1 <='0';
PORTA_WR_RD_L2 <='0';
ELSE
PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE;
PORTA_WR_RD_L2 <= PORTA_WR_RD_L1;
END IF;
END IF;
END PROCESS;
PORTB_EN: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTB_WR_RD <='0';
ELSE
PORTB_WR_RD <= PORTA_WR_RD_L2;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_WR_RD_R1 <='0';
PORTB_WR_RD_R2 <='0';
ELSE
PORTB_WR_RD_R1 <=PORTB_WR_RD;
PORTB_WR_RD_R2 <=PORTB_WR_RD_R1;
END IF;
END IF;
END PROCESS;
---double registered of porta complete on portb clk
PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2;
PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0';
start_counter: process(clka)
begin
if(rising_edge(clka)) then
if(TB_RST='1') then
incr_cnt <= '0';
elsif(porta_wr_rd ='1') then
incr_cnt <='1';
elsif(porta_wr_rd_complete='1') then
incr_cnt <='0';
end if;
end if;
end process;
COUNTER: process(clka)
begin
if(rising_edge(clka)) then
if(TB_RST='1') then
count <= 0;
elsif(incr_cnt='1') then
count<=count+1;
end if;
if(count=(WRITE_CNT_A+READ_CNT_A)) then
count<=0;
end if;
end if;
end process;
DO_WRITE_A<='1' when (count <WRITE_CNT_A and incr_cnt='1') else '0';
DO_READ_A <='1' when (count >WRITE_CNT_A and incr_cnt='1') else '0';
PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0';
startb_counter: process(clkb)
begin
if(rising_edge(clkb)) then
if(TB_RST='1') then
incr_cnt_b <= '0';
elsif(portb_wr_rd ='1') then
incr_cnt_b <='1';
elsif(portb_wr_rd_complete='1') then
incr_cnt_b <='0';
end if;
end if;
end process;
COUNTER_B: process(clkb)
begin
if(rising_edge(clkb)) then
if(TB_RST='1') then
count_b <= 0;
elsif(incr_cnt_b='1') then
count_b<=count_b+1;
end if;
if(count_b=WRITE_CNT_B+READ_CNT_B) then
count_b<=0;
end if;
end if;
end process;
DO_WRITE_B<='1' when (count_b <WRITE_CNT_B and incr_cnt_b='1') else '0';
DO_READ_B <='1' when (count_b >WRITE_CNT_B and incr_cnt_b='1') else '0';
BEGIN_SHIFT_REG_A: FOR I IN 0 TO 4 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_A(0),
CLK =>CLKA,
RST=>TB_RST,
D =>DO_READ_A
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_A(I),
CLK =>CLKA,
RST=>TB_RST,
D =>DO_READ_REG_A(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG_A;
BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_B(0),
CLK =>CLKB,
RST=>TB_RST,
D =>DO_READ_B
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_B(I),
CLK =>CLKB,
RST=>TB_RST,
D =>DO_READ_REG_B(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG_B;
REGCEA_PROCESS: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
DO_READ_RA <= '0';
ELSE
DO_READ_RA <= DO_READ_A;
END IF;
END IF;
END PROCESS;
REGCEB_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
DO_READ_RB <= '0';
ELSE
DO_READ_RB <= DO_READ_B;
END IF;
END IF;
END PROCESS;
---REGCEB SHOULD BE SET AT THE CORE OUTPUT REGISTER/EMBEEDED OUTPUT REGISTER
--- WHEN CORE OUTPUT REGISTER IS SET REGCE SHOUD BE SET TO '1' WHEN THE READ DATA IS AVAILABLE AT THE CORE OUTPUT REGISTER
--WHEN CORE OUTPUT REGISTER IS '0' AND OUTPUT_PRIMITIVE_REG ='1', REGCE SHOULD BE SET WHEN THE DATA IS AVAILABLE AT THE PRIMITIVE OUTPUT REGISTER.
-- HERE, TO GENERAILIZE REGCE IS ASSERTED
ENA <= DO_READ_A OR DO_WRITE_A ;
ENB <= DO_READ_B OR DO_WRITE_B ;
WEA <= IF_THEN_ELSE(DO_WRITE_A='1', WEA_VCC,WEA_GND) ;
WEB <= IF_THEN_ELSE(DO_WRITE_B='1', WEB_VCC,WEB_GND) ;
END ARCHITECTURE;
| bsd-3-clause | a8af4cd190914fb4edcef680d25765fc | 0.575741 | 3.201631 | false | false | false | false |
SoCdesign/inputboard | ZedBoard_Linux_Design/hw/xps_proj/pcores/superip_v1_00_a/hdl/vhdl/superip_internal.vhd | 1 | 8,647 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity superip_internal is
port(
-- Outputs
Mux3_BalanceORMux2_Left_out : out std_logic_vector(23 downto 0);
Mux3_BalanceORMux2_Right_out : out std_logic_vector(23 downto 0);
slv_reg26 : out STD_LOGIC_VECTOR(31 downto 0);
slv_reg28 : out STD_LOGIC_VECTOR(31 downto 0);
slv_reg29 : out STD_LOGIC_VECTOR(31 downto 0);
slv_reg30 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg31 : in STD_LOGIC_VECTOR(31 downto 0);
-- Inputs
CLK_48_in : in std_logic;
CLK_100M_in : in std_logic;
Audio_Left_in : in std_logic_vector(23 downto 0);
Audio_Right_in : in std_logic_vector(23 downto 0);
SAMPLE_TRIG : in std_logic;
-- REGISTERS
slv_reg0 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg1 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg2 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg3 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg4 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg5 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg6 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg7 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg8 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg9 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg10 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg11 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg12 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg13 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg14 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg15 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg16 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg17 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg18 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg19 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg20 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg21 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg22 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg23 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg24 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg25 : in STD_LOGIC_VECTOR(31 downto 0);
--register 26 is output, flags go there
slv_reg27 : in STD_LOGIC_VECTOR(31 downto 0)
);
end entity superip_internal;
architecture RTL of superip_internal is
-- Internals
signal Mux3_BalanceORMux2_Left : std_logic_vector(23 downto 0);
signal Mux3_BalanceORMux2_Right : std_logic_vector(23 downto 0);
signal Mux2_FilterORMux1_Left : std_logic_vector(23 downto 0);
signal Mux2_FilterORMux1_Right : std_logic_vector(23 downto 0);
signal Mux1_VolCtrlORAudio_Left_out : std_logic_vector(23 downto 0);
signal Mux1_VolCtrlORAudio_Right_out : std_logic_vector(23 downto 0);
signal Filter_Left_out : std_logic_vector(23 downto 0);
signal Filter_Right_out : std_logic_vector(23 downto 0);
signal OUT_VOLCTRL_L : signed(23 downto 0);
signal OUT_VOLCTRL_R : signed(23 downto 0);
signal Balance_L_OUT : signed(23 downto 0);
signal Balance_R_OUT : signed(23 downto 0);
-- Outputs Register 26
ALIAS VolCtrl_RDY_L : STD_LOGIC is slv_reg26(0);
ALIAS VolCtrl_RDY_R : STD_LOGIC is slv_reg26(1);
ALIAS Filter_ready_out : STD_LOGIC is slv_reg26(2);
ALIAS READY_BAL : STD_LOGIC is slv_reg26(3);
-- Inputs Register 27
ALIAS HP_SW : STD_LOGIC is slv_reg27(0); --1 will enable it
ALIAS BP_SW : STD_LOGIC is slv_reg27(4); --1 will enable it
ALIAS LP_SW : STD_LOGIC is slv_reg27(8); --1 will enable it
ALIAS Reset_in : STD_LOGIC is slv_reg27(16);--1 will reset everything
ALIAS sample_trigger_en : STD_LOGIC is slv_reg27(20);--1 will set filter to wait for SAMPLE_TRIG from audioIP, otherwise, its constantly calculating
ALIAS bus_frames_en : std_logic is slv_reg27(31);--1 will
-- inputs register 25
signal Mux_Select_in : std_logic_vector(2 downto 0);
--slv_reg25(0) -> Mux1:= Volctrl or rawAudio; 0 for Volctrl pass
--slv_reg25(4) -> Mux2:= Filter or Mux1; 0 for Filter pass
--slv_reg25(8) -> mux3:= Balance or Mux2 0 for Balance pass
-- inputs register 24
ALIAS Reset_Filter : STD_LOGIC is slv_reg24(0); --1 will reset filter only, we use this because its unstable
begin
Mux_Select_in <= slv_reg25(8) & slv_reg25(4) & slv_reg25(0);
slv_reg28 <= x"00" & Mux3_BalanceORMux2_Left; --this goes out, and should arrive in mixerboard
slv_reg29 <= x"00" & Mux3_BalanceORMux2_Right; --this goes out, and should arrive in mixerboard
Mux_Frames_or_internal : process(Mux3_BalanceORMux2_Left, Mux3_BalanceORMux2_Right, slv_reg27(31), slv_reg30(23 downto 0), slv_reg31(23 downto 0))
begin
if bus_frames_en = '0' then
Mux3_BalanceORMux2_Left_out <= Mux3_BalanceORMux2_Left;
Mux3_BalanceORMux2_Right_out <= Mux3_BalanceORMux2_Right;
else
Mux3_BalanceORMux2_Left_out <= slv_reg30(23 downto 0); --this is input from mixerIP,
Mux3_BalanceORMux2_Right_out <= slv_reg31(23 downto 0);
end if;
end process;
Tester_inst : entity work.Tester
port map(
Audio_Left_in => Audio_Left_in,
Audio_Right_in => Audio_Right_in,
VolCtrl_Left_out_in => std_logic_vector(OUT_VOLCTRL_L),
VolCtrl_Right_out_in => std_logic_vector(OUT_VOLCTRL_R),
Mux1_VolCtrlORAudio_Left_out => Mux1_VolCtrlORAudio_Left_out,
Mux1_VolCtrlORAudio_Right_out => Mux1_VolCtrlORAudio_Right_out,
Filter_Left_out_in => Filter_Left_out,
Filter_Right_out_in => Filter_Right_out,
Mux2_FilterORMux1_Left_out => Mux2_FilterORMux1_Left,
Mux2_FilterORMux1_Right_out => Mux2_FilterORMux1_Right,
Balance_Left_out_in => std_logic_vector(Balance_L_OUT),
Balance_Right_out_in => std_logic_vector(Balance_R_OUT),
Mux3_BalanceORMux2_Left_out => Mux3_BalanceORMux2_Left,
Mux3_BalanceORMux2_Right_out => Mux3_BalanceORMux2_Right,
Mux_Select_in => Mux_Select_in
);
VolCtrl_inst : entity work.VolCtrl
generic map(
INTBIT_WIDTH => 24,
FRACBIT_WIDTH => 8
)
port map(
OUT_VOLCTRL_L => OUT_VOLCTRL_L,
OUT_VOLCTRL_R => OUT_VOLCTRL_R,
OUT_RDY_L => VolCtrl_RDY_L,
OUT_RDY_R => VolCtrl_RDY_R,
IN_SIG_L => signed(Audio_Left_in),
IN_SIG_R => signed(Audio_Right_in),
IN_COEF_L => signed(slv_reg15),
IN_COEF_R => signed(slv_reg16),
RESET => Reset_in,
CLK_48 => CLK_48_in,
CLK_100M => CLK_100M_in
);
filter_Comp : entity work.Filter_Top_Level
port map(
slv_reg0 => slv_reg0,
slv_reg1 => slv_reg1,
slv_reg2 => slv_reg2,
slv_reg3 => slv_reg3,
slv_reg4 => slv_reg4,
slv_reg5 => slv_reg5,
slv_reg6 => slv_reg6,
slv_reg7 => slv_reg7,
slv_reg8 => slv_reg8,
slv_reg9 => slv_reg9,
slv_reg10 => slv_reg10,
slv_reg11 => slv_reg11,
slv_reg12 => slv_reg12,
slv_reg13 => slv_reg13,
slv_reg14 => slv_reg14,
CLK_48 => CLK_48_in,
RST => Reset_Filter,
SAMPLE_TRIG => SAMPLE_TRIG,
sample_trigger_en => sample_trigger_en,
HP_SW => HP_SW,
BP_SW => BP_SW,
LP_SW => LP_SW,
AUDIO_IN_L => Mux1_VolCtrlORAudio_Left_out,
AUDIO_IN_R => Mux1_VolCtrlORAudio_Right_out,
AUDIO_OUT_L => Filter_Left_out,
AUDIO_OUT_R => Filter_Right_out,
FILTER_DONE => Filter_ready_out
);
Balance_inst : entity work.Balance
generic map(
INTBIT_WIDTH => 24,
FRACBIT_WIDTH => 8,
N => 32,
Attenuation_Const => 11
)
port map(
CLK_BAL => CLK_48_in,
RESET_BAL => Reset_in,
POINTER => to_integer(signed(slv_reg17)),
CH_L_IN => signed(Mux2_FilterORMux1_Left),
CH_R_IN => signed(Mux2_FilterORMux1_Right),
CH_L_OUT => Balance_L_OUT,
CH_R_OUT => Balance_R_OUT,
READY_BAL => READY_BAL
);
end architecture RTL;
| mit | ace34135d199a165cf9f12836198c442 | 0.576501 | 3.061969 | false | false | false | false |
vira-lytvyn/labsAndOthersNiceThings | HardwareAndSoftwareOfNeuralNetworks/Lab_13/lab13_1/lab13_1.vhd | 1 | 760 | library ieee;
use ieee.std_logic_1164.all;
entity RS_FF is
PORT ( S: in std_logic;
R: in std_logic;
CLOCK: in std_logic;
CLR: in std_logic;
PRESET: in std_logic;
Q: out std_logic;
QN: out std_logic);
end RS_FF;
Architecture Arch_RS_FF of RS_FF is
begin
FF:process(CLOCK,CLR,PRESET)
begin
if (CLR='0') then
x:='0';
elsif(PRESET='0') then
x:='1';
elsif(CLOCK='1' and CLOCK'EVENT) then
if (S='0' and R='0') then
x:=x;
elsif(S='1' and R='1') then
x:='Z';
elsif(S='0' and R='1') then
x:='0';
else
x:='1';
end if;
end if;
Q<=x;
QN<=not x;
end process FF;
end Arch_RS_FF;
| gpl-2.0 | 63580e8681d18882cbda90f18341ea67 | 0.478947 | 2.900763 | false | false | false | false |
dcsun88/ntpserver-fpga | vhd/hdl/bcdtime.vhd | 1 | 8,290 | -------------------------------------------------------------------------------
-- Title : Clock
-- Project :
-------------------------------------------------------------------------------
-- File : bcdtime.vhd
-- Author : Daniel Sun <[email protected]>
-- Company :
-- Created : 2016-05-04
-- Last update: 2016-08-22
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: BCD Time counters ms resolution
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-05-04 1.0 dcsun88osh Created
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
library work;
use work.types_pkg.all;
entity bcdtime is
port (
rst_n : in std_logic;
clk : in std_logic;
tsc_1pps : in std_logic;
tsc_1ppms : in std_logic;
set : in std_logic;
set_time : in time_ty;
cur_time : out time_ty
);
end bcdtime;
architecture rtl of bcdtime is
SIGNAL dig_1ms : std_logic_vector(3 downto 0);
SIGNAL dig_10ms : std_logic_vector(3 downto 0);
SIGNAL dig_100ms : std_logic_vector(3 downto 0);
SIGNAL dig_1s : std_logic_vector(3 downto 0);
SIGNAL dig_10s : std_logic_vector(3 downto 0);
SIGNAL dig_1m : std_logic_vector(3 downto 0);
SIGNAL dig_10m : std_logic_vector(3 downto 0);
SIGNAL dig_1h : std_logic_vector(3 downto 0);
SIGNAL dig_10h : std_logic_vector(3 downto 0);
signal ms_carry : std_logic;
signal s_carry : std_logic;
signal m_carry : std_logic;
signal h_carry : std_logic;
signal sync_time : std_logic;
begin
-- Set latch
time_set:
process (rst_n, clk) is
begin
if (rst_n = '0') then
sync_time <= '0';
elsif (clk'event and clk = '1') then
if (set = '1') then
sync_time <= '1';
elsif (tsc_1pps = '1') then
sync_time <= '0';
end if;
end if;
end process;
-- Clock ms counters 0-999
time_ms:
process (rst_n, clk) is
begin
if (rst_n = '0') then
dig_1ms <= (others => '0');
dig_10ms <= (others => '0');
dig_100ms <= (others => '0');
ms_carry <= '0';
elsif (clk'event and clk = '1') then
if (sync_time = '1' and tsc_1pps = '1') then
dig_1ms <= (others => '0');
dig_1ms(1) <= '1'; -- Set 2ms ahead for display pipe delay
dig_10ms <= (others => '0');
dig_100ms <= (others => '0');
ms_carry <= '0';
elsif (tsc_1ppms = '1') then
if (dig_1ms = 9) then
dig_1ms <= (others => '0');
else
dig_1ms <= dig_1ms + 1;
end if;
if (dig_1ms = 9) then
if (dig_10ms = 9) then
dig_10ms <= (others => '0');
else
dig_10ms <= dig_10ms + 1;
end if;
end if;
if (dig_1ms = 9 and dig_10ms = 9) then
if (dig_100ms = 9) then
dig_100ms <= (others => '0');
else
dig_100ms <= dig_100ms + 1;
end if;
end if;
if (dig_1ms = 8 and dig_10ms = 9 and dig_100ms = 9) then
ms_carry <= '1';
else
ms_carry <= '0';
end if;
end if;
end if;
end process;
-- Clock second counters 0 - 59
time_s:
process (rst_n, clk) is
begin
if (rst_n = '0') then
dig_1s <= (others => '0');
dig_10s <= (others => '0');
s_carry <= '0';
elsif (clk'event and clk = '1') then
if (sync_time = '1' and tsc_1pps = '1') then
dig_1s <= set_time.t_1s;
dig_10s <= set_time.t_10s;
s_carry <= '0';
elsif (tsc_1ppms = '1' and ms_carry = '1') then
if (dig_1s = 9) then
dig_1s <= (others => '0');
else
dig_1s <= dig_1s + 1;
end if;
if (dig_1s = 9) then
if (dig_10s = 5) then
dig_10s <= (others => '0');
else
dig_10s <= dig_10s + 1;
end if;
end if;
if (dig_1s = 8 and dig_10s = 5) then
s_carry <= '1';
else
s_carry <= '0';
end if;
end if;
end if;
end process;
-- Clock minute counters 0 - 59
time_m:
process (rst_n, clk) is
begin
if (rst_n = '0') then
dig_1m <= (others => '0');
dig_10m <= (others => '0');
m_carry <= '0';
elsif (clk'event and clk = '1') then
if (sync_time = '1' and tsc_1pps = '1') then
dig_1m <= set_time.t_1m;
dig_10m <= set_time.t_10m;
m_carry <= '0';
elsif (tsc_1ppms = '1' and s_carry = '1' and ms_carry ='1') then
if (dig_1m = 9) then
dig_1m <= (others => '0');
else
dig_1m <= dig_1m + 1;
end if;
if (dig_1m = 9) then
if (dig_10m = 5) then
dig_10m <= (others => '0');
else
dig_10m <= dig_10m + 1;
end if;
end if;
if (dig_1m = 8 and dig_10m = 5) then
m_carry <= '1';
else
m_carry <= '0';
end if;
end if;
end if;
end process;
-- Clock hour counters 0 - 23
time_h:
process (rst_n, clk) is
begin
if (rst_n = '0') then
dig_1h <= (others => '0');
dig_10h <= (others => '0');
h_carry <= '0';
elsif (clk'event and clk = '1') then
if (sync_time = '1' and tsc_1pps = '1') then
dig_1h <= set_time.t_1h;
dig_10h <= set_time.t_10h;
h_carry <= '0';
elsif (tsc_1ppms = '1' and m_carry = '1' and s_carry = '1' and ms_carry = '1') then
if (dig_1h = 9 or (dig_1h = 3 and dig_10h = 2)) then
dig_1h <= (others => '0');
else
dig_1h <= dig_1h + 1;
end if;
if (dig_1h = 9 or (dig_1h = 3 and dig_10h = 2)) then
if (dig_1h = 3 and dig_10h = 2) then
dig_10h <= (others => '0');
else
dig_10h <= dig_10h + 1;
end if;
end if;
if (dig_1h = 2 and dig_10h = 2) then
h_carry <= '1';
else
h_carry <= '0';
end if;
end if;
end if;
end process;
cur_time.t_1ms <= dig_1ms;
cur_time.t_10ms <= dig_10ms;
cur_time.t_100ms <= dig_100ms;
cur_time.t_1s <= dig_1s;
cur_time.t_10s <= dig_10s;
cur_time.t_1m <= dig_1m;
cur_time.t_10m <= dig_10m;
cur_time.t_1h <= dig_1h;
cur_time.t_10h <= dig_10h;
end rtl;
| gpl-3.0 | 5d4d6c90cbac98f6417e77ff2e32df67 | 0.362002 | 3.709172 | false | false | false | false |
peteut/nvc | test/sem/static.vhd | 1 | 2,317 | entity static is
generic ( G : integer := 1 );
end entity;
architecture test of static is
begin
process is
subtype byte is bit_vector(7 downto 0);
variable bv : byte;
variable i : integer;
attribute hello : integer;
attribute hello of bv : variable is 6;
begin
case i is
when bv'length => -- OK
null;
when bv'left => -- OK
null;
when byte'right => -- OK
null;
when bv'hello => -- OK
null;
when others =>
null;
end case;
end process;
process is
variable v : bit_vector(3 downto 0);
constant c : bit_vector := "1010";
constant d : bit_vector(G downto 0) := (others => '0');
begin
case v is
when c => -- Error
null;
when others =>
null;
end case;
case v is
when d => -- Error
null;
when others =>
null;
end case;
end process;
end architecture;
-------------------------------------------------------------------------------
entity sub is
generic ( N : integer );
port ( x : bit_vector );
end entity;
architecture test of sub is
signal y : bit_vector(N - 1 downto 0) := (others => '0') ;
begin
sub_i: entity work.sub
generic map ( N => N )
port map (
x => x(x'left downto x'right) ); -- Error
gen1: for i in y'range generate -- OK
end generate;
b1: block is
type r is record
x, y : integer;
end record;
signal x : r := (1, 2);
begin
gen2: if (N, 2) = r'(1, 2) generate -- OK
end generate;
end block;
sub2_i: entity work.sub
generic map ( N => N )
port map (
x(N downto 0) => x ); -- Error
process is
type rec is record
f1, f2 : integer;
end record;
subtype rs is rec; -- OK
constant rc : rs := (0, 0); -- OK
constant i : integer := rc.f1; -- OK
begin
end process;
end architecture;
| gpl-3.0 | b97bf373b0816c2516916e33ad1daa64 | 0.425982 | 4.388258 | false | false | false | false |
vira-lytvyn/labsAndOthersNiceThings | HardwareAndSoftwareOfNeuralNetworks/Lab_8/Lab_8_3/lpm_divide0.vhd | 1 | 4,511 | -- megafunction wizard: %LPM_DIVIDE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_divide
-- ============================================================
-- File Name: lpm_divide0.vhd
-- Megafunction Name(s):
-- lpm_divide
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2009 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_divide0 IS
PORT
(
denom : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
numer : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
quotient : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
remain : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END lpm_divide0;
ARCHITECTURE SYN OF lpm_divide0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (3 DOWNTO 0);
COMPONENT lpm_divide
GENERIC (
lpm_drepresentation : STRING;
lpm_hint : STRING;
lpm_nrepresentation : STRING;
lpm_type : STRING;
lpm_widthd : NATURAL;
lpm_widthn : NATURAL
);
PORT (
denom : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
quotient : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
remain : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
numer : IN STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END COMPONENT;
BEGIN
quotient <= sub_wire0(3 DOWNTO 0);
remain <= sub_wire1(3 DOWNTO 0);
lpm_divide_component : lpm_divide
GENERIC MAP (
lpm_drepresentation => "UNSIGNED",
lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE",
lpm_nrepresentation => "UNSIGNED",
lpm_type => "LPM_DIVIDE",
lpm_widthd => 4,
lpm_widthn => 4
)
PORT MAP (
denom => denom,
numer => numer,
quotient => sub_wire0,
remain => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: PRIVATE_LPM_REMAINDERPOSITIVE STRING "TRUE"
-- Retrieval info: PRIVATE: PRIVATE_MAXIMIZE_SPEED NUMERIC "-1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USING_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: VERSION_NUMBER NUMERIC "2"
-- Retrieval info: CONSTANT: LPM_DREPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_HINT STRING "LPM_REMAINDERPOSITIVE=TRUE"
-- Retrieval info: CONSTANT: LPM_NREPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_DIVIDE"
-- Retrieval info: CONSTANT: LPM_WIDTHD NUMERIC "4"
-- Retrieval info: CONSTANT: LPM_WIDTHN NUMERIC "4"
-- Retrieval info: USED_PORT: denom 0 0 4 0 INPUT NODEFVAL denom[3..0]
-- Retrieval info: USED_PORT: numer 0 0 4 0 INPUT NODEFVAL numer[3..0]
-- Retrieval info: USED_PORT: quotient 0 0 4 0 OUTPUT NODEFVAL quotient[3..0]
-- Retrieval info: USED_PORT: remain 0 0 4 0 OUTPUT NODEFVAL remain[3..0]
-- Retrieval info: CONNECT: @numer 0 0 4 0 numer 0 0 4 0
-- Retrieval info: CONNECT: @denom 0 0 4 0 denom 0 0 4 0
-- Retrieval info: CONNECT: quotient 0 0 4 0 @quotient 0 0 4 0
-- Retrieval info: CONNECT: remain 0 0 4 0 @remain 0 0 4 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_divide0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_divide0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_divide0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_divide0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_divide0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
| gpl-2.0 | f67cb500f3b8e3b4cb55ea2832803e6b | 0.657061 | 3.634972 | false | false | false | false |
vira-lytvyn/labsAndOthersNiceThings | HardwareAndSoftwareOfNeuralNetworks/Lab_12/Lab_12_1/lab_12_1.vhd | 1 | 637 | Library IEEE;
use IEEE.std_logic_1164.all;
entity lab_12_1 is
port( A: in std_logic_vector (2 downto 0);
Q: out std_logic_vector (7 downto 0));
end entity lab_12_1;
architecture Behave of lab_12_1 is
begin
process (A)
begin
case A is
when "000" => Q <= "00000001";
when "001" => Q <= "00000010";
when "010" => Q <= "00000100";
when "011" => Q <= "00001000";
when "100" => Q <= "00010000";
when "101" => Q <= "00100000";
when "110" => Q <= "01000000";
when "111" => Q <= "10000000";
when others => Q <= "00000000";
end case;
end process;
end Behave; | gpl-2.0 | b33f367a76cab4d05409e12a22529c1e | 0.538462 | 2.895455 | false | false | false | false |
peteut/nvc | test/sem/attr.vhd | 1 | 4,629 | entity e is
end entity;
architecture a1 of e is
attribute foo : integer;
attribute bar : string;
signal x, y, z : integer;
attribute foo of x : signal is 6; -- OK
attribute bar of y : signal is "hello"; -- OK
type int_vec is array (integer range <>) of integer;
type int_vec_ptr is access int_vec;
signal i : int_vec(1 to 3);
attribute foo of i : signal is 6; -- OK
begin
process is
variable v : integer;
begin
v := x'foo; -- OK
report y'bar; -- OK
end process;
process is
begin
report z'foo; -- Error
end process;
process is
variable v : int_vec_ptr;
begin
assert v'length = 5;
assert v.all'length = 62;
end process;
process is
begin
report e'path_name; -- OK
report e'instance_name; -- OK
report a1'path_name; -- OK
report a1'instance_name; -- OK
end process;
process is
begin
assert i'event; -- OK
assert i(1)'event; -- OK
assert i(x)'event; -- OK
assert i'foo = 1; -- OK
assert i(1)'foo = 2; -- Error
end process;
end architecture;
architecture a2 of e is
attribute foo : integer;
attribute bar : string;
signal x, y, z : integer;
attribute foo of z : signal is string'("boo"); -- Error
attribute bar of x : signal is 73; -- Error
attribute foo of q : signal is 71; -- Error
attribute foo of yah : label is 12; -- Ignored
begin
end architecture;
architecture a3 of e is
type int10_vec is array (integer range 1 to 10) of integer;
begin
process is
variable x : integer;
begin
assert int10_vec'low = 1; -- OK
assert int10_vec'high = 10; -- OK
assert int10_vec'left = 1; -- OK
assert int10_vec'right = 10; -- OK
assert int10_vec'low(1) = 1; -- OK
assert int10_vec'left(x) = 2; -- Error
end process;
end architecture;
package p is
function func(x : in integer) return integer;
end package;
package body p is
function func(x : in integer) return integer is
begin
report func'instance_name;
return x + 1;
end function;
end package body;
entity issue39 is
generic (
g : bit := '0'
);
begin
assert (g = '0' or g = '1')
report issue39'instance_name & "oops!"
severity failure;
end entity issue39;
architecture a4 of e is
begin
process is
begin
assert integer'image(0)(0) = '0'; -- OK
end process;
process is
variable i : integer;
attribute a : bit_vector;
attribute a of i : variable is "101";
attribute b : integer;
attribute b of i : variable is 4;
begin
assert i'a(1) = '0'; -- OK
assert i'b(1) = 1; -- Error
end process;
process is
variable i : integer;
attribute a : boolean;
attribute a of i : signal is true; -- Error
begin
end process;
process is
variable x : integer;
begin
assert x'last_event = 0 ns; -- Error
end process;
process is
type bv_ptr is access bit_vector;
variable a : bv_ptr;
type r is record
x : integer;
end record;
variable b : r;
begin
a(a'range) := "110101"; -- OK
a(bit_vector'range) := "110101"; -- Error
a(b'range) := "101010"; -- Error
a(e'range) := "110101"; -- Error
end process;
process is
function func(x : integer) return bit_vector;
variable a : bit_vector(1 to 10);
begin
a(func(4)'range) := (others => '1'); -- OK
end process;
process is
type bvptr is access bit_vector;
variable b : bvptr;
begin
for i in b.all'range loop -- OK
end loop;
for i in b'range loop -- OK
end loop;
end process;
b1: block is
function fie return string is
begin
return "11010011";
end function;
function fie2(x : integer := 4) return string is
begin
-- report fie2'instance_name; ???
return "101";
end function;
begin
process
begin
assert fie'RIGHT = 1; -- OK
assert fie2'RIGHT = 1; -- OK
end process;
end block;
end architecture;
| gpl-3.0 | 98be36c63f70cf17b78ffa456bf89474 | 0.518471 | 3.916244 | false | false | false | false |
SoCdesign/inputboard | ZedBoard_Linux_Design/hw/xps_proj/pcores/axi_spdif_tx_v1_00_a/hdl/vhdl/tx_encoder.vhd | 3 | 20,871 | ----------------------------------------------------------------------
---- ----
---- WISHBONE SPDIF IP Core ----
---- ----
---- This file is part of the SPDIF project ----
---- http://www.opencores.org/cores/spdif_interface/ ----
---- ----
---- Description ----
---- SPDIF transmitter signal encoder. Reads out samples from the ----
---- sample buffer, assembles frames and subframes and encodes ----
---- serial data as bi-phase mark code. ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author(s): ----
---- - Geir Drange, [email protected] ----
---- ----
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2004 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------
--
-- CVS Revision History
--
-- $Log: not supported by cvs2svn $
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tx_encoder is
generic (DATA_WIDTH: integer range 16 to 32 := 32);
port (
up_clk: in std_logic; -- clock
data_clk : in std_logic; -- data clock
resetn : in std_logic; -- resetn
conf_mode: in std_logic_vector(3 downto 0); -- sample format
conf_ratio: in std_logic_vector(7 downto 0); -- clock divider
conf_udaten: in std_logic_vector(1 downto 0); -- user data control
conf_chsten: in std_logic_vector(1 downto 0); -- ch. status control
conf_txdata: in std_logic; -- sample data enable
conf_txen: in std_logic; -- spdif signal enable
user_data_a: in std_logic_vector(191 downto 0); -- ch. a user data
user_data_b: in std_logic_vector(191 downto 0); -- ch. b user data
ch_stat_a: in std_logic_vector(191 downto 0); -- ch. a status
ch_stat_b: in std_logic_vector(191 downto 0); -- ch. b status
chstat_freq: in std_logic_vector(1 downto 0); -- sample freq.
chstat_gstat: in std_logic; -- generation status
chstat_preem: in std_logic; -- preemphasis status
chstat_copy: in std_logic; -- copyright bit
chstat_audio: in std_logic; -- data format
sample_data: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- audio data
mem_rd: out std_logic; -- sample buffer read
channel: out std_logic;
spdif_tx_o: out std_logic);
end tx_encoder;
architecture rtl of tx_encoder is
signal spdif_clk_en, spdif_out : std_logic;
signal clk_cnt : integer range 0 to 511;
type buf_states is (IDLE, READ_CHA, READ_CHB, CHA_RDY, CHB_RDY);
signal bufctrl : buf_states;
signal cha_samp_ack, chb_samp_ack : std_logic;
type frame_states is (IDLE, BLOCK_START, CHANNEL_A, CHANNEL_B);
signal framest : frame_states;
signal frame_cnt : integer range 0 to 191;
signal bit_cnt, par_cnt : integer range 0 to 31;
signal inv_preamble, toggle, valid : std_logic;
signal def_user_data, def_ch_status : std_logic_vector(191 downto 0);
signal active_user_data, active_ch_status : std_logic_vector(191 downto 0);
signal audio : std_logic_vector(23 downto 0);
signal par_vector : std_logic_vector(26 downto 0);
signal send_audio, imem_rd : std_logic;
signal tick_counter : std_logic;
signal tick_counter_d1 : std_logic;
signal tick_counter_d2 : std_logic;
constant X_PREAMBLE : std_logic_vector(0 to 7) := "11100010";
constant Y_PREAMBLE : std_logic_vector(0 to 7) := "11100100";
constant Z_PREAMBLE : std_logic_vector(0 to 7) := "11101000";
function encode_bit (
signal bit_cnt : integer; -- sub-frame bit position
signal valid : std_logic; -- validity bit
signal frame_cnt : integer; -- frame counter
signal par_cnt : integer; -- parity counter
signal user_data : std_logic_vector(191 downto 0);
signal ch_status : std_logic_vector(191 downto 0);
signal audio : std_logic_vector(23 downto 0);
signal toggle : std_logic;
signal prev_spdif : std_logic) -- prev. value of spdif signal
return std_logic is
variable spdif, next_bit : std_logic;
begin
if bit_cnt > 3 and bit_cnt < 28 then -- audio part
next_bit := audio(bit_cnt - 4);
elsif bit_cnt = 28 then -- validity bit
next_bit := valid;
elsif bit_cnt = 29 then -- user data
next_bit := user_data(frame_cnt);
elsif bit_cnt = 30 then
next_bit := ch_status(frame_cnt); -- channel status
elsif bit_cnt = 31 then
if par_cnt mod 2 = 1 then
next_bit := '1';
else
next_bit := '0';
end if;
end if;
-- bi-phase mark encoding:
if next_bit = '0' then
if toggle = '0' then
spdif := not prev_spdif;
else
spdif := prev_spdif;
end if;
else
spdif := not prev_spdif;
end if;
return(spdif);
end encode_bit;
begin
-- SPDIF clock enable generation. The clock is a fraction of the data clock,
-- determined by the conf_ratio value.
DCLK : process (data_clk)
begin
if rising_edge(data_clk) then
tick_counter <= not tick_counter;
end if;
end process DCLK;
CGEN: process (up_clk)
begin
if rising_edge(up_clk) then
if resetn = '0' or conf_txen = '0' then
clk_cnt <= 0;
tick_counter_d1 <= '0';
tick_counter_d2 <= '0';
spdif_clk_en <= '0';
else
tick_counter_d1 <= tick_counter;
tick_counter_d2 <= tick_counter_d1;
spdif_clk_en <= '0';
if (tick_counter_d1 xor tick_counter_d2) = '1' then
if clk_cnt < to_integer(unsigned(conf_ratio)) then
clk_cnt <= clk_cnt + 1;
else
clk_cnt <= 0;
spdif_clk_en <= '1';
end if;
end if;
end if;
end if;
end process CGEN;
-- Sample memory read process. Enabled by the conf_txdata bit.
-- Buffer address is reset when disabled. Also generates events for
-- lower and upper buffer empty conditions
mem_rd <= imem_rd;
SRD: process (up_clk)
begin
if rising_edge(up_clk) then
if resetn = '0' or conf_txdata = '0' then
bufctrl <= IDLE;
imem_rd <= '0';
channel <= '0';
else
case bufctrl is
when IDLE =>
imem_rd <= '0';
if conf_txdata = '1' then
bufctrl <= READ_CHA;
imem_rd <='1';
end if;
when READ_CHA =>
channel <= '0';
imem_rd <= '0';
bufctrl <= CHA_RDY;
when CHA_RDY =>
if cha_samp_ack = '1' then
imem_rd <= '1';
bufctrl <= READ_CHB;
end if;
when READ_CHB =>
channel <= '1';
imem_rd <= '0';
bufctrl <= CHB_RDY;
when CHB_RDY =>
if chb_samp_ack = '1' then
imem_rd <= '1';
bufctrl <= READ_CHA;
end if;
when others =>
bufctrl <= IDLE;
end case;
end if;
end if;
end process SRD;
TXSYNC: process (data_clk)
begin
if (rising_edge(data_clk)) then
if resetn = '0' then
spdif_tx_o <= '0';
else
spdif_tx_o <= spdif_out;
end if;
end if;
end process TXSYNC;
-- State machine that generates sub-frames and blocks
FRST: process (up_clk)
begin
if rising_edge(up_clk) then
if resetn = '0' or conf_txen = '0' then
framest <= IDLE;
frame_cnt <= 0;
bit_cnt <= 0;
spdif_out <= '0';
inv_preamble <= '0';
toggle <= '0';
valid <= '1';
send_audio <= '0';
cha_samp_ack <= '0';
chb_samp_ack <= '0';
else
if spdif_clk_en = '1' then -- SPDIF clock is twice the bit rate
case framest is
when IDLE =>
bit_cnt <= 0;
frame_cnt <= 0;
inv_preamble <= '0';
toggle <= '0';
framest <= BLOCK_START;
when BLOCK_START => -- Start of channels status block/Ch. A
chb_samp_ack <= '0';
toggle <= not toggle; -- Each bit uses two clock enables,
if toggle = '1' then -- counted by the toggle bit.
if bit_cnt < 31 then
bit_cnt <= bit_cnt + 1;
else
bit_cnt <= 0;
if send_audio = '1' then
cha_samp_ack <= '1';
end if;
framest <= CHANNEL_B;
end if;
end if;
-- Block start uses preamble Z.
if bit_cnt < 4 then
if toggle = '0' then
spdif_out <= Z_PREAMBLE(2 * bit_cnt) xor inv_preamble;
else
spdif_out <= Z_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble;
end if;
par_cnt <= 0;
elsif bit_cnt > 3 and bit_cnt <= 31 then
spdif_out <= encode_bit(bit_cnt, valid, frame_cnt,
par_cnt, active_user_data,
active_ch_status,
audio, toggle, spdif_out);
if bit_cnt = 31 then
inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt,
par_cnt, active_user_data,
active_ch_status,
audio, toggle, spdif_out);
end if;
if toggle = '0' then
if bit_cnt > 3 and bit_cnt < 31 and
par_vector(bit_cnt - 4) = '1' then
par_cnt <= par_cnt + 1;
end if;
end if;
end if;
when CHANNEL_A => -- Sub-frame: channel A.
chb_samp_ack <= '0';
toggle <= not toggle;
if toggle = '1' then
if bit_cnt < 31 then
bit_cnt <= bit_cnt + 1;
else
bit_cnt <= 0;
if spdif_out = '1' then
inv_preamble <= '1';
else
inv_preamble <= '0';
end if;
if send_audio = '1' then
cha_samp_ack <= '1';
end if;
framest <= CHANNEL_B;
end if;
end if;
-- Channel A uses preable X.
if bit_cnt < 4 then
if toggle = '0' then
spdif_out <= X_PREAMBLE(2 * bit_cnt) xor inv_preamble;
else
spdif_out <= X_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble;
end if;
par_cnt <= 0;
elsif bit_cnt > 3 and bit_cnt <= 31 then
spdif_out <= encode_bit(bit_cnt, valid, frame_cnt,
par_cnt, active_user_data,
active_ch_status,
audio, toggle, spdif_out);
if bit_cnt = 31 then
inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt,
par_cnt, active_user_data,
active_ch_status,
audio, toggle, spdif_out);
end if;
if toggle = '0' then
if bit_cnt > 3 and bit_cnt < 31 and
par_vector(bit_cnt - 4) = '1' then
par_cnt <= par_cnt + 1;
end if;
end if;
end if;
when CHANNEL_B => -- Sub-frame: channel B.
cha_samp_ack <= '0';
toggle <= not toggle;
if toggle = '1' then
if bit_cnt < 31 then
bit_cnt <= bit_cnt + 1;
else
bit_cnt <= 0;
valid <= not conf_txdata;
if spdif_out = '1' then
inv_preamble <= '1';
else
inv_preamble <= '0';
end if;
send_audio <= conf_txdata; -- 1 if audio samples sohuld be sent
if send_audio = '1' then
chb_samp_ack <= '1';
end if;
if frame_cnt < 191 then -- One block is 192 frames
frame_cnt <= frame_cnt + 1;
framest <= CHANNEL_A;
else
frame_cnt <= 0;
framest <= BLOCK_START;
end if;
end if;
end if;
-- Channel B uses preable Y.
if bit_cnt < 4 then
if toggle = '0' then
spdif_out <= Y_PREAMBLE(2 * bit_cnt) xor inv_preamble;
else
spdif_out <= Y_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble;
end if;
par_cnt <= 0;
elsif bit_cnt > 3 and bit_cnt <= 31 then
spdif_out <= encode_bit(bit_cnt, valid, frame_cnt,
par_cnt, active_user_data,
active_ch_status,
audio, toggle, spdif_out);
if bit_cnt = 31 then
inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt,
par_cnt, active_user_data,
active_ch_status,
audio, toggle, spdif_out);
end if;
if toggle = '0' then
if bit_cnt > 3 and bit_cnt < 31 and
par_vector(bit_cnt - 4) = '1' then
par_cnt <= par_cnt + 1;
end if;
end if;
end if;
when others =>
framest <= IDLE;
end case;
end if;
end if;
end if;
end process FRST;
-- Audio data latching
DA32: if DATA_WIDTH = 32 generate
ALAT: process (up_clk)
begin
if rising_edge(up_clk) then
if send_audio = '0' then
audio(23 downto 0) <= (others => '0');
else
case to_integer(unsigned(conf_mode)) is
when 0 => -- 16 bit audio
audio(23 downto 8) <= sample_data(15 downto 0);
audio(7 downto 0) <= (others => '0');
when 1 => -- 17 bit audio
audio(23 downto 7) <= sample_data(16 downto 0);
audio(6 downto 0) <= (others => '0');
when 2 => -- 18 bit audio
audio(23 downto 6) <= sample_data(17 downto 0);
audio(5 downto 0) <= (others => '0');
when 3 => -- 19 bit audio
audio(23 downto 5) <= sample_data(18 downto 0);
audio(4 downto 0) <= (others => '0');
when 4 => -- 20 bit audio
audio(23 downto 4) <= sample_data(19 downto 0);
audio(3 downto 0) <= (others => '0');
when 5 => -- 21 bit audio
audio(23 downto 3) <= sample_data(20 downto 0);
audio(2 downto 0) <= (others => '0');
when 6 => -- 22 bit audio
audio(23 downto 2) <= sample_data(21 downto 0);
audio(1 downto 0) <= (others => '0');
when 7 => -- 23 bit audio
audio(23 downto 1) <= sample_data(22 downto 0);
audio(0) <= '0';
when 8 => -- 24 bit audio
audio(23 downto 0) <= sample_data(23 downto 0);
when others => -- unsupported modes
audio(23 downto 0) <= (others => '0');
end case;
end if;
end if;
end process ALAT;
end generate DA32;
DA16: if DATA_WIDTH = 16 generate
ALAT: process (up_clk)
begin
if rising_edge(up_clk) then
if send_audio = '0' then
audio(23 downto 0) <= (others => '0');
else
audio(23 downto 8) <= sample_data(15 downto 0);
audio(7 downto 0) <= (others => '0');
end if;
end if;
end process ALAT;
end generate DA16;
-- Parity vector. These bits are counted to generate even parity
par_vector(23 downto 0) <= audio(23 downto 0);
par_vector(24) <= valid;
par_vector(25) <= active_user_data(frame_cnt);
par_vector(26) <= active_ch_status(frame_cnt);
-- Channel status and user datat to be used if buffers are disabled.
-- User data is then all zero, while channel status bits are taken from
-- register TxChStat.
def_user_data(191 downto 0) <= (others => '0');
def_ch_status(0) <= '0'; -- consumer mode
def_ch_status(1) <= chstat_audio; -- audio bit
def_ch_status(2) <= chstat_copy; -- copy right
def_ch_status(5 downto 3) <= "000" when chstat_preem = '0'
else "001"; -- pre-emphasis
def_ch_status(7 downto 6) <= "00";
def_ch_status(14 downto 8) <= (others => '0');
def_ch_status(15) <= chstat_gstat; -- generation status
def_ch_status(23 downto 16) <= (others => '0');
def_ch_status(27 downto 24) <= "0000" when chstat_freq = "00" else
"0010" when chstat_freq = "01" else
"0011" when chstat_freq = "10" else
"0001";
def_ch_status(191 downto 28) <= (others => '0'); --191 28
-- Generate channel status vector based on configuration register setting.
active_ch_status <= ch_stat_a when conf_chsten = "01" else
ch_stat_a when conf_chsten = "10" and framest = CHANNEL_A else
ch_stat_b when conf_chsten = "10" and framest = CHANNEL_B else
def_ch_status;
-- Generate user data vector based on configuration register setting.
active_user_data <= user_data_a when conf_udaten = "01" else
user_data_a when conf_udaten = "10" and framest = CHANNEL_A else
user_data_b when conf_udaten = "10" and framest = CHANNEL_B else
def_user_data;
end rtl;
| mit | 4cb6e7691fb88dd31cfa1c71e05f6735 | 0.449907 | 4.309519 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/rd_fifo_256to64/simulation/rd_fifo_256to64_dgen.vhd | 1 | 4,560 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: rd_fifo_256to64_dgen.vhd
--
-- Description:
-- Used for write interface stimulus generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.rd_fifo_256to64_pkg.ALL;
ENTITY rd_fifo_256to64_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_dg_arch OF rd_fifo_256to64_dgen IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
SIGNAL pr_w_en : STD_LOGIC := '0';
SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
BEGIN
WR_EN <= PRC_WR_EN ;
WR_DATA <= wr_data_i AFTER 50 ns;
----------------------------------------------
-- Generation of DATA
----------------------------------------------
gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
rd_gen_inst1:rd_fifo_256to64_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+N
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET,
RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
ENABLE => pr_w_en
);
END GENERATE;
pr_w_en <= PRC_WR_EN AND NOT FULL;
wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0);
END ARCHITECTURE;
| gpl-2.0 | 63e6ff2fcc93544cc99d95974a60f4b1 | 0.601535 | 4.179652 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_sfifo_15x128/simulation/k7_sfifo_15x128_pkg.vhd | 1 | 11,457 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: k7_sfifo_15x128_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for FIFO Generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE k7_sfifo_15x128_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT k7_sfifo_15x128_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_sfifo_15x128_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_sfifo_15x128_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT k7_sfifo_15x128_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_sfifo_15x128_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT k7_sfifo_15x128_exdes IS
PORT (
CLK : IN std_logic;
RST : IN std_logic;
PROG_FULL : OUT std_logic;
PROG_EMPTY : OUT std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(128-1 DOWNTO 0);
DOUT : OUT std_logic_vector(128-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END k7_sfifo_15x128_pkg;
PACKAGE BODY k7_sfifo_15x128_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END k7_sfifo_15x128_pkg;
| gpl-2.0 | 5ac13464d35d67058d6cd178eff6c2af | 0.506764 | 3.908905 | false | false | false | false |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/OpenSource/v6eb_pcie.vhd | 1 | 94,257 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09:12:51 01 Feb 2010
-- Design Name:
-- Module Name: v6pcieDMA - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
--
-- Revision 1.00 - File Released
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library work;
use work.abb64Package.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity v6pcieDMA is
generic (
constant pcieLanes : integer := 4--C_NUM_PCIE_LANES
);
Port (
userclk_50MHz : IN std_logic; --50 MHz USER Socket SingleEnded
userclk_200MHz_n : IN std_logic; --200 MHz USER Socket LVDS N
userclk_200MHz_p : IN std_logic; --200 MHz USER Socket LVDS P
-- DPR blinker
LEDs_IO_pin : OUT std_logic_vector(3 downto 0);
-- PCIe transceivers
pci_exp_rxp : IN std_logic_vector(pcieLanes - 1 downto 0);
pci_exp_rxn : IN std_logic_vector(pcieLanes - 1 downto 0);
pci_exp_txp : OUT std_logic_vector(pcieLanes - 1 downto 0);
pci_exp_txn : OUT std_logic_vector(pcieLanes - 1 downto 0);
-- Necessity signals
sys_clk_p : IN std_logic; --125 MHz PCIe Clock
sys_clk_n : IN std_logic; --125 MHz PCIe Clock
sys_reset_n : IN std_logic --Reset
);
end entity v6pcieDMA;
architecture Behavioral of v6pcieDMA is
component PCIe_UserLogic_00
port (
bram_rd_dout: in std_logic_vector(63 downto 0);
debug_in_1i: in std_logic_vector(31 downto 0);
debug_in_2i: in std_logic_vector(31 downto 0);
debug_in_3i: in std_logic_vector(31 downto 0);
debug_in_4i: in std_logic_vector(31 downto 0);
dma_host2board_busy: in std_logic;
dma_host2board_done: in std_logic;
fifo_rd_count: in std_logic_vector(14 downto 0);
fifo_wr_count: in std_logic_vector(14 downto 0);
fifo_rd_dout: in std_logic_vector(71 downto 0);
fifo_rd_empty: in std_logic;
fifo_rd_pempty: in std_logic;
fifo_wr_full: in std_logic;
fifo_wr_pfull: in std_logic;
fifo_rd_valid: in std_logic;
inout_logic_cw_ce: in std_logic := '1';
inout_logic_cw_clk: in std_logic;
reg01_td: in std_logic_vector(31 downto 0);
reg01_tv: in std_logic;
reg02_td: in std_logic_vector(31 downto 0);
reg02_tv: in std_logic;
reg03_td: in std_logic_vector(31 downto 0);
reg03_tv: in std_logic;
reg04_td: in std_logic_vector(31 downto 0);
reg04_tv: in std_logic;
reg05_td: in std_logic_vector(31 downto 0);
reg05_tv: in std_logic;
reg06_td: in std_logic_vector(31 downto 0);
reg06_tv: in std_logic;
reg07_td: in std_logic_vector(31 downto 0);
reg07_tv: in std_logic;
reg08_td: in std_logic_vector(31 downto 0);
reg08_tv: in std_logic;
reg09_td: in std_logic_vector(31 downto 0);
reg09_tv: in std_logic;
reg10_td: in std_logic_vector(31 downto 0);
reg10_tv: in std_logic;
reg11_td: in std_logic_vector(31 downto 0);
reg11_tv: in std_logic;
reg12_td: in std_logic_vector(31 downto 0);
reg12_tv: in std_logic;
reg13_td: in std_logic_vector(31 downto 0);
reg13_tv: in std_logic;
reg14_td: in std_logic_vector(31 downto 0);
reg14_tv: in std_logic;
rst_i: in std_logic;
user_logic_cw_ce: in std_logic := '1';
user_logic_cw_clk: in std_logic;
bram_rd_addr: out std_logic_vector(11 downto 0);
bram_wr_addr: out std_logic_vector(11 downto 0);
bram_wr_din: out std_logic_vector(63 downto 0);
bram_wr_en: out std_logic_vector(7 downto 0);
fifo_rd_en: out std_logic;
fifo_wr_din: out std_logic_vector(71 downto 0);
fifo_wr_en: out std_logic;
reg01_rd: out std_logic_vector(31 downto 0);
reg01_rv: out std_logic;
reg02_rd: out std_logic_vector(31 downto 0);
reg02_rv: out std_logic;
reg03_rd: out std_logic_vector(31 downto 0);
reg03_rv: out std_logic;
reg04_rd: out std_logic_vector(31 downto 0);
reg04_rv: out std_logic;
reg05_rd: out std_logic_vector(31 downto 0);
reg05_rv: out std_logic;
reg06_rd: out std_logic_vector(31 downto 0);
reg06_rv: out std_logic;
reg07_rd: out std_logic_vector(31 downto 0);
reg07_rv: out std_logic;
reg08_rd: out std_logic_vector(31 downto 0);
reg08_rv: out std_logic;
reg09_rd: out std_logic_vector(31 downto 0);
reg09_rv: out std_logic;
reg10_rd: out std_logic_vector(31 downto 0);
reg10_rv: out std_logic;
reg11_rd: out std_logic_vector(31 downto 0);
reg11_rv: out std_logic;
reg12_rd: out std_logic_vector(31 downto 0);
reg12_rv: out std_logic;
reg13_rd: out std_logic_vector(31 downto 0);
reg13_rv: out std_logic;
reg14_rd: out std_logic_vector(31 downto 0);
reg14_rv: out std_logic;
rst_o: out std_logic;
user_int_1o: out std_logic;
user_int_2o: out std_logic;
user_int_3o: out std_logic
);
end component;
-- -----------------------------------------------------------------------
--- COMPONENT Declaration: v6_pcie_v1_6 x4 ---
--- OSS: Ricordarsi di matchare POWER_SAVE - VENDOR_ID e DEVICE_ID ---
--- OSS: For POWER_SAVE error correct bit[4] and install ISE12 Patch!! ---
-- -----------------------------------------------------------------------
--S component v6_pcie_v1_7_x1
-- component v6_pcie_v1_7_x4
component pcieCore
generic (
PL_FAST_TRAIN : string := "FALSE"
);
port (
-------------------------------------------------------------------------------------------------------------------
-- 1. PCI Express (pci_exp) Interface --
-------------------------------------------------------------------------------------------------------------------
pci_exp_txp : out std_logic_vector(3 downto 0);
pci_exp_txn : out std_logic_vector(3 downto 0);
pci_exp_rxp : in std_logic_vector(3 downto 0);
pci_exp_rxn : in std_logic_vector(3 downto 0);
-------------------------------------------------------------------------------------------------------------------
-- 2. Clocking Interface --
-------------------------------------------------------------------------------------------------------------------
PIPE_PCLK_IN : in std_logic;
PIPE_RXUSRCLK_IN : in std_logic;
PIPE_RXOUTCLK_IN : in std_logic_vector(3 downto 0);
PIPE_DCLK_IN : in std_logic;
PIPE_USERCLK1_IN : in std_logic;
PIPE_USERCLK2_IN : in std_logic;
PIPE_OOBCLK_IN : in std_logic;
PIPE_MMCM_LOCK_IN : in std_logic;
PIPE_TXOUTCLK_OUT : out std_logic;
PIPE_RXOUTCLK_OUT : out std_logic_vector(3 downto 0);
PIPE_PCLK_SEL_OUT : out std_logic_vector(3 downto 0);
PIPE_GEN3_OUT : out std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 3. AXI-S Interface --
-------------------------------------------------------------------------------------------------------------------
-- Common
user_clk_out : out std_logic;
user_reset_out : out std_logic;
user_lnk_up : out std_logic;
-- TX
tx_buf_av : out std_logic_vector(5 downto 0);
tx_cfg_req : out std_logic;
tx_err_drop : out std_logic;
s_axis_tx_tready : out std_logic;
s_axis_tx_tdata : in std_logic_vector((C_DATA_WIDTH - 1) downto 0);
s_axis_tx_tkeep : in std_logic_vector((C_DATA_WIDTH / 8 - 1) downto 0);
s_axis_tx_tlast : in std_logic;
s_axis_tx_tvalid : in std_logic;
s_axis_tx_tuser : in std_logic_vector(3 downto 0);
tx_cfg_gnt : in std_logic;
-- RX
m_axis_rx_tdata : out std_logic_vector((C_DATA_WIDTH - 1) downto 0);
m_axis_rx_tkeep : out std_logic_vector((C_DATA_WIDTH / 8 - 1) downto 0);
m_axis_rx_tlast : out std_logic;
m_axis_rx_tvalid : out std_logic;
m_axis_rx_tready : in std_logic;
m_axis_rx_tuser : out std_logic_vector(21 downto 0);
rx_np_ok : in std_logic;
rx_np_req : in std_logic;
-- Flow Control
fc_cpld : out std_logic_vector(11 downto 0);
fc_cplh : out std_logic_vector(7 downto 0);
fc_npd : out std_logic_vector(11 downto 0);
fc_nph : out std_logic_vector(7 downto 0);
fc_pd : out std_logic_vector(11 downto 0);
fc_ph : out std_logic_vector(7 downto 0);
fc_sel : in std_logic_vector(2 downto 0);
-------------------------------------------------------------------------------------------------------------------
-- 4. Configuration (CFG) Interface --
-------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------
-- EP and RP --
---------------------------------------------------------------------
cfg_mgmt_do : out std_logic_vector (31 downto 0);
cfg_mgmt_rd_wr_done : out std_logic;
cfg_status : out std_logic_vector(15 downto 0);
cfg_command : out std_logic_vector(15 downto 0);
cfg_dstatus : out std_logic_vector(15 downto 0);
cfg_dcommand : out std_logic_vector(15 downto 0);
cfg_lstatus : out std_logic_vector(15 downto 0);
cfg_lcommand : out std_logic_vector(15 downto 0);
cfg_dcommand2 : out std_logic_vector(15 downto 0);
cfg_pcie_link_state : out std_logic_vector(2 downto 0);
cfg_pmcsr_pme_en : out std_logic;
cfg_pmcsr_powerstate : out std_logic_vector(1 downto 0);
cfg_pmcsr_pme_status : out std_logic;
cfg_received_func_lvl_rst : out std_logic;
-- Management Interface
cfg_mgmt_di : in std_logic_vector (31 downto 0);
cfg_mgmt_byte_en : in std_logic_vector (3 downto 0);
cfg_mgmt_dwaddr : in std_logic_vector (9 downto 0);
cfg_mgmt_wr_en : in std_logic;
cfg_mgmt_rd_en : in std_logic;
cfg_mgmt_wr_readonly : in std_logic;
-- Error Reporting Interface
cfg_err_ecrc : in std_logic;
cfg_err_ur : in std_logic;
cfg_err_cpl_timeout : in std_logic;
cfg_err_cpl_unexpect : in std_logic;
cfg_err_cpl_abort : in std_logic;
cfg_err_posted : in std_logic;
cfg_err_cor : in std_logic;
cfg_err_atomic_egress_blocked : in std_logic;
cfg_err_internal_cor : in std_logic;
cfg_err_malformed : in std_logic;
cfg_err_mc_blocked : in std_logic;
cfg_err_poisoned : in std_logic;
cfg_err_norecovery : in std_logic;
cfg_err_tlp_cpl_header : in std_logic_vector(47 downto 0);
cfg_err_cpl_rdy : out std_logic;
cfg_err_locked : in std_logic;
cfg_err_acs : in std_logic;
cfg_err_internal_uncor : in std_logic;
cfg_trn_pending : in std_logic;
cfg_pm_halt_aspm_l0s : in std_logic;
cfg_pm_halt_aspm_l1 : in std_logic;
cfg_pm_force_state_en : in std_logic;
cfg_pm_force_state : std_logic_vector(1 downto 0);
cfg_dsn : std_logic_vector(63 downto 0);
---------------------------------------------------------------------
-- EP Only --
---------------------------------------------------------------------
cfg_interrupt : in std_logic;
cfg_interrupt_rdy : out std_logic;
cfg_interrupt_assert : in std_logic;
cfg_interrupt_di : in std_logic_vector(7 downto 0);
cfg_interrupt_do : out std_logic_vector(7 downto 0);
cfg_interrupt_mmenable : out std_logic_vector(2 downto 0);
cfg_interrupt_msienable : out std_logic;
cfg_interrupt_msixenable : out std_logic;
cfg_interrupt_msixfm : out std_logic;
cfg_interrupt_stat : in std_logic;
cfg_pciecap_interrupt_msgnum : in std_logic_vector(4 downto 0);
cfg_to_turnoff : out std_logic;
cfg_turnoff_ok : in std_logic;
cfg_bus_number : out std_logic_vector(7 downto 0);
cfg_device_number : out std_logic_vector(4 downto 0);
cfg_function_number : out std_logic_vector(2 downto 0);
cfg_pm_wake : in std_logic;
---------------------------------------------------------------------
-- RP Only --
---------------------------------------------------------------------
cfg_pm_send_pme_to : in std_logic;
cfg_ds_bus_number : in std_logic_vector(7 downto 0);
cfg_ds_device_number : in std_logic_vector(4 downto 0);
cfg_ds_function_number : in std_logic_vector(2 downto 0);
cfg_mgmt_wr_rw1c_as_rw : in std_logic;
cfg_msg_received : out std_logic;
cfg_msg_data : out std_logic_vector(15 downto 0);
cfg_bridge_serr_en : out std_logic;
cfg_slot_control_electromech_il_ctl_pulse : out std_logic;
cfg_root_control_syserr_corr_err_en : out std_logic;
cfg_root_control_syserr_non_fatal_err_en : out std_logic;
cfg_root_control_syserr_fatal_err_en : out std_logic;
cfg_root_control_pme_int_en : out std_logic;
cfg_aer_rooterr_corr_err_reporting_en : out std_logic;
cfg_aer_rooterr_non_fatal_err_reporting_en : out std_logic;
cfg_aer_rooterr_fatal_err_reporting_en : out std_logic;
cfg_aer_rooterr_corr_err_received : out std_logic;
cfg_aer_rooterr_non_fatal_err_received : out std_logic;
cfg_aer_rooterr_fatal_err_received : out std_logic;
cfg_msg_received_err_cor : out std_logic;
cfg_msg_received_err_non_fatal : out std_logic;
cfg_msg_received_err_fatal : out std_logic;
cfg_msg_received_pm_as_nak : out std_logic;
cfg_msg_received_pm_pme : out std_logic;
cfg_msg_received_pme_to_ack : out std_logic;
cfg_msg_received_assert_int_a : out std_logic;
cfg_msg_received_assert_int_b : out std_logic;
cfg_msg_received_assert_int_c : out std_logic;
cfg_msg_received_assert_int_d : out std_logic;
cfg_msg_received_deassert_int_a : out std_logic;
cfg_msg_received_deassert_int_b : out std_logic;
cfg_msg_received_deassert_int_c : out std_logic;
cfg_msg_received_deassert_int_d : out std_logic;
cfg_msg_received_setslotpowerlimit : out std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 5. Physical Layer Control and Status (PL) Interface --
-------------------------------------------------------------------------------------------------------------------
pl_directed_link_change : in std_logic_vector(1 downto 0);
pl_directed_link_width : in std_logic_vector(1 downto 0);
pl_directed_link_speed : in std_logic;
pl_directed_link_auton : in std_logic;
pl_upstream_prefer_deemph : in std_logic;
pl_sel_lnk_rate : out std_logic;
pl_sel_lnk_width : out std_logic_vector(1 downto 0);
pl_ltssm_state : out std_logic_vector(5 downto 0);
pl_lane_reversal_mode : out std_logic_vector(1 downto 0);
pl_phy_lnk_up : out std_logic;
pl_tx_pm_state : out std_logic_vector(2 downto 0);
pl_rx_pm_state : out std_logic_vector(1 downto 0);
pl_link_upcfg_cap : out std_logic;
pl_link_gen2_cap : out std_logic;
pl_link_partner_gen2_supported : out std_logic;
pl_initial_link_width : out std_logic_vector(2 downto 0);
pl_directed_change_done : out std_logic;
---------------------------------------------------------------------
-- EP Only --
---------------------------------------------------------------------
pl_received_hot_rst : out std_logic;
---------------------------------------------------------------------
-- RP Only --
---------------------------------------------------------------------
pl_transmit_hot_rst : in std_logic;
pl_downstream_deemph_source : in std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 6. AER interface --
-------------------------------------------------------------------------------------------------------------------
cfg_err_aer_headerlog : in std_logic_vector(127 downto 0);
cfg_aer_interrupt_msgnum : in std_logic_vector(4 downto 0);
cfg_err_aer_headerlog_set : out std_logic;
cfg_aer_ecrc_check_en : out std_logic;
cfg_aer_ecrc_gen_en : out std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 7. VC interface --
-------------------------------------------------------------------------------------------------------------------
cfg_vc_tcvc_map : out std_logic_vector(6 downto 0);
-------------------------------------------------------------------------------------------------------------------
-- 8. System(SYS) Interface --
-------------------------------------------------------------------------------------------------------------------
PIPE_MMCM_RST_N : in std_logic; -- // Async | Async
sys_clk : in std_logic;
sys_rst_n : in std_logic
);
end component;
signal fifo_reset_done : std_logic;
signal pio_reading_status : std_logic;
-- -----------------------------------------------------------------------
-- DDR SDRAM control module
-- -----------------------------------------------------------------------
COMPONENT bram_DDRs_Control_loopback
GENERIC (
C_ASYNFIFO_WIDTH : integer ;
P_SIMULATION : boolean
);
PORT (
DDR_wr_sof : IN std_logic;
DDR_wr_eof : IN std_logic;
DDR_wr_v : IN std_logic;
DDR_wr_FA : IN std_logic;
DDR_wr_Shift : IN std_logic;
DDR_wr_Mask : IN std_logic_vector(2-1 downto 0);
DDR_wr_din : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full : OUT std_logic;
DDR_rdc_sof : IN std_logic;
DDR_rdc_eof : IN std_logic;
DDR_rdc_v : IN std_logic;
DDR_rdc_FA : IN std_logic;
DDR_rdc_Shift : IN std_logic;
DDR_rdc_din : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : OUT std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : IN std_logic;
DDR_FIFO_Empty : OUT std_logic;
DDR_FIFO_RdQout : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready : OUT std_logic;
DDR_Blinker : OUT std_logic;
mem_clk : IN std_logic;
trn_clk : IN std_logic;
Sim_Zeichen : OUT std_logic;
trn_reset_n : IN std_logic
);
END COMPONENT;
COMPONENT bram_DDRs_Control
GENERIC (
C_ASYNFIFO_WIDTH : integer ;
P_SIMULATION : boolean
);
PORT (
--USER Logic Interface
user_wr_weA : IN std_logic_vector(7 downto 0);
user_wr_addrA : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0);
user_wr_dinA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
user_rd_addrB : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0);
user_rd_doutB : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
user_rd_clk : IN std_logic;
user_wr_clk : IN std_logic;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
DDR_wr_sof : IN std_logic;
DDR_wr_eof : IN std_logic;
DDR_wr_v : IN std_logic;
DDR_wr_FA : IN std_logic;
DDR_wr_Shift : IN std_logic;
DDR_wr_Mask : IN std_logic_vector(2-1 downto 0);
DDR_wr_din : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full : OUT std_logic;
DDR_rdc_sof : IN std_logic;
DDR_rdc_eof : IN std_logic;
DDR_rdc_v : IN std_logic;
DDR_rdc_FA : IN std_logic;
DDR_rdc_Shift : IN std_logic;
DDR_rdc_din : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : OUT std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : IN std_logic;
DDR_FIFO_Empty : OUT std_logic;
DDR_FIFO_RdQout : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready : OUT std_logic;
DDR_Blinker : OUT std_logic;
mem_clk : IN std_logic;
trn_clk : IN std_logic;
Sim_Zeichen : OUT std_logic;
trn_reset_n : IN std_logic
);
END COMPONENT;
signal DDR_wr_sof : std_logic;
signal DDR_wr_eof : std_logic;
signal DDR_wr_v : std_logic;
signal DDR_wr_FA : std_logic;
signal DDR_wr_Shift : std_logic;
signal DDR_wr_Mask : std_logic_vector(2-1 downto 0);
signal DDR_wr_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DDR_wr_full : std_logic;
signal DDR_rdc_sof : std_logic;
signal DDR_rdc_eof : std_logic;
signal DDR_rdc_v : std_logic;
signal DDR_rdc_FA : std_logic;
signal DDR_rdc_Shift : std_logic;
signal DDR_rdc_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DDR_rdc_full : std_logic;
signal DDR_FIFO_RdEn : std_logic;
signal DDR_FIFO_Empty : std_logic;
signal DDR_FIFO_RdQout : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DDR_Ready : std_logic;
signal DDR_Blinker : std_logic;
signal user_wr_weA : std_logic_vector(7 downto 0) := (Others =>'0');
signal user_wr_addrA : std_logic_vector(C_PRAM_AWIDTH-1 downto 0) := (Others =>'0');
signal user_wr_dinA : std_logic_vector(C_DBUS_WIDTH-1 downto 0) := (Others =>'0');
signal user_rd_addrB : std_logic_vector(C_PRAM_AWIDTH-1 downto 0) := (Others =>'0');
signal user_rd_doutB : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -----------------------------------------------------------------------
-- FIFO module
-- -----------------------------------------------------------------------
component eb_wrapper_loopback
port (
wr_clk : IN std_logic;
wr_en : IN std_logic;
din : IN std_logic_VECTOR(72-1 downto 0);
pfull : OUT std_logic;
full : OUT std_logic;
rd_clk : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_VECTOR(72-1 downto 0);
pempty : OUT std_logic;
empty : OUT std_logic;
data_count : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
rst : IN std_logic
);
end component;
component eb_wrapper
port (
--FIFO PCIe-->USER
H2B_wr_clk : IN std_logic;
H2B_wr_en : IN std_logic;
H2B_wr_din : IN std_logic_VECTOR(72-1 downto 0);
H2B_wr_pfull : OUT std_logic;
H2B_wr_full : OUT std_logic;
H2B_wr_data_count : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
H2B_rd_clk : IN std_logic;
H2B_rd_en : IN std_logic;
H2B_rd_dout : OUT std_logic_VECTOR(72-1 downto 0);
H2B_rd_pempty : OUT std_logic;
H2B_rd_empty : OUT std_logic;
H2B_rd_data_count : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
H2B_rd_valid : OUT std_logic;
--FIFO USER-->PCIe
B2H_wr_clk : IN std_logic;
B2H_wr_en : IN std_logic;
B2H_wr_din : IN std_logic_VECTOR(72-1 downto 0);
B2H_wr_pfull : OUT std_logic;
B2H_wr_full : OUT std_logic;
B2H_wr_data_count : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
B2H_rd_clk : IN std_logic;
B2H_rd_en : IN std_logic;
B2H_rd_dout : OUT std_logic_VECTOR(72-1 downto 0);
B2H_rd_pempty : OUT std_logic;
B2H_rd_empty : OUT std_logic;
B2H_rd_data_count : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
B2H_rd_valid : OUT std_logic;
--RESET from PCIe
rst : IN std_logic
);
end component;
signal eb_wclk : std_logic;
signal eb_we : std_logic;
signal eb_wsof : std_logic;
signal eb_weof : std_logic;
signal eb_din : std_logic_VECTOR(72-1 downto 0);
signal eb_pfull : std_logic;
signal eb_full : std_logic;
signal eb_rclk : std_logic;
signal eb_re : std_logic;
signal eb_dout : std_logic_VECTOR(72-1 downto 0);
signal eb_pempty : std_logic;
signal eb_empty : std_logic;
signal eb_valid : std_logic;
signal eb_rst : std_logic;
signal eb_data_count : std_logic_vector(C_FIFO_DC_WIDTH downto 0);
signal H2B_wr_data_count : std_logic_vector(C_FIFO_DC_WIDTH downto 0);
signal B2H_rd_data_count : std_logic_vector(C_FIFO_DC_WIDTH downto 0);
signal pio_read_status : std_logic;
signal eb_FIFO_ow : std_logic;
signal eb_FIFO_Status : std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0);
signal H2B_FIFO_Status : std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0);
signal B2H_FIFO_Status : std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0);
signal eb_we_up : std_logic;
signal eb_din_up : std_logic_VECTOR(72-1 downto 0);
signal tab_sel : STD_LOGIC;
signal user_rd_en : std_logic := '0';
signal user_rd_dout : std_logic_VECTOR(72-1 downto 0);
signal user_rd_pempty : std_logic;
signal user_rd_empty : std_logic;
signal user_rd_data_count : std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
signal user_wr_data_count : std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
signal user_wr_en : std_logic := '0';
signal user_wr_din : std_logic_VECTOR(72-1 downto 0) := (Others =>'0');
signal user_wr_pfull : std_logic;
signal user_wr_full : std_logic;
signal user_rd_valid : std_logic;
------------- COMPONENT Declaration: tlpControl ------
--
component tlpControl
port (
-- Test pin, emulating DDR data flow discontinuity
mbuf_UserFull : IN std_logic;
trn_Blinker : OUT std_logic;
--S SIMONE: Wanxau UserLogic Signals, not Used
-- DCB protocol interface
protocol_link_act : IN std_logic_vector(2-1 downto 0);
protocol_rst : OUT std_logic;
-- Fabric side: CTL Rx
ctl_rv : OUT std_logic;
ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- Fabric side: CTL Tx
ctl_ttake : OUT std_logic;
ctl_tv : IN std_logic;
ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop : OUT std_logic;
ctl_reset : OUT std_logic;
ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- Fabric side: DLM Rx
dlm_rv : OUT std_logic;
dlm_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- Fabric side: DLM Tx
dlm_tv : IN std_logic;
dlm_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
Link_Buf_full : IN std_logic;
-- Data generator table write
tab_we : OUT std_logic_vector(2-1 downto 0);
tab_wa : OUT std_logic_vector(12-1 downto 0);
tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Data generator control
DG_is_Running : IN std_logic;
DG_Reset : OUT std_logic;
DG_Mask : OUT std_logic;
--S SIMONE: Wanxau UserLogic Signals, not Used
-- Interrupter triggers
DAQ_irq : IN std_logic;
CTL_irq : IN std_logic;
DLM_irq : IN std_logic;
-- SIMONE Register: PC-->FPGA
reg01_tv : OUT std_logic;
reg01_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg02_tv : OUT std_logic;
reg02_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg03_tv : OUT std_logic;
reg03_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg04_tv : OUT std_logic;
reg04_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg05_tv : OUT std_logic;
reg05_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg06_tv : OUT std_logic;
reg06_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg07_tv : OUT std_logic;
reg07_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg08_tv : OUT std_logic;
reg08_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg09_tv : OUT std_logic;
reg09_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg10_tv : OUT std_logic;
reg10_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg11_tv : OUT std_logic;
reg11_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg12_tv : OUT std_logic;
reg12_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg13_tv : OUT std_logic;
reg13_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg14_tv : OUT std_logic;
reg14_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- SIMONE Register: FPGA-->PC
reg01_rv : IN std_logic;
reg01_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg02_rv : IN std_logic;
reg02_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg03_rv : IN std_logic;
reg03_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg04_rv : IN std_logic;
reg04_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg05_rv : IN std_logic;
reg05_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg06_rv : IN std_logic;
reg06_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg07_rv : IN std_logic;
reg07_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg08_rv : IN std_logic;
reg08_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg09_rv : IN std_logic;
reg09_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg10_rv : IN std_logic;
reg10_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg11_rv : IN std_logic;
reg11_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg12_rv : IN std_logic;
reg12_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg13_rv : IN std_logic;
reg13_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
reg14_rv : IN std_logic;
reg14_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--SIMONE debug signals
debug_in_1i : OUT std_logic_vector(31 downto 0);
debug_in_2i : OUT std_logic_vector(31 downto 0);
debug_in_3i : OUT std_logic_vector(31 downto 0);
debug_in_4i : OUT std_logic_vector(31 downto 0);
-- Event Buffer FIFO interface
eb_FIFO_we : OUT std_logic;
eb_FIFO_wsof : OUT std_logic;
eb_FIFO_weof : OUT std_logic;
eb_FIFO_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_re : OUT std_logic;
eb_FIFO_empty : IN std_logic;
eb_FIFO_qout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_data_count : IN std_logic_vector(C_FIFO_DC_WIDTH downto 0);
eb_FIFO_ow : IN std_logic;
pio_reading_status : OUT std_logic;
eb_FIFO_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_Rst : OUT std_logic;
H2B_FIFO_Status : IN std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0);
B2H_FIFO_Status : IN std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0);
-- Debugging signals
DMA_us_Done : OUT std_logic;
DMA_us_Busy : OUT std_logic;
DMA_us_Busy_LED : OUT std_logic;
DMA_ds_Done : OUT std_logic;
DMA_ds_Busy : OUT std_logic;
DMA_ds_Busy_LED : OUT std_logic;
-- DDR control interface
DDR_Ready : IN std_logic;
DDR_wr_sof : OUT std_logic;
DDR_wr_eof : OUT std_logic;
DDR_wr_v : OUT std_logic;
DDR_wr_FA : OUT std_logic;
DDR_wr_Shift : OUT std_logic;
DDR_wr_Mask : OUT std_logic_vector(2-1 downto 0);
DDR_wr_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full : IN std_logic;
DDR_rdc_sof : OUT std_logic;
DDR_rdc_eof : OUT std_logic;
DDR_rdc_v : OUT std_logic;
DDR_rdc_FA : OUT std_logic;
DDR_rdc_Shift : OUT std_logic;
DDR_rdc_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : IN std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : OUT std_logic;
DDR_FIFO_Empty : IN std_logic;
DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Transaction layer interface
trn_lnk_up_n : IN std_logic;
trn_rsrc_dsc_n : IN std_logic;
trn_rnp_ok_n : OUT std_logic;
trn_tsrc_dsc_n : OUT std_logic;
trn_tdst_dsc_n : IN std_logic;
trn_tbuf_av : IN std_logic_vector(C_TBUF_AWIDTH-1 downto 0);
trn_terrfwd_n : OUT std_logic;
trn_clk : IN std_logic;
trn_reset_n : IN std_logic;
trn_rsrc_rdy_n : IN std_logic;
trn_tdst_rdy_n : IN std_logic;
trn_rsof_n : IN std_logic;
trn_reof_n : IN std_logic;
trn_rerrfwd_n : IN std_logic;
trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
cfg_dcommand : IN std_logic_vector(15 downto 0);
pcie_link_width : IN std_logic_vector( 5 downto 0);
localId : IN std_logic_vector(15 downto 0);
cfg_interrupt_n : OUT std_logic;
cfg_interrupt_rdy_n : IN std_logic;
cfg_interrupt_mmenable : IN std_logic_vector(2 downto 0);
cfg_interrupt_msienable : IN std_logic;
cfg_interrupt_di : OUT std_logic_vector(7 downto 0);
cfg_interrupt_do : IN std_logic_vector(7 downto 0);
cfg_interrupt_assert_n : OUT std_logic;
Format_Shower : OUT std_logic;
trn_rbar_hit_n : IN std_logic_vector(6 downto 0);
trn_tsrc_rdy_n : OUT std_logic;
trn_rdst_rdy_n : OUT std_logic;
trn_tsof_n : OUT std_logic;
trn_teof_n : OUT std_logic;
trn_trem_n : OUT std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
trn_td : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0)
);
end component;
signal Format_Shower : std_logic;
-- TRN Layer signals
signal trn_terr_drop_n : std_logic;
signal trn_tcfg_gnt_n : std_logic;
signal trn_tstr_n : std_logic;
signal trn_fc_cpld : STD_LOGIC_vector (12-1 downto 0);
signal trn_fc_cplh : STD_LOGIC_vector (8-1 downto 0);
signal trn_fc_npd : STD_LOGIC_vector (12-1 downto 0);
signal trn_fc_nph : STD_LOGIC_vector (8-1 downto 0);
signal trn_fc_pd : STD_LOGIC_vector (12-1 downto 0);
signal trn_fc_ph : STD_LOGIC_vector (8-1 downto 0);
signal trn_fc_sel : STD_LOGIC_vector (3-1 downto 0);
signal cfg_interrupt_msixenable : std_logic;
signal cfg_interrupt_msixfm : std_logic;
signal cfg_dcommand2 : std_logic_vector (16-1 downto 0);
signal trn_tcfg_req_n : std_logic;
signal pl_initial_link_width : STD_LOGIC_vector (3-1 downto 0);
signal pl_lane_reversal_mode : STD_LOGIC_vector (2-1 downto 0);
signal pl_link_gen2_capable : STD_LOGIC;
signal pl_link_partner_gen2_supported : STD_LOGIC;
signal pl_link_upcfg_capable : STD_LOGIC;
signal pl_ltssm_state : STD_LOGIC_vector (6-1 downto 0);
signal pl_received_hot_rst : STD_LOGIC;
signal pl_sel_link_rate : STD_LOGIC;
signal pl_sel_link_width : STD_LOGIC_vector (2-1 downto 0);
signal pl_directed_link_auton : STD_LOGIC;
signal pl_directed_link_change : STD_LOGIC_vector (2-1 downto 0);
signal pl_directed_link_speed : STD_LOGIC;
signal pl_directed_link_width : STD_LOGIC_vector (2-1 downto 0);
signal pl_upstream_prefer_deemph : STD_LOGIC;
signal trn_reset_n_int1 : STD_LOGIC;
signal trn_lnk_up_n_int1 : STD_LOGIC;
signal trn_clk : std_logic;
signal trn_reset_n : std_logic;
signal trn_lnk_up_n : std_logic;
signal trn_td : std_logic_vector(63 downto 0);
signal trn_trem_n : std_logic_vector(7 downto 0);
signal trn_tsof_n : std_logic;
signal trn_teof_n : std_logic;
signal trn_tsrc_rdy_n : std_logic;
signal trn_tdst_rdy_n : std_logic;
signal trn_tdst_dsc_n : std_logic;
signal trn_tsrc_dsc_n : std_logic;
signal trn_terrfwd_n : std_logic;
signal trn_tbuf_av : std_logic_vector(5 downto 0);
signal trn_rd : std_logic_vector(63 downto 0);
signal trn_rrem_n : std_logic_vector(7 downto 0);
signal trn_rsof_n : std_logic;
signal trn_reof_n : std_logic;
signal trn_rsrc_rdy_n : std_logic;
signal trn_rsrc_dsc_n : std_logic;
signal trn_rdst_rdy_n : std_logic;
signal trn_rerrfwd_n : std_logic;
signal trn_rnp_ok_n : std_logic;
signal trn_rbar_hit_n : std_logic_vector(6 downto 0);
signal trn_rfc_nph_av : std_logic_vector(7 downto 0);
signal trn_rfc_npd_av : std_logic_vector(11 downto 0);
signal trn_rfc_ph_av : std_logic_vector(7 downto 0);
signal trn_rfc_pd_av : std_logic_vector(11 downto 0);
signal trn_rfc_cplh_av : std_logic_vector(7 downto 0);
signal trn_rfc_cpld_av : std_logic_vector(11 downto 0);
signal trn_rcpl_streaming_n : std_logic;
signal cfg_do : std_logic_vector(31 downto 0);
signal cfg_rd_wr_done_n : std_logic;
signal cfg_di : std_logic_vector(31 downto 0);
signal cfg_byte_en_n : std_logic_vector(3 downto 0);
signal cfg_dwaddr : std_logic_vector(9 downto 0);
signal cfg_wr_en_n : std_logic;
signal cfg_rd_en_n : std_logic;
signal cfg_err_cor_n : std_logic;
signal cfg_err_ur_n : std_logic;
signal cfg_err_cpl_rdy_n : std_logic;
signal cfg_err_ecrc_n : std_logic;
signal cfg_err_cpl_timeout_n : std_logic;
signal cfg_err_cpl_abort_n : std_logic;
signal cfg_err_cpl_unexpect_n : std_logic;
signal cfg_err_posted_n : std_logic;
signal cfg_err_locked_n : std_logic;
signal cfg_err_tlp_cpl_header : std_logic_vector(47 downto 0);
signal cfg_interrupt_n : std_logic;
signal cfg_interrupt_rdy_n : std_logic;
signal cfg_interrupt_mmenable : std_logic_vector(2 downto 0);
signal cfg_interrupt_msienable : std_logic;
signal cfg_interrupt_di : std_logic_vector(7 downto 0);
signal cfg_interrupt_do : std_logic_vector(7 downto 0);
signal cfg_interrupt_assert_n : std_logic;
signal cfg_turnoff_ok_n : std_logic;
signal cfg_to_turnoff_n : std_logic;
signal cfg_pm_wake_n : std_logic;
signal cfg_pcie_link_state_n : std_logic_vector(2 downto 0);
signal cfg_trn_pending_n : std_logic;
signal cfg_bus_number : std_logic_vector(7 downto 0);
signal cfg_device_number : std_logic_vector(4 downto 0);
signal cfg_function_number : std_logic_vector(2 downto 0);
signal cfg_dsn : std_logic_vector(63 downto 0);
signal cfg_status : std_logic_vector(15 downto 0);
signal cfg_command : std_logic_vector(15 downto 0);
signal cfg_dstatus : std_logic_vector(15 downto 0);
signal cfg_dcommand : std_logic_vector(15 downto 0);
signal cfg_lstatus : std_logic_vector(15 downto 0);
signal cfg_lcommand : std_logic_vector(15 downto 0);
signal fast_train_simulation_only : std_logic;
signal two_plm_auto_config : std_logic_vector(1 downto 0);
signal sys_clk_c : std_logic;
signal sys_reset_n_c : std_logic;
signal reset_n : std_logic;
signal localId : std_logic_vector(15 downto 0);
signal pcie_link_width : std_logic_vector( 5 downto 0);
signal synclk2out : std_logic;
signal Sim_Zeichen : std_logic;
--
signal trn_Blinker : std_logic;
signal DAQ_irq : std_logic := '0';
signal CTL_irq : std_logic := '0';
signal DLM_irq : std_logic := '0';
--S SIMONE: Wanxau UserLogic Signals, not Used
signal protocol_link_act : std_logic_vector(2-1 downto 0) := (OTHERS=>'0');
signal protocol_rst : std_logic;
signal daq_rstop : std_logic;
signal ctl_rv : std_logic;
signal ctl_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal ctl_ttake : std_logic;
signal ctl_tv : std_logic := '0';
signal ctl_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal ctl_tstop : std_logic;
signal ctl_reset : std_logic;
signal ctl_status : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal dlm_tv : std_logic;
signal dlm_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal dlm_rv : std_logic := '0';
signal dlm_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal tab_we : std_logic_vector(2-1 downto 0);
signal tab_wa : std_logic_vector(12-1 downto 0);
signal tab_wd : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal dg_running : std_logic := '0';
signal dg_rst : STD_LOGIC;
signal DG_Mask : STD_LOGIC;
--S SIMONE: Wanxau UserLogic Signals, not Used
-- SIMONE Register: PC-->FPGA
signal reg01_tv : std_logic;
signal reg01_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg02_tv : std_logic;
signal reg02_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg03_tv : std_logic;
signal reg03_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg04_tv : std_logic;
signal reg04_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg05_tv : std_logic;
signal reg05_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg06_tv : std_logic;
signal reg06_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg07_tv : std_logic;
signal reg07_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg08_tv : std_logic;
signal reg08_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg09_tv : std_logic;
signal reg09_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg10_tv : std_logic;
signal reg10_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg11_tv : std_logic;
signal reg11_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg12_tv : std_logic;
signal reg12_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg13_tv : std_logic;
signal reg13_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal reg14_tv : std_logic;
signal reg14_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- SIMONE Register: FPGA-->PC
signal reg01_rv : std_logic := '0';
signal reg01_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg02_rv : std_logic := '0';
signal reg02_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg03_rv : std_logic := '0';
signal reg03_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg04_rv : std_logic := '0';
signal reg04_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg05_rv : std_logic := '0';
signal reg05_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg06_rv : std_logic := '0';
signal reg06_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg07_rv : std_logic := '0';
signal reg07_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg08_rv : std_logic := '0';
signal reg08_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg09_rv : std_logic := '0';
signal reg09_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg10_rv : std_logic := '0';
signal reg10_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg11_rv : std_logic := '0';
signal reg11_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg12_rv : std_logic := '0';
signal reg12_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg13_rv : std_logic := '0';
signal reg13_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal reg14_rv : std_logic := '0';
signal reg14_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0) := (OTHERS=>'0');
signal debug_in_1i : std_logic_vector(31 downto 0);
signal debug_in_2i : std_logic_vector(31 downto 0);
signal debug_in_3i : std_logic_vector(31 downto 0);
signal debug_in_4i : std_logic_vector(31 downto 0);
signal user_rst_o : std_logic;
signal clk_200MHz : std_logic;
signal DMA_Host2Board_Busy : std_logic;
signal DMA_Host2Board_Done : std_logic;
signal DMA_us_Busy : std_logic;
signal DMA_us_Done : std_logic;
signal DMA_ds_Done : std_logic;
signal DMA_ds_Busy : std_logic;
begin
LoopBack_Off_UserLogic: if not USE_LOOPBACK_TEST generate
--S SIMONE: My Custom User Logic!!
pcie_userlogic_00_x0: PCIe_UserLogic_00
port map (
inout_logic_cw_ce => '1',
inout_logic_cw_clk => trn_clk,
user_logic_cw_ce => '1',
user_logic_cw_clk => clk_200MHz,
fifo_rd_count => user_rd_data_count,
fifo_rd_dout => user_rd_dout ,
fifo_rd_empty => user_rd_empty ,
fifo_rd_pempty => user_rd_pempty ,
fifo_wr_full => user_wr_full ,
fifo_wr_pfull => user_wr_pfull ,
fifo_rd_en => user_rd_en ,
fifo_wr_din => user_wr_din ,
fifo_wr_en => user_wr_en ,
fifo_rd_valid => user_rd_valid ,
fifo_wr_count => user_wr_data_count,
bram_rd_addr => user_rd_addrB ,
bram_wr_addr => user_wr_addrA ,
bram_wr_din => user_wr_dinA ,
bram_wr_en => user_wr_weA ,
bram_rd_dout => user_rd_doutB ,
DMA_Host2Board_Busy => DMA_Host2Board_Busy,
DMA_Host2Board_Done => DMA_Host2Board_Done,
reg01_td => reg01_td,
reg01_tv => reg01_tv,
reg02_td => reg02_td,
reg02_tv => reg02_tv,
reg03_td => reg03_td,
reg03_tv => reg03_tv,
reg04_td => reg04_td,
reg04_tv => reg04_tv,
reg05_td => reg05_td,
reg05_tv => reg05_tv,
reg06_td => reg06_td,
reg06_tv => reg06_tv,
reg07_td => reg07_td,
reg07_tv => reg07_tv,
reg08_td => reg08_td,
reg08_tv => reg08_tv,
reg09_td => reg09_td,
reg09_tv => reg09_tv,
reg10_td => reg10_td,
reg10_tv => reg10_tv,
reg11_td => reg11_td,
reg11_tv => reg11_tv,
reg12_td => reg12_td,
reg12_tv => reg12_tv,
reg13_td => reg13_td,
reg13_tv => reg13_tv,
reg14_td => reg14_td,
reg14_tv => reg14_tv,
reg01_rd => reg01_rd,
reg01_rv => reg01_rv,
reg02_rd => reg02_rd,
reg02_rv => reg02_rv,
reg03_rd => reg03_rd,
reg03_rv => reg03_rv,
reg04_rd => reg04_rd,
reg04_rv => reg04_rv,
reg05_rd => reg05_rd,
reg05_rv => reg05_rv,
reg06_rd => reg06_rd,
reg06_rv => reg06_rv,
reg07_rd => reg07_rd,
reg07_rv => reg07_rv,
reg08_rd => reg08_rd,
reg08_rv => reg08_rv,
reg09_rd => reg09_rd,
reg09_rv => reg09_rv,
reg10_rd => reg10_rd,
reg10_rv => reg10_rv,
reg11_rd => reg11_rd,
reg11_rv => reg11_rv,
reg12_rd => reg12_rd,
reg12_rv => reg12_rv,
reg13_rd => reg13_rd,
reg13_rv => reg13_rv,
reg14_rd => reg14_rd,
reg14_rv => reg14_rv,
user_int_1o => CTL_irq,
user_int_2o => DAQ_irq,
user_int_3o => DLM_irq,
debug_in_1i => debug_in_1i,
debug_in_2i => debug_in_2i,
debug_in_3i => debug_in_3i,
debug_in_4i => debug_in_4i,
rst_i => trn_reset_n,
rst_o => user_rst_o
);
end generate;
DMA_Host2Board_Busy <= '0'; --DMA_ds_Busy;
DMA_Host2Board_Done <= DMA_ds_Done;
-- LEDs_IO_pin(5) <= DMA_ds_Done;
-- LEDs_IO_pin(7) <= DMA_us_Done;
sys_reset_n_ibuf : IBUF
port map (
O => sys_reset_n_c,
I => sys_reset_n
);
refclk_ibuf : IBUFDS_GTXE1
port map (
O => sys_clk_c,
ODIV2 => open,
I => sys_clk_p,
IB => sys_clk_n,
CEB => '0'
);
userclk_ibuf : IBUFDS
port map (
O => clk_200MHz,
I => userclk_200MHz_p,
IB => userclk_200MHz_n
);
cfg_err_cor_n <= '1';
cfg_err_ur_n <= '1';
cfg_err_ecrc_n <= '1';
cfg_err_cpl_timeout_n <= '1';
cfg_err_cpl_abort_n <= '1';
cfg_err_cpl_unexpect_n <= '1';
cfg_err_posted_n <= '0';
cfg_err_locked_n <= '0';
cfg_err_tlp_cpl_header <= (OTHERS=>'0');
cfg_trn_pending_n <= '1';
cfg_pm_wake_n <= '1';
--
trn_fc_sel <= (OTHERS=>'0');
pl_directed_link_auton <= '0';
pl_directed_link_change <= (OTHERS=>'0');
pl_directed_link_speed <= '0';
pl_directed_link_width <= (OTHERS=>'0');
pl_upstream_prefer_deemph <= '0';
trn_tcfg_gnt_n <= '0';
trn_tstr_n <= '0'; -- '1';
--
trn_tdst_dsc_n <= '1';
--
cfg_di <= (OTHERS=>'0');
cfg_dwaddr <= (OTHERS=>'1');
cfg_byte_en_n <= (OTHERS=>'1');
cfg_wr_en_n <= '1';
cfg_rd_en_n <= '1';
cfg_dsn <= X"00000001" & X"01" & X"000A35"; -- //this is taken from GUI -
cfg_turnoff_ok_n <= '0';
localId <= cfg_bus_number & cfg_device_number & cfg_function_number;
pcie_link_width <= cfg_lstatus(9 downto 4);
trn_lnk_up_n_int_i: FDCP
generic map (
INIT => '1'
)
port map (
Q => trn_lnk_up_n,
D => trn_lnk_up_n_int1,
C => trn_clk,
CLR => '0',
PRE => '0'
);
trn_reset_n_i: FDCP
generic map (
INIT => '1'
)
port map (
Q => trn_reset_n,
D => trn_reset_n_int1,
C => trn_clk,
CLR => '0',
PRE => '0'
);
-- --------------------------------------------------------------
-- --------------------------------------------------------------
make4Lanes: if pcieLanes = 4 generate
--S pcieCore : v6_pcie_v1_7_x1
-- pcieCore : v6_pcie_v1_7_x4
pcieCore_i : pcieCore
generic map (
PL_FAST_TRAIN => "FALSE"
)
port map (
---------------------------------------------------------
-- 1. PCI Express (pci_exp) Interface
---------------------------------------------------------
-- Tx
pci_exp_txp => pci_exp_txp ,
pci_exp_txn => pci_exp_txn ,
-- Rx
pci_exp_rxp => pci_exp_rxp ,
pci_exp_rxn => pci_exp_rxn ,
---------------------------------------------------------
-- 2. Transaction (TRN) Interface
---------------------------------------------------------
-- Common
user_clk_out => trn_clk ,
user_reset_out => trn_reset_n_int1 ,
user_lnk_up => trn_lnk_up_n_int1 ,
--Polarity Need to change
-- Tx
trn_buf_av => trn_tbuf_av ,
trn_cfg_req => trn_tcfg_req_n ,
trn_err_drop => trn_terr_drop_n ,
s_axis_tx_tready => trn_tdst_rdy_n ,
s_axis_tx_tdata => trn_td ,
s_axis_tx_tkeep => trn_trem_n(0) ,
-- trn_tsof_n => trn_tsof_n ,
s_axis_tx_tlast => trn_teof_n ,
s_axis_tx_tvalid => trn_tsrc_rdy_n ,
s_axis_tx_tuser(3) => trn_tsrc_dsc_n ,
s_axis_tx_tuser(1) => trn_terrfwd_n ,
trn_cfg_gnt => trn_tcfg_gnt_n ,
s_axis_tx_tuser(2) => trn_tstr_n ,
-- Rx
trn_rd => trn_rd ,
trn_rrem_n => trn_rrem_n(0) ,
trn_rsof_n => trn_rsof_n ,
trn_reof_n => trn_reof_n ,
trn_rsrc_rdy_n => trn_rsrc_rdy_n ,
trn_rsrc_dsc_n => trn_rsrc_dsc_n ,
trn_rerrfwd_n => trn_rerrfwd_n ,
trn_rbar_hit_n => trn_rbar_hit_n ,
trn_rdst_rdy_n => trn_rdst_rdy_n ,
trn_rnp_ok_n => trn_rnp_ok_n ,
-- Flow Control
fc_cpld => trn_fc_cpld ,
fc_cplh => trn_fc_cplh ,
fc_npd => trn_fc_npd ,
fc_nph => trn_fc_nph ,
fc_pd => trn_fc_pd ,
fc_ph => trn_fc_ph ,
fc_sel => trn_fc_sel ,
---------------------------------------------------------
-- 3. Configuration (CFG) Interface
---------------------------------------------------------
cfg_do => cfg_do ,
cfg_rd_wr_done_n => cfg_rd_wr_done_n ,
cfg_di => cfg_di ,
cfg_byte_en_n => cfg_byte_en_n ,
cfg_dwaddr => cfg_dwaddr ,
cfg_wr_en_n => cfg_wr_en_n ,
cfg_rd_en_n => cfg_rd_en_n ,
cfg_err_cor_n => cfg_err_cor_n ,
cfg_err_ur_n => cfg_err_ur_n ,
cfg_err_ecrc_n => cfg_err_ecrc_n ,
cfg_err_cpl_timeout_n => cfg_err_cpl_timeout_n ,
cfg_err_cpl_abort_n => cfg_err_cpl_abort_n ,
cfg_err_cpl_unexpect_n => cfg_err_cpl_unexpect_n ,
cfg_err_posted_n => cfg_err_posted_n ,
cfg_err_locked_n => cfg_err_locked_n ,
cfg_err_tlp_cpl_header => cfg_err_tlp_cpl_header ,
cfg_err_cpl_rdy_n => cfg_err_cpl_rdy_n ,
cfg_interrupt_n => cfg_interrupt_n ,
cfg_interrupt_rdy_n => cfg_interrupt_rdy_n ,
cfg_interrupt_assert_n => cfg_interrupt_assert_n ,
cfg_interrupt_di => cfg_interrupt_di ,
cfg_interrupt_do => cfg_interrupt_do ,
cfg_interrupt_mmenable => cfg_interrupt_mmenable ,
cfg_interrupt_msienable => cfg_interrupt_msienable ,
cfg_interrupt_msixenable => cfg_interrupt_msixenable ,
cfg_interrupt_msixfm => cfg_interrupt_msixfm ,
cfg_turnoff_ok_n => cfg_turnoff_ok_n ,
cfg_to_turnoff_n => cfg_to_turnoff_n ,
cfg_trn_pending_n => cfg_trn_pending_n ,
cfg_pm_wake_n => cfg_pm_wake_n ,
cfg_bus_number => cfg_bus_number ,
cfg_device_number => cfg_device_number ,
cfg_function_number => cfg_function_number ,
cfg_status => cfg_status ,
cfg_command => cfg_command ,
cfg_dstatus => cfg_dstatus ,
cfg_dcommand => cfg_dcommand ,
cfg_lstatus => cfg_lstatus ,
cfg_lcommand => cfg_lcommand ,
cfg_dcommand2 => cfg_dcommand2 ,
cfg_pcie_link_state_n => cfg_pcie_link_state_n ,
cfg_dsn => cfg_dsn ,
---------------------------------------------------------
-- 4. Physical Layer Control and Status (PL) Interface
---------------------------------------------------------
pl_initial_link_width => pl_initial_link_width ,
pl_lane_reversal_mode => pl_lane_reversal_mode ,
pl_link_gen2_capable => pl_link_gen2_capable ,
pl_link_partner_gen2_supported => pl_link_partner_gen2_supported ,
pl_link_upcfg_capable => pl_link_upcfg_capable ,
pl_ltssm_state => pl_ltssm_state ,
pl_received_hot_rst => pl_received_hot_rst ,
pl_sel_link_rate => pl_sel_link_rate ,
pl_sel_link_width => pl_sel_link_width ,
pl_directed_link_auton => pl_directed_link_auton ,
pl_directed_link_change => pl_directed_link_change ,
pl_directed_link_speed => pl_directed_link_speed ,
pl_directed_link_width => pl_directed_link_width ,
pl_upstream_prefer_deemph => pl_upstream_prefer_deemph ,
---------------------------------------------------------
-- 5. System (SYS) Interface
---------------------------------------------------------
sys_clk => sys_clk_c ,
sys_rst_n => sys_reset_n_c
);
end generate;
-- ---------------------------------------------------------------
-- tlp control module
-- ---------------------------------------------------------------
trn_rrem_n(7 downto 1) <= X"0" & trn_rrem_n(0) & trn_rrem_n(0) & trn_rrem_n(0);
theTlpControl:
tlpControl
port map (
mbuf_UserFull => '0' ,
trn_Blinker => trn_Blinker ,
-- Interrupter triggers
DAQ_irq => DAQ_irq , -- IN std_logic;
CTL_irq => CTL_irq , -- IN std_logic;
DLM_irq => DLM_irq , -- IN std_logic;
--S SIMONE: Wanxau UserLogic Signals, not Used
-- DCB protocol interface
protocol_link_act => protocol_link_act , -- IN std_logic_vector(2-1 downto 0);
protocol_rst => protocol_rst , -- OUT std_logic;
Link_Buf_Full => daq_rstop , -- IN std_logic;
-- Fabric side: CTL Rx
ctl_rv => ctl_rv , -- OUT std_logic;
ctl_rd => ctl_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- Fabric side: CTL Tx
ctl_ttake => ctl_ttake , -- OUT std_logic;
ctl_tv => ctl_tv , -- IN std_logic;
ctl_td => ctl_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop => ctl_tstop , -- OUT std_logic;
ctl_reset => ctl_reset , -- OUT std_logic;
ctl_status => ctl_status , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- Fabric side: DLM Rx
dlm_rv => dlm_rv , -- OUT std_logic;
dlm_rd => dlm_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- Fabric side: DLM Tx
dlm_tv => dlm_tv , -- IN std_logic;
dlm_td => dlm_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0);
tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0);
tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DG_is_Running => dg_running , -- IN std_logic;
DG_Reset => dg_rst , -- OUT STD_LOGIC;
DG_Mask => dg_mask , -- OUT STD_LOGIC
--S SIMONE: Wanxau UserLogic Signals, not Used
-- SIMONE Register: PC-->FPGA
reg01_tv => reg01_tv,
reg01_td => reg01_td,
reg02_tv => reg02_tv,
reg02_td => reg02_td,
reg03_tv => reg03_tv,
reg03_td => reg03_td,
reg04_tv => reg04_tv,
reg04_td => reg04_td,
reg05_tv => reg05_tv,
reg05_td => reg05_td,
reg06_tv => reg06_tv,
reg06_td => reg06_td,
reg07_tv => reg07_tv,
reg07_td => reg07_td,
reg08_tv => reg08_tv,
reg08_td => reg08_td,
reg09_tv => reg09_tv,
reg09_td => reg09_td,
reg10_tv => reg10_tv,
reg10_td => reg10_td,
reg11_tv => reg11_tv,
reg11_td => reg11_td,
reg12_tv => reg12_tv,
reg12_td => reg12_td,
reg13_tv => reg13_tv,
reg13_td => reg13_td,
reg14_tv => reg14_tv,
reg14_td => reg14_td,
-- SIMONE Register: FPGA-->PC
reg01_rv => reg01_rv,
reg01_rd => reg01_rd,
reg02_rv => reg02_rv,
reg02_rd => reg02_rd,
reg03_rv => reg03_rv,
reg03_rd => reg03_rd,
reg04_rv => reg04_rv,
reg04_rd => reg04_rd,
reg05_rv => reg05_rv,
reg05_rd => reg05_rd,
reg06_rv => reg06_rv,
reg06_rd => reg06_rd,
reg07_rv => reg07_rv,
reg07_rd => reg07_rd,
reg08_rv => reg08_rv,
reg08_rd => reg08_rd,
reg09_rv => reg09_rv,
reg09_rd => reg09_rd,
reg10_rv => reg10_rv,
reg10_rd => reg10_rd,
reg11_rv => reg11_rv,
reg11_rd => reg11_rd,
reg12_rv => reg12_rv,
reg12_rd => reg12_rd,
reg13_rv => reg13_rv,
reg13_rd => reg13_rd,
reg14_rv => reg14_rv,
reg14_rd => reg14_rd,
-- SIMONE debug signals
debug_in_1i => debug_in_1i,
debug_in_2i => debug_in_2i,
debug_in_3i => debug_in_3i,
debug_in_4i => debug_in_4i,
-- Event Buffer FIFO interface
eb_FIFO_we => eb_we , -- OUT std_logic;
eb_FIFO_wsof => eb_wsof , -- OUT std_logic;
eb_FIFO_weof => eb_weof , -- OUT std_logic;
eb_FIFO_din => eb_din(C_DBUS_WIDTH-1 downto 0) , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_re => eb_re , -- OUT std_logic;
eb_FIFO_empty => eb_empty , -- IN std_logic;
eb_FIFO_qout => eb_dout(C_DBUS_WIDTH-1 downto 0) , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_data_count => eb_data_count , -- IN std_logic_vector(C_FIFO_DC_WIDTH downto 0);
eb_FIFO_ow => eb_FIFO_ow , -- IN std_logic;
pio_reading_status => pio_reading_status , -- OUT std_logic;
eb_FIFO_Status => eb_FIFO_Status , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_Rst => eb_rst , -- OUT std_logic;
H2B_FIFO_Status => H2B_FIFO_Status ,
B2H_FIFO_Status => B2H_FIFO_Status ,
-- Debugging signals
DMA_us_Done => DMA_us_Done , -- OUT std_logic;
DMA_us_Busy => DMA_us_Busy , -- OUT std_logic;
-- DMA_us_Busy_LED => LEDs_IO_pin(6) , -- OUT std_logic;
DMA_ds_Done => DMA_ds_Done , -- OUT std_logic;
DMA_ds_Busy => DMA_ds_Busy , -- OUT std_logic;
-- DMA_ds_Busy_LED => LEDs_IO_pin(4) , -- OUT std_logic;
-------------------
-- DDR Interface
DDR_Ready => DDR_Ready , -- IN std_logic;
DDR_wr_sof => DDR_wr_sof , -- OUT std_logic;
DDR_wr_eof => DDR_wr_eof , -- OUT std_logic;
DDR_wr_v => DDR_wr_v , -- OUT std_logic;
DDR_wr_FA => DDR_wr_FA , -- OUT std_logic;
DDR_wr_Shift => DDR_wr_Shift , -- OUT std_logic;
DDR_wr_Mask => DDR_wr_Mask , -- OUT std_logic_vector(2-1 downto 0);
DDR_wr_din => DDR_wr_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full => DDR_wr_full , -- IN std_logic;
DDR_rdc_sof => DDR_rdc_sof , -- OUT std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- OUT std_logic;
DDR_rdc_v => DDR_rdc_v , -- OUT std_logic;
DDR_rdc_FA => DDR_rdc_FA , -- OUT std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- OUT std_logic;
DDR_rdc_din => DDR_rdc_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- IN std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- OUT std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-------------------
-- Transaction Interface
trn_lnk_up_n => trn_lnk_up_n ,
trn_rsrc_dsc_n => trn_rsrc_dsc_n ,
trn_rnp_ok_n => trn_rnp_ok_n ,
trn_tsrc_dsc_n => trn_tsrc_dsc_n ,
trn_tdst_dsc_n => trn_tdst_dsc_n ,
trn_tbuf_av => trn_tbuf_av ,
trn_terrfwd_n => trn_terrfwd_n ,
trn_clk => trn_clk ,
trn_reset_n => trn_reset_n ,
trn_rsrc_rdy_n => trn_rsrc_rdy_n ,
trn_tdst_rdy_n => trn_tdst_rdy_n ,
trn_rsof_n => trn_rsof_n ,
trn_reof_n => trn_reof_n ,
trn_rerrfwd_n => trn_rerrfwd_n ,
trn_rrem_n => trn_rrem_n ,
trn_rd => trn_rd ,
cfg_interrupt_n => cfg_interrupt_n ,
cfg_interrupt_rdy_n => cfg_interrupt_rdy_n ,
cfg_interrupt_mmenable => cfg_interrupt_mmenable ,
cfg_interrupt_msienable => cfg_interrupt_msienable ,
cfg_interrupt_di => cfg_interrupt_di ,
cfg_interrupt_do => cfg_interrupt_do ,
cfg_interrupt_assert_n => cfg_interrupt_assert_n ,
trn_rbar_hit_n => trn_rbar_hit_n ,
trn_tsrc_rdy_n => trn_tsrc_rdy_n ,
trn_rdst_rdy_n => trn_rdst_rdy_n ,
trn_tsof_n => trn_tsof_n ,
trn_teof_n => trn_teof_n ,
trn_trem_n => trn_trem_n ,
trn_td => trn_td ,
Format_Shower => Format_Shower ,
cfg_dcommand => cfg_dcommand ,
pcie_link_width => pcie_link_width ,
localId => localId
);
-- -----------------------------------------------------------------------
-- DDR SDRAM: control module USER LOGIC (2 BRAM Module:
-- -----------------------------------------------------------------------
LoopBack_BRAM_Off: if not USE_LOOPBACK_TEST generate
DDRs_ctrl_module:
bram_DDRs_Control
GENERIC MAP (
C_ASYNFIFO_WIDTH => 72 ,
P_SIMULATION => FALSE
)
PORT MAP(
user_wr_weA => user_wr_weA ,
user_wr_addrA => user_wr_addrA ,
user_wr_dinA => user_wr_dinA ,
user_rd_addrB => user_rd_addrB ,
user_rd_doutB => user_rd_doutB ,
user_rd_clk => clk_200MHz ,
user_wr_clk => clk_200MHz ,
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
DDR_wr_sof => DDR_wr_sof , -- IN std_logic;
DDR_wr_eof => DDR_wr_eof , -- IN std_logic;
DDR_wr_v => DDR_wr_v , -- IN std_logic;
DDR_wr_FA => DDR_wr_FA , -- IN std_logic;
DDR_wr_Shift => DDR_wr_Shift , -- IN std_logic;
DDR_wr_Mask => DDR_wr_Mask , -- IN std_logic_vector(2-1 downto 0);
DDR_wr_din => DDR_wr_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full => DDR_wr_full , -- OUT std_logic;
DDR_rdc_sof => DDR_rdc_sof , -- IN std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- IN std_logic;
DDR_rdc_v => DDR_rdc_v , -- IN std_logic;
DDR_rdc_FA => DDR_rdc_FA , -- IN std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- IN std_logic;
DDR_rdc_din => DDR_rdc_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- OUT std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- IN std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- OUT std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready => DDR_Ready , -- OUT std_logic;
DDR_Blinker => DDR_Blinker , -- OUT std_logic;
mem_clk => trn_clk , -- IN
trn_clk => trn_clk , -- IN std_logic;
Sim_Zeichen => Sim_Zeichen , -- OUT std_logic;
trn_reset_n => trn_reset_n -- IN std_logic
);
end generate;
LoopBack_BRAM_On: if USE_LOOPBACK_TEST generate
DDRs_ctrl_module:
bram_DDRs_Control_loopback
GENERIC MAP (
C_ASYNFIFO_WIDTH => 72 ,
P_SIMULATION => FALSE
)
PORT MAP(
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
DDR_wr_sof => DDR_wr_sof , -- IN std_logic;
DDR_wr_eof => DDR_wr_eof , -- IN std_logic;
DDR_wr_v => DDR_wr_v , -- IN std_logic;
DDR_wr_FA => DDR_wr_FA , -- IN std_logic;
DDR_wr_Shift => DDR_wr_Shift , -- IN std_logic;
DDR_wr_Mask => DDR_wr_Mask , -- IN std_logic_vector(2-1 downto 0);
DDR_wr_din => DDR_wr_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full => DDR_wr_full , -- OUT std_logic;
DDR_rdc_sof => DDR_rdc_sof , -- IN std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- IN std_logic;
DDR_rdc_v => DDR_rdc_v , -- IN std_logic;
DDR_rdc_FA => DDR_rdc_FA , -- IN std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- IN std_logic;
DDR_rdc_din => DDR_rdc_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- OUT std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- IN std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- OUT std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready => DDR_Ready , -- OUT std_logic;
DDR_Blinker => DDR_Blinker , -- OUT std_logic;
mem_clk => trn_clk , -- IN
trn_clk => trn_clk , -- IN std_logic;
Sim_Zeichen => Sim_Zeichen , -- OUT std_logic;
trn_reset_n => trn_reset_n -- IN std_logic
);
end generate;
LEDs_IO_pin(0) <= trn_reset_n xor Format_Shower;
LEDs_IO_pin(1) <= trn_lnk_up_n ;
LEDs_IO_pin(2) <= Format_Shower ;
LEDs_IO_pin(3) <= trn_Blinker ;
------------------------ -----------------------
-- Event Buffer wrapper (FIFO Module: H2B & B2H)
------------------------ -----------------------
LoopBack_FIFO_Off: if not USE_LOOPBACK_TEST generate
queue_buffer0:
eb_wrapper
port map (
H2B_wr_clk => trn_clk ,
H2B_wr_en => eb_we ,
H2B_wr_din => eb_din ,
H2B_wr_pfull => eb_pfull ,
H2B_wr_full => eb_full ,
H2B_wr_data_count => H2B_wr_data_count(C_EMU_FIFO_DC_WIDTH-1+1 downto 1) ,
H2B_rd_clk => clk_200MHz ,
H2B_rd_en => user_rd_en ,
H2B_rd_dout => user_rd_dout ,
H2B_rd_pempty => user_rd_pempty ,
H2B_rd_empty => user_rd_empty ,
H2B_rd_valid => user_rd_valid ,
H2B_rd_data_count => user_rd_data_count ,
B2H_wr_clk => clk_200MHz ,
B2H_wr_en => user_wr_en ,
B2H_wr_din => user_wr_din ,
B2H_wr_pfull => user_wr_pfull ,
B2H_wr_full => user_wr_full ,
B2H_wr_data_count => user_wr_data_count ,
B2H_rd_clk => trn_clk ,
B2H_rd_en => eb_re ,
B2H_rd_dout => eb_dout ,
B2H_rd_pempty => eb_pempty ,
B2H_rd_empty => eb_empty ,
B2H_rd_valid => eb_valid ,
B2H_rd_data_count => B2H_rd_data_count(C_EMU_FIFO_DC_WIDTH-1+1 downto 1) ,
rst => eb_rst
);
--- 64 bits to 32 bits transformation ( --> Count * 2)---
B2H_rd_data_count(C_FIFO_DC_WIDTH downto C_EMU_FIFO_DC_WIDTH+1)
<= C_ALL_ZEROS(C_FIFO_DC_WIDTH downto C_EMU_FIFO_DC_WIDTH+1);
B2H_rd_data_count(0) <= '0';
H2B_wr_data_count(C_FIFO_DC_WIDTH downto C_EMU_FIFO_DC_WIDTH+1)
<= C_ALL_ZEROS(C_FIFO_DC_WIDTH downto C_EMU_FIFO_DC_WIDTH+1);
H2B_wr_data_count(0) <= '0';
--- Hybrid FIFO Signal used by PCIe interface and Linux Driver
eb_FIFO_ow <= eb_we_up and eb_full;
fifo_reset_done <= not eb_rst;
eb_din(72-1 downto C_DBUS_WIDTH) <= (OTHERS=>'0');
eb_data_count <= B2H_rd_data_count;
--- Hybrid FIFO Status used by PCIe interface and Linux Driver ---
--- read: status ; write: reset H2B and B2H FIFO
eb_FIFO_Status(C_DBUS_WIDTH-1 downto C_FIFO_DC_WIDTH+3)
<= (OTHERS=>'0');
eb_FIFO_Status(C_FIFO_DC_WIDTH+2 downto 3)
<= B2H_rd_data_count(C_FIFO_DC_WIDTH downto 1);
eb_FIFO_Status(2) <= '0';
eb_FIFO_Status(1) <= eb_pfull;
eb_FIFO_Status(0) <= eb_empty and fifo_reset_done;
--- Host2Board FIFO status used by user ---
--- read: H2B status ; write: nothing
H2B_FIFO_Status(C_DBUS_WIDTH-1 downto C_FIFO_DC_WIDTH+3)
<= (OTHERS=>'0');
H2B_FIFO_Status(C_FIFO_DC_WIDTH+2 downto 3)
<= H2B_wr_data_count(C_FIFO_DC_WIDTH downto 1);
H2B_FIFO_Status(2) <= '0';
H2B_FIFO_Status(1) <= eb_pfull;
H2B_FIFO_Status(0) <= eb_full and fifo_reset_done;
--- Board2Host FIFO status used by user ---
--- read: B2H status ; write: nothing
B2H_FIFO_Status(C_DBUS_WIDTH-1 downto C_FIFO_DC_WIDTH+3)
<= (OTHERS=>'0');
B2H_FIFO_Status(C_FIFO_DC_WIDTH+2 downto 3)
<= B2H_rd_data_count(C_FIFO_DC_WIDTH downto 1);
B2H_FIFO_Status(2) <= eb_valid;
B2H_FIFO_Status(1) <= eb_pempty;
B2H_FIFO_Status(0) <= eb_empty and fifo_reset_done;
end generate;
LoopBack_FIFO_On: if USE_LOOPBACK_TEST generate
queue_buffer0:
eb_wrapper_loopback
port map (
wr_clk => trn_clk , -- eb_wclk ,
wr_en => eb_we ,
din => eb_din ,
pfull => eb_pfull ,
full => eb_full ,
rd_clk => trn_clk , -- eb_rclk ,
rd_en => eb_re ,
dout => eb_dout ,
pempty => eb_pempty ,
empty => eb_empty ,
data_count => eb_data_count(C_EMU_FIFO_DC_WIDTH-1+1 downto 1) ,
rst => eb_rst
);
eb_data_count(C_FIFO_DC_WIDTH downto C_EMU_FIFO_DC_WIDTH+1)
<= C_ALL_ZEROS(C_FIFO_DC_WIDTH downto C_EMU_FIFO_DC_WIDTH+1);
eb_data_count(0)<= '0';
fifo_reset_done <= not eb_rst;
eb_FIFO_ow <= eb_we_up and eb_full;
eb_din(72-1 downto C_DBUS_WIDTH) <= (OTHERS=>'0');
eb_FIFO_Status(C_DBUS_WIDTH-1 downto C_FIFO_DC_WIDTH+3)
<= (OTHERS=>'0');
eb_FIFO_Status(C_FIFO_DC_WIDTH+2 downto 3)
<= eb_data_count(C_FIFO_DC_WIDTH downto 1);
eb_FIFO_Status(2) <= '0';
eb_FIFO_Status(1) <= eb_pfull;
eb_FIFO_Status(0) <= eb_empty and fifo_reset_done;
H2B_FIFO_Status <= (OTHERS=>'0');
H2B_FIFO_Status <= (OTHERS=>'0');
end generate;
end Behavioral;
| gpl-2.0 | b3d5112becc7d147f305aa7c224763e6 | 0.417136 | 3.732507 | false | false | false | false |
SoCdesign/inputboard | ZedBoard_Linux_Design/hw/xps_proj/pcores/superip_v1_00_a/hdl/vhdl/Filter_Top_Level.vhd | 1 | 8,396 | ---------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:51:05 05/05/2015
-- Design Name:
-- Module Name: Filter_Top_Level - RTL
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Filter_Top_Level is
Port(slv_reg0 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg1 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg2 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg3 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg4 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg5 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg6 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg7 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg8 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg9 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg10 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg11 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg12 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg13 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg14 : in STD_LOGIC_VECTOR(31 downto 0);
CLK_48 : in std_logic;
RST : in std_logic;
SAMPLE_TRIG : in std_logic;
sample_trigger_en : in std_logic;
HP_SW : in std_logic;
BP_SW : in std_logic;
LP_SW : in std_logic;
AUDIO_IN_L : in std_logic_vector(23 downto 0);
AUDIO_IN_R : in std_logic_vector(23 downto 0);
AUDIO_OUT_L : out std_logic_vector(23 downto 0);
AUDIO_OUT_R : out std_logic_vector(23 downto 0);
FILTER_DONE : out std_logic
-- clk : in STD_LOGIC;
-- rst : in STD_LOGIC;
-- sample_trig : in STD_LOGIC;
-- Audio_in : in STD_LOGIC_VECTOR (23 downto 0);
-- filter_done : in STD_LOGIC;
-- Audio_out : in STD_LOGIC_VECTOR (23 downto 0)
);
end Filter_Top_Level;
architecture RTL of Filter_Top_Level is
Component IIR_Biquad_II_v3 is
Port(
Coef_b0 : std_logic_vector(31 downto 0);
Coef_b1 : std_logic_vector(31 downto 0);
Coef_b2 : std_logic_vector(31 downto 0);
Coef_a1 : std_logic_vector(31 downto 0);
Coef_a2 : std_logic_vector(31 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC;
sample_trig : in STD_LOGIC;
X_in : in STD_LOGIC_VECTOR(23 downto 0);
filter_done : out STD_LOGIC;
Y_out : out STD_LOGIC_VECTOR(23 downto 0)
);
end Component;
signal IIR_LP_Done_R, IIR_LP_Done_L, IIR_BP_Done_R, IIR_BP_Done_L, IIR_HP_Done_R, IIR_HP_Done_L : std_logic;
signal AUDIO_OUT_TRUNC_L, AUDIO_OUT_TRUNC_R, IIR_LP_Y_Out_R, IIR_LP_Y_Out_L, IIR_BP_Y_Out_R, IIR_BP_Y_Out_L, IIR_HP_Y_Out_R, IIR_HP_Y_Out_L : std_logic_vector(23 downto 0);
signal sample_trigger_safe : STD_LOGIC := '0';
signal val : std_logic_vector(2 downto 0);
begin
sample_trigger_safe <= SAMPLE_TRIG or (not sample_trigger_en);
val <= HP_SW & BP_SW & LP_SW;
--USER logic implementation added here
---- connect all the "filter done" with an AND gate to the user_logic top level entity.
FILTER_DONE <= IIR_LP_Done_R and IIR_LP_Done_L and IIR_BP_Done_R and IIR_BP_Done_L and IIR_HP_Done_R and IIR_HP_Done_L;
AUDIO_OUT_L <= AUDIO_OUT_TRUNC_L; -- & X"00";
AUDIO_OUT_R <= AUDIO_OUT_TRUNC_R; -- & X"00";
---this process controls each individual filter and the final output of the filter.
MUX_filters: process(IIR_BP_Y_Out_L, IIR_BP_Y_Out_R, IIR_HP_Y_Out_L, IIR_HP_Y_Out_R, IIR_LP_Y_Out_L, IIR_LP_Y_Out_R, val, RST)
begin
if rst = '1' then
AUDIO_OUT_TRUNC_L <= (others => '0'); --IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= (others => '0'); --IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R;
else
case VAL is
when "000" =>
AUDIO_OUT_TRUNC_L <= (others => '0'); --IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= (others => '0'); --IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R;
when "001" =>
AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R;
when "010" =>
AUDIO_OUT_TRUNC_L <= IIR_BP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_BP_Y_Out_R;
when "011" =>
AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L + IIR_BP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R + IIR_BP_Y_Out_R;
when "100" =>
AUDIO_OUT_TRUNC_L <= IIR_HP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_HP_Y_Out_R;
when "101" =>
AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L + IIR_HP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R + IIR_HP_Y_Out_R;
when "110" =>
AUDIO_OUT_TRUNC_L <= IIR_HP_Y_Out_L + IIR_BP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_HP_Y_Out_R + IIR_BP_Y_Out_R;
when "111" =>
AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R;
when others =>
AUDIO_OUT_TRUNC_L <= (others => '0'); --IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L;
AUDIO_OUT_TRUNC_R <= (others => '0'); --IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R;
end case;
end if;
end process;
IIR_LP_R : IIR_Biquad_II_v3
Port map(
Coef_b0 => slv_reg0,
Coef_b1 => slv_reg1,
Coef_b2 => slv_reg2,
Coef_a1 => slv_reg3,
Coef_a2 => slv_reg4,
clk => CLK_48,
rst => rst,
sample_trig => sample_trigger_safe, --Sample_IIR,
X_in => AUDIO_IN_R(23 downto 0),
filter_done => IIR_LP_Done_R,
Y_out => IIR_LP_Y_Out_R
);
IIR_LP_L : IIR_Biquad_II_v3
Port map(
Coef_b0 => slv_reg0,
Coef_b1 => slv_reg1,
Coef_b2 => slv_reg2,
Coef_a1 => slv_reg3,
Coef_a2 => slv_reg4,
clk => CLK_48,
rst => rst,
sample_trig => sample_trigger_safe, --Sample_IIR,
X_in => AUDIO_IN_L(23 downto 0), --X_in_truncated_L,
filter_done => IIR_LP_Done_L,
Y_out => IIR_LP_Y_Out_L
);
IIR_BP_R : IIR_Biquad_II_v3 --(20 - 20000)
Port map(
Coef_b0 => slv_reg5,
Coef_b1 => slv_reg6,
Coef_b2 => slv_reg7,
Coef_a1 => slv_reg8,
Coef_a2 => slv_reg9,
clk => CLK_48,
rst => rst,
sample_trig => sample_trigger_safe, --Sample_IIR,
X_in => AUDIO_IN_R(23 downto 0), --X_in_truncated_R,
filter_done => IIR_BP_Done_R,
Y_out => IIR_BP_Y_Out_R
);
IIR_BP_L : IIR_Biquad_II_v3 --(20 - 20000)
Port map(
Coef_b0 => slv_reg5,
Coef_b1 => slv_reg6,
Coef_b2 => slv_reg7,
Coef_a1 => slv_reg8,
Coef_a2 => slv_reg9,
clk => CLK_48,
rst => rst,
sample_trig => sample_trigger_safe, --Sample_IIR,
X_in => AUDIO_IN_L(23 downto 0), --X_in_truncated_L,
filter_done => IIR_BP_Done_L,
Y_out => IIR_BP_Y_Out_L
);
IIR_HP_R : IIR_Biquad_II_v3
Port map(
Coef_b0 => slv_reg10,
Coef_b1 => slv_reg11,
Coef_b2 => slv_reg12,
Coef_a1 => slv_reg13,
Coef_a2 => slv_reg14,
clk => CLK_48,
rst => rst,
sample_trig => sample_trigger_safe, --Sample_IIR,
X_in => AUDIO_IN_R(23 downto 0), --X_in_truncated_R,
filter_done => IIR_HP_Done_R,
Y_out => IIR_HP_Y_Out_R
);
IIR_HP_L : IIR_Biquad_II_v3
Port map(
Coef_b0 => slv_reg10,
Coef_b1 => slv_reg11,
Coef_b2 => slv_reg12,
Coef_a1 => slv_reg13,
Coef_a2 => slv_reg14,
clk => CLK_48,
rst => rst,
sample_trig => sample_trigger_safe, --Sample_IIR,
X_in => AUDIO_IN_L(23 downto 0), --X_in_truncated_L,
filter_done => IIR_HP_Done_L,
Y_out => IIR_HP_Y_Out_L
);
end RTL;
| mit | 5d621f022aaade23b4a5d4a98059b485 | 0.544664 | 2.586568 | false | false | false | false |
mharndt/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_19_12_2012/TEST2_SRAM_25MHZ_255_BYTE/SRAM_25MHZ_255_BYTE_alt.vhd | 4 | 14,494 | -- SRAM_25MHZ_255_BYTE
-- beschreibt/liest den SRAM des Spartan 3
-- Ersteller: Martin Harndt
-- Erstellt: 30.11.2012
-- Bearbeiter: mharndt
-- Geaendert: 12.12.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SRAM_25MHZ_255_BYTE is
Port ( GO : in std_logic;
COUNT_ADR_OUT : out std_logic_vector(18 downto 0); --Ausgabe Adresse, 19 Byte
COUNT_DAT_OUT : inout std_logic_vector(15 downto 0); --Ausgabe gespeicherte Daten, 16 Byte
DISPL_ADR : in std_logic; -- umschalten zwischen aktuellen Zustand und Adresse
DISPL_DAT : in std_logic; -- umschalten zwischen Folgeszustand und Daten
WE : out std_logic; -- Write Enable
OE : out std_logic; -- Output Enable
CE1 : out std_logic; -- Chip Enable
UB1 : out std_logic; -- Upper Byte Enable
LB1 : out std_logic; -- Lower Byte Enable
STOP : out std_logic; -- zum Anzeigen von STOP
PLUS : in std_logic; -- Adresszähler +1
MINUS : in std_logic; -- Adresszähler -1
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE : in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end SRAM_25MHZ_255_BYTE;
architecture Behavioral of SRAM_25MHZ_255_BYTE is
type TYPE_STATE is
(ST_RAM_00, --Zustaende
ST_RAM_01,
ST_RAM_02,
ST_RAM_03,
ST_RAM_04,
ST_RAM_05,
ST_RAM_06,
ST_RAM_07,
ST_RAM_08,
ST_RAM_09);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal GO_S : std_logic; --Eingangsvariable, --Zwischengespeichert im Eingangsregister
signal PLUS_S : std_logic; --Eingangsvariable, Zwischengespeichert im Eingangsregister
signal MINUS_S : std_logic; --Eingangsvariable, --Zwischengespeichert im Eingangsregister
signal COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, Vektor, 19 bit
signal n_COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, neuer Wert, Vektor, 19 bit
signal COUNT_ADR_M : std_logic_vector(18 downto 0); --Adresszaehler, Ausgang Master, Vektor, 19 bit
signal COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, Vektor, 15 bit
signal n_COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, neuer Wert, Vektor, 15 bit
signal COUNT_DAT_M : std_logic_vector(15 downto 0); --Datenzaehler, Ausgang Master, Vektor, 15 bit
signal DISPL_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal DISPL_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal WRITE :std_logic; -- Schreib-Anzeiger (Write=1)
signal WRITE_REG : std_logic; --Schreibanzeiger (1=schreiben)
signal WRITE_BUF : std_logic; --Schreibanzeiger_Slave
signal COUNT_DAT_OUT_INPUT : std_logic_vector(15 downto 0); --Eingangsvariable, Zwischengespeichert Eingangsregister, 16 bit
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraiable, Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (GO, GO_S, not_CLK_IO, PLUS, PLUS_S, MINUS, MINUS_S, COUNT_DAT_OUT) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then GO_S <= GO;
PLUS_S <= PLUS;
MINUS_S <= MINUS;
if (WRITE = '0') then
COUNT_DAT_OUT_INPUT <= COUNT_DAT_OUT;
end if;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_RAM_00;
WRITE_REG <= '0';
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_ADR_M <= n_COUNT_ADR;
COUNT_DAT_M <= n_COUNT_DAT;
WRITE_REG <= WRITE_BUF;
else SV_M <= SV_M;
COUNT_ADR_M <= COUNT_ADR_M;
COUNT_DAT_M <= COUNT_DAT_M;
WRITE_REG <= WRITE_REG;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_RAM_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT_ADR <= COUNT_ADR_M;
COUNT_DAT <= COUNT_DAT_M;
end if;
end if;
end process;
IL_OL_PROC: process (GO_S, SV, COUNT_ADR, COUNT_DAT, PLUS_S, MINUS_S)
begin
UB1 <= '0'; --Upper Byte Ein (0=Ein 1=Aus)
LB1 <= '0'; --Lower Byte Ein (0=Ein 1=Aus)
case SV is
when ST_RAM_00 =>
if (GO_S = '1')
then
-- RAM01
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus (0=Ein 1=Aus) 0
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '1'; --Aus (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_01; -- Zustandsuebgergang
else
--RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus 0
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_00; -- GO = '0'
end if;
when ST_RAM_01 =>
-- RAM02
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '0'; --Ein
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '1'; -- Schreiben
n_SV <= ST_RAM_02; -- Zustandsuebgergang
when ST_RAM_02 =>
if (COUNT_ADR = b"1111111111111111111" AND COUNT_DAT = b"0000000000000000")
then
-- RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_03; -- COUNT_ADR < FF
else
--RAM03
n_COUNT_ADR <= COUNT_ADR+1; -- Adress Zaehler inkrementieren
n_COUNT_DAT <= COUNT_DAT-1; -- Daten Zaehler dekrementieren
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_04; -- COUNT_ADR = FF
end if;
when ST_RAM_03 =>
if (GO_S = '0')
then
-- RAM06
n_COUNT_ADR <= b"0000000000000000000"; -- Wert wird null
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_05; -- GO_S ='0'
else
--RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_03; -- GO_S ='1'
end if;
when ST_RAM_04 =>
-- RAM04
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_01; -- Zustandsübergang
when ST_RAM_05 =>
if (GO_S = '0')
then
-- RAM08
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_06; -- GO_S ='0'
else
--RAM07
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_00; -- GO_S ='1'
end if;
when ST_RAM_06 =>
if (PLUS_S = '1')
then
-- RAM09
n_COUNT_ADR <= COUNT_ADR+1; -- Wert wird erhöht
n_COUNT_DAT <= COUNT_DAT;
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_07; -- PLUS_S ='1'
else
--RAM11
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_08; -- PLUS_S ='0'
end if;
when ST_RAM_07 =>
if (PLUS_S = '0')
then
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_05; -- PLUS_S ='0'
else
--RAM10
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_07; -- PLUS_S ='1'
end if;
when ST_RAM_08 =>
if (MINUS_S = '1')
then
--RAM12
n_COUNT_ADR <= COUNT_ADR-1; -- Wert wird verringert
n_COUNT_DAT <= COUNT_DAT;
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_09; -- MINUS_S ='1'
else
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_05; -- PLUS_S ='0'
end if;
when ST_RAM_09 =>
if (MINUS_S = '0')
then
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_05; -- PLUS_S ='0'
else
--RAM13
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_09; -- MINUS_S ='1'
end if;
when others =>
-- RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '1'; --Aus
STOP <= '0'; --Aus
WRITE <= '0'; -- Lesen
n_SV <= ST_RAM_00;
end case;
end process;
OREG_CHG_PROC: process (CLK, n_COUNT_ADR) --Ausgangsregister
begin
-- Adressen
COUNT_ADR_OUT <= n_COUNT_ADR;
if (CLK'event and CLK = '1')
then
-- Daten
if (WRITE = '1')
then
COUNT_DAT_OUT <= n_COUNT_DAT;
else
COUNT_DAT_OUT <= COUNT_DAT_OUT_INPUT;
end if;
end if;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_STATE_SV, DISPL_STATE_n_SV, DISPL_ADR, DISPL_DAT, COUNT_ADR, COUNT_DAT_OUT_INPUT) -- Zustandsanzeige
begin
DISPL_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
DISPL_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
if (DISPL_ADR = '0')
then
-- Aktuellen Zustand anzeigen
DISPL1_SV(0) <= DISPL_STATE_SV(0); --Bit0
DISPL1_SV(1) <= DISPL_STATE_SV(1); --Bit1
DISPL1_SV(2) <= DISPL_STATE_SV(2); --Bit2
DISPL1_SV(3) <= DISPL_STATE_SV(3); --Bit3
DISPL2_SV(0) <= DISPL_STATE_SV(4); --usw.
DISPL2_SV(1) <= DISPL_STATE_SV(5);
DISPL2_SV(2) <= DISPL_STATE_SV(6);
DISPL2_SV(3) <= DISPL_STATE_SV(7);
else
-- Adresse anzeigen (erste 8 Bit)
DISPL1_SV(0) <= COUNT_ADR(0); --Bit0
DISPL1_SV(1) <= COUNT_ADR(1); --Bit1
DISPL1_SV(2) <= COUNT_ADR(2); --Bit2
DISPL1_SV(3) <= COUNT_ADR(3); --Bit3
DISPL2_SV(0) <= COUNT_ADR(4); --usw.
DISPL2_SV(1) <= COUNT_ADR(5);
DISPL2_SV(2) <= COUNT_ADR(6);
DISPL2_SV(3) <= COUNT_ADR(7);
end if;
if (DISPL_DAT = '0')
then
-- Folgezustand anzeigen
DISPL1_n_SV(0) <= DISPL_STATE_n_SV(0);
DISPL1_n_SV(1) <= DISPL_STATE_n_SV(1);
DISPL1_n_SV(2) <= DISPL_STATE_n_SV(2);
DISPL1_n_SV(3) <= DISPL_STATE_n_SV(3);
DISPL2_n_SV(0) <= DISPL_STATE_n_SV(4);
DISPL2_n_SV(1) <= DISPL_STATE_n_SV(5);
DISPL2_n_SV(2) <= DISPL_STATE_n_SV(6);
DISPL2_n_SV(3) <= DISPL_STATE_n_SV(7);
else
--Daten anzeigen (erste 8 Bit)
DISPL1_n_SV(0) <= COUNT_DAT_OUT_INPUT(0);
DISPL1_n_SV(1) <= COUNT_DAT_OUT_INPUT(1);
DISPL1_n_SV(2) <= COUNT_DAT_OUT_INPUT(2);
DISPL1_n_SV(3) <= COUNT_DAT_OUT_INPUT(3);
DISPL2_n_SV(0) <= COUNT_DAT_OUT_INPUT(4);
DISPL2_n_SV(1) <= COUNT_DAT_OUT_INPUT(5);
DISPL2_n_SV(2) <= COUNT_DAT_OUT_INPUT(6);
DISPL2_n_SV(3) <= COUNT_DAT_OUT_INPUT(7);
end if;
end process;
end Behavioral;
| gpl-2.0 | c4dc383a47980dd1a642a8f7dcf24b25 | 0.542086 | 2.918059 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sm.vhd | 1 | 50,954 | -- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sm.vhd
-- Description: This entity contains the S2MM DMA Controller State Machine
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_10;
use axi_dma_v7_1_10.axi_dma_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sm is
generic (
C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for S2MM Write Port
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1
-- Depth of DataMover command FIFO
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
s2mm_stop : in std_logic ; --
--
-- S2MM Control and Status --
s2mm_run_stop : in std_logic ; --
s2mm_keyhole : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_desc_flush : in std_logic ; --
s2mm_cmnd_idle : out std_logic ; --
s2mm_sts_idle : out std_logic ; --
s2mm_eof_set : out std_logic ; --
s2mm_eof_micro : in std_logic ; --
s2mm_sof_micro : in std_logic ; --
--
-- S2MM Descriptor Fetch Request --
desc_fetch_req : out std_logic ; --
desc_fetch_done : in std_logic ; --
desc_update_done : in std_logic ; --
updt_pending : in std_logic ;
desc_available : in std_logic ; --
--
-- S2MM Status Stream RX Length --
s2mm_rxlength_valid : in std_logic ; --
s2mm_rxlength_clr : out std_logic ; --
s2mm_rxlength : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) ; --
--
-- DataMover Command --
s2mm_cmnd_wr : out std_logic ; --
s2mm_cmnd_data : out std_logic_vector --
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
s2mm_cmnd_pending : in std_logic ; --
--
-- Descriptor Fields --
s2mm_desc_info : in std_logic_vector --
(31 downto 0); --
s2mm_desc_baddress : in std_logic_vector --
(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
s2mm_desc_blength : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_v : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_s : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0) --
);
end axi_dma_s2mm_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0');
-- DataMover Command Destination Stream Offset
constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant S2MM_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH)
:= (others => '0');
-- Queued commands counter width
constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1);
-- Queued commands zero count
constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0)
:= (others => '0');
-- Zero buffer length error - compare value
constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- State Machine Signals
signal desc_fetch_req_cmb : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal s2mm_rxlength_clr_cmb : std_logic := '0';
signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_rxlength_set : std_logic := '0';
signal blength_grtr_rxlength : std_logic := '0';
signal rxlength_fetched : std_logic := '0';
signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0');
signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0');
signal count_incr : std_logic := '0';
signal count_decr : std_logic := '0';
signal desc_fetch_done_d1 : std_logic := '0';
signal zero_length_error : std_logic := '0';
signal s2mm_eof_set_i : std_logic := '0';
signal queue_more : std_logic := '0';
signal burst_type : std_logic;
signal eof_micro : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
EN_MICRO_DMA : if C_MICRO_DMA = 1 generate
begin
eof_micro <= s2mm_eof_micro;
end generate EN_MICRO_DMA;
NO_MICRO_DMA : if C_MICRO_DMA = 0 generate
begin
eof_micro <= '0';
end generate NO_MICRO_DMA;
s2mm_eof_set <= s2mm_eof_set_i;
burst_type <= '1' and (not s2mm_keyhole);
-- A 0 s2mm_keyhole means incremental burst
-- a 1 s2mm_keyhole means fixed burst
-------------------------------------------------------------------------------
-- Not using rx length from status stream - (indeterminate length mode)
-------------------------------------------------------------------------------
GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
-- EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-- For no status stream or not using length in status app field then eof set is
-- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd)
s2mm_eof_set_i <= '0';
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_fetch_done,
desc_update_done,
s2mm_cmnd_pending,
s2mm_stop,
s2mm_desc_flush,
updt_pending
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
-- fetch descriptor if desc available, not stopped and running
-- if (updt_pending = '1') then
-- s2mm_ns <= WAIT_STATUS;
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 1) then
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
else
s2mm_ns <= WAIT_STATUS;
write_cmnd_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- wait until fetch complete then execute
-- elsif(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
-- s2mm_ns <= EXECUTE_XFER;
elsif (s2mm_cmnd_pending = '0')then
desc_fetch_req_cmb <= '0';
if (updt_pending = '0') then
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
write_cmnd_cmb <= '1';
else
-- coverage off
s2mm_ns <= WAIT_STATUS;
-- coverage on
end if;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
-- when EXECUTE_XFER =>
-- -- if error exit
-- if(s2mm_stop = '1')then
-- s2mm_ns <= IDLE;
-- -- Write another command if there is not one already pending
-- elsif(s2mm_cmnd_pending = '0')then
-- if (updt_pending = '0') then
-- write_cmnd_cmb <= '1';
-- end if;
-- if(C_SG_INCLUDE_DESC_QUEUE = 1)then
-- s2mm_ns <= IDLE;
-- else
-- s2mm_ns <= WAIT_STATUS;
-- end if;
-- else
-- s2mm_ns <= EXECUTE_XFER;
-- end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- for no Q wait until desc updated
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register State Machine Statues
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register State Machine Signalse
-------------------------------------------------------------------------------
-- SM_SIG_REGISTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- desc_fetch_req <= '0' ;
-- else
-- if (C_SG_INCLUDE_DESC_QUEUE = 0) then
-- desc_fetch_req <= '1';
-- else
-- desc_fetch_req <= desc_fetch_req_cmb ;
-- end if;
-- end if;
-- end if;
-- end process SM_SIG_REGISTER;
desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else
desc_fetch_req_cmb ;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For Indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & PAD_VALUE
-- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro --'0' -- For Indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & s2mm_desc_blength;
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro -- For indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
end generate GEN_CMD_BTT_EQL_23;
-- Drive unused output to zero
s2mm_rxlength_clr <= '0';
end generate GEN_SM_FOR_NO_LENGTH;
-------------------------------------------------------------------------------
-- Generate state machine and support logic for Using RX Length from Status
-- Stream
-------------------------------------------------------------------------------
-- this would not hold good for MCDMA
GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
GET_RXLENGTH,
CMPR_LENGTH,
EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_update_done,
-- desc_fetch_done,
updt_pending,
s2mm_rxlength_valid,
rxlength_fetched,
s2mm_cmnd_pending,
zero_length_error,
s2mm_stop,
s2mm_desc_flush
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
s2mm_rxlength_clr_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_rxlength_set <= '0';
--rxlength_fetched_clr <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE; --FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
desc_fetch_req_cmb <= '0';
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1')then
s2mm_ns <= IDLE;
-- Descriptor fetch complete
else --if(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
-- else
-- desc_fetch_req_cmb <= '1';
end if;
-------------------------------------------------------------------
WHEN GET_RXLENGTH =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- Buffer length zero, do not compare lengths, execute
-- command to force datamover to issue interror
elsif(zero_length_error = '1')then
s2mm_ns <= EXECUTE_XFER;
elsif(s2mm_rxlength_valid = '1')then
s2mm_rxlength_set <= '1';
s2mm_rxlength_clr_cmb <= '1';
s2mm_ns <= CMPR_LENGTH;
else
s2mm_ns <= GET_RXLENGTH;
end if;
-------------------------------------------------------------------
WHEN CMPR_LENGTH =>
s2mm_ns <= EXECUTE_XFER;
-------------------------------------------------------------------
when EXECUTE_XFER =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- write new command if one is not already pending
elsif(s2mm_cmnd_pending = '0')then
write_cmnd_cmb <= '1';
-- If descriptor queuing enabled then
-- do NOT need to wait for status
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
-- No queuing therefore must wait for
-- status before issuing next command
else
s2mm_ns <= WAIT_STATUS;
end if;
else
s2mm_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
-- coverage off
when WAIT_STATUS =>
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-- coverage on
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register state machine signals
-------------------------------------------------------------------------------
SM_SIG_REGISTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_req <= '0' ;
s2mm_rxlength_clr <= '0' ;
else
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
desc_fetch_req <= '1';
else
desc_fetch_req <= desc_fetch_req_cmb ;
end if;
s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb;
end if;
end if;
end process SM_SIG_REGISTER;
-------------------------------------------------------------------------------
-- Check for a ZERO value in descriptor buffer length. If there is
-- then flag an error and skip waiting for valid rxlength. cmnd will
-- get written to datamover with BTT=0 and datamover will flag dmaint error
-- which will be logged in desc, reset required to clear error
-------------------------------------------------------------------------------
REG_ALIGN_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_done_d1 <= '0';
else
desc_fetch_done_d1 <= desc_fetch_done;
end if;
end if;
end process REG_ALIGN_DONE;
-------------------------------------------------------------------------------
-- Zero length error detection - for determinate mode, detect early to prevent
-- rxlength calcuation from first taking place. This will force a 0 BTT
-- command to be issued to the datamover causing an internal error.
-------------------------------------------------------------------------------
REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
zero_length_error <= '0';
elsif(desc_fetch_done_d1 = '1'
and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then
zero_length_error <= '1';
end if;
end if;
end process REG_ZERO_LNGTH_ERR;
-------------------------------------------------------------------------------
-- Capture/Hold receive length from status stream. Also decrement length
-- based on if received length is greater than descriptor buffer size. (i.e. is
-- the case where multiple descriptors/buffers are used to describe one packet)
-------------------------------------------------------------------------------
REG_RXLENGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
rxlength <= (others => '0');
-- If command register rxlength from status stream fifo
elsif(s2mm_rxlength_set = '1')then
rxlength <= s2mm_rxlength;
-- On command write if current desc buffer size not greater
-- than current rxlength then decrement rxlength in preperations
-- for subsequent commands
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0))
- unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0)));
end if;
end if;
end process REG_RXLENGTH;
-------------------------------------------------------------------------------
-- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To'
-- Received Length value
-------------------------------------------------------------------------------
REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
blength_grtr_rxlength <= '0';
elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then
blength_grtr_rxlength <= '1';
else
blength_grtr_rxlength <= '0';
end if;
end if;
end process REG_BLENGTH_GRTR_RXLNGTH;
-------------------------------------------------------------------------------
-- On command assert rxlength fetched flag indicating length grabbed from
-- status stream fifo
-------------------------------------------------------------------------------
RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then
rxlength_fetched <= '0';
elsif(s2mm_rxlength_set = '1')then
rxlength_fetched <= '1';
end if;
end if;
end process RXLENGTH_FTCHED_PROCESS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF=0 to CMD Tag
& '0' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF=1 to CMD Tag
& '1' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- Set EOF=1
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF='0' to CMD Tag
& '0' -- Cat. IOC='0' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF='1' to CMD Tag
& '1' -- Cat. IOC='1' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
end generate GEN_SM_FOR_LENGTH;
-------------------------------------------------------------------------------
-- Counter for keepting track of pending commands/status in primary datamover
-- Use this to determine if primary datamover for s2mm is Idle.
-------------------------------------------------------------------------------
-- Increment queue count for each command written if not occuring at
-- same time a status from DM being updated to SG engine
count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0'
else '0';
-- Decrement queue count for each status update to SG engine if not occuring
-- at same time as command being written to DM
count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1'
else '0';
-- keep track of number queue commands
--CMD2STS_COUNTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
-- cmnds_queued <= (others => '0');
-- elsif(count_incr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1);
-- elsif(count_decr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1);
-- end if;
-- end if;
-- end process CMD2STS_COUNTER;
QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift <= (others => '0');
elsif(count_incr = '1')then
cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1';
elsif(count_decr = '1')then
cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1);
end if;
end if;
end process CMD2STS_COUNTER1;
end generate QUEUE_COUNT;
NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift (0) <= '0';
elsif(count_incr = '1')then
cmnds_queued_shift (0) <= '1';
elsif(count_decr = '1')then
cmnds_queued_shift (0) <= '0';
end if;
end if;
end process CMD2STS_COUNTER1;
end generate NOQUEUE_COUNT;
-- indicate idle when no more queued commands
--s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000"
-- else '0';
s2mm_sts_idle <= not cmnds_queued_shift(0);
-------------------------------------------------------------------------------
-- Queue only the amount of commands that can be queued on descriptor update
-- else lock up can occur. Note datamover command fifo depth is set to number
-- of descriptors to queue.
-------------------------------------------------------------------------------
--QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
-- else
-- queue_more <= '0';
-- end if;
-- end if;
-- end process QUEUE_MORE_PROCESS;
QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
else
queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0';
end if;
end if;
end process QUEUE_MORE_PROCESS;
end implementation;
| mit | b68853f9e0005bf3083c489da15a793d | 0.375927 | 4.904139 | false | false | false | false |
szanni/aeshw | zybo-base/aeshw_1.0/hdl/aeshw_v1_0.vhd | 1 | 3,581 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity aeshw_v1_0 is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Slave Bus Interface S_AXI
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
-- Users to add ports here
-- User ports ends
-- Do not modify the ports beyond this line
-- Ports of Axi Slave Bus Interface S_AXI
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_awprot : in std_logic_vector(2 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_arprot : in std_logic_vector(2 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic
);
end aeshw_v1_0;
architecture arch_imp of aeshw_v1_0 is
-- component declaration
component aeshw_v1_0_S_AXI is
generic (
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic
);
end component aeshw_v1_0_S_AXI;
begin
-- Instantiation of Axi Bus Interface S_AXI
aeshw_v1_0_S_AXI_inst : aeshw_v1_0_S_AXI
generic map (
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH
)
port map (
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWPROT => s_axi_awprot,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WSTRB => s_axi_wstrb,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARPROT => s_axi_arprot,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready
);
-- Add user logic here
-- User logic ends
end arch_imp;
| bsd-2-clause | cfc12f525cee74fe9b0c1172aa8179ed | 0.662385 | 2.36058 | false | false | false | false |
codepainters/vhdl-utils | clock_prescaler.vhd | 1 | 2,526 | ----------------------------------------------------------------------------------
-- Copyright (c) 2015, Przemyslaw Wegrzyn <[email protected]>
-- This file is distributed under the Modified BSD License.
--
-- This is an implementation of an efficient clock prescaler for Xilinx FPGAs,
-- based on SRL16 shift register primitive.
--
-- It divides the input clock by n * (10 ^ exp), where n is in range 2..16 and
-- exp is in range 0..10. Output goes 1 for one cycle of the input clock,
-- every n * (10 ^ exp) cycles of the input clock.
--
-- It uses only exp + 1 LUTs, which is a significant improvement over a prescaler
-- based on a simple counter.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.VComponents.all;
entity clock_prescaler is
generic (n : integer range 2 to 16;
exp : integer range 0 to 10);
port(clk : in std_logic;
q : out std_logic);
end clock_prescaler;
architecture rtl of clock_prescaler is
-- first stage length
constant first_stage_tap : std_logic_vector(3 downto 0) := std_logic_vector(to_signed(n - 1, 4));
-- feedback signal inside each stage
signal sreg_fb : std_logic_vector(0 to exp);
-- those signals go between stages
signal stage_q : std_logic_vector(0 to exp);
begin
-- first stage divides by n
first_reg : SRLC16E
generic map(INIT => X"0001")
port map (Q => sreg_fb(0), Q15 => open,
A0 => first_stage_tap(0), A1 => first_stage_tap(1),
A2 => first_stage_tap(2), A3 => first_stage_tap(3),
CE => '1', D => sreg_fb(0), CLK => clk );
stage_q(0) <= sreg_fb(0);
-- subsequent exp stages each divides by 10
exp_divides : for i in 1 to exp generate
begin
sreg : SRLC16E
generic map(INIT => X"0001")
port map (Q => sreg_fb(i), Q15 => open,
A0 => '1', A1 => '0', A2 => '0', A3 => '1',
CE => stage_q(i - 1), D => sreg_fb(i),
CLK => clk );
-- shift reg output must be AND-ed with previous stage output,
-- so the pulse is only 1 clk period long
q_and : AND2 port map (I0 => sreg_fb(i), I1 => stage_q(i - 1), O => stage_q(i));
end generate;
-- output of the last stage is prescaler's output
q <= stage_q(exp);
end rtl;
| bsd-2-clause | cd4fd17c035d3c93fbf6d1cfdf93ac56 | 0.543547 | 3.736686 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/rocketlib/rocket_l1only.vhd | 1 | 13,124 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief RockeTile top level.
--! @details RISC-V "RocketTile" without Uncore subsystem.
------------------------------------------------------------------------------
--! Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--! Data transformation and math functions library
library commonlib;
use commonlib.types_common.all;
--! Technology definition library.
library techmap;
--! Technology constants definition.
use techmap.gencomp.all;
--! AMBA system bus specific library.
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
--! Rocket-chip specific library
library rocketlib;
--! TileLink interface description.
use rocketlib.types_rocket.all;
library work;
use work.all;
--! @brief RocketTile entity declaration.
--! @details This module implements Risc-V Core with L1-cache,
--! branch predictor and other stuffs of the RocketTile.
entity rocket_l1only is
generic (
hartid : integer := 0;
reset_vector : integer := 16#1000#
);
port (
nrst : in std_logic;
clk_sys : in std_logic;
msti1 : in nasti_master_in_type;
msto1 : out nasti_master_out_type;
mstcfg1 : out nasti_master_config_type;
msti2 : in nasti_master_in_type;
msto2 : out nasti_master_out_type;
mstcfg2 : out nasti_master_config_type;
interrupts : in std_logic_vector(CFG_CORE_IRQ_TOTAL-1 downto 0)
);
--! @}
end;
--! @brief SOC top-level architecture declaration.
architecture arch_rocket_l1only of rocket_l1only is
constant CFG_HARTID : std_logic_vector(63 downto 0) := conv_std_logic_vector(hartid, 64);
constant CFG_RESET_VECTOR : std_logic_vector(63 downto 0) := conv_std_logic_vector(reset_vector, 64);
constant xmstconfig1 : nasti_master_config_type := (
descrsize => PNP_CFG_MASTER_DESCR_BYTES,
descrtype => PNP_CFG_TYPE_MASTER,
vid => VENDOR_GNSSSENSOR,
did => RISCV_CACHED_TILELINK
);
constant xmstconfig2 : nasti_master_config_type := (
descrsize => PNP_CFG_MASTER_DESCR_BYTES,
descrtype => PNP_CFG_TYPE_MASTER,
vid => VENDOR_GNSSSENSOR,
did => RISCV_UNCACHED_TILELINK
);
signal cpu_rst : std_logic;
signal cto : tile_out_type;
signal cti : tile_in_type;
signal uto : tile_out_type;
signal uti : tile_in_type;
component AxiBridge is port (
clk : in std_logic;
nrst : in std_logic;
--! Tile-to-AXI direction
tloi : in tile_out_type;
msto : out nasti_master_out_type;
--! AXI-to-Tile direction
msti : in nasti_master_in_type;
tlio : out tile_in_type
);
end component;
component Tile2Axi is port (
clk : in std_logic;
nrst : in std_logic;
--! Tile-to-AXI direction
tloi : in tile_out_type;
msto : out nasti_master_out_type;
--! AXI-to-Tile direction
msti : in nasti_master_in_type;
tlio : out tile_in_type
);
end component;
COMPONENT RocketTile
PORT(
clock : IN std_logic;
reset : IN std_logic;
io_cached_0_a_ready : IN std_logic;
io_cached_0_a_valid : OUT std_logic;
io_cached_0_a_bits_opcode : OUT std_logic_vector(2 downto 0);
io_cached_0_a_bits_param : OUT std_logic_vector(2 downto 0);
io_cached_0_a_bits_size : OUT std_logic_vector(3 downto 0);
io_cached_0_a_bits_source : OUT std_logic_vector(1 downto 0);
io_cached_0_a_bits_address : OUT std_logic_vector(31 downto 0);
io_cached_0_a_bits_mask : OUT std_logic_vector(7 downto 0);
io_cached_0_a_bits_data : OUT std_logic_vector(63 downto 0);
io_cached_0_b_ready : OUT std_logic;
io_cached_0_b_valid : IN std_logic;
io_cached_0_b_bits_opcode : IN std_logic_vector(2 downto 0);
io_cached_0_b_bits_param : IN std_logic_vector(1 downto 0);
io_cached_0_b_bits_size : IN std_logic_vector(3 downto 0);
io_cached_0_b_bits_source : IN std_logic_vector(1 downto 0);
io_cached_0_b_bits_address : IN std_logic_vector(31 downto 0);
io_cached_0_b_bits_mask : IN std_logic_vector(7 downto 0);
io_cached_0_b_bits_data : IN std_logic_vector(63 downto 0);
io_cached_0_c_ready : IN std_logic;
io_cached_0_c_valid : OUT std_logic;
io_cached_0_c_bits_opcode : OUT std_logic_vector(2 downto 0);
io_cached_0_c_bits_param : OUT std_logic_vector(2 downto 0);
io_cached_0_c_bits_size : OUT std_logic_vector(3 downto 0);
io_cached_0_c_bits_source : OUT std_logic_vector(1 downto 0);
io_cached_0_c_bits_address : OUT std_logic_vector(31 downto 0);
io_cached_0_c_bits_data : OUT std_logic_vector(63 downto 0);
io_cached_0_c_bits_error : OUT std_logic;
io_cached_0_d_ready : OUT std_logic;
io_cached_0_d_valid : IN std_logic;
io_cached_0_d_bits_opcode : IN std_logic_vector(2 downto 0);
io_cached_0_d_bits_param : IN std_logic_vector(1 downto 0);
io_cached_0_d_bits_size : IN std_logic_vector(3 downto 0);
io_cached_0_d_bits_source : IN std_logic_vector(1 downto 0);
io_cached_0_d_bits_sink : IN std_logic_vector(3 downto 0);
io_cached_0_d_bits_addr_lo : IN std_logic_vector(2 downto 0);
io_cached_0_d_bits_data : IN std_logic_vector(63 downto 0);
io_cached_0_d_bits_error : IN std_logic;
io_cached_0_e_ready : IN std_logic;
io_cached_0_e_valid : OUT std_logic;
io_cached_0_e_bits_sink : OUT std_logic_vector(3 downto 0);
io_uncached_0_a_ready : IN std_logic;
io_uncached_0_a_valid : OUT std_logic;
io_uncached_0_a_bits_opcode : OUT std_logic_vector(2 downto 0);
io_uncached_0_a_bits_param : OUT std_logic_vector(2 downto 0);
io_uncached_0_a_bits_size : OUT std_logic_vector(3 downto 0);
io_uncached_0_a_bits_source : OUT std_logic_vector(2 downto 0);
io_uncached_0_a_bits_address : OUT std_logic_vector(31 downto 0);
io_uncached_0_a_bits_mask : OUT std_logic_vector(7 downto 0);
io_uncached_0_a_bits_data : OUT std_logic_vector(63 downto 0);
io_uncached_0_b_ready : OUT std_logic;
io_uncached_0_b_valid : IN std_logic;
io_uncached_0_b_bits_opcode : IN std_logic_vector(2 downto 0);
io_uncached_0_b_bits_param : IN std_logic_vector(1 downto 0);
io_uncached_0_b_bits_size : IN std_logic_vector(3 downto 0);
io_uncached_0_b_bits_source : IN std_logic_vector(2 downto 0);
io_uncached_0_b_bits_address : IN std_logic_vector(31 downto 0);
io_uncached_0_b_bits_mask : IN std_logic_vector(7 downto 0);
io_uncached_0_b_bits_data : IN std_logic_vector(63 downto 0);
io_uncached_0_c_ready : IN std_logic;
io_uncached_0_c_valid : OUT std_logic;
io_uncached_0_c_bits_opcode : OUT std_logic_vector(2 downto 0);
io_uncached_0_c_bits_param : OUT std_logic_vector(2 downto 0);
io_uncached_0_c_bits_size : OUT std_logic_vector(3 downto 0);
io_uncached_0_c_bits_source : OUT std_logic_vector(2 downto 0);
io_uncached_0_c_bits_address : OUT std_logic_vector(31 downto 0);
io_uncached_0_c_bits_data : OUT std_logic_vector(63 downto 0);
io_uncached_0_c_bits_error : OUT std_logic;
io_uncached_0_d_ready : OUT std_logic;
io_uncached_0_d_valid : IN std_logic;
io_uncached_0_d_bits_opcode : IN std_logic_vector(2 downto 0);
io_uncached_0_d_bits_param : IN std_logic_vector(1 downto 0);
io_uncached_0_d_bits_size : IN std_logic_vector(3 downto 0);
io_uncached_0_d_bits_source : IN std_logic_vector(2 downto 0);
io_uncached_0_d_bits_sink : IN std_logic_vector(3 downto 0);
io_uncached_0_d_bits_addr_lo : IN std_logic_vector(2 downto 0);
io_uncached_0_d_bits_data : IN std_logic_vector(63 downto 0);
io_uncached_0_d_bits_error : IN std_logic;
io_uncached_0_e_ready : IN std_logic;
io_uncached_0_e_valid : OUT std_logic;
io_uncached_0_e_bits_sink : OUT std_logic_vector(3 downto 0);
io_hartid : IN std_logic_vector(63 downto 0);
io_interrupts_debug : IN std_logic;
io_interrupts_mtip : IN std_logic;
io_interrupts_msip : IN std_logic;
io_interrupts_meip : IN std_logic;
io_interrupts_seip : IN std_logic;
io_resetVector : IN std_logic_vector(63 downto 0)
);
END COMPONENT;
begin
mstcfg1 <= xmstconfig1;
mstcfg2 <= xmstconfig2;
cpu_rst <= not nrst;
cto.a_source(2) <= '0';
cti.b_source(2) <= '0';
cto.c_source(2) <= '0';
cti.d_source(2) <= '0';
inst_tile: RocketTile PORT MAP(
clock => clk_sys,
reset => cpu_rst,
io_cached_0_a_ready => cti.a_ready,
io_cached_0_a_valid => cto.a_valid,
io_cached_0_a_bits_opcode => cto.a_opcode,
io_cached_0_a_bits_param => cto.a_param,
io_cached_0_a_bits_size => cto.a_size,
io_cached_0_a_bits_source => cto.a_source(1 downto 0),
io_cached_0_a_bits_address => cto.a_address,
io_cached_0_a_bits_mask => cto.a_mask,
io_cached_0_a_bits_data => cto.a_data,
io_cached_0_b_ready => cto.b_ready,
io_cached_0_b_valid => cti.b_valid,
io_cached_0_b_bits_opcode => cti.b_opcode,
io_cached_0_b_bits_param => cti.b_param,
io_cached_0_b_bits_size => cti.b_size,
io_cached_0_b_bits_source => cti.b_source(1 downto 0),
io_cached_0_b_bits_address => cti.b_address,
io_cached_0_b_bits_mask => cti.b_mask,
io_cached_0_b_bits_data => cti.b_data,
io_cached_0_c_ready => cti.c_ready,
io_cached_0_c_valid => cto.c_valid,
io_cached_0_c_bits_opcode => cto.c_opcode,
io_cached_0_c_bits_param => cto.c_param,
io_cached_0_c_bits_size => cto.c_size,
io_cached_0_c_bits_source => cto.c_source(1 downto 0),
io_cached_0_c_bits_address => cto.c_address,
io_cached_0_c_bits_data => cto.c_data,
io_cached_0_c_bits_error => cto.c_error,
io_cached_0_d_ready => cto.d_ready,
io_cached_0_d_valid => cti.d_valid,
io_cached_0_d_bits_opcode => cti.d_opcode,
io_cached_0_d_bits_param => cti.d_param,
io_cached_0_d_bits_size => cti.d_size,
io_cached_0_d_bits_source => cti.d_source(1 downto 0),
io_cached_0_d_bits_sink => cti.d_sink,
io_cached_0_d_bits_addr_lo => cti.d_addr_lo,
io_cached_0_d_bits_data => cti.d_data,
io_cached_0_d_bits_error => cti.d_error,
io_cached_0_e_ready => cti.e_ready,
io_cached_0_e_valid => cto.e_valid,
io_cached_0_e_bits_sink => cto.e_sink,
io_uncached_0_a_ready => uti.a_ready,
io_uncached_0_a_valid => uto.a_valid,
io_uncached_0_a_bits_opcode => uto.a_opcode,
io_uncached_0_a_bits_param => uto.a_param,
io_uncached_0_a_bits_size => uto.a_size,
io_uncached_0_a_bits_source => uto.a_source,
io_uncached_0_a_bits_address => uto.a_address,
io_uncached_0_a_bits_mask => uto.a_mask,
io_uncached_0_a_bits_data => uto.a_data,
io_uncached_0_b_ready => uto.b_ready,
io_uncached_0_b_valid => uti.b_valid,
io_uncached_0_b_bits_opcode => uti.b_opcode,
io_uncached_0_b_bits_param => uti.b_param,
io_uncached_0_b_bits_size => uti.b_size,
io_uncached_0_b_bits_source => uti.b_source,
io_uncached_0_b_bits_address => uti.b_address,
io_uncached_0_b_bits_mask => uti.b_mask,
io_uncached_0_b_bits_data => uti.b_data,
io_uncached_0_c_ready => uti.c_ready,
io_uncached_0_c_valid => uto.c_valid,
io_uncached_0_c_bits_opcode => uto.c_opcode,
io_uncached_0_c_bits_param => uto.c_param,
io_uncached_0_c_bits_size => uto.c_size,
io_uncached_0_c_bits_source => uto.c_source,
io_uncached_0_c_bits_address => uto.c_address,
io_uncached_0_c_bits_data => uto.c_data,
io_uncached_0_c_bits_error => uto.c_error,
io_uncached_0_d_ready => uto.d_ready,
io_uncached_0_d_valid => uti.d_valid,
io_uncached_0_d_bits_opcode => uti.d_opcode,
io_uncached_0_d_bits_param => uti.d_param,
io_uncached_0_d_bits_size => uti.d_size,
io_uncached_0_d_bits_source => uti.d_source,
io_uncached_0_d_bits_sink => uti.d_sink,
io_uncached_0_d_bits_addr_lo => uti.d_addr_lo,
io_uncached_0_d_bits_data => uti.d_data,
io_uncached_0_d_bits_error => uti.d_error,
io_uncached_0_e_ready => uti.e_ready,
io_uncached_0_e_valid => uto.e_valid,
io_uncached_0_e_bits_sink => uto.e_sink,
io_hartid => CFG_HARTID,
io_interrupts_debug => interrupts(CFG_CORE_IRQ_DEBUG),
io_interrupts_mtip => interrupts(CFG_CORE_IRQ_MTIP),
io_interrupts_msip => interrupts(CFG_CORE_IRQ_MSIP),
io_interrupts_meip => interrupts(CFG_CORE_IRQ_MEIP),
io_interrupts_seip => interrupts(CFG_CORE_IRQ_SEIP),
io_resetVector => CFG_RESET_VECTOR
);
cbridge0 : Tile2Axi port map (
clk => clk_sys,
nrst => nrst,
--! Tile-to-AXI direction
tloi => cto,
msto => msto1,
--! AXI-to-Tile direction
msti => msti1,
tlio => cti
);
ubridge0 : Tile2Axi port map (
clk => clk_sys,
nrst => nrst,
--! Tile-to-AXI direction
tloi => uto,
msto => msto2,
--! AXI-to-Tile direction
msti => msti2,
tlio => uti
);
end arch_rocket_l1only;
| apache-2.0 | 50f3142a8bbad5d0711f8ba6f5fdc632 | 0.634563 | 2.862377 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ip/dma_loopback_axi_dma_0_0/sim/dma_loopback_axi_dma_0_0.vhd | 1 | 30,385 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_dma:7.1
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_dma_v7_1_10;
USE axi_dma_v7_1_10.axi_dma;
ENTITY dma_loopback_axi_dma_0_0 IS
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END dma_loopback_axi_dma_0_0;
ARCHITECTURE dma_loopback_axi_dma_0_0_arch OF dma_loopback_axi_dma_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF dma_loopback_axi_dma_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_dma IS
GENERIC (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_ENABLE_MULTI_CHANNEL : INTEGER;
C_NUM_MM2S_CHANNELS : INTEGER;
C_NUM_S2MM_CHANNELS : INTEGER;
C_INCLUDE_SG : INTEGER;
C_SG_INCLUDE_STSCNTRL_STRM : INTEGER;
C_SG_USE_STSAPP_LENGTH : INTEGER;
C_SG_LENGTH_WIDTH : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER;
C_MICRO_DMA : INTEGER;
C_INCLUDE_MM2S : INTEGER;
C_INCLUDE_MM2S_SF : INTEGER;
C_MM2S_BURST_SIZE : INTEGER;
C_M_AXI_MM2S_ADDR_WIDTH : INTEGER;
C_M_AXI_MM2S_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER;
C_INCLUDE_MM2S_DRE : INTEGER;
C_INCLUDE_S2MM : INTEGER;
C_INCLUDE_S2MM_SF : INTEGER;
C_S2MM_BURST_SIZE : INTEGER;
C_M_AXI_S2MM_ADDR_WIDTH : INTEGER;
C_M_AXI_S2MM_DATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER;
C_INCLUDE_S2MM_DRE : INTEGER;
C_FAMILY : STRING
);
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
mm2s_cntrl_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tready : IN STD_LOGIC;
m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s2mm_sts_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_sts_tvalid : IN STD_LOGIC;
s_axis_s2mm_sts_tready : OUT STD_LOGIC;
s_axis_s2mm_sts_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_dma;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_SG_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 MM2S_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT";
BEGIN
U0 : axi_dma
GENERIC MAP (
C_S_AXI_LITE_ADDR_WIDTH => 10,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 125,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_ENABLE_MULTI_CHANNEL => 0,
C_NUM_MM2S_CHANNELS => 1,
C_NUM_S2MM_CHANNELS => 1,
C_INCLUDE_SG => 1,
C_SG_INCLUDE_STSCNTRL_STRM => 0,
C_SG_USE_STSAPP_LENGTH => 0,
C_SG_LENGTH_WIDTH => 23,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32,
C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32,
C_MICRO_DMA => 0,
C_INCLUDE_MM2S => 1,
C_INCLUDE_MM2S_SF => 1,
C_MM2S_BURST_SIZE => 16,
C_M_AXI_MM2S_ADDR_WIDTH => 32,
C_M_AXI_MM2S_DATA_WIDTH => 32,
C_M_AXIS_MM2S_TDATA_WIDTH => 32,
C_INCLUDE_MM2S_DRE => 0,
C_INCLUDE_S2MM => 1,
C_INCLUDE_S2MM_SF => 1,
C_S2MM_BURST_SIZE => 16,
C_M_AXI_S2MM_ADDR_WIDTH => 32,
C_M_AXI_S2MM_DATA_WIDTH => 32,
C_S_AXIS_S2MM_TDATA_WIDTH => 32,
C_INCLUDE_S2MM_DRE => 0,
C_FAMILY => "zynq"
)
PORT MAP (
s_axi_lite_aclk => s_axi_lite_aclk,
m_axi_sg_aclk => m_axi_sg_aclk,
m_axi_mm2s_aclk => m_axi_mm2s_aclk,
m_axi_s2mm_aclk => m_axi_s2mm_aclk,
axi_resetn => axi_resetn,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
m_axi_sg_awaddr => m_axi_sg_awaddr,
m_axi_sg_awlen => m_axi_sg_awlen,
m_axi_sg_awsize => m_axi_sg_awsize,
m_axi_sg_awburst => m_axi_sg_awburst,
m_axi_sg_awprot => m_axi_sg_awprot,
m_axi_sg_awcache => m_axi_sg_awcache,
m_axi_sg_awvalid => m_axi_sg_awvalid,
m_axi_sg_awready => m_axi_sg_awready,
m_axi_sg_wdata => m_axi_sg_wdata,
m_axi_sg_wstrb => m_axi_sg_wstrb,
m_axi_sg_wlast => m_axi_sg_wlast,
m_axi_sg_wvalid => m_axi_sg_wvalid,
m_axi_sg_wready => m_axi_sg_wready,
m_axi_sg_bresp => m_axi_sg_bresp,
m_axi_sg_bvalid => m_axi_sg_bvalid,
m_axi_sg_bready => m_axi_sg_bready,
m_axi_sg_araddr => m_axi_sg_araddr,
m_axi_sg_arlen => m_axi_sg_arlen,
m_axi_sg_arsize => m_axi_sg_arsize,
m_axi_sg_arburst => m_axi_sg_arburst,
m_axi_sg_arprot => m_axi_sg_arprot,
m_axi_sg_arcache => m_axi_sg_arcache,
m_axi_sg_arvalid => m_axi_sg_arvalid,
m_axi_sg_arready => m_axi_sg_arready,
m_axi_sg_rdata => m_axi_sg_rdata,
m_axi_sg_rresp => m_axi_sg_rresp,
m_axi_sg_rlast => m_axi_sg_rlast,
m_axi_sg_rvalid => m_axi_sg_rvalid,
m_axi_sg_rready => m_axi_sg_rready,
m_axi_mm2s_araddr => m_axi_mm2s_araddr,
m_axi_mm2s_arlen => m_axi_mm2s_arlen,
m_axi_mm2s_arsize => m_axi_mm2s_arsize,
m_axi_mm2s_arburst => m_axi_mm2s_arburst,
m_axi_mm2s_arprot => m_axi_mm2s_arprot,
m_axi_mm2s_arcache => m_axi_mm2s_arcache,
m_axi_mm2s_arvalid => m_axi_mm2s_arvalid,
m_axi_mm2s_arready => m_axi_mm2s_arready,
m_axi_mm2s_rdata => m_axi_mm2s_rdata,
m_axi_mm2s_rresp => m_axi_mm2s_rresp,
m_axi_mm2s_rlast => m_axi_mm2s_rlast,
m_axi_mm2s_rvalid => m_axi_mm2s_rvalid,
m_axi_mm2s_rready => m_axi_mm2s_rready,
mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n,
m_axis_mm2s_tdata => m_axis_mm2s_tdata,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid,
m_axis_mm2s_tready => m_axis_mm2s_tready,
m_axis_mm2s_tlast => m_axis_mm2s_tlast,
m_axis_mm2s_cntrl_tready => '0',
m_axi_s2mm_awaddr => m_axi_s2mm_awaddr,
m_axi_s2mm_awlen => m_axi_s2mm_awlen,
m_axi_s2mm_awsize => m_axi_s2mm_awsize,
m_axi_s2mm_awburst => m_axi_s2mm_awburst,
m_axi_s2mm_awprot => m_axi_s2mm_awprot,
m_axi_s2mm_awcache => m_axi_s2mm_awcache,
m_axi_s2mm_awvalid => m_axi_s2mm_awvalid,
m_axi_s2mm_awready => m_axi_s2mm_awready,
m_axi_s2mm_wdata => m_axi_s2mm_wdata,
m_axi_s2mm_wstrb => m_axi_s2mm_wstrb,
m_axi_s2mm_wlast => m_axi_s2mm_wlast,
m_axi_s2mm_wvalid => m_axi_s2mm_wvalid,
m_axi_s2mm_wready => m_axi_s2mm_wready,
m_axi_s2mm_bresp => m_axi_s2mm_bresp,
m_axi_s2mm_bvalid => m_axi_s2mm_bvalid,
m_axi_s2mm_bready => m_axi_s2mm_bready,
s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n,
s_axis_s2mm_tdata => s_axis_s2mm_tdata,
s_axis_s2mm_tkeep => s_axis_s2mm_tkeep,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid,
s_axis_s2mm_tready => s_axis_s2mm_tready,
s_axis_s2mm_tlast => s_axis_s2mm_tlast,
s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_s2mm_sts_tkeep => X"F",
s_axis_s2mm_sts_tvalid => '0',
s_axis_s2mm_sts_tlast => '0',
mm2s_introut => mm2s_introut,
s2mm_introut => s2mm_introut,
axi_dma_tstvec => axi_dma_tstvec
);
END dma_loopback_axi_dma_0_0_arch;
| mit | 0c849638a60590896c9a2e0bbf041788 | 0.678888 | 2.784039 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_q_mngr.vhd | 1 | 49,985 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_3;
use axi_sg_v4_1_3.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_q_mngr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width
C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Stream Data width
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_ENABLE_CDMA : integer range 0 to 1 := 0;
C_ACTUAL_ADDR : integer range 32 to 64 := 32;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_mm2s_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
p_reset_n : in std_logic ;
ch2_sg_idle : in std_logic ;
--
-- Channel 1 Control --
ch1_desc_flush : in std_logic ; --
ch1_cyclic : in std_logic ; --
ch1_cntrl_strm_stop : in std_logic ;
ch1_ftch_active : in std_logic ; --
ch1_nxtdesc_wren : out std_logic ; --
ch1_ftch_queue_empty : out std_logic ; --
ch1_ftch_queue_full : out std_logic ; --
ch1_ftch_pause : out std_logic ; --
--
-- Channel 2 Control --
ch2_desc_flush : in std_logic ; --
ch2_cyclic : in std_logic ; --
ch2_ftch_active : in std_logic ; --
ch2_nxtdesc_wren : out std_logic ; --
ch2_ftch_queue_empty : out std_logic ; --
ch2_ftch_queue_full : out std_logic ; --
ch2_ftch_pause : out std_logic ; --
nxtdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
-- DataMover Command --
ftch_cmnd_wr : in std_logic ; --
ftch_cmnd_data : in std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
ftch_stale_desc : out std_logic ; --
--
-- MM2S Stream In from DataMover --
m_axis_mm2s_tdata : in std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; --
m_axis_mm2s_tkeep : in std_logic_vector --
((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : in std_logic ; --
m_axis_mm2s_tvalid : in std_logic ; --
m_axis_mm2s_tready : out std_logic ; --
--
--
-- Channel 1 AXI Fetch Stream Out --
m_axis_ch1_ftch_aclk : in std_logic ;
m_axis_ch1_ftch_tdata : out std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_ch1_ftch_tvalid : out std_logic ; --
m_axis_ch1_ftch_tready : in std_logic ; --
m_axis_ch1_ftch_tlast : out std_logic ; --
m_axis_ch1_ftch_tdata_new : out std_logic_vector --
(96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); --
m_axis_ch1_ftch_tdata_mcdma_new : out std_logic_vector --
(63 downto 0); --
m_axis_ch1_ftch_tvalid_new : out std_logic ; --
m_axis_ftch1_desc_available : out std_logic ;
--
m_axis_ch2_ftch_tdata_new : out std_logic_vector --
(96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); --
m_axis_ch2_ftch_tdata_mcdma_new : out std_logic_vector --
(63 downto 0); --
m_axis_ch2_ftch_tdata_mcdma_nxt : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
m_axis_ch2_ftch_tvalid_new : out std_logic ; --
m_axis_ftch2_desc_available : out std_logic ;
--
-- Channel 2 AXI Fetch Stream Out --
m_axis_ch2_ftch_aclk : in std_logic ; --
m_axis_ch2_ftch_tdata : out std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; --
m_axis_ch2_ftch_tvalid : out std_logic ; --
m_axis_ch2_ftch_tready : in std_logic ; --
m_axis_ch2_ftch_tlast : out std_logic ; --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(31 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
(3 downto 0); --
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic := '0'; --
m_axis_mm2s_cntrl_tlast : out std_logic --
);
end axi_sg_ftch_q_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_q_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Determine the maximum word count for use in setting the word counter width
-- Set bit width on max num words to fetch
constant FETCH_COUNT : integer := max2(C_SG_CH1_WORDS_TO_FETCH
,C_SG_CH2_WORDS_TO_FETCH);
-- LOG2 to get width of counter
constant WORDS2FETCH_BITWIDTH : integer := clog2(FETCH_COUNT);
-- Zero value for counter
constant WORD_ZERO : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0)
:= (others => '0');
-- One value for counter
constant WORD_ONE : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,WORDS2FETCH_BITWIDTH));
-- Seven value for counter
constant WORD_SEVEN : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(7,WORDS2FETCH_BITWIDTH));
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal m_axis_mm2s_tready_i : std_logic := '0';
signal ch1_ftch_tready : std_logic := '0';
signal ch2_ftch_tready : std_logic := '0';
-- Misc Signals
signal writing_curdesc : std_logic := '0';
signal fetch_word_count : std_logic_vector
(WORDS2FETCH_BITWIDTH-1 downto 0) := (others => '0');
signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0');
signal lsbnxtdesc_tready : std_logic := '0';
signal msbnxtdesc_tready : std_logic := '0';
signal nxtdesc_tready : std_logic := '0';
signal ch1_writing_curdesc : std_logic := '0';
signal ch2_writing_curdesc : std_logic := '0';
signal m_axis_ch2_ftch_tvalid_1 : std_logic := '0';
-- KAPIL
signal ch_desc_flush : std_logic := '0';
signal m_axis_ch_ftch_tready : std_logic := '0';
signal ch_ftch_queue_empty : std_logic := '0';
signal ch_ftch_queue_full : std_logic := '0';
signal ch_ftch_pause : std_logic := '0';
signal ch_writing_curdesc : std_logic := '0';
signal ch_ftch_tready : std_logic := '0';
signal m_axis_ch_ftch_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal m_axis_ch_ftch_tvalid : std_logic := '0';
signal m_axis_ch_ftch_tlast : std_logic := '0';
signal data_concat : std_logic_vector (95 downto 0) := (others => '0');
signal data_concat_64 : std_logic_vector (31 downto 0) := (others => '0');
signal data_concat_64_cdma : std_logic_vector (31 downto 0) := (others => '0');
signal data_concat_mcdma : std_logic_vector (63 downto 0) := (others => '0');
signal next_bd : std_logic_vector (31 downto 0) := (others => '0');
signal data_concat_valid, tvalid_new : std_logic;
signal data_concat_tlast, tlast_new : std_logic;
signal counter : std_logic_vector (C_SG_CH1_WORDS_TO_FETCH-1 downto 0);
signal sof_ftch_desc : std_logic;
signal nxtdesc_int : std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
signal cyclic_enable : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
cyclic_enable <= ch1_cyclic when ch1_ftch_active = '1' else
ch2_cyclic;
nxtdesc <= nxtdesc_int;
TLAST_GEN : if (C_SG_CH1_WORDS_TO_FETCH = 13) generate
-- TLAST is generated when 8th beat is received
tlast_new <= counter (7) and m_axis_mm2s_tvalid;
tvalid_new <= counter (7) and m_axis_mm2s_tvalid;
SOF_CHECK : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_mm2s_tvalid = '1' and m_axis_mm2s_tlast = '1'))then
sof_ftch_desc <= '0';
elsif(counter (6) = '1'
and m_axis_mm2s_tready_i = '1' and m_axis_mm2s_tvalid = '1'
and m_axis_mm2s_tdata(27) = '1' )then
sof_ftch_desc <= '1';
end if;
end if;
end process SOF_CHECK;
end generate TLAST_GEN;
NOTLAST_GEN : if (C_SG_CH1_WORDS_TO_FETCH /= 13) generate
sof_ftch_desc <= '0';
CDMA : if C_ENABLE_CDMA = 1 generate
-- For CDMA TLAST is generated when 7th beat is received
-- because last one is not needed
tlast_new <= counter (6) and m_axis_mm2s_tvalid;
tvalid_new <=counter (6) and m_axis_mm2s_tvalid;
end generate CDMA;
NOCDMA : if C_ENABLE_CDMA = 0 generate
-- For DMA tlast is generated with 8th beat
tlast_new <= counter (7) and m_axis_mm2s_tvalid;
tvalid_new <= counter (7) and m_axis_mm2s_tvalid;
end generate NOCDMA;
end generate NOTLAST_GEN;
-- Following shift register keeps track of number of data beats
-- of BD that is being read
DATA_BEAT_REG : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1')) then
counter (0) <= '1';
counter (C_SG_CH1_WORDS_TO_FETCH-1 downto 1) <= (others => '0');
Elsif (m_axis_mm2s_tvalid = '1') then
counter (C_SG_CH1_WORDS_TO_FETCH-1 downto 1) <= counter (C_SG_CH1_WORDS_TO_FETCH-2 downto 0);
counter (0) <= '0';
end if;
end if;
end process DATA_BEAT_REG;
-- Registering the Buffer address from BD, 3rd beat
-- Common for DMA, CDMA
DATA_REG1 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (31 downto 0) <= (others => '0');
Elsif (counter (2) = '1') then
data_concat (31 downto 0) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG1;
ADDR_64BIT : if C_ACTUAL_ADDR = 64 generate
begin
DATA_REG1_64 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_64 (31 downto 0) <= (others => '0');
Elsif (counter (3) = '1') then
data_concat_64 (31 downto 0) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG1_64;
end generate ADDR_64BIT;
ADDR_64BIT2 : if C_ACTUAL_ADDR > 32 and C_ACTUAL_ADDR < 64 generate
begin
DATA_REG1_64 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_64 (C_ACTUAL_ADDR-32-1 downto 0) <= (others => '0');
Elsif (counter (3) = '1') then
data_concat_64 (C_ACTUAL_ADDR-32-1 downto 0) <= m_axis_mm2s_tdata (C_ACTUAL_ADDR-32-1 downto 0);
end if;
end if;
end process DATA_REG1_64;
data_concat_64 (31 downto C_ACTUAL_ADDR-32) <= (others => '0');
end generate ADDR_64BIT2;
DMA_REG2 : if C_ENABLE_CDMA = 0 generate
begin
-- For DMA, the 7th beat has the control information
DATA_REG2 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (63 downto 32) <= (others => '0');
Elsif (counter (6) = '1') then
data_concat (63 downto 32) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG2;
end generate DMA_REG2;
CDMA_REG2 : if C_ENABLE_CDMA = 1 generate
begin
-- For CDMA, the 5th beat has the DA information
DATA_REG2 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (63 downto 32) <= (others => '0');
Elsif (counter (4) = '1') then
data_concat (63 downto 32) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG2;
CDMA_ADDR_64BIT : if C_ACTUAL_ADDR = 64 generate
begin
DATA_REG2_64 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_64_cdma (31 downto 0) <= (others => '0');
Elsif (counter (5) = '1') then
data_concat_64_cdma (31 downto 0) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG2_64;
end generate CDMA_ADDR_64BIT;
CDMA_ADDR_64BIT2 : if C_ACTUAL_ADDR > 32 and C_ACTUAL_ADDR < 64 generate
begin
DATA_REG2_64 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_64_cdma (C_ACTUAL_ADDR-32-1 downto 0) <= (others => '0');
Elsif (counter (5) = '1') then
data_concat_64_cdma (C_ACTUAL_ADDR-32-1 downto 0) <= m_axis_mm2s_tdata (C_ACTUAL_ADDR-32-1 downto 0);
end if;
end if;
end process DATA_REG2_64;
data_concat_64_cdma (31 downto C_ACTUAL_ADDR-32) <= (others => '0');
end generate CDMA_ADDR_64BIT2;
end generate CDMA_REG2;
NOFLOP_FOR_QUEUE : if C_SG_CH1_WORDS_TO_FETCH = 8 generate
begin
-- Last beat is directly concatenated and passed to FIFO
-- Masking the CMPLT bit with cyclic_enable
data_concat (95 downto 64) <= (m_axis_mm2s_tdata(31) and (not cyclic_enable)) & m_axis_mm2s_tdata (30 downto 0);
data_concat_valid <= tvalid_new;
data_concat_tlast <= tlast_new;
end generate NOFLOP_FOR_QUEUE;
-- In absence of queuing option the last beat needs to be floped
FLOP_FOR_NOQUEUE : if C_SG_CH1_WORDS_TO_FETCH = 13 generate
begin
NO_FETCH_Q : if C_SG_FTCH_DESC2QUEUE = 0 generate
DATA_REG3 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (95 downto 64) <= (others => '0');
Elsif (counter (7) = '1') then
data_concat (95 downto 64) <= (m_axis_mm2s_tdata(31) and (not cyclic_enable)) & m_axis_mm2s_tdata (30 downto 0);
end if;
end if;
end process DATA_REG3;
end generate NO_FETCH_Q;
FETCH_Q : if C_SG_FTCH_DESC2QUEUE /= 0 generate
DATA_REG3 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (95) <= '0';
Elsif (counter (7) = '1') then
data_concat (95) <= m_axis_mm2s_tdata (31) and (not cyclic_enable);
end if;
end if;
end process DATA_REG3;
data_concat (94 downto 64) <= (others => '0');
end generate FETCH_Q;
DATA_CNTRL : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_valid <= '0';
data_concat_tlast <= '0';
Else
data_concat_valid <= tvalid_new;
data_concat_tlast <= tlast_new;
end if;
end if;
end process DATA_CNTRL;
end generate FLOP_FOR_NOQUEUE;
-- Since the McDMA BD has two more fields to be captured
-- following procedures are needed
NOMCDMA_FTECH : if C_ENABLE_MULTI_CHANNEL = 0 generate
begin
data_concat_mcdma <= (others => '0');
end generate NOMCDMA_FTECH;
MCDMA_BD_FETCH : if C_ENABLE_MULTI_CHANNEL = 1 generate
begin
DATA_MCDMA_REG1 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_mcdma (31 downto 0) <= (others => '0');
Elsif (counter (4) = '1') then
data_concat_mcdma (31 downto 0) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_MCDMA_REG1;
DATA_MCDMA_REG2 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_mcdma (63 downto 32) <= (others => '0');
Elsif (counter (5) = '1') then
data_concat_mcdma (63 downto 32) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_MCDMA_REG2;
end generate MCDMA_BD_FETCH;
---------------------------------------------------------------------------
-- For 32-bit SG addresses then drive zero on msb
---------------------------------------------------------------------------
GEN_CURDESC_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate
begin
msb_curdesc <= (others => '0');
end generate GEN_CURDESC_32;
---------------------------------------------------------------------------
-- For 64-bit SG addresses then capture upper order adder to msb
---------------------------------------------------------------------------
GEN_CURDESC_64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate
begin
CAPTURE_CURADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
msb_curdesc <= (others => '0');
elsif(ftch_cmnd_wr = '1')then
msb_curdesc <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST
+ C_M_AXI_SG_ADDR_WIDTH
downto DATAMOVER_CMD_ADDRMSB_BOFST
+ DATAMOVER_CMD_ADDRLSB_BIT + 1);
end if;
end if;
end process CAPTURE_CURADDR;
end generate GEN_CURDESC_64;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_LSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
nxtdesc_int(31 downto 0) <= (others => '0');
-- On valid and word count at 0 and channel active capture LSB next pointer
elsif(m_axis_mm2s_tvalid = '1' and counter (0) = '1')then
nxtdesc_int(31 downto 6) <= m_axis_mm2s_tdata (31 downto 6);
-- BD addresses are always 16 word 32-bit aligned
nxtdesc_int(5 downto 0) <= (others => '0');
end if;
end if;
end process REG_LSB_NXTPNTR;
lsbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (0) = '1' --etch_word_count = WORD_ZERO
else '0';
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_NXTDESC : if C_ACTUAL_ADDR = 64 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
nxtdesc_int(63 downto 32) <= (others => '0');
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
-- Capture upper pointer, drive ready to progress DataMover
-- and also write nxtdesc out
elsif(m_axis_mm2s_tvalid = '1' and counter (1) = '1') then -- etch_word_count = WORD_ONE)then
nxtdesc_int(63 downto 32) <= m_axis_mm2s_tdata;
ch1_nxtdesc_wren <= ch1_ftch_active;
ch2_nxtdesc_wren <= ch2_ftch_active;
-- Assert tready/wren for only 1 clock
else
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
end if;
end if;
end process REG_MSB_NXTPNTR;
msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (1) = '1' --fetch_word_count = WORD_ONE
else '0';
end generate GEN_UPPER_MSB_NXTDESC;
GEN_UPPER_MSB_NXTDESC2 : if C_ACTUAL_ADDR > 32 and C_ACTUAL_ADDR < 64 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
nxtdesc_int(C_ACTUAL_ADDR-1 downto 32) <= (others => '0');
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
-- Capture upper pointer, drive ready to progress DataMover
-- and also write nxtdesc out
elsif(m_axis_mm2s_tvalid = '1' and counter (1) = '1') then -- etch_word_count = WORD_ONE)then
nxtdesc_int(C_ACTUAL_ADDR-1 downto 32) <= m_axis_mm2s_tdata (C_ACTUAL_ADDR-32-1 downto 0);
ch1_nxtdesc_wren <= ch1_ftch_active;
ch2_nxtdesc_wren <= ch2_ftch_active;
-- Assert tready/wren for only 1 clock
else
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
end if;
end if;
end process REG_MSB_NXTPNTR;
nxtdesc_int (63 downto C_ACTUAL_ADDR) <= (others => '0');
msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (1) = '1' --fetch_word_count = WORD_ONE
else '0';
end generate GEN_UPPER_MSB_NXTDESC2;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_NO_UPR_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate
begin
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
-- Throw away second word but drive ready to progress DataMover
-- and also write nxtdesc out
elsif(m_axis_mm2s_tvalid = '1' and counter (1) = '1') then --fetch_word_count = WORD_ONE)then
ch1_nxtdesc_wren <= ch1_ftch_active;
ch2_nxtdesc_wren <= ch2_ftch_active;
-- Assert for only 1 clock
else
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
end if;
end if;
end process REG_MSB_NXTPNTR;
msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (1) = '1' --fetch_word_count = WORD_ONE
else '0';
end generate GEN_NO_UPR_MSB_NXTDESC;
-- Drive ready to DataMover for ether lsb or msb capture
nxtdesc_tready <= msbnxtdesc_tready or lsbnxtdesc_tready;
-- Generate logic for checking stale descriptor
GEN_STALE_DESC_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 or C_SG_CH2_ENBL_STALE_ERROR = 1 generate
begin
---------------------------------------------------------------------------
-- Examine Completed BIT to determine if stale descriptor fetched
---------------------------------------------------------------------------
CMPLTD_CHECK : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
ftch_stale_desc <= '0';
-- On valid and word count at 0 and channel active capture LSB next pointer
elsif(m_axis_mm2s_tvalid = '1' and counter (7) = '1' --fetch_word_count = WORD_SEVEN
and m_axis_mm2s_tready_i = '1'
and m_axis_mm2s_tdata(DESC_STS_CMPLTD_BIT) = '1' )then
ftch_stale_desc <= '1' and (not cyclic_enable);
else
ftch_stale_desc <= '0';
end if;
end if;
end process CMPLTD_CHECK;
end generate GEN_STALE_DESC_CHECK;
-- No needed logic for checking stale descriptor
GEN_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 and C_SG_CH2_ENBL_STALE_ERROR = 0 generate
begin
ftch_stale_desc <= '0';
end generate GEN_NO_STALE_CHECK;
---------------------------------------------------------------------------
-- SG Queueing therefore pass stream signals to
-- FIFO
---------------------------------------------------------------------------
GEN_QUEUE : if C_SG_FTCH_DESC2QUEUE /= 0 generate
begin
-- Instantiate the queue version
FTCH_QUEUE_I : entity axi_sg_v4_1_3.axi_sg_ftch_queue
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ,
C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE ,
C_SG_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_ASYNC => C_ASYNC ,
C_FAMILY => C_FAMILY ,
C_SG2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH ,
C_INCLUDE_MM2S => C_INCLUDE_CH1,
C_INCLUDE_S2MM => C_INCLUDE_CH2,
C_ENABLE_CDMA => C_ENABLE_CDMA,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_primary_aclk => m_axi_mm2s_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
p_reset_n => p_reset_n ,
ch2_sg_idle => '0' ,
-- Channel Control
desc1_flush => ch1_desc_flush ,
desc2_flush => ch2_desc_flush ,
ch1_cntrl_strm_stop => ch1_cntrl_strm_stop ,
ftch1_active => ch1_ftch_active ,
ftch2_active => ch2_ftch_active ,
ftch1_queue_empty => ch1_ftch_queue_empty ,
ftch2_queue_empty => ch2_ftch_queue_empty ,
ftch1_queue_full => ch1_ftch_queue_full ,
ftch2_queue_full => ch2_ftch_queue_full ,
ftch1_pause => ch1_ftch_pause ,
ftch2_pause => ch2_ftch_pause ,
writing_nxtdesc_in => nxtdesc_tready ,
writing1_curdesc_out => ch1_writing_curdesc ,
writing2_curdesc_out => ch2_writing_curdesc ,
-- DataMover Command
ftch_cmnd_wr => ftch_cmnd_wr ,
ftch_cmnd_data => ftch_cmnd_data ,
-- MM2S Stream In from DataMover
m_axis_mm2s_tdata => m_axis_mm2s_tdata ,
m_axis_mm2s_tlast => m_axis_mm2s_tlast ,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid ,
sof_ftch_desc => sof_ftch_desc ,
next_bd => nxtdesc_int ,
data_concat_64 => data_concat_64,
data_concat_64_cdma => data_concat_64_cdma,
data_concat => data_concat,
data_concat_mcdma => data_concat_mcdma,
data_concat_valid => data_concat_valid,
data_concat_tlast => data_concat_tlast,
m_axis1_mm2s_tready => ch1_ftch_tready ,
m_axis2_mm2s_tready => ch2_ftch_tready ,
-- Channel 1 AXI Fetch Stream Out
m_axis_ftch_aclk => m_axi_sg_aclk, --m_axis_ch_ftch_aclk ,
m_axis_ftch1_tdata => m_axis_ch1_ftch_tdata ,
m_axis_ftch1_tvalid => m_axis_ch1_ftch_tvalid ,
m_axis_ftch1_tready => m_axis_ch1_ftch_tready ,
m_axis_ftch1_tlast => m_axis_ch1_ftch_tlast ,
m_axis_ftch1_tdata_new => m_axis_ch1_ftch_tdata_new ,
m_axis_ftch1_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new ,
m_axis_ftch1_tvalid_new => m_axis_ch1_ftch_tvalid_new ,
m_axis_ftch1_desc_available => m_axis_ftch1_desc_available ,
m_axis_ftch2_tdata_new => m_axis_ch2_ftch_tdata_new ,
m_axis_ftch2_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new ,
m_axis_ftch2_tvalid_new => m_axis_ch2_ftch_tvalid_new ,
m_axis_ftch2_desc_available => m_axis_ftch2_desc_available ,
m_axis_ftch2_tdata => m_axis_ch2_ftch_tdata ,
m_axis_ftch2_tvalid => m_axis_ch2_ftch_tvalid ,
m_axis_ftch2_tready => m_axis_ch2_ftch_tready ,
m_axis_ftch2_tlast => m_axis_ch2_ftch_tlast ,
m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
);
m_axis_ch2_ftch_tdata_mcdma_nxt <= (others => '0');
end generate GEN_QUEUE;
-- No SG Queueing therefore pass stream signals straight
-- out channel port
-- No SG Queueing therefore pass stream signals straight
-- out channel port
GEN_NO_QUEUE : if C_SG_FTCH_DESC2QUEUE = 0 generate
begin
-- Instantiate the No queue version
NO_FTCH_QUEUE_I : entity axi_sg_v4_1_3.axi_sg_ftch_noqueue
generic map (
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_ASYNC => C_ASYNC ,
C_FAMILY => C_FAMILY ,
C_SG_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_ENABLE_CDMA => C_ENABLE_CDMA,
C_ENABLE_CH1 => C_INCLUDE_CH1
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_primary_aclk => m_axi_mm2s_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
p_reset_n => p_reset_n ,
-- Channel Control
desc_flush => ch1_desc_flush ,
ch1_cntrl_strm_stop => ch1_cntrl_strm_stop ,
ftch_active => ch1_ftch_active ,
ftch_queue_empty => ch1_ftch_queue_empty ,
ftch_queue_full => ch1_ftch_queue_full ,
desc2_flush => ch2_desc_flush ,
ftch2_active => ch2_ftch_active ,
ftch2_queue_empty => ch2_ftch_queue_empty ,
ftch2_queue_full => ch2_ftch_queue_full ,
writing_nxtdesc_in => nxtdesc_tready ,
writing_curdesc_out => ch1_writing_curdesc ,
writing2_curdesc_out => ch2_writing_curdesc ,
-- DataMover Command
ftch_cmnd_wr => ftch_cmnd_wr ,
ftch_cmnd_data => ftch_cmnd_data ,
-- MM2S Stream In from DataMover
m_axis_mm2s_tdata => m_axis_mm2s_tdata ,
m_axis_mm2s_tlast => m_axis_mm2s_tlast ,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid ,
m_axis_mm2s_tready => ch1_ftch_tready ,
m_axis2_mm2s_tready => ch2_ftch_tready ,
sof_ftch_desc => sof_ftch_desc ,
next_bd => nxtdesc_int ,
data_concat_64 => data_concat_64,
data_concat => data_concat,
data_concat_mcdma => data_concat_mcdma,
data_concat_valid => data_concat_valid,
data_concat_tlast => data_concat_tlast,
-- Channel 1 AXI Fetch Stream Out
m_axis_ftch_tdata => m_axis_ch1_ftch_tdata ,
m_axis_ftch_tvalid => m_axis_ch1_ftch_tvalid ,
m_axis_ftch_tready => m_axis_ch1_ftch_tready ,
m_axis_ftch_tlast => m_axis_ch1_ftch_tlast ,
m_axis_ftch_tdata_new => m_axis_ch1_ftch_tdata_new ,
m_axis_ftch_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new ,
m_axis_ftch_tvalid_new => m_axis_ch1_ftch_tvalid_new ,
m_axis_ftch_desc_available => m_axis_ftch1_desc_available ,
m_axis2_ftch_tdata_new => m_axis_ch2_ftch_tdata_new ,
m_axis2_ftch_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new ,
m_axis2_ftch_tdata_mcdma_nxt => m_axis_ch2_ftch_tdata_mcdma_nxt ,
m_axis2_ftch_tvalid_new => m_axis_ch2_ftch_tvalid_new ,
m_axis2_ftch_desc_available => m_axis_ftch2_desc_available ,
m_axis2_ftch_tdata => m_axis_ch2_ftch_tdata ,
m_axis2_ftch_tvalid => m_axis_ch2_ftch_tvalid ,
m_axis2_ftch_tready => m_axis_ch2_ftch_tready ,
m_axis2_ftch_tlast => m_axis_ch2_ftch_tlast ,
m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
);
ch1_ftch_pause <= '0';
ch2_ftch_pause <= '0';
end generate GEN_NO_QUEUE;
-------------------------------------------------------------------------------
-- DataMover TREADY MUX
-------------------------------------------------------------------------------
writing_curdesc <= ch1_writing_curdesc or ch2_writing_curdesc or ftch_cmnd_wr;
TREADY_MUX : process(writing_curdesc,
fetch_word_count,
nxtdesc_tready,
-- channel 1 signals
ch1_ftch_active,
ch1_desc_flush,
ch1_ftch_tready,
-- channel 2 signals
ch2_ftch_active,
ch2_desc_flush,
counter(0),
counter(1),
ch2_ftch_tready)
begin
-- If commmanded to flush descriptor then assert ready
-- to datamover until active de-asserts. this allows
-- any commanded fetches to complete.
if( (ch1_desc_flush = '1' and ch1_ftch_active = '1')
or(ch2_desc_flush = '1' and ch2_ftch_active = '1'))then
m_axis_mm2s_tready_i <= '1';
-- NOT ready if cmnd being written because
-- curdesc gets written to queue
elsif(writing_curdesc = '1')then
m_axis_mm2s_tready_i <= '0';
-- First two words drive ready from internal logic
elsif(counter(0) = '1' or counter(1)='1')then
m_axis_mm2s_tready_i <= nxtdesc_tready;
-- Remainder stream words drive ready from channel input
else
m_axis_mm2s_tready_i <= (ch1_ftch_active and ch1_ftch_tready)
or (ch2_ftch_active and ch2_ftch_tready);
end if;
end process TREADY_MUX;
m_axis_mm2s_tready <= m_axis_mm2s_tready_i;
end implementation;
| mit | 3d245017328c560d06874528c0b8b979 | 0.436951 | 4.164029 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/techmap/gencomp/gencomp.vhd | 1 | 5,247 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Definition of the gencomp package.
--! @details This file defines constants that are used to enable/disable
--! target dependable modules.
--! This file inherits values from the \e grlib library that
--! that are published under GPL license. All unused values may
--! freely removed or reassigned on others values.
------------------------------------------------------------------------------
--! Standard library
library ieee;
use ieee.std_logic_1164.all;
--! @brief Technologies names definition
--! @details This package must be built first in a case of manual compilation
--! order (\e ModelSim).
package gencomp is
--! @brief Total number of the known technologies.
--! @details These values was inherited from the \e grlib library.
constant NTECH : integer := 53;
--! Prototype of the data type for mapping name on certain index.
type tech_ability_type is array (0 to NTECH) of integer;
--! @name Techologies names.
--! @brief Set of the predefined technology names.
--! @{
constant inferred : integer := 0; --! Behaviour simulation target.
constant virtex : integer := 1; --! Not implemented.
constant virtex2 : integer := 2; --! Not implemented.
constant memvirage : integer := 3; --! Not implemented.
constant axcel : integer := 4; --! Not implemented.
constant proasic : integer := 5; --! Not implemented.
constant atc18s : integer := 6; --! Not implemented.
constant altera : integer := 7; --! Not implemented.
constant umc : integer := 8; --! Not implemented.
constant rhumc : integer := 9; --! Not implemented.
constant apa3 : integer := 10; --! Not implemented.
constant spartan3 : integer := 11; --! Not implemented.
constant ihp25 : integer := 12; --! Not implemented.
constant rhlib18t : integer := 13; --! Not implemented.
constant virtex4 : integer := 14; --! Not implemented.
constant lattice : integer := 15; --! Not implemented.
constant ut25 : integer := 16; --! Not implemented.
constant spartan3e : integer := 17; --! Not implemented.
constant peregrine : integer := 18; --! Not implemented.
constant memartisan : integer := 19; --! Not implemented.
constant virtex5 : integer := 20; --! Not implemented.
constant custom1 : integer := 21; --! Not implemented.
constant ihp25rh : integer := 22; --! Not implemented.
constant stratix1 : integer := 23; --! Not implemented.
constant stratix2 : integer := 24; --! Not implemented.
constant eclipse : integer := 25; --! Not implemented.
constant stratix3 : integer := 26; --! Not implemented.
constant cyclone3 : integer := 27; --! Not implemented.
constant memvirage90 : integer := 28; --! Not implemented.
constant tsmc90 : integer := 29; --! Not implemented.
constant easic90 : integer := 30; --! Not implemented.
constant atc18rha : integer := 31; --! Not implemented.
constant smic013 : integer := 32; --! Not implemented.
constant tm65gpl : integer := 33; --! Not implemented.
constant axdsp : integer := 34; --! Not implemented.
constant spartan6 : integer := 35; --! Supported. Use files with the '_s6' suffix.
constant virtex6 : integer := 36; --! Supported. Use files with the '_v6' suffix.
constant actfus : integer := 37; --! Not implemented.
constant stratix4 : integer := 38; --! Not implemented.
constant st65lp : integer := 39; --! Not implemented.
constant st65gp : integer := 40; --! Not implemented.
constant easic45 : integer := 41; --! Not implemented.
constant cmos9sf : integer := 42; --! Not implemented.
constant apa3e : integer := 43; --! Not implemented.
constant apa3l : integer := 44; --! Not implemented.
constant ut130 : integer := 45; --! Not implemented.
constant ut90 : integer := 46; --! Not implemented.
constant gf65 : integer := 47; --! Not implemented.
constant virtex7 : integer := 48; --! Not implemented.
constant kintex7 : integer := 49; --! Supported. Use files with the '_k7' suffix.
constant artix7 : integer := 50; --! Not implemented.
constant zynq7000 : integer := 51; --! Not implemented.
constant rhlib13t : integer := 52; --! Not implemented.
constant mikron180 : integer := 53; --! Mikron 180nm. Use files with the '_micron180' suffix.
--! @}
--! @name FPGAs technologies group.
--! @details It is convinient sometimes to implement one module for a group of
--! technologies, this array specifies FPGA group.
constant is_fpga : tech_ability_type :=
(inferred => 1, virtex => 1, virtex2 => 1, axcel => 1,
proasic => 1, altera => 1, apa3 => 1, spartan3 => 1,
virtex4 => 1, lattice => 1, spartan3e => 1, virtex5 => 1,
stratix1 => 1, stratix2 => 1, eclipse => 1,
stratix3 => 1, cyclone3 => 1, axdsp => 1,
spartan6 => 1, virtex6 => 1, actfus => 1,
stratix4 => 1, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1,
others => 0);
end;
| apache-2.0 | 013f520dec736caaf8289f45235d4f22 | 0.625119 | 4.099219 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/ethlib/grethc64.vhd | 1 | 77,538 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: grethc
-- File: grethc.vhd
-- Author: Marko Isomaki
-- Description: Ethernet Media Access Controller with Ethernet Debug
-- Communication Link
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library commonlib;
use commonlib.types_common.all;
library techmap;
use techmap.gencomp.all;
use techmap.types_mem.all;
library ethlib;
use ethlib.types_eth.all;
entity grethc64 is
generic(
memtech : integer := 0;
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
mdcscaler : integer range 0 to 255 := 25;
enable_mdio : integer range 0 to 1 := 0;
fifosize : integer range 4 to 512 := 8;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 0;
edclbufsz : integer range 1 to 64 := 1;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
rmii : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahbg : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0;
mdiohold : integer := 1;
maxsize : integer := 1500;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
ctrli : in eth_control_type;
cmdi : in eth_command_type;
statuso : out eth_mac_status_type;
--! Debug value read from internal buffers suing external bus interface
rdbgdatao : out std_logic_vector(31 downto 0);
--irq
irq : out std_logic;
--ethernet input signals
rmii_clk : in std_ulogic;
tx_clk : in std_ulogic;
rx_clk : in std_ulogic;
tx_dv : in std_ulogic;
rxd : in std_logic_vector(3 downto 0);
rx_dv : in std_ulogic;
rx_er : in std_ulogic;
rx_col : in std_ulogic;
rx_en : in std_ulogic;
rx_crs : in std_ulogic;
mdio_i : in std_ulogic;
phyrstaddr : in std_logic_vector(4 downto 0);
mdint : in std_ulogic;
--ethernet output signals
reset : out std_ulogic;
txd : out std_logic_vector(3 downto 0);
tx_en : out std_ulogic;
tx_er : out std_ulogic;
mdc : out std_ulogic;
mdio_o : out std_ulogic;
mdio_oe : out std_ulogic;
--scantest
testrst : in std_ulogic;
testen : in std_ulogic;
testoen : in std_ulogic;
edcladdr : in std_logic_vector(3 downto 0) := "0000";
edclsepahb : in std_ulogic;
edcldisable : in std_ulogic;
speed : out std_ulogic;
tmsto : out eth_tx_ahb_in_type;
tmsti : in eth_tx_ahb_out_type;
tmsto2 : out eth_tx_ahb_in_type;
tmsti2 : in eth_tx_ahb_out_type;
rmsto : out eth_rx_ahb_in_type;
rmsti : in eth_rx_ahb_out_type
);
end entity;
architecture rtl of grethc64 is
procedure sel_op_mode(
capbil : in std_logic_vector(4 downto 0);
speed : out std_ulogic;
duplex : out std_ulogic) is
variable vspeed : std_ulogic;
variable vduplex : std_ulogic;
begin
vspeed := '0'; vduplex := '0';
vspeed := capbil(4) or capbil(3) or capbil(2);
vduplex := (vspeed and capbil(3)) or ((not vspeed) and capbil(1));
speed := vspeed;
duplex := vduplex;
end procedure;
--host constants
constant fabits : integer := log2(fifosize);
constant burstlength : integer := setburstlength(fifosize);
constant burstbits : integer := log2(burstlength);
constant ctrlopcode : std_logic_vector(15 downto 0) := X"8808";
constant broadcast : std_logic_vector(47 downto 0) := X"FFFFFFFFFFFF";
-- constant maxsizetx : integer := 1514;
constant index : integer := log2(edclbufsz);
constant receiveOK : std_logic_vector(3 downto 0) := "0000";
constant frameCheckError : std_logic_vector(3 downto 0) := "0100";
constant alignmentError : std_logic_vector(3 downto 0) := "0001";
constant frameTooLong : std_logic_vector(3 downto 0) := "0010";
constant overrun : std_logic_vector(3 downto 0) := "1000";
constant minpload : std_logic_vector(10 downto 0) :=
conv_std_logic_vector(60, 11);
--mdio constants
constant divisor : std_logic_vector(7 downto 0) :=
conv_std_logic_vector(mdcscaler, 8);
--receiver constants
constant maxsizerx : unsigned(15 downto 0) :=
to_unsigned(maxsize + 18 - 4, 16);
--tranceiver constants
constant maxsizetx : unsigned(15 downto 0) :=
to_unsigned(maxsize + 18 - 4, 16);
--edcl constants
type szvct is array (0 to 6) of integer;
constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256);
constant blbits : szvct := (6, 7, 7, 8, 8, 8, 8);
constant winsz : szvct := (4, 4, 8, 8, 16, 32, 64);
constant macaddrt : std_logic_vector(47 downto 0) :=
conv_std_logic_vector(macaddrh, 24) & conv_std_logic_vector(macaddrl, 24);
constant bpbits : integer := blbits(log2(edclbufsz));
constant wsz : integer := winsz(log2(edclbufsz));
constant bselbits : integer := log2(wsz);
constant eabits: integer := log2(edclbufsz) + 8;
constant ebufmax : std_logic_vector(bpbits-1 downto 0) := (others => '1');
constant bufsize : std_logic_vector(2 downto 0) :=
conv_std_logic_vector(log2(edclbufsz), 3);
constant ebufsize : integer := ebuf(log2(edclbufsz));
constant txfifosize : integer := getfifosize(edcl, fifosize, ebufsize);
constant txfabits : integer := log2(txfifosize);
constant txfifosizev : std_logic_vector(txfabits downto 0) :=
conv_std_logic_vector(txfifosize, txfabits+1);
constant rxburstlen : std_logic_vector(fabits downto 0) :=
conv_std_logic_vector(burstlength, fabits+1);
constant txburstlen : std_logic_vector(txfabits downto 0) :=
conv_std_logic_vector(burstlength, txfabits+1);
type edclrstate_type is (idle, wrda, wrdsa, wrsa, wrtype, ip, ipdata,
oplength, arp, iplength, ipcrc, arpop, udp, spill);
type duplexstate_type is (start, waitop, nextop, selmode, done);
--host types
type txd_state_type is (idle, read_desc, check_desc, req, fill_fifo,
check_result, write_result, readhdr, start, wrbus1,
etdone, getlen, ahberror, fill_fifo2, wrbus2);
type rxd_state_type is (idle, read_desc, check_desc, read_req, read_fifo,
discard, write_status, write_status2);
--mdio types
type mdio_state_type is (idle, preamble, startst, op, op2, phyadr, regadr,
ta, ta2, ta3, data, dataend);
type fifo_access_in_type is record
renable : std_ulogic;
raddress : std_logic_vector(fabits-1 downto 0);
write : std_ulogic;
waddress : std_logic_vector(fabits-1 downto 0);
datain : std_logic_vector(31 downto 0);
end record;
type fifo_access_out_type is record
data : std_logic_vector(31 downto 0);
end record;
type tx_fifo_access_in_type is record
renable : std_ulogic;
raddress : std_logic_vector(txfabits-1 downto 0);
write : std_ulogic;
waddress : std_logic_vector(txfabits-1 downto 0);
datain : std_logic_vector(31 downto 0);
end record;
type tx_fifo_access_out_type is record
data : std_logic_vector(31 downto 0);
end record;
type edcl_ram_in_type is record
renable : std_ulogic;
raddress : std_logic_vector(eabits-1 downto 0);
writem : std_ulogic;
writel : std_ulogic;
waddressm : std_logic_vector(eabits-1 downto 0);
waddressl : std_logic_vector(eabits-1 downto 0);
datain : std_logic_vector(31 downto 0);
end record;
type edcl_ram_out_type is record
data : std_logic_vector(31 downto 0);
end record;
type reg_type is record
--user registers
status : eth_mac_status_type;
--master tx interface
tmsto : eth_tx_ahb_in_type;
tmsto2 : eth_tx_ahb_in_type;
txdstate : txd_state_type;
txwrap : std_ulogic;
txden : std_ulogic;
txirq : std_ulogic;
txaddr : std_logic_vector(31 downto 2);
txlength : std_logic_vector(10 downto 0);
txburstcnt : std_logic_vector(burstbits downto 0);
tfwpnt : std_logic_vector(txfabits-1 downto 0);
tfrpnt : std_logic_vector(txfabits-1 downto 0);
tfcnt : std_logic_vector(txfabits downto 0);
txcnt : std_logic_vector(10 downto 0);
txstart : std_ulogic;
txirqgen : std_ulogic;
txstatus : std_logic_vector(1 downto 0);
txvalid : std_ulogic;
txdata : std_logic_vector(31 downto 0);
writeok : std_ulogic;
txread : std_logic_vector(nsync-1 downto 0);
txrestart : std_logic_vector(nsync downto 0);
txdone : std_logic_vector(nsync downto 0);
txstart_sync : std_ulogic;
txreadack : std_ulogic;
txdataav : std_ulogic;
txburstav : std_ulogic;
--master rx interface
rxrenable : std_ulogic;
rmsto : eth_rx_ahb_in_type;
rxdstate : rxd_state_type;
rxstatus : std_logic_vector(4 downto 0);
rxaddr : std_logic_vector(31 downto 2);
rxlength : std_logic_vector(10 downto 0);
rxbytecount : std_logic_vector(10 downto 0);
rxwrap : std_ulogic;
rxirq : std_ulogic;
rfwpnt : std_logic_vector(fabits-1 downto 0);
rfrpnt : std_logic_vector(fabits-1 downto 0);
rfcnt : std_logic_vector(fabits downto 0);
rxcnt : std_logic_vector(10 downto 0);
rxdoneold : std_ulogic;
rxdoneack : std_ulogic;
rxdone : std_logic_vector(nsync-1 downto 0);
rxstart : std_logic_vector(nsync downto 0);
rxwrite : std_logic_vector(nsync-1 downto 0);
rxwriteack : std_ulogic;
rxburstcnt : std_logic_vector(burstbits downto 0);
addrok : std_ulogic;
addrdone : std_ulogic;
ctrlpkt : std_ulogic;
check : std_ulogic;
checkdata : std_logic_vector(31 downto 0);
usesizefield : std_ulogic;
rxden : std_ulogic;
gotframe : std_ulogic;
bcast : std_ulogic;
msbgood : std_ulogic;
rxburstav : std_ulogic;
hashlookup : std_ulogic;
mcast : std_ulogic;
mcastacc : std_ulogic;
--mdio
mdccnt : std_logic_vector(7 downto 0);
mdioclk : std_ulogic;
mdioclkold : std_logic_vector(mdiohold-1 downto 0);
mdio_state : mdio_state_type;
mdioo : std_ulogic;
mdioi : std_ulogic;
mdioen : std_ulogic;
cnt : std_logic_vector(4 downto 0);
duplexstate : duplexstate_type;
init_busy : std_ulogic;
ext : std_ulogic;
extcap : std_ulogic;
regaddr : std_logic_vector(4 downto 0);
phywr : std_ulogic;
rstphy : std_ulogic;
capbil : std_logic_vector(4 downto 0);
rstaneg : std_ulogic;
mdint_sync : std_logic_vector(2 downto 0);
--edcl
erenable : std_ulogic;
edclrstate : edclrstate_type;
edclactive : std_ulogic;
nak : std_ulogic;
ewr : std_ulogic;
write : std_logic_vector(wsz-1 downto 0);
seq : std_logic_vector(13 downto 0);
abufs : std_logic_vector(bselbits downto 0);
tpnt : std_logic_vector(bselbits-1 downto 0);
rpnt : std_logic_vector(bselbits-1 downto 0);
tcnt : std_logic_vector(bpbits-1 downto 0);
rcntm : std_logic_vector(bpbits-1 downto 0);
rcntl : std_logic_vector(bpbits-1 downto 0);
ipcrc : std_logic_vector(17 downto 0);
applength : std_logic_vector(15 downto 0);
oplen : std_logic_vector(9 downto 0);
udpsrc : std_logic_vector(15 downto 0);
ecnt : std_logic_vector(3 downto 0);
tarp : std_ulogic;
tnak : std_ulogic;
tedcl : std_ulogic;
edclbcast : std_ulogic;
edclsepahb : std_ulogic;
end record;
--host signals
signal arst : std_ulogic;
signal irst : std_ulogic;
signal vcc : std_ulogic;
signal txi : host_tx_type;
signal txo : tx_host_type;
signal rxi : host_rx_type;
signal rxo : rx_host_type;
--rx ahb fifo
signal rxrenable : std_ulogic;
signal rxraddress : std_logic_vector(10 downto 0);
signal rxwrite : std_ulogic;
signal rxwdata : std_logic_vector(31 downto 0);
signal rxwaddress : std_logic_vector(10 downto 0);
signal rxrdata : std_logic_vector(31 downto 0);
--tx ahb fifo
signal txrenable : std_ulogic;
signal txraddress : std_logic_vector(10 downto 0);
signal txwrite : std_ulogic;
signal txwdata : std_logic_vector(31 downto 0);
signal txwaddress : std_logic_vector(10 downto 0);
signal txrdata : std_logic_vector(31 downto 0);
--edcl buf
signal erenable : std_ulogic;
signal eraddress : std_logic_vector(15 downto 0);
signal ewritem : std_ulogic;
signal ewritel : std_ulogic;
signal ewaddressm : std_logic_vector(15 downto 0);
signal ewaddressl : std_logic_vector(15 downto 0);
signal ewdata : std_logic_vector(31 downto 0);
signal erdata : std_logic_vector(31 downto 0);
signal r, rin : reg_type;
begin
--reset generators for transmitter and receiver
vcc <= '1';
arst <= testrst when (scanen = 1) and (testen = '1')
else rst and not r.status.reset;
irst <= rst and not r.status.reset;
comb : process(rst, irst, ctrli, cmdi, r, rmsti, tmsti, txo, rxo,
erdata, rxrdata, txrdata, mdio_i, phyrstaddr,
testen, testrst, edcladdr, mdint, tmsti2, edcldisable,
edclsepahb) is
variable v : reg_type;
variable vpirq : std_ulogic;
variable vrdbgdata : std_logic_vector(31 downto 0);
variable txvalid : std_ulogic;
variable vtxfi : tx_fifo_access_in_type;
variable vrxfi : fifo_access_in_type;
variable lengthav : std_ulogic;
variable txdone : std_ulogic;
variable txread : std_ulogic;
variable txrestart : std_ulogic;
variable rxstart : std_ulogic;
variable rxdone : std_ulogic;
variable vrxwrite : std_ulogic;
variable ovrunstop : std_ulogic;
--mdio
variable mdioindex : integer range 0 to 31;
variable mclk : std_ulogic; --rising mdio clk edge
variable nmclk : std_ulogic; --falling mdio clk edge
variable mclkvec : std_logic_vector(mdiohold downto 0);
--edcl
variable veri : edcl_ram_in_type;
variable swap : std_ulogic;
variable setmz : std_ulogic;
variable ipcrctmp : std_logic_vector(15 downto 0);
variable ipcrctmp2 : std_logic_vector(17 downto 0);
variable vrxenable : std_ulogic;
variable crctmp : std_ulogic;
variable vecnt : integer;
begin
v := r; vrdbgdata := (others => '0'); vpirq := '0';
v.check := '0'; lengthav := r.rxdoneold;-- or r.usesizefield;
ovrunstop := '0'; vrxfi.raddress := v.rfrpnt;
if edcl /= 0 then
veri.renable := r.erenable;
veri.datain := rxo.dataout;
veri.writem := '0'; veri.writel := '0';
veri.waddressm := r.rpnt & r.rcntm; veri.waddressl := r.rpnt & r.rcntl;
end if;
vtxfi.renable := '0';
vtxfi.datain := tmsti.data;
vtxfi.raddress := r.tfrpnt; vtxfi.write := '0';
vtxfi.waddress := r.tfwpnt;
vrxfi.datain := rxo.dataout;
vrxfi.write := '0'; vrxfi.waddress := r.rfwpnt;
vrxfi.renable := r.rxrenable; vrxenable := r.status.rxen;
--synchronization
v.txdone(0) := txo.done;
v.txread(0) := txo.read;
v.txrestart(0) := txo.restart;
v.rxstart(0) := rxo.start;
v.rxdone(0) := rxo.done;
v.rxwrite(0) := rxo.write;
if nsync = 2 then
v.txdone(1) := r.txdone(0);
v.txread(1) := r.txread(0);
v.txrestart(1) := r.txrestart(0);
v.rxstart(1) := r.rxstart(0);
v.rxdone(1) := r.rxdone(0);
v.rxwrite(1) := r.rxwrite(0);
end if;
if enable_mdint = 1 then
v.mdint_sync(0) := mdint;
v.mdint_sync(1) := r.mdint_sync(0);
v.mdint_sync(2) := r.mdint_sync(1);
end if;
txdone := r.txdone(nsync) xor r.txdone(nsync-1);
txread := r.txreadack xor r.txread(nsync-1);
txrestart := r.txrestart(nsync) xor r.txrestart(nsync-1);
rxstart := r.rxstart(nsync) xor r.rxstart(nsync-1);
rxdone := r.rxdoneack xor r.rxdone(nsync-1);
vrxwrite := r.rxwriteack xor r.rxwrite(nsync-1);
if txdone = '1' then
v.txstatus := txo.status;
end if;
-------------------------------------------------------------------------------
-- HOST INTERFACE -------------------------------------------------------------
-------------------------------------------------------------------------------
--SLAVE INTERFACE
if cmdi.set_speed = '1' then v.status.speed := '1';
elsif cmdi.clr_speed = '1' then v.status.speed := '0';
end if;
if cmdi.set_reset = '1' then v.status.reset := '1';
elsif cmdi.clr_reset = '1' then v.status.reset := '0';
end if;
if cmdi.set_full_duplex = '1' then v.status.full_duplex := '1';
elsif cmdi.clr_full_duplex = '1' then v.status.full_duplex := '0';
end if;
if cmdi.set_rxena = '1' then v.status.rxen := '1';
elsif cmdi.clr_rxena = '1' then v.status.rxen := '0';
end if;
if cmdi.set_txena = '1' then v.status.txen := '1';
elsif cmdi.clr_txena = '1' then v.status.txen := '0';
end if;
if cmdi.clr_status_phystat = '1' then v.status.phystat := '0'; end if;
if cmdi.clr_status_invaddr = '1' then v.status.invaddr := '0'; end if;
if cmdi.clr_status_toosmall = '1' then v.status.toosmall := '0'; end if;
if cmdi.clr_status_txahberr = '1' then v.status.txahberr := '0'; end if;
if cmdi.clr_status_rxahberr = '1' then v.status.rxahberr := '0'; end if;
if cmdi.clr_status_tx_int = '1' then v.status.tx_int := '0'; end if;
if cmdi.clr_status_rx_int = '1' then v.status.rx_int := '0'; end if;
if cmdi.clr_status_tx_err = '1' then v.status.tx_err := '0'; end if;
if cmdi.clr_status_rx_err = '1' then v.status.rx_err := '0'; end if;
if cmdi.mdio_cmd.valid = '1' then
v.status.mdio.cmd.data := cmdi.mdio_cmd.data;
v.status.mdio.cmd.regadr := cmdi.mdio_cmd.regadr;
v.status.mdio.cmd.read := cmdi.mdio_cmd.read;
v.status.mdio.cmd.write := cmdi.mdio_cmd.write;
v.status.mdio.busy := cmdi.mdio_cmd.read or cmdi.mdio_cmd.write;
end if;
if cmdi.dbg_access_id = DBG_ACCESS_TX_BUFFER then
vtxfi.write := cmdi.dbg_wr_ena;
vtxfi.waddress := cmdi.dbg_addr(txfabits+1 downto 2);
vtxfi.datain := cmdi.dbg_wdata;
vtxfi.raddress := cmdi.dbg_addr(txfabits+1 downto 2);
vtxfi.renable := cmdi.dbg_rd_ena;
vrdbgdata := txrdata;
end if;
if cmdi.dbg_access_id = DBG_ACCESS_RX_BUFFER then
vrxfi.write := cmdi.dbg_wr_ena;
vrxfi.waddress := cmdi.dbg_addr(fabits+1 downto 2);
vrxfi.datain := cmdi.dbg_wdata;
vrxfi.raddress := cmdi.dbg_addr(fabits+1 downto 2);
vrxfi.renable := cmdi.dbg_rd_ena;
vrdbgdata := rxrdata;
end if;
if cmdi.dbg_access_id = DBG_ACCESS_EDCL_BUFFER then
veri.writem := cmdi.dbg_wr_ena;
veri.writel := cmdi.dbg_wr_ena;
veri.waddressm := cmdi.dbg_addr(eabits+1 downto 2);
veri.waddressl := cmdi.dbg_addr(eabits+1 downto 2);
veri.datain := cmdi.dbg_wdata;
veri.raddress := cmdi.dbg_addr(eabits+1 downto 2);
veri.renable := cmdi.dbg_rd_ena;
vrdbgdata := erdata;
end if;
--PHY STATUS DETECTION
if enable_mdint = 1 then
if mdint_pol = 0 then
if (r.mdint_sync(2) and not r.mdint_sync(1)) = '1' then
v.status.phystat := '1';
if ctrli.pstatirqen = '1' then
vpirq := '1';
end if;
end if;
else
if (r.mdint_sync(1) and not r.mdint_sync(2)) = '1' then
v.status.phystat := '1';
if ctrli.pstatirqen = '1' then
vpirq := '1';
end if;
end if;
end if;
end if;
--MASTER INTERFACE
v.txburstav := '0';
if (txfifosizev - r.tfcnt) >= txburstlen then
v.txburstav := '1';
end if;
if (conv_integer(r.abufs) /= 0) then
v.status.edcltx_idle := '0';
else
v.status.edcltx_idle := '1';
end if;
--tx dma fsm
case r.txdstate is
when idle =>
v.txcnt := (others => '0'); v.txburstcnt := (others => '0');
if (edcl /= 0) then
v.tedcl := '0'; v.erenable := '0';
end if;
if (edcl /= 0) and (conv_integer(r.abufs) /= 0) and
(ctrli.edcldis = '0') then
v.erenable := '1'; v.status.edcltx_idle := '0';
if r.erenable = '1' then
v.txdstate := getlen;
end if;
v.tcnt := conv_std_logic_vector(10, bpbits);
elsif r.status.txen = '1' then
v.txdstate := read_desc;
v.tmsto.write := '0';
v.tmsto.addr := ctrli.txdesc & r.status.txdsel & "000";
v.tmsto.req := '1';
--! AXI_ENABLE: burst transaction size in bytes
v.tmsto.burst_bytes := conv_std_logic_vector(8, 11);
end if;
if r.txirqgen = '1' then
vpirq := '1'; v.txirqgen := '0';
end if;
if txrestart = '1' then
v.txrestart(nsync) := r.txrestart(nsync-1);
v.tfcnt := (others => '0'); v.tfrpnt := (others => '0');
v.tfwpnt := (others => '0');
end if;
when read_desc =>
v.tmsto.write := '0'; v.txstatus := (others => '0');
v.tfwpnt := (others => '0'); v.tfrpnt := (others => '0');
v.tfcnt := (others => '0');
if tmsti.grant = '1' then
v.txburstcnt := r.txburstcnt + 1; v.tmsto.addr := r.tmsto.addr + 4;
if r.txburstcnt(0) = '1' then
v.tmsto.req := '0';
end if;
end if;
if tmsti.ready = '1' then
v.txcnt := r.txcnt + 1;
case r.txcnt(1 downto 0) is
when "00" =>
v.txlength := tmsti.data(10 downto 0);
v.txden := tmsti.data(11);
v.txwrap := tmsti.data(12);
v.txirq := tmsti.data(13);
v.status.txen := tmsti.data(11);
when "01" =>
v.txaddr := tmsti.data(31 downto 2);
v.txdstate := check_desc;
when others => null;
end case;
end if;
when check_desc =>
v.txstart := '0';
v.txburstcnt := (others => '0');
if r.txden = '1' then
if (unsigned(r.txlength) > unsigned(maxsizetx)) or
(conv_integer(r.txlength) = 0) then
v.txdstate := write_result;
v.tmsto.req := '1';
v.tmsto.write := '1';
v.tmsto.addr := ctrli.txdesc & r.status.txdsel & "000";
v.tmsto.data := (others => '0');
--! AXI_ENABLE: length of transaction not defined so use simple DMA access
v.tmsto.burst_bytes := conv_std_logic_vector(4,11);
else
v.txdstate := req;
v.tmsto.addr := r.txaddr & "00";
v.txcnt(10 downto 0) := r.txlength;
--! AXI_ENABLE: length of transaction defined
v.tmsto.burst_bytes := r.txlength;
end if;
else
v.txdstate := idle;
end if;
when req =>
if txrestart = '1' then
v.txdstate := idle; v.txstart := '0';
if (edcl /= 0) and (r.tedcl = '1') then
v.txdstate := idle;
end if;
elsif txdone = '1' then
v.txdstate := check_result;
v.tfcnt := (others => '0'); v.tfrpnt := (others => '0');
v.tfwpnt := (others => '0');
if (edcl /= 0) and (r.tedcl = '1') then
v.txdstate := etdone;
end if;
elsif conv_integer(r.txcnt) = 0 then
v.txdstate := check_result;
if (edcl /= 0) and (r.tedcl = '1') then
v.txdstate := etdone; v.txstart_sync := not r.txstart_sync;
end if;
elsif (r.txburstav = '1') or (r.tedcl = '1') then
if (edclsepahbg = 0) or (edcl = 0) or
(r.edclsepahb = '0') or (r.tedcl = '0') then
v.tmsto.req := '1'; v.txdstate := fill_fifo;
else
v.tmsto2.req := '1'; v.txdstate := fill_fifo2;
end if;
end if;
v.txburstcnt := (others => '0');
when fill_fifo =>
v.txburstav := '0';
if tmsti.grant = '1' then
v.tmsto.addr := r.tmsto.addr + 4;
if ((conv_integer(r.txcnt) <= 8) and (tmsti.ready = '1')) or
((conv_integer(r.txcnt) <= 4) and (tmsti.ready = '0')) then
v.tmsto.req := '0';
end if;
v.txburstcnt := r.txburstcnt + 1;
if (conv_integer(r.txburstcnt) = burstlength-1) then
v.tmsto.req := '0';
end if;
end if;
if (tmsti.ready = '1') or ((edcl /= 0) and (r.tedcl and tmsti.error) = '1') then
v.tfwpnt := r.tfwpnt + 1; v.tfcnt := r.tfcnt + 1; vtxfi.write := '1';
if r.tmsto.req = '0' then
v.txdstate := req;
if (r.txstart = '0') and not ((edcl /= 0) and (r.tedcl = '1')) then
v.txstart := '1'; v.txstart_sync := not r.txstart_sync;
end if;
end if;
if conv_integer(r.txcnt) > 3 then
v.txcnt := r.txcnt - 4;
else
v.txcnt := (others => '0');
end if;
end if;
when fill_fifo2 =>
if edclsepahbg = 1 then
v.txburstav := '0';
vtxfi.datain := tmsti2.data;
if tmsti2.grant = '1' then
v.tmsto2.addr := r.tmsto2.addr + 4;
if ((conv_integer(r.txcnt) <= 8) and (tmsti2.ready = '1')) or
((conv_integer(r.txcnt) <= 4) and (tmsti2.ready = '0')) then
v.tmsto2.req := '0';
end if;
v.txburstcnt := r.txburstcnt + 1;
if (conv_integer(r.txburstcnt) = burstlength-1) then
v.tmsto2.req := '0';
end if;
end if;
if (tmsti2.ready = '1') or ((edcl /= 0) and (r.tedcl and tmsti2.error) = '1') then
v.tfwpnt := r.tfwpnt + 1; v.tfcnt := r.tfcnt + 1; vtxfi.write := '1';
if r.tmsto2.req = '0' then
v.txdstate := req;
if (r.txstart = '0') and not ((edcl /= 0) and (r.tedcl = '1')) then
v.txstart := '1'; v.txstart_sync := not r.txstart_sync;
end if;
end if;
if conv_integer(r.txcnt) > 3 then
v.txcnt := r.txcnt - 4;
else
v.txcnt := (others => '0');
end if;
end if;
end if;
when check_result =>
if txdone = '1' then
v.txdstate := write_result; v.tmsto.req := '1'; v.txstart := '0';
v.tmsto.write := '1'; v.tmsto.addr := ctrli.txdesc & r.status.txdsel & "000";
v.tmsto.data(31 downto 16) := (others => '0');
v.tmsto.data(15 downto 14) := v.txstatus;
v.tmsto.data(13 downto 0) := (others => '0');
v.txdone(nsync) := r.txdone(nsync-1);
elsif txrestart = '1' then
v.txdstate := idle; v.txstart := '0';
end if;
when write_result =>
if tmsti.grant = '1' then
v.tmsto.req := '0'; v.tmsto.addr := r.tmsto.addr + 4;
end if;
if tmsti.ready = '1' then
v.txdstate := idle;
v.txirqgen := ctrli.tx_irqen and r.txirq;
if r.txwrap = '0' then v.status.txdsel := r.status.txdsel + 1;
else v.status.txdsel := (others => '0'); end if;
if conv_integer(r.txstatus) = 0 then v.status.tx_int := '1';
else v.status.tx_err := '1'; end if;
end if;
when ahberror =>
v.tfcnt := (others => '0'); v.tfwpnt := (others => '0');
v.tfrpnt := (others => '0');
v.status.txahberr := '1'; v.status.txen := '0';
if not ((edcl /= 0) and (r.tedcl = '1')) then
if r.txstart = '1' then
if txdone = '1' then
v.txdstate := idle; v.txdone(nsync) := r.txdone(nsync-1);
end if;
else
v.txdstate := idle;
end if;
else
v.txdstate := idle;
v.abufs := r.abufs - 1; v.tpnt := r.tpnt + 1;
end if;
when others =>
null;
end case;
--tx fifo read
v.txdataav := '0';
if conv_integer(r.tfcnt) /= 0 then
v.txdataav := '1';
end if;
if txread = '1' then
v.txreadack := not r.txreadack;
if r.txdataav = '1' then
if conv_integer(r.tfcnt) < 2 then
v.txdataav := '0';
end if;
v.txvalid := '1';
v.tfcnt := v.tfcnt - 1; v.tfrpnt := r.tfrpnt + 1;
else
v.txvalid := '0';
end if;
v.txdata := txrdata;
end if;
v.rxburstav := '0';
if r.rfcnt >= rxburstlen then
v.rxburstav := '1';
end if;
if ramdebug = 0 then
vtxfi.renable := v.txdataav;
else
vtxfi.renable := vtxfi.renable or v.txdataav;
end if;
--rx dma fsm
case r.rxdstate is
when idle =>
v.rmsto.req := '0'; v.rmsto.write := '0'; v.addrok := '0';
v.rxburstcnt := (others => '0'); v.addrdone := '0';
v.rxcnt := (others => '0'); v.rxdoneold := '0';
v.ctrlpkt := '0'; v.bcast := '0'; v.edclactive := '0';
v.msbgood := '0'; v.rxrenable := '0';
if multicast = 1 then
v.mcast := '0'; v.mcastacc := '0';
end if;
if r.status.rxen = '1' then
v.rxdstate := read_desc;
v.rmsto.req := '1';
v.rmsto.addr := ctrli.rxdesc & r.status.rxdsel & "000";
--! AXI_ENABLE: burst transaction descriptor header size in bytes
v.rmsto.burst_bytes := conv_std_logic_vector(8, 11);
elsif rxstart = '1' then
v.rxstart(nsync) := r.rxstart(nsync-1);
v.rxdstate := discard;
end if;
when read_desc =>
v.rxstatus := (others => '0');
if rmsti.grant = '1' then
v.rxburstcnt := r.rxburstcnt + 1; v.rmsto.addr := r.rmsto.addr + 4;
if r.rxburstcnt(0) = '1' then
v.rmsto.req := '0';
--! AXI_ENABLE: don't use burst operation:
v.rmsto.burst_bytes := conv_std_logic_vector(4,11);
end if;
end if;
if rmsti.ready = '1' then
v.rxcnt := r.rxcnt + 1;
case r.rxcnt(1 downto 0) is
when "00" =>
v.status.rxen := rmsti.data(11);
v.rxden := rmsti.data(11);
v.rxwrap := rmsti.data(12);
v.rxirq := rmsti.data(13);
when "01" =>
v.rxaddr := rmsti.data(31 downto 2);
v.rxdstate := check_desc;
v.rxrenable := '1';
when others =>
null;
end case;
end if;
if rmsti.error = '1' then
v.rmsto.req := '0'; v.rxdstate := idle;
v.status.rxahberr := '1'; v.status.rxen := '0';
end if;
when check_desc =>
v.rxcnt := (others => '0'); v.usesizefield := '0'; v.rmsto.write := '1';
if r.rxden = '1' then
if rxstart = '1' then
v.rxdstate := read_req; v.rxstart(nsync) := r.rxstart(nsync-1);
end if;
else
v.rxdstate := idle;
end if;
v.rmsto.addr := r.rxaddr & "00";
when read_req =>
if r.edclactive = '1' then
v.rxdstate := discard;
elsif (r.rxdoneold and r.rxstatus(3)) = '1' then
v.rxdstate := write_status;
v.rfcnt := (others => '0'); v.rfwpnt := (others => '0');
v.rfrpnt := (others => '0'); v.writeok := '1';
v.rxbytecount := (others => '0'); v.rxlength := (others => '0');
elsif ((r.addrdone and not r.addrok) or r.ctrlpkt) = '1' then
v.rxdstate := discard; v.status.invaddr := '1';
elsif ((r.rxdoneold = '1') and r.rxcnt >= r.rxlength) then
if r.gotframe = '1' then
v.rxdstate := write_status;
else
v.rxdstate := discard; v.status.toosmall := '1';
end if;
elsif (r.rxburstav or r.rxdoneold) = '1' then
v.rmsto.req := '1'; v.rxdstate := read_fifo;
v.rfrpnt := r.rfrpnt + 1; v.rfcnt := r.rfcnt - 1;
end if;
v.rxburstcnt := (others => '0'); v.rmsto.data := rxrdata;
when read_fifo =>
v.rxburstav := '0';
if rmsti.grant = '1' then
v.rmsto.addr := r.rmsto.addr + 4;
if (lengthav = '1') then
if ((conv_integer(r.rxcnt) >=
(conv_integer(r.rxlength) - 8)) and (rmsti.ready = '1')) or
((conv_integer(r.rxcnt) >=
(conv_integer(r.rxlength) - 4)) and (rmsti.ready = '0')) then
v.rmsto.req := '0';
end if;
end if;
v.rxburstcnt := r.rxburstcnt + 1;
if (conv_integer(r.rxburstcnt) = burstlength-1) then
v.rmsto.req := '0';
end if;
end if;
if rmsti.ready = '1' then
v.rmsto.data := rxrdata;
v.rxcnt := r.rxcnt + 4;
if r.rmsto.req = '0' then
v.rxdstate := read_req;
else
v.rfcnt := r.rfcnt - 1; v.rfrpnt := r.rfrpnt + 1;
end if;
v.check := '1'; v.checkdata := r.rmsto.data;
end if;
if rmsti.error = '1' then
v.rmsto.req := '0'; v.rxdstate := discard;
v.rxcnt := r.rxcnt + 4;
v.status.rxahberr := '1'; v.status.rxen := '0';
end if;
when write_status =>
v.rmsto.req := '1'; v.rmsto.addr := ctrli.rxdesc & r.status.rxdsel & "000";
v.rxdstate := write_status2;
if multicast = 1 then
v.rmsto.data := "00000" & r.mcastacc & "0000000" &
r.rxstatus & "000" & r.rxlength;
else
v.rmsto.data := "0000000000000" &
r.rxstatus & "000" & r.rxlength;
end if;
when write_status2 =>
if rmsti.grant = '1' then
v.rmsto.req := '0'; v.rmsto.addr := r.rmsto.addr + 4;
end if;
if rmsti.ready = '1' then
if (r.rxstatus(4) or not r.rxstatus(3)) = '1' then
v.rxdstate := discard;
else
v.rxdstate := idle;
end if;
if (ctrli.rx_irqen and r.rxirq) = '1' then
vpirq := '1';
end if;
if conv_integer(r.rxstatus) = 0 then v.status.rx_int := '1';
else v.status.rx_err := '1'; end if;
if r.rxwrap = '1' then
v.status.rxdsel := (others => '0');
else
v.status.rxdsel := r.status.rxdsel + 1;
end if;
end if;
if rmsti.error = '1' then
v.rmsto.req := '0'; v.rxdstate := idle;
v.status.rxahberr := '1'; v.status.rxen := '0';
end if;
when discard =>
if (r.rxdoneold = '0') then
if conv_integer(r.rfcnt) /= 0 then
v.rfrpnt := r.rfrpnt + 1; v.rfcnt := r.rfcnt - 1;
v.rxcnt := r.rxcnt + 4;
end if;
else
if r.rxstatus(3) = '1' then
v.rfcnt := (others => '0'); v.rfwpnt := (others => '0');
v.rfrpnt := (others => '0'); v.writeok := '1';
v.rxbytecount := (others => '0'); v.rxlength := (others => '0');
v.rxdstate := idle;
elsif (conv_integer(r.rxcnt) < conv_integer(r.rxbytecount)) then
if conv_integer(r.rfcnt) /= 0 then
v.rfrpnt := r.rfrpnt + 1; v.rfcnt := r.rfcnt - 1;
v.rxcnt := r.rxcnt + 4;
end if;
else
v.rxdstate := idle; v.ctrlpkt := '0';
end if;
end if;
when others =>
null;
end case;
--rx address/type check
if r.check = '1' and r.rxcnt(10 downto 5) = "000000" then
case r.rxcnt(4 downto 2) is
when "001" =>
if ctrli.prom = '1' then
v.addrok := '1';
end if;
v.mcast := r.checkdata(24);
if r.checkdata = broadcast(47 downto 16) then
v.bcast := '1';
end if;
if r.checkdata = ctrli.mac_addr(47 downto 16) then
v.msbgood := '1';
end if;
when "010" =>
if r.checkdata(31 downto 16) = broadcast(15 downto 0) then
if r.bcast = '1' then
v.addrok := '1';
end if;
else
v.bcast := '0';
end if;
if r.checkdata(31 downto 16) = ctrli.mac_addr(15 downto 0) then
if r.msbgood = '1' then
v.addrok := '1';
end if;
end if;
if multicast = 1 then
v.hashlookup := ctrli.hash(conv_integer(rxo.mcasthash));
end if;
when "011" =>
if multicast = 1 then
if (r.hashlookup and ctrli.mcasten and r.mcast) = '1' then
v.addrok := '1';
if r.bcast = '0' then
v.mcastacc := '1';
end if;
end if;
end if;
when "100" =>
if r.checkdata(31 downto 16) = ctrlopcode then v.ctrlpkt := '1'; end if;
v.addrdone := '1';
when others =>
null;
end case;
end if;
--rx packet done
if (rxdone and not rxstart) = '1' then
v.gotframe := rxo.gotframe; v.rxbytecount := rxo.byte_count;
v.rxstatus(3 downto 0) := rxo.status;
if (unsigned(rxo.lentype) > maxsizerx) or (rxo.status /= "0000") then
v.rxlength := rxo.byte_count;
else
v.rxlength := rxo.lentype(10 downto 0);
if (rxo.lentype(10 downto 0) > minpload) and
(rxo.lentype(10 downto 0) /= rxo.byte_count) then
if rxo.status(2 downto 0) = "000" then
v.rxstatus(4) := '1'; v.rxlength := rxo.byte_count;
v.usesizefield := '0';
end if;
elsif (rxo.lentype(10 downto 0) <= minpload) and
(rxo.byte_count /= minpload) then
if rxo.status(2 downto 0) = "000" then
v.rxstatus(4) := '1'; v.rxlength := rxo.byte_count;
v.usesizefield := '0';
end if;
end if;
end if;
v.rxdoneold := '1';
v.rxdoneack := not r.rxdoneack;
end if;
--rx fifo write
if vrxwrite = '1' then
v.rxwriteack := not r.rxwriteack;
if (not r.rfcnt(fabits)) = '1' then
v.rfwpnt := r.rfwpnt + 1; v.rfcnt := v.rfcnt + 1; v.writeok := '1';
vrxfi.write := '1';
else
v.writeok := '0';
end if;
end if;
--must be placed here because it uses variable
if (ramdebug = 0) or (ctrli.ramdebugen = '0') then
vrxfi.raddress := v.rfrpnt;
end if;
-------------------------------------------------------------------------------
-- MDIO INTERFACE -------------------------------------------------------------
-------------------------------------------------------------------------------
--mdio commands
if enable_mdio = 1 then
mclkvec := r.mdioclkold & r.mdioclk;
mclk := mclkvec(mdiohold-1) and not mclkvec(mdiohold);
nmclk := mclkvec(1) and not mclkvec(0);
v.mdioclkold := mclkvec(mdiohold-1 downto 0);
if r.mdccnt = "00000000" then
v.mdccnt := divisor;
v.mdioclk := not r.mdioclk;
else
v.mdccnt := r.mdccnt - 1;
end if;
mdioindex := conv_integer(r.cnt); v.mdioi := mdio_i;
case r.mdio_state is
when idle =>
if (enable_mdio = 1) and (edcl = 0) and (r.status.reset = '1') then
v.mdio_state := idle; v.status.mdio.cmd.read := '0';
v.status.mdio.cmd.write := '0'; v.status.mdio.busy := '0';
v.status.mdio.cmd.data := (others => '0');
v.status.mdio.cmd.regadr := (others => '0');
v.status.reset := '0';
if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if;
end if;
if mclk = '1' then
v.cnt := (others => '0');
if r.status.mdio.busy = '1' then
v.status.mdio.linkfail := '0';
if r.status.mdio.cmd.read = '1' then
v.status.mdio.cmd.write := '0';
end if;
v.mdio_state := preamble; v.mdioo := '1';
if OEPOL = 0 then v.mdioen := '0'; else v.mdioen := '1'; end if;
end if;
end if;
when preamble =>
if mclk = '1' then
v.cnt := r.cnt + 1;
if r.cnt = "11111" then
v.mdioo := '0'; v.mdio_state := startst;
end if;
end if;
when startst =>
if mclk = '1' then
v.mdioo := '1'; v.mdio_state := op; v.cnt := (others => '0');
end if;
when op =>
if mclk = '1' then
v.mdio_state := op2;
if r.status.mdio.cmd.read = '1' then v.mdioo := '1';
else v.mdioo := '0'; end if;
end if;
when op2 =>
if mclk = '1' then
v.mdioo := not r.mdioo; v.mdio_state := phyadr;
v.cnt := (others => '0');
end if;
when phyadr =>
if mclk = '1' then
v.cnt := r.cnt + 1;
case mdioindex is
when 0 => v.mdioo := ctrli.mdio_phyadr(4);
when 1 => v.mdioo := ctrli.mdio_phyadr(3);
when 2 => v.mdioo := ctrli.mdio_phyadr(2);
when 3 => v.mdioo := ctrli.mdio_phyadr(1);
when 4 => v.mdioo := ctrli.mdio_phyadr(0);
v.mdio_state := regadr; v.cnt := (others => '0');
when others => null;
end case;
end if;
when regadr =>
if mclk = '1' then
v.cnt := r.cnt + 1;
case mdioindex is
when 0 => v.mdioo := r.status.mdio.cmd.regadr(4);
when 1 => v.mdioo := r.status.mdio.cmd.regadr(3);
when 2 => v.mdioo := r.status.mdio.cmd.regadr(2);
when 3 => v.mdioo := r.status.mdio.cmd.regadr(1);
when 4 => v.mdioo := r.status.mdio.cmd.regadr(0);
v.mdio_state := ta; v.cnt := (others => '0');
when others => null;
end case;
end if;
when ta =>
if mclk = '1' then
v.mdio_state := ta2;
if r.status.mdio.cmd.read = '1' then
if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if;
else v.mdioo := '1'; end if;
end if;
when ta2 =>
if mclk = '1' then
v.cnt := "01111"; v.mdio_state := ta3;
if r.status.mdio.cmd.write = '1' then v.mdioo := '0'; v.mdio_state := data; end if;
end if;
when ta3 =>
if mclk = '1' then
v.mdio_state := data;
end if;
if nmclk = '1' then
if r.mdioi /= '0' then
v.status.mdio.linkfail := '1';
end if;
end if;
when data =>
if mclk = '1' then
v.cnt := r.cnt - 1;
if r.cnt = "00000" then
v.mdio_state := dataend;
end if;
if r.status.mdio.cmd.read = '0' then
v.mdioo := r.status.mdio.cmd.data(mdioindex);
end if;
end if;
if nmclk = '1' then
if r.status.mdio.cmd.read = '1' then
v.status.mdio.cmd.data(mdioindex) := r.mdioi;
end if;
end if;
when dataend =>
if mclk = '1' then
if (rmii = 1) or (edcl /= 0) then
v.init_busy := '0';
if (r.duplexstate = done or ctrli.edcldis = '1' or ctrli.disableduplex = '1') then
v.status.mdio.busy := '0';
end if;
else
v.status.mdio.busy := '0';
end if;
v.status.mdio.cmd.read := '0';
v.status.mdio.cmd.write := '0'; v.mdio_state := idle;
if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if;
end if;
when others =>
null;
end case;
end if;
-------------------------------------------------------------------------------
-- EDCL -----------------------------------------------------------------------
-------------------------------------------------------------------------------
if (edcl /= 0) then
if (ramdebug /= 2) or (ctrli.ramdebugen = '0') then
veri.renable := r.erenable; veri.writem := '0'; veri.writel := '0';
veri.waddressm := r.rpnt & r.rcntm; veri.waddressl := r.rpnt & r.rcntl;
vrxenable := '1';
end if;
swap := '0'; vecnt := conv_integer(r.ecnt); setmz := '0';
if vrxwrite = '1' then
if ctrli.edcldis = '0' then
v.rxwriteack := not r.rxwriteack;
end if;
end if;
--edcl receiver
case r.edclrstate is
when idle =>
v.edclbcast := '0'; v.status.edclrx_idle := '1';
if (ramdebug /= 2) or (ctrli.ramdebugen = '0') then
if (rxstart and not ctrli.edcldis) = '1' then
v.edclrstate := wrda; v.edclactive := '0'; v.status.edclrx_idle := '0';
v.rcntm := conv_std_logic_vector(2, bpbits);
v.rcntl := conv_std_logic_vector(1, bpbits);
end if;
end if;
when wrda =>
if vrxwrite = '1' then
v.edclrstate := wrdsa;
veri.writem := '1'; veri.writel := '1';
swap := '1';
v.rcntm := r.rcntm - 2; v.rcntl := r.rcntl + 1;
if (ctrli.emacaddr(47 downto 16) /= rxo.dataout) and
(X"FFFFFFFF" /= rxo.dataout) then
v.edclrstate := spill;
elsif (X"FFFFFFFF" = rxo.dataout) then
v.edclbcast := '1';
end if;
if conv_integer(r.abufs) = wsz then
v.edclrstate := spill;
end if;
end if;
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
when wrdsa =>
if vrxwrite = '1' then
v.edclrstate := wrsa; swap := '1';
veri.writem := '1'; veri.writel := '1';
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl - 2;
if (ctrli.emacaddr(15 downto 0) /= rxo.dataout(31 downto 16)) and
(X"FFFF" /= rxo.dataout(31 downto 16)) then
v.edclrstate := spill;
elsif (X"FFFF" = rxo.dataout(31 downto 16)) then
v.edclbcast := r.edclbcast;
end if;
end if;
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
when wrsa =>
if vrxwrite = '1' then
veri.writem := '1'; veri.writel := '1';
v.edclrstate := wrtype; swap := '1';
v.rcntm := r.rcntm + 2; v.rcntl := r.rcntl + 3;
end if;
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
when wrtype =>
if vrxwrite = '1' then
veri.writem := '1'; veri.writel := '1';
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
if X"0800" = rxo.dataout(31 downto 16) and (r.edclbcast = '0') then
v.edclrstate := ip;
elsif X"0806" = rxo.dataout(31 downto 16) and (r.edclbcast = '1') then
v.edclrstate := arp;
else
v.edclrstate := spill;
end if;
end if;
v.ecnt := (others => '0'); v.ipcrc := (others => '0');
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
when ip =>
if vrxwrite = '1' then
v.ecnt := r.ecnt + 1;
veri.writem := '1'; veri.writel := '1';
case vecnt is
when 0 =>
v.ipcrc :=
crcadder(not rxo.dataout(31 downto 16), r.ipcrc);
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
when 1 =>
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 2;
when 2 =>
v.ipcrc :=
crcadder(not rxo.dataout(31 downto 16), r.ipcrc);
v.rcntm := r.rcntm + 2; v.rcntl := r.rcntl - 1;
when 3 =>
v.rcntm := r.rcntm - 1; v.rcntl := r.rcntl + 2;
when 4 =>
v.udpsrc := rxo.dataout(15 downto 0);
v.rcntm := r.rcntm + 2; v.rcntl := r.rcntl + 1;
when 5 =>
setmz := '1';
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
when 6 =>
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
when 7 =>
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
if (rxo.dataout(31 downto 18) = r.seq) then
v.nak := '0';
else
v.nak := '1';
veri.datain(31 downto 18) := r.seq;
end if;
veri.datain(17) := v.nak; v.ewr := rxo.dataout(17);
if (rxo.dataout(17) or v.nak) = '1' then
veri.datain(16 downto 7) := (others => '0');
end if;
v.oplen := rxo.dataout(16 downto 7);
v.applength := "000000" & veri.datain(16 downto 7);
v.ipcrc :=
crcadder(v.applength + 38, r.ipcrc);
v.write(conv_integer(r.rpnt)) := rxo.dataout(17);
when 8 =>
ipcrctmp := (others => '0');
ipcrctmp(1 downto 0) := r.ipcrc(17 downto 16);
ipcrctmp2 := "00" & r.ipcrc(15 downto 0);
v.ipcrc :=
crcadder(ipcrctmp, ipcrctmp2);
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
v.edclrstate := ipdata;
when others =>
null;
end case;
end if;
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
when ipdata =>
if (vrxwrite and r.ewr and not r.nak) = '1' and
(r.rcntm /= ebufmax) then
veri.writem := '1'; veri.writel := '1';
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
end if;
if rxdone = '1' then
v.edclrstate := ipcrc; v.rcntm := conv_std_logic_vector(6, bpbits);
ipcrctmp := (others => '0');
ipcrctmp(1 downto 0) := r.ipcrc(17 downto 16);
ipcrctmp2 := "00" & r.ipcrc(15 downto 0);
v.ipcrc := crcadder(ipcrctmp, ipcrctmp2);
if conv_integer(v.rxstatus(3 downto 0)) /= 0 then
v.edclrstate := idle;
end if;
end if;
when ipcrc =>
veri.writem := '1'; veri.datain(31 downto 16) := not r.ipcrc(15 downto 0);
v.edclrstate := udp; v.rcntm := conv_std_logic_vector(9, bpbits);
v.rcntl := conv_std_logic_vector(9, bpbits);
when udp =>
veri.writem := '1'; veri.writel := '1';
v.edclrstate := iplength;
veri.datain(31 downto 16) := r.udpsrc;
veri.datain(15 downto 0) := r.applength + 18;
v.rcntm := conv_std_logic_vector(4, bpbits);
when iplength =>
veri.writem := '1';
veri.datain(31 downto 16) := r.applength + 38;
v.edclrstate := oplength;
v.rcntm := conv_std_logic_vector(10, bpbits);
v.rcntl := conv_std_logic_vector(10, bpbits);
when oplength =>
if rxstart = '0' then
v.abufs := r.abufs + 1; v.rpnt := r.rpnt + 1;
veri.writel := '1'; veri.writem := '1';
end if;
if r.nak = '0' then
v.seq := r.seq + 1;
end if;
v.edclrstate := idle;
veri.datain(31 downto 0) := (others => '0');
veri.datain(15 downto 0) := "00000" & r.nak & r.oplen;
when arp =>
if vrxwrite = '1' then
v.ecnt := r.ecnt + 1;
veri.writem := '1'; veri.writel := '1';
case vecnt is
when 0 =>
v.rcntm := r.rcntm + 4;
when 1 =>
swap := '1'; veri.writel := '0';
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 4;
when 2 =>
swap := '1';
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
when 3 =>
swap := '1';
v.rcntm := r.rcntm - 4; v.rcntl := r.rcntl - 4;
when 4 =>
veri.datain := ctrli.emacaddr(31 downto 16) & ctrli.emacaddr(47 downto 32);
v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1;
when 5 =>
v.rcntl := r.rcntl + 1;
veri.datain(31 downto 16) := rxo.dataout(15 downto 0);
veri.datain(15 downto 0) := ctrli.emacaddr(15 downto 0);
if rxo.dataout(15 downto 0) /= ctrli.edclip(31 downto 16) then
v.edclrstate := spill;
end if;
when 6 =>
swap := '1'; veri.writem := '0';
v.rcntm := conv_std_logic_vector(5, bpbits);
v.rcntl := conv_std_logic_vector(1, bpbits);
if rxo.dataout(31 downto 16) /= ctrli.edclip(15 downto 0) then
v.edclrstate := spill;
else
v.edclactive := '1';
end if;
when 7 =>
veri.writem := '0';
veri.datain(15 downto 0) := ctrli.emacaddr(47 downto 32);
v.rcntl := r.rcntl + 1;
v.rcntm := conv_std_logic_vector(2, bpbits);
when 8 =>
v.edclrstate := arpop;
veri.datain := ctrli.emacaddr(31 downto 0);
v.rcntm := conv_std_logic_vector(5, bpbits);
when others =>
null;
end case;
end if;
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
when arpop =>
veri.writem := '1'; veri.datain(31 downto 16) := X"0002";
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
if conv_integer(v.rxstatus) = 0 and (rxo.gotframe = '1') then
v.abufs := r.abufs + 1; v.rpnt := r.rpnt + 1;
end if;
end if;
when spill =>
if (rxdone and not rxstart) = '1' then
v.edclrstate := idle;
end if;
end case;
--edcl transmitter
case r.txdstate is
when getlen =>
v.tcnt := r.tcnt + 1;
if conv_integer(r.tcnt) = 10 then
v.txlength := '0' & erdata(9 downto 0);
v.tnak := erdata(10);
v.txcnt := v.txlength;
if (r.write(conv_integer(r.tpnt)) or v.tnak) = '1' then
v.txlength := (others => '0');
end if;
end if;
if conv_integer(r.tcnt) = 11 then
v.txdstate := readhdr;
v.tcnt := (others => '0');
end if;
when readhdr =>
v.tcnt := r.tcnt + 1; vtxfi.write := '1';
v.tfwpnt := r.tfwpnt + 1; v.tfcnt := v.tfcnt + 1;
vtxfi.datain := erdata;
if conv_integer(r.tcnt) = 12 then
v.txaddr := erdata(31 downto 2);
end if;
if conv_integer(r.tcnt) = 3 then
if erdata(31 downto 16) = X"0806" then
v.tarp := '1'; v.txlength := conv_std_logic_vector(42, 11);
else
v.tarp := '0'; v.txlength := r.txlength + 52;
end if;
end if;
if r.tarp = '0' then
if conv_integer(r.tcnt) = 12 then
v.txdstate := start;
end if;
else
if conv_integer(r.tcnt) = 10 then
v.txdstate := start;
end if;
end if;
if (txrestart or txdone) = '1' then
v.txdstate := etdone;
end if;
when start =>
v.tmsto.addr := r.txaddr & "00";
v.tmsto.write := r.write(conv_integer(r.tpnt));
-- AXI_ENABLE: EDCL burst length decoded from payload
v.tmsto.burst_bytes := r.txcnt;
if (edclsepahbg /= 0) and (edcl /= 0) then
v.tmsto2.addr := r.txaddr & "00";
v.tmsto2.write := r.write(conv_integer(r.tpnt));
-- AXI_ENABLE: EDCL burst length decoded from payload
v.tmsto2.burst_bytes := r.txcnt;
end if;
if (conv_integer(r.txcnt) = 0) or (r.tarp or r.tnak) = '1' then
v.txdstate := etdone;
v.txstart_sync := not r.txstart_sync;
v.tmsto.req := '0';
if (edclsepahbg /= 0) and (edcl /= 0) then
v.tmsto2.req := '0';
end if;
elsif r.write(conv_integer(r.tpnt)) = '0' then
v.txdstate := req; v.tedcl := '1';
else
v.txstart_sync := not r.txstart_sync;
v.tedcl := '1';
v.tcnt := r.tcnt + 1;
if (edclsepahbg = 0) or (edcl = 0) or (r.edclsepahb = '0') then
v.tmsto.req := '1'; v.tmsto.data := erdata;
v.txdstate := wrbus1;
else
v.tmsto2.req := '1'; v.tmsto2.data := erdata;
v.txdstate := wrbus2;
end if;
end if;
if (txrestart or txdone) = '1' then
v.txdstate := etdone;
end if;
when wrbus1 =>
if tmsti.grant = '1' then
v.tmsto.addr := r.tmsto.addr + 4;
if ((conv_integer(r.txcnt) <= 4) and (tmsti.ready = '0')) or
((conv_integer(r.txcnt) <= 8) and (tmsti.ready = '1')) then
v.tmsto.req := '0';
end if;
end if;
if (tmsti.ready or tmsti.error) = '1' then
v.tmsto.data := erdata; v.tcnt := r.tcnt + 1;
v.txcnt := r.txcnt - 4;
if r.tmsto.req = '0' then
v.txdstate := etdone;
end if;
end if;
if tmsti.retry = '1' then
v.tmsto.addr := r.tmsto.addr - 4; v.tmsto.req := '1';
end if;
when wrbus2 =>
if tmsti2.grant = '1' then
v.tmsto2.addr := r.tmsto2.addr + 4;
if ((conv_integer(r.txcnt) <= 4) and (tmsti2.ready = '0')) or
((conv_integer(r.txcnt) <= 8) and (tmsti2.ready = '1')) then
v.tmsto2.req := '0';
end if;
end if;
if (tmsti2.ready or tmsti2.error) = '1' then
v.tmsto2.data := erdata; v.tcnt := r.tcnt + 1;
v.txcnt := r.txcnt - 4;
if r.tmsto2.req = '0' then
v.txdstate := etdone;
end if;
end if;
if tmsti2.retry = '1' then
v.tmsto2.addr := r.tmsto2.addr - 4; v.tmsto2.req := '1';
end if;
when etdone =>
if txdone = '1' then
v.txdstate := idle; v.txdone(nsync) := r.txdone(nsync-1);
v.abufs := v.abufs - 1; v.tpnt := r.tpnt + 1;
v.tfcnt := (others => '0'); v.tfrpnt := (others => '0');
v.tfwpnt := (others => '0');
elsif txrestart = '1' then
v.txdstate := idle;
end if;
when others =>
null;
end case;
if swap = '1' then
veri.datain(31 downto 16) := rxo.dataout(15 downto 0);
veri.datain(15 downto 0) := rxo.dataout(31 downto 16);
end if;
if setmz = '1' then
veri.datain(31 downto 16) := (others => '0');
end if;
if (ramdebug /= 2) or (edcl = 0) or (cmdi.dbg_rd_ena = '0') then
veri.raddress := r.tpnt & v.tcnt;
end if;
end if;
--edcl duplex mode read
if (rmii = 1) or (edcl /= 0) then
--edcl, gbit link mode check
case r.duplexstate is
when start =>
if (ctrli.edcldis = '0' and ctrli.disableduplex = '0') then
v.status.mdio.cmd.regadr := r.regaddr; v.init_busy := '1';
v.status.mdio.busy := '1'; v.duplexstate := waitop;
if (r.phywr or r.rstphy) = '1' then
v.status.mdio.cmd.write := '1';
else
v.status.mdio.cmd.read := '1';
end if;
if r.rstphy = '1' then
v.status.mdio.cmd.data := X"9000";
end if;
end if;
when waitop =>
if r.init_busy = '0' then
if r.status.mdio.linkfail = '1' then
v.duplexstate := start;
elsif r.rstphy = '1' then
v.duplexstate := start; v.rstphy := '0';
else
v.duplexstate := nextop;
end if;
end if;
when nextop =>
case r.regaddr is
when "00000" =>
if r.status.mdio.cmd.data(15) = '1' then --rst not finished
v.duplexstate := start;
elsif (r.phywr and not r.rstaneg) = '1' then --forced to 10 Mbit HD
v.duplexstate := selmode;
elsif r.status.mdio.cmd.data(12) = '0' then --no auto neg
v.duplexstate := start; v.phywr := '1';
v.status.mdio.cmd.data := (others => '0');
else
v.duplexstate := start; v.regaddr := "00001";
end if;
if r.rstaneg = '1' then
v.phywr := '0';
end if;
if ctrli.disableduplex = '1' then
v.duplexstate := done; v.status.mdio.busy := '0';
end if;
when "00001" =>
v.ext := r.status.mdio.cmd.data(8); --extended status register
v.extcap := r.status.mdio.cmd.data(1); --extended register capabilities
v.duplexstate := start;
if r.status.mdio.cmd.data(0) = '0' then
--no extended register capabilites, unable to read aneg config
--forcing 10 Mbit
v.duplexstate := start; v.phywr := '1';
v.status.mdio.cmd.data := (others => '0');
v.regaddr := (others => '0');
elsif (r.status.mdio.cmd.data(8) and not r.rstaneg) = '1' then
--phy gbit capable, disable gbit
v.regaddr := "01001";
elsif r.status.mdio.cmd.data(5) = '1' then --auto neg completed
v.regaddr := "00100";
end if;
if ctrli.disableduplex = '1' then
v.duplexstate := done; v.status.mdio.busy := '0';
end if;
when "00100" =>
v.duplexstate := start; v.regaddr := "00101";
v.capbil(4 downto 0) := r.status.mdio.cmd.data(9 downto 5);
when "00101" =>
v.duplexstate := selmode;
v.capbil(4 downto 0) :=
r.capbil(4 downto 0) and r.status.mdio.cmd.data(9 downto 5);
when "01001" =>
if r.phywr = '0' then
v.duplexstate := start; v.phywr := '1';
v.status.mdio.cmd.data(9 downto 8) := (others => '0');
else
v.regaddr := "00000";
v.duplexstate := start; v.phywr := '1';
v.status.mdio.cmd.data := X"3300"; v.rstaneg := '1';
end if;
when others =>
null;
end case;
when selmode =>
v.duplexstate := done; v.status.mdio.busy := '0';
if r.phywr = '1' then
v.status.full_duplex := '0'; v.status.speed := '0';
else
sel_op_mode(r.capbil, v.status.speed, v.status.full_duplex);
end if;
when done =>
null;
end case;
-- MDIO Disable
if ctrli.edcldis = '1' or ctrli.disableduplex = '1' then
if v.duplexstate /= start then
v.duplexstate := start;
v.status.mdio.cmd.regadr := (others => '0');
v.status.mdio.busy := '0';
v.init_busy := '0';
v.status.mdio.cmd.write := '0';
v.status.mdio.cmd.read := '0';
v.status.mdio.cmd.data := X"0000";
end if;
end if;
end if;
--transmitter retry
if tmsti.retry = '1' then
v.tmsto.req := '1'; v.tmsto.addr := r.tmsto.addr - 4;
v.txburstcnt := r.txburstcnt - 1;
end if;
--transmitter AHB error
if tmsti.error = '1' and (not ((edcl /= 0) and (r.tedcl = '1'))) then
v.tmsto.req := '0'; v.txdstate := ahberror;
end if;
if (edclsepahbg /= 0) and (edcl /= 0) then
--transmitter retry
if tmsti2.retry = '1' then
v.tmsto2.req := '1'; v.tmsto2.addr := r.tmsto2.addr - 4;
v.txburstcnt := r.txburstcnt - 1;
end if;
--transmitter AHB error
if tmsti2.error = '1' and (not ((edcl /= 0) and (r.tedcl = '1'))) then
v.tmsto2.req := '0'; v.txdstate := ahberror;
end if;
end if;
--receiver retry
if rmsti.retry = '1' then
v.rmsto.req := '1'; v.rmsto.addr := r.rmsto.addr - 4;
v.rxburstcnt := r.rxburstcnt - 1;
end if;
------------------------------------------------------------------------------
-- RESET ----------------------------------------------------------------------
-------------------------------------------------------------------------------
if irst = '0' then
v.txdstate := idle; v.rxdstate := idle; v.rfrpnt := (others => '0');
v.rfwpnt := (others => '0');
v.rfcnt := (others => '0');
v.status.txen := '0';
v.status.tx_int := '0';
v.status.rx_int := '0';
v.status.tx_err := '0';
v.status.rx_err := '0';
v.status.txahberr := '0';
v.status.rxahberr := '0';
v.txirqgen := '0'; v.status.rxen := '0';
v.status.txdsel := (others => '0'); v.txstart_sync := '0';
v.txread := (others => '0'); v.txrestart := (others => '0');
v.txdone := (others => '0'); v.txreadack := '0';
v.status.rxdsel := (others => '0'); v.rxdone := (others => '0');
v.rxdoneold := '0'; v.rxdoneack := '0'; v.rxwriteack := '0';
v.rxstart := (others => '0'); v.rxwrite := (others => '0');
v.status.invaddr := '0'; v.status.toosmall := '0';
v.status.full_duplex := '0'; v.writeok := '1';
if (enable_mdio = 0) or (edcl /= 0) then
v.status.reset := '0';
end if;
if enable_mdint = 1 then
v.status.phystat := '0';
end if;
if (edcl /= 0) then
v.tpnt := (others => '0'); v.rpnt := (others => '0');
v.tcnt := (others => '0'); v.edclactive := '0';
v.tarp := '0'; v.abufs := (others => '0');
v.edclrstate := idle;
end if;
if (rmii = 1) then
v.status.speed := '1';
else
v.status.speed := '1';
end if;
end if;
if edcl = 0 then
v.edclrstate := idle; v.edclactive := '0'; v.nak := '0'; v.ewr := '0';
v.write := (others => '0'); v.seq := (others => '0'); v.abufs := (others => '0');
v.tpnt := (others => '0'); v.rpnt := (others => '0'); v.tcnt := (others => '0');
v.rcntm := (others => '0'); v.rcntl := (others => '0'); v.ipcrc := (others => '0');
v.applength := (others => '0'); v.oplen := (others => '0');
v.udpsrc := (others => '0'); v.ecnt := (others => '0'); v.tarp := '0';
v.tnak := '0'; v.tedcl := '0'; v.edclbcast := '0';
end if;
--some parts of edcl are only affected by hw reset
if rst = '0' then
v.duplexstate := start; v.regaddr := (others => '0');
v.phywr := '0'; v.rstphy := '1'; v.rstaneg := '0';
v.seq := (others => '0');
v.mdioo := '0';
if (enable_mdio = 1) then
v.mdccnt := divisor; v.mdioclk := '0';
end if;
v.status.reset := '0';
if (enable_mdio = 1) then
v.mdio_state := idle; v.status.mdio.cmd.read := '0';
v.status.mdio.cmd.valid := '0';
v.status.mdio.cmd.write := '0'; v.status.mdio.busy := '0';
v.status.mdio.cmd.data := (others => '0');
v.status.mdio.cmd.regadr := (others => '0');
v.status.reset := '0'; v.status.mdio.linkfail := '1';
if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if;
v.cnt := (others => '0');
end if;
if edclsepahbg /= 0 then
v.edclsepahb := edclsepahb;
end if;
v.txcnt := (others => '0'); v.txburstcnt := (others => '0');
v.tedcl := '0'; v.erenable := '0';
v.addrok := '0';
v.rxburstcnt := (others => '0'); v.addrdone := '0';
v.rxcnt := (others => '0'); v.rxdoneold := '0';
v.ctrlpkt := '0'; v.bcast := '0'; v.edclactive := '0';
v.msbgood := '0'; v.rxrenable := '0';
if multicast = 1 then
v.mcast := '0'; v.mcastacc := '0';
end if;
v.tnak := '0'; v.tedcl := '0'; v.edclbcast := '0';
v.gotframe := '0';
v.rxbytecount := (others => '0'); v.rxlength := (others => '0');
v.txburstav := '0'; v.txdataav := '0';
v.txstatus := (others => '0'); v.txstart := '0';
v.tfcnt := (others => '0'); v.tfrpnt := (others => '0');
v.tfwpnt := (others => '0'); v.txaddr := (others => '0');
v.txdata := (others => '0');
v.txvalid := '0';
v.txlength := (others => '0');
v.cnt := (others => '0');
v.rxaddr := (others => '0');
v.rxstatus := (others => '0');
v.rxwrap := '0'; v.rxden := '0';
v.rmsto.req := '0';
v.rmsto.write := '0';
v.rmsto.addr := (others => '0');
v.rmsto.data := (others => '0');
v.tmsto.req := '0';
v.tmsto.write := '0';
v.tmsto.addr := (others => '0');
v.tmsto.data := (others => '0');
v.tmsto2.req := '0';
v.tmsto2.write := '0';
v.tmsto2.addr := (others => '0');
v.tmsto2.data := (others => '0');
v.nak := '0'; v.ewr := '0';
v.write := (others => '0');
v.applength := (others => '0');
v.oplen := (others => '0');
v.udpsrc := (others => '0'); v.ecnt := (others => '0');
v.rcntm := (others => '0'); v.rcntl := (others => '0');
v.txwrap := '0';
v.txden := '0';
v.txirq := '0';
v.rxirq := '0';
end if;
-------------------------------------------------------------------------------
-- SIGNAL ASSIGNMENTS ---------------------------------------------------------
-------------------------------------------------------------------------------
rin <= v;
rdbgdatao <= vrdbgdata;
irq <= vpirq;
--rx ahb fifo
rxrenable <= vrxfi.renable;
rxraddress(10 downto fabits) <= (others => '0');
rxraddress(fabits-1 downto 0) <= vrxfi.raddress;
rxwrite <= vrxfi.write;
rxwdata <= vrxfi.datain;
rxwaddress(10 downto fabits) <= (others => '0');
rxwaddress(fabits-1 downto 0) <= vrxfi.waddress;
--tx ahb fifo
txrenable <= vtxfi.renable;
txraddress(10 downto txfabits) <= (others => '0');
txraddress(txfabits-1 downto 0) <= vtxfi.raddress;
txwrite <= vtxfi.write;
txwdata <= vtxfi.datain;
txwaddress(10 downto txfabits) <= (others => '0');
txwaddress(txfabits-1 downto 0) <= vtxfi.waddress;
--edcl buf
erenable <= veri.renable;
eraddress(15 downto eabits) <= (others => '0');
eraddress(eabits-1 downto 0) <= veri.raddress;
ewritem <= veri.writem;
ewritel <= veri.writel;
ewaddressm(15 downto eabits) <= (others => '0');
ewaddressm(eabits-1 downto 0) <= veri.waddressm(eabits-1 downto 0);
ewaddressl(15 downto eabits) <= (others => '0');
ewaddressl(eabits-1 downto 0) <= veri.waddressl(eabits-1 downto 0);
ewdata <= veri.datain;
rxi.enable <= vrxenable;
end process;
statuso <= r.status;
rxi.writeack <= r.rxwriteack;
rxi.doneack <= r.rxdoneack;
rxi.speed <= r.status.speed;
rxi.writeok <= r.writeok;
rxi.rxd <= rxd;
rxi.rx_dv <= rx_dv;
rxi.rx_crs <= rx_crs;
rxi.rx_er <= rx_er;
rxi.rx_en <= rx_en;
txi.rx_col <= rx_col;
txi.rx_crs <= rx_crs;
txi.full_duplex <= r.status.full_duplex;
txi.start <= r.txstart_sync;
txi.readack <= r.txreadack;
txi.speed <= r.status.speed;
txi.data <= r.txdata;
txi.valid <= r.txvalid;
txi.len <= r.txlength;
txi.datavalid <= tx_dv;
mdc <= r.mdioclk;
mdio_o <= r.mdioo;
mdio_oe <= testoen when (scanen/=0 and testen/='0') else r.mdioen;
tmsto <= r.tmsto;
rmsto <= r.rmsto;
tmsto2 <= r.tmsto2;
txd <= txo.txd;
tx_en <= txo.tx_en;
tx_er <= txo.tx_er;
speed <= r.status.speed;
reset <= irst;
regs : process(clk) is
begin
if rising_edge(clk) then r <= rin; end if;
end process;
-------------------------------------------------------------------------------
-- TRANSMITTER-----------------------------------------------------------------
-------------------------------------------------------------------------------
tx_rmii0 : if rmii = 0 generate
tx0: greth_tx
generic map(
ifg_gap => ifg_gap,
attempt_limit => attempt_limit,
backoff_limit => backoff_limit,
nsync => nsync,
rmii => rmii,
gmiimode => gmiimode
)
port map(
rst => arst,
clk => tx_clk,
txi => txi,
txo => txo);
end generate;
tx_rmii1 : if rmii = 1 generate
tx0: greth_tx
generic map(
ifg_gap => ifg_gap,
attempt_limit => attempt_limit,
backoff_limit => backoff_limit,
nsync => nsync,
rmii => rmii,
gmiimode => gmiimode
)
port map(
rst => arst,
clk => rmii_clk,
txi => txi,
txo => txo);
end generate;
-------------------------------------------------------------------------------
-- RECEIVER -------------------------------------------------------------------
-------------------------------------------------------------------------------
rx_rmii0 : if rmii = 0 generate
rx0 : greth_rx
generic map(
nsync => nsync,
rmii => rmii,
multicast => multicast,
maxsize => maxsize,
gmiimode => gmiimode
)
port map(
rst => arst,
clk => rx_clk,
rxi => rxi,
rxo => rxo);
end generate;
rx_rmii1 : if rmii = 1 generate
rx0 : greth_rx
generic map(
nsync => nsync,
rmii => rmii,
multicast => multicast,
maxsize => maxsize,
gmiimode => gmiimode)
port map(
rst => arst,
clk => rmii_clk,
rxi => rxi,
rxo => rxo);
end generate;
--! Tx FIFO
tx_fifo0 : syncram_2p_tech generic map (
tech => memtech,
abits => txfabits,
dbits => 32,
sepclk => 0
) port map (
clk,
txrenable,
txraddress(txfabits-1 downto 0),
txrdata,
clk,
txwrite,
txwaddress(txfabits-1 downto 0),
txwdata
);
--! Rx FIFO
rx_fifo0 : syncram_2p_tech generic map (
tech => memtech,
abits => fabits,
dbits => 32,
sepclk => 0
) port map (
clk,
rxrenable,
rxraddress(fabits-1 downto 0),
rxrdata,
clk,
rxwrite,
rxwaddress(fabits-1 downto 0),
rxwdata
);
--! EDCL buffer ram
edclramnft : if (edcl /= 0) generate
r0 : syncram_2p_tech generic map (
memtech,
eabits,
16
) port map (
clk,
erenable,
eraddress(eabits-1 downto 0),
erdata(31 downto 16),
clk,
ewritem,
ewaddressm(eabits-1 downto 0),
ewdata(31 downto 16)
);
r1 : syncram_2p_tech generic map (
memtech,
eabits,
16
) port map(
clk,
erenable,
eraddress(eabits-1 downto 0),
erdata(15 downto 0),
clk,
ewritel,
ewaddressl(eabits-1 downto 0),
ewdata(15 downto 0)
);
end generate;
end architecture;
| apache-2.0 | 4d7fb1991e87abacb671ef72066a8232 | 0.492597 | 3.550275 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/wr_status_flags_as.vhd | 19 | 20,484 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iisr0ydwFOm3eepmhOYSaxO3flYpViRsLN97vKyw+ai+x1TubmaH8qRRwK/QFeVsjlGTFdxookcr
olQwv0bmdw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dJvTzz+PoD3n2Ot9SgKfpEhIshJxklhDhS1tYcrcmprfs5wN+lN+5Y+o9jEEql61IqDkJEIGu0xp
zaDWEeMqwkFuovmZnp/AnbrHb7R/19zPRtwSyZ8+VQRLsRMgscwutXu29fTUST6Ribitutae85tQ
1okc5mYK0mcSMIggcMg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZijKIWnBSOuwn6R4ZrzJp1qaSPGZMrP8GTp+SV+Sn9xEivGxLJtGM40xMLXxiYuxIopDD/A1usG6
HkSoNT6OzxHJWKkUEyyVzrZuJdNHJ5q3s3y5LSNY7eMxN9lY4/gygh7aVIBAO9YWzsWu3HLtrHA5
2vsUFQxQdkG5OTLVP1rH68P4j/dhqr/LVHw+9H76c/knGyalpHLRC7tnHQcfuezFJWlkzaNGHfUo
b5cE1YTvtdlZVmw2sVG/GbXIRi5fq3+Okdy+JgckZ4dVWbI20rfa9LkI09/kwD3anyrnovVQVx9h
F0AxolVKVVyWNAaSu1fvXllqzrdJiRLbdnsq0Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LUajPw/jRTLlmEKb+9YylQ2jxw4jlSx/1GGaY1wFfWFdMwK2p0xvQMjui8K3EqJF0fnb3QNWuQDl
1vTtf04vcOAHkfRCeW7Mbp8qeUTtAsflGIPJDxHfVU8ZKprwANsENc8LVrpJ0WnjDFQIzJw7LDqc
Jj2TofWjKprdxXsMnu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KG6kiSPrd66zvVpG96eKD+783ebVLVFNF7pXgq+rCyBBRoa0N9Hp3DIWK5125mkICodI82zuSq6k
C8aCiPbDiv4tiuIn19WDNNPL4ncknL0KLZTLAkq0BIQIsnFNRaZegM9aXOdMYGKYLpnjSD9KRWRt
WPXPZfwprSu2D7PeDZMiij3MY+cixttgVmNfcx9Kkmvg+1B5sTSDTVs3fqpJBBO1YslTmxyJAIC6
uDuGqvQ1138z6f4f+f8vMXratK1Ypo3jPPb4FTNLYJio5Vd1Nbpl9kRRtj801Ie0GGhbggK6IXJx
785o6wX6g3tRyoHXGJ4DGUmWlIHATg0KIAflYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
| bsd-2-clause | 98f8c15676ed6c0e305dbd665768bfc4 | 0.941906 | 1.837624 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/rd_status_flags_sshft.vhd | 19 | 19,232 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WOJX5Fv2S0CzprysR8KMEndET58Nnshq5G41sUF8nyr23cEOOYS3xFWHzDNrh0BglAkKcA2/EcsL
0Mi0zP+UFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gc0ueCwDN9OX/N8ZykP2NxXOhHr0aqi823TAFhXP2T3sZajOBosaRN5Om/T8R3LfwK7+baNKGGz+
UJk1ogy8JwdYWmJV85/JpyrrDFtvClJsQxdfCiEg0IVlJhvJlhs6FCZi5Rj8qwlvbn+/sc8hT0BX
IEC/9Hv+yH9f2HZIeiw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gOAtaUsYvJmoKivS2pd7kBeODY1Q4VX+agLZ2/SaxV/BkQgGuuCLHYg9eGdXBmjxTqXO35IrXGnw
8lzEMm8YS53SBgfLbyNKtLJ5Qej5jTli3Hhz2BXRqoQonahfpMOh6WT/32Mi5HxamPl3+Ad8Dyj3
AbqGosJ8LBJRb65Babsp/E0dGGngj0nJjmmY8NHpqNTG489434uBxC5ykK4ltOheXkVJtXSHoR2s
c+RXEPDO94CZYlHnY9b3pUqLafSVqXTeYuw//0PIJQNmrXYuvkdozgm129vQnlKXVGzYsK5DUlRz
Q+VO09C3aal1Ga70326sWIG6XdhCFEnAfQoucQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3INKfUgfMTydNk3PjPUP24H0r2p1C85cOfDxce4LgEKtine/HDrFDahWRWORtm3mNUVaknW/GXSC
5KErdi7NyQ5+CFdf2MMmaC9h7nGYKW8O4nbf09hLlm3blRBSd2i3h46PihYy7iaS3Q+Z7JKvWuiD
J79EKDKw4Kqn3mmg3iQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YHV/PdEXZA1kC+N7hsk5uDSJPgfJRc2Sgeu6l1dsNtZhWFmXeBe9vCszID1P11I6wOICxCc/uQgT
A2JL79m9I3kuY9Ji47hSGH6+xG4kfTKsYaTVdl+16SjuG/YaIhBwQfN13p/8IGQ6FysnYNYR5siA
+0Lm6CwAYBXVRwsuIA3R9dSPKgq+Sbk3MQCuaqKXbxHiA5oAAI2R3Gz78f9hrvy4Cj5P6dJ+TbkJ
j9bOdpZE4W6tXHasCVI4EqJlfqQQ48uWK076fFPDGpd19w+K6NBgkvxxlXDC1t90ZvbdFgDD30L/
SOFjS0BafCCf2aKaRk8VIdeBs9pr4wj9gMwZYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
| bsd-2-clause | 3d99a79275fe3b41f9d1c95f51109242 | 0.93984 | 1.856191 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/misclib/axi4_uart.vhd | 1 | 14,931 | --!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
--! AMBA system bus specific library.
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
library misclib;
use misclib.types_misc.all;
entity axi4_uart is
generic (
async_reset : boolean := false;
xaddr : integer := 0;
xmask : integer := 16#fffff#;
xirq : integer := 0;
fifosz : integer := 16
);
port (
clk : in std_logic;
nrst : in std_logic;
cfg : out axi4_slave_config_type;
i_uart : in uart_in_type;
o_uart : out uart_out_type;
i_axi : in axi4_slave_in_type;
o_axi : out axi4_slave_out_type;
o_irq : out std_logic
);
end;
architecture arch_axi4_uart of axi4_uart is
constant xconfig : axi4_slave_config_type := (
descrtype => PNP_CFG_TYPE_SLAVE,
descrsize => PNP_CFG_SLAVE_DESCR_BYTES,
irq_idx => conv_std_logic_vector(xirq, 8),
xaddr => conv_std_logic_vector(xaddr, CFG_SYSBUS_CFG_ADDR_BITS),
xmask => conv_std_logic_vector(xmask, CFG_SYSBUS_CFG_ADDR_BITS),
vid => VENDOR_GNSSSENSOR,
did => GNSSSENSOR_UART
);
constant zero32 : std_logic_vector(31 downto 0) := (others => '0');
type fifo_mem is array (0 to fifosz-1) of std_logic_vector(7 downto 0);
type state_type is (idle, startbit, data, parity, stopbit);
type fifo_in_type is record
raddr : integer range 0 to fifosz-1;
waddr : integer range 0 to fifosz-1;
we : std_logic;
wdata : std_logic_vector(7 downto 0);
end record;
constant fifo_in_none : fifo_in_type := (0, 0, '0', X"00");
signal rfifoi : fifo_in_type;
signal rx_fifo_rdata : std_logic_vector(7 downto 0);
signal rx_fifo : fifo_mem;
signal tfifoi : fifo_in_type;
signal tx_fifo_rdata : std_logic_vector(7 downto 0);
signal tx_fifo : fifo_mem;
type registers is record
tx_state : state_type;
tx_wr_cnt : std_logic_vector(log2(fifosz)-1 downto 0);
tx_rd_cnt : std_logic_vector(log2(fifosz)-1 downto 0);
tx_byte_cnt : std_logic_vector(log2(fifosz)-1 downto 0);
tx_shift : std_logic_vector(10 downto 0); --! stopbit=1,parity=xor,data[7:0],startbit=0
tx_data_cnt : integer range 0 to 11;
tx_scaler_cnt : std_logic_vector(31 downto 0);
tx_level : std_logic;
tx_irq_thresh : std_logic_vector(log2(fifosz)-1 downto 0);
tx_more_thresh : std_logic_vector(1 downto 0);
rx_state : state_type;
rx_wr_cnt : std_logic_vector(log2(fifosz)-1 downto 0);
rx_rd_cnt : std_logic_vector(log2(fifosz)-1 downto 0);
rx_byte_cnt : std_logic_vector(log2(fifosz)-1 downto 0);
rx_shift : std_logic_vector(7 downto 0);
rx_data_cnt : integer range 0 to 7;
rx_scaler_cnt : std_logic_vector(31 downto 0);
rx_level : std_logic;
rx_irq_thresh : std_logic_vector(log2(fifosz)-1 downto 0);
rx_more_thresh : std_logic_vector(1 downto 0);
rdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
scaler : std_logic_vector(31 downto 0);
err_parity : std_logic;
err_stopbit : std_logic;
parity_bit : std_logic;
tx_irq_ena : std_logic;
rx_irq_ena : std_logic;
fwcpuid : std_logic_vector(31 downto 0);
end record;
constant R_RESET : registers := (
idle, -- tx_state
(others => '0'), (others => '0'), -- tx_wr_cnt, tx_rd_cnt
(others => '0'), -- tx_byte_cnt
(others => '0'), -- tx_shift
0, -- tx_data_cnt
(others => '0'), -- tx_scaler_cnt
'0', -- tx_level
(others => '0'), -- tx_irq_thresh
(others => '0'), -- tx_more_thresh
idle, -- rx_state
(others => '0'), (others => '0'), -- rx_wr_cnt , rx_rd_cnt
(others => '0'), -- rx_byte_cnt
(others => '0'), -- rx_shift
0, -- rx_data_cnt
(others => '0'), -- rx_scaler_cnt
'1', -- rx_level
(others => '0'), -- rx_irq_thresh
(others => '0'), -- rx_more_thresh
(others => '0'), -- rdata
(others => '0'), -- scaler
'0', -- err_parity
'0', -- err_stopbit
'0', -- parity_bit
'0', -- tx_irq_ena
'0', -- rx_irq_ena
(others => '0')); -- fwcpuid
signal r, rin : registers;
signal wb_bus_raddr : global_addr_array_type;
signal w_bus_re : std_logic;
signal wb_bus_waddr : global_addr_array_type;
signal w_bus_we : std_logic;
signal wb_bus_wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
signal wb_bus_wdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
begin
axi0 : axi4_slave generic map (
async_reset => async_reset
) port map (
i_clk => clk,
i_nrst => nrst,
i_xcfg => xconfig,
i_xslvi => i_axi,
o_xslvo => o_axi,
i_ready => '1',
i_rdata => r.rdata,
o_re => w_bus_re,
o_r32 => open,
o_radr => wb_bus_raddr,
o_wadr => wb_bus_waddr,
o_we => w_bus_we,
o_wstrb => wb_bus_wstrb,
o_wdata => wb_bus_wdata
);
comblogic : process(nrst, i_uart, r, tx_fifo_rdata, rx_fifo_rdata,
w_bus_re, wb_bus_raddr, wb_bus_waddr, w_bus_we,
wb_bus_wstrb, wb_bus_wdata)
variable v : registers;
variable tmp : std_logic_vector(31 downto 0);
variable v_rfifoi : fifo_in_type;
variable v_tfifoi : fifo_in_type;
variable posedge_flag : std_logic;
variable negedge_flag : std_logic;
variable tx_fifo_empty : std_logic;
variable tx_fifo_full : std_logic;
variable rx_fifo_empty : std_logic;
variable rx_fifo_full : std_logic;
variable t_tx, t_rx : std_logic_vector(7 downto 0);
variable par : std_logic;
variable irq_ena : std_logic;
begin
v := r;
v_rfifoi := fifo_in_none;
v_rfifoi.raddr := conv_integer(r.rx_rd_cnt);
v_rfifoi.waddr := conv_integer(r.rx_wr_cnt);
v_rfifoi.wdata := r.rx_shift;
v_tfifoi := fifo_in_none;
v_tfifoi.raddr := conv_integer(r.tx_rd_cnt);
v_tfifoi.waddr := conv_integer(r.tx_wr_cnt);
-- Check FIFOs counters with thresholds:
v.tx_more_thresh := r.tx_more_thresh(0) & '0';
if r.tx_byte_cnt > r.tx_irq_thresh then
v.tx_more_thresh(0) := '1';
end if;
v.rx_more_thresh := r.rx_more_thresh(0) & '0';
if r.rx_byte_cnt > r.rx_irq_thresh then
v.rx_more_thresh(0) := '1';
end if;
irq_ena := '0';
if (r.tx_more_thresh(1) and not r.tx_more_thresh(0)) = '1' then
irq_ena := r.tx_irq_ena;
end if;
if (not r.rx_more_thresh(1) and r.rx_more_thresh(0)) = '1' then
irq_ena := irq_ena or r.rx_irq_ena;
end if;
-- system bus clock scaler to baudrate:
posedge_flag := '0';
negedge_flag := '0';
if r.scaler /= zero32 then
if r.tx_scaler_cnt = (r.scaler-1) then
v.tx_scaler_cnt := zero32;
v.tx_level := not r.tx_level;
posedge_flag := not r.tx_level;
else
v.tx_scaler_cnt := r.tx_scaler_cnt + 1;
end if;
if r.rx_state = idle and i_uart.rd = '1' then
v.rx_scaler_cnt := zero32;
v.rx_level := '1';
elsif r.rx_scaler_cnt = (r.scaler-1) then
v.rx_scaler_cnt := zero32;
v.rx_level := not r.rx_level;
negedge_flag := r.rx_level;
else
v.rx_scaler_cnt := r.rx_scaler_cnt + 1;
end if;
end if;
-- Transmitter's FIFO:
tx_fifo_full := '0';
if (r.tx_wr_cnt + 1) = r.tx_rd_cnt then
tx_fifo_full := '1';
end if;
tx_fifo_empty := '0';
if r.tx_rd_cnt = r.tx_wr_cnt then
tx_fifo_empty := '1';
v.tx_byte_cnt := (others => '0');
end if;
-- Receiver's FIFO:
rx_fifo_full := '0';
if (r.rx_wr_cnt + 1) = r.rx_rd_cnt then
rx_fifo_full := '1';
end if;
rx_fifo_empty := '0';
if r.rx_rd_cnt = r.rx_wr_cnt then
rx_fifo_empty := '1';
v.rx_byte_cnt := (others => '0');
end if;
-- Transmitter's state machine:
if i_uart.cts = '1' and posedge_flag = '1' then
case r.tx_state is
when idle =>
if tx_fifo_empty = '0' then
-- stopbit=1,parity=xor,data[7:0],startbit=0
t_tx := tx_fifo_rdata; --r.tx_fifo(conv_integer(r.tx_rd_cnt));
if r.parity_bit = '1' then
par := t_tx(7) xor t_tx(6) xor t_tx(5) xor t_tx(4)
xor t_tx(3) xor t_tx(2) xor t_tx(1) xor t_tx(0);
v.tx_shift := '1' & par & t_tx & '0';
else
v.tx_shift := "11" & t_tx & '0';
end if;
v.tx_state := startbit;
v.tx_rd_cnt := r.tx_rd_cnt + 1;
v.tx_byte_cnt := r.tx_byte_cnt - 1;
v.tx_data_cnt := 0;
end if;
when startbit =>
v.tx_state := data;
when data =>
if r.tx_data_cnt = 8 then
if r.parity_bit = '1' then
v.tx_state := parity;
else
v.tx_state := stopbit;
end if;
end if;
when parity =>
v.tx_state := stopbit;
when stopbit =>
v.tx_state := idle;
when others =>
end case;
if r.tx_state /= idle then
v.tx_data_cnt := r.tx_data_cnt + 1;
v.tx_shift := '1' & r.tx_shift(10 downto 1);
end if;
end if;
--! Receiver's state machine:
if negedge_flag = '1' then
case r.rx_state is
when idle =>
if i_uart.rd = '0' then
v.rx_state := data;
v.rx_shift := (others => '0');
v.rx_data_cnt := 0;
end if;
when data =>
v.rx_shift := i_uart.rd & r.rx_shift(7 downto 1);
if r.rx_data_cnt = 7 then
if r.parity_bit = '1' then
v.rx_state := parity;
else
v.rx_state := stopbit;
end if;
else
v.rx_data_cnt := r.rx_data_cnt + 1;
end if;
when parity =>
t_rx := r.rx_shift;
par := t_rx(7) xor t_rx(6) xor t_rx(5) xor t_rx(4)
xor t_rx(3) xor t_rx(2) xor t_rx(1) xor t_rx(0);
if par = i_uart.rd then
v.err_parity := '0';
else
v.err_parity := '1';
end if;
v.rx_state := stopbit;
when stopbit =>
if i_uart.rd = '0' then
v.err_stopbit := '1';
else
v.err_stopbit := '0';
end if;
if rx_fifo_full = '0' then
v_rfifoi.we := '1';
--v.rx_fifo(conv_integer(r.rx_wr_cnt)) := r.rx_shift;
v.rx_wr_cnt := r.rx_wr_cnt + 1;
v.rx_byte_cnt := r.rx_byte_cnt + 1;
end if;
v.rx_state := idle;
when others =>
end case;
end if;
o_uart.rts <= '1';
if r.tx_state = idle then
o_uart.td <= '1';
else
o_uart.td <= r.tx_shift(0);
end if;
for n in 0 to CFG_WORDS_ON_BUS-1 loop
tmp := (others => '0');
case conv_integer(wb_bus_raddr(n)(11 downto 2)) is
when 0 =>
tmp(1 downto 0) := tx_fifo_empty & tx_fifo_full;
tmp(5 downto 4) := rx_fifo_empty & rx_fifo_full;
tmp(9 downto 8) := r.err_stopbit & r.err_parity;
tmp(13) := r.rx_irq_ena;
tmp(14) := r.tx_irq_ena;
tmp(15) := r.parity_bit;
when 1 =>
tmp := r.scaler;
when 2 =>
tmp := r.fwcpuid;
when 4 =>
if rx_fifo_empty = '0' and w_bus_re = '1' then
tmp(7 downto 0) := rx_fifo_rdata;
v.rx_rd_cnt := r.rx_rd_cnt + 1;
v.rx_byte_cnt := r.rx_byte_cnt - 1;
end if;
when others =>
end case;
v.rdata(8*CFG_ALIGN_BYTES*(n+1)-1 downto 8*CFG_ALIGN_BYTES*n) := tmp;
end loop;
if w_bus_we = '1' then
for n in 0 to CFG_WORDS_ON_BUS-1 loop
if conv_integer(wb_bus_wstrb(CFG_ALIGN_BYTES*(n+1)-1 downto CFG_ALIGN_BYTES*n)) /= 0 then
tmp := wb_bus_wdata(8*CFG_ALIGN_BYTES*(n+1)-1 downto 8*CFG_ALIGN_BYTES*n);
case conv_integer(wb_bus_waddr(n)(11 downto 2)) is
when 0 =>
v.parity_bit := tmp(15);
v.tx_irq_ena := tmp(14);
v.rx_irq_ena := tmp(13);
when 1 =>
v.scaler := tmp;
v.rx_scaler_cnt := zero32;
v.tx_scaler_cnt := zero32;
when 2 =>
if r.fwcpuid = X"00000000" or tmp = X"00000000" then
v.fwcpuid := tmp;
end if;
when 4 =>
if tx_fifo_full = '0' then
v_tfifoi.we := '1';
v_tfifoi.wdata := tmp(7 downto 0);
v.tx_wr_cnt := r.tx_wr_cnt + 1;
v.tx_byte_cnt := r.tx_byte_cnt + 1;
end if;
when others =>
end case;
end if;
end loop;
end if;
if not async_reset and nrst = '0' then
v := R_RESET;
end if;
rin <= v;
rfifoi <= v_rfifoi;
tfifoi <= v_tfifoi;
o_irq <= irq_ena;
end process;
cfg <= xconfig;
-- fifo pseudo memory:
tfifo0 : process(clk, tfifoi, tx_fifo)
begin
if rising_edge(clk) then
if tfifoi.we = '1' then
tx_fifo(tfifoi.waddr) <= tfifoi.wdata;
end if;
end if;
tx_fifo_rdata <= tx_fifo(tfifoi.raddr);
end process;
rfifo0 : process(clk, rfifoi, rx_fifo)
begin
if rising_edge(clk) then
if rfifoi.we = '1' then
rx_fifo(rfifoi.waddr) <= rfifoi.wdata;
end if;
end if;
rx_fifo_rdata <= rx_fifo(rfifoi.raddr);
end process;
-- registers:
regs : process(clk, nrst)
begin
if async_reset and nrst = '0' then
r <= R_RESET;
elsif rising_edge(clk) then
r <= rin;
end if;
end process;
end; | apache-2.0 | 69018654a62b957f716994a4f2b40388 | 0.510883 | 3.176809 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/riverlib/core/stacktrbuf.vhd | 1 | 1,347 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2017 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Stack trace buffer on hardware level.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
entity StackTraceBuffer is
generic (
abits : integer := 5;
dbits : integer := 64
);
port (
i_clk : in std_logic;
i_raddr : in std_logic_vector(abits-1 downto 0);
o_rdata : out std_logic_vector(dbits-1 downto 0);
i_we : in std_logic;
i_waddr : in std_logic_vector(abits-1 downto 0);
i_wdata : in std_logic_vector(dbits-1 downto 0)
);
end;
architecture arch_StackTraceBuffer of StackTraceBuffer is
type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0);
signal stackbuf : ram_type;
signal raddr : std_logic_vector(abits-1 downto 0);
begin
-- registers:
regs : process(i_clk) begin
if rising_edge(i_clk) then
if i_we = '1' then
stackbuf(conv_integer(i_waddr)) <= i_wdata;
end if;
raddr <= i_raddr;
end if;
end process;
o_rdata <= stackbuf(conv_integer(raddr));
end;
| apache-2.0 | 726dfaf1bde3f26fb982b25a69c2dc7a | 0.570156 | 3.680328 | false | false | false | false |
quicky2000/top_chenillard | top_chenillard.vhd | 1 | 2,233 | --
-- This file is part of top_chenillard
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top_chenillard is
port(
clk : in std_logic;
w1a : inout std_logic_vector(15 downto 0);
w1b : inout std_logic_vector(15 downto 0);
w2c : inout std_logic_vector(15 downto 0);
rx : in std_logic;
tx : inout std_logic
);
end top_chenillard;
architecture Behavioral of top_chenillard is
component chenillard
port(
clk : in std_logic;
reset : in std_logic;
button : in std_logic;
led1 : out std_logic;
led2 : out std_logic;
led3 : out std_logic;
led4 : out std_logic
);
end component;
signal reset : std_logic;
signal pre_Q : std_logic_vector(23 downto 0);
signal clock_slow : std_logic;
begin
process(clk,reset)
begin
if reset = '1' then
pre_Q <= (others => '0');
elsif rising_edge(clk) then
pre_Q <= pre_Q + 1;
end if;
end process;
clock_slow <= pre_q(23);
chenillard_inst : chenillard
port map(
clk => clock_slow,
reset =>reset,
button => w1a(7),
led1 => w1a(6),
led2 => w1a(4),
led3 => w1a(2),
led4 => w1a(0)
);
reset <= '0';
end Behavioral;
| gpl-3.0 | f4ab2c7fd1913f13b8ad47dad70270f4 | 0.682938 | 3.153955 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/riverlib/core/arith/shift.vhd | 1 | 20,960 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2016 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Left/Right shifter arithmetic/logic 32/64 bits.
--!
--! @details Vivado synthesizer (2016.2) doesn't support shift
--! from dynamic value, so implement this mux.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
--! RIVER CPU specific library.
library riverlib;
--! RIVER CPU configuration constants.
use riverlib.river_cfg.all;
entity Shifter is
port (
i_a1 : in std_logic_vector(RISCV_ARCH-1 downto 0); -- Operand 1
i_a2 : in std_logic_vector(5 downto 0); -- Shift bits number
o_sll : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Logical shift left 64-bits operand
o_sllw : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Logical shift left 32-bits operand
o_srl : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Logical shift 64 bits
o_sra : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Arith. shift 64 bits
o_srlw : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Logical shift 32 bits
o_sraw : out std_logic_vector(RISCV_ARCH-1 downto 0) -- Arith. shift 32 bits
);
end;
architecture arch_Shifter of Shifter is
begin
comb : process(i_a1, i_a2)
variable wb_sll : std_logic_vector(63 downto 0);
variable wb_srl : std_logic_vector(63 downto 0);
variable wb_sra : std_logic_vector(63 downto 0);
variable wb_sllw : std_logic_vector(63 downto 0);
variable wb_srlw : std_logic_vector(63 downto 0);
variable wb_sraw : std_logic_vector(63 downto 0);
variable v64 : std_logic_vector(63 downto 0);
variable v32 : std_logic_vector(31 downto 0);
variable msk64 : std_logic_vector(63 downto 0);
variable msk32 : std_logic_vector(63 downto 0);
variable shift64 : integer range 0 to 63;
variable shift32 : integer range 0 to 31;
begin
v64 := i_a1;
v32 := i_a1(31 downto 0);
msk64 := (others => i_a1(63));
msk32 := (others => i_a1(31));
shift64 := conv_integer(i_a2);
shift32 := conv_integer(i_a2(4 downto 0));
case shift64 is
when 0 =>
wb_sll := v64;
wb_srl := v64;
wb_sra := v64;
when 1 =>
wb_sll := v64(62 downto 0) & "0";
wb_srl := "0" & v64(63 downto 1);
wb_sra := (msk64(63 downto 63) & v64(63 downto 1));
when 2 =>
wb_sll := v64(61 downto 0) & "00";
wb_srl := "00" & v64(63 downto 2);
wb_sra := (msk64(63 downto 62) & v64(63 downto 2));
when 3 =>
wb_sll := v64(60 downto 0) & "000";
wb_srl := "000" & v64(63 downto 3);
wb_sra := (msk64(63 downto 61) & v64(63 downto 3));
when 4 =>
wb_sll := v64(59 downto 0) & X"0";
wb_srl := X"0" & v64(63 downto 4);
wb_sra := (msk64(63 downto 60) & v64(63 downto 4));
when 5 =>
wb_sll := v64(58 downto 0) & X"0" & "0";
wb_srl := X"0" & "0" & v64(63 downto 5);
wb_sra := (msk64(63 downto 59) & v64(63 downto 5));
when 6 =>
wb_sll := v64(57 downto 0) & X"0" & "00";
wb_srl := X"0" & "00" & v64(63 downto 6);
wb_sra := (msk64(63 downto 58) & v64(63 downto 6));
when 7 =>
wb_sll := v64(56 downto 0) & X"0" & "000";
wb_srl := X"0" & "000" & v64(63 downto 7);
wb_sra := (msk64(63 downto 57) & v64(63 downto 7));
when 8 =>
wb_sll := v64(55 downto 0) & X"00";
wb_srl := X"00" & v64(63 downto 8);
wb_sra := (msk64(63 downto 56) & v64(63 downto 8));
when 9 =>
wb_sll := v64(54 downto 0) & X"00" & "0";
wb_srl := X"00" & "0" & v64(63 downto 9);
wb_sra := (msk64(63 downto 55) & v64(63 downto 9));
when 10 =>
wb_sll := v64(53 downto 0) & X"00" & "00";
wb_srl := X"00" & "00" & v64(63 downto 10);
wb_sra := (msk64(63 downto 54) & v64(63 downto 10));
when 11 =>
wb_sll := v64(52 downto 0) & X"00" & "000";
wb_srl := X"00" & "000" & v64(63 downto 11);
wb_sra := (msk64(63 downto 53) & v64(63 downto 11));
when 12 =>
wb_sll := v64(51 downto 0) & X"000";
wb_srl := X"000" & v64(63 downto 12);
wb_sra := (msk64(63 downto 52) & v64(63 downto 12));
when 13 =>
wb_sll := v64(50 downto 0) & X"000" & "0";
wb_srl := X"000" & "0" & v64(63 downto 13);
wb_sra := (msk64(63 downto 51) & v64(63 downto 13));
when 14 =>
wb_sll := v64(49 downto 0) & X"000" & "00";
wb_srl := X"000" & "00" & v64(63 downto 14);
wb_sra := (msk64(63 downto 50) & v64(63 downto 14));
when 15 =>
wb_sll := v64(48 downto 0) & X"000" & "000";
wb_srl := X"000" & "000" & v64(63 downto 15);
wb_sra := (msk64(63 downto 49) & v64(63 downto 15));
when 16 =>
wb_sll := v64(47 downto 0) & X"0000";
wb_srl := X"0000" & v64(63 downto 16);
wb_sra := (msk64(63 downto 48) & v64(63 downto 16));
when 17 =>
wb_sll := v64(46 downto 0) & X"0000" & "0";
wb_srl := X"0000" & "0" & v64(63 downto 17);
wb_sra := (msk64(63 downto 47) & v64(63 downto 17));
when 18 =>
wb_sll := v64(45 downto 0) & X"0000" & "00";
wb_srl := X"0000" & "00" & v64(63 downto 18);
wb_sra := (msk64(63 downto 46) & v64(63 downto 18));
when 19 =>
wb_sll := v64(44 downto 0) & X"0000" & "000";
wb_srl := X"0000" & "000" & v64(63 downto 19);
wb_sra := (msk64(63 downto 45) & v64(63 downto 19));
when 20 =>
wb_sll := v64(43 downto 0) & X"00000";
wb_srl := X"00000" & v64(63 downto 20);
wb_sra := (msk64(63 downto 44) & v64(63 downto 20));
when 21 =>
wb_sll := v64(42 downto 0) & X"00000" & "0";
wb_srl := X"00000" & "0" & v64(63 downto 21);
wb_sra := (msk64(63 downto 43) & v64(63 downto 21));
when 22 =>
wb_sll := v64(41 downto 0) & X"00000" & "00";
wb_srl := X"00000" & "00" & v64(63 downto 22);
wb_sra := (msk64(63 downto 42) & v64(63 downto 22));
when 23 =>
wb_sll := v64(40 downto 0) & X"00000" & "000";
wb_srl := X"00000" & "000" & v64(63 downto 23);
wb_sra := (msk64(63 downto 41) & v64(63 downto 23));
when 24 =>
wb_sll := v64(39 downto 0) & X"000000";
wb_srl := X"000000" & v64(63 downto 24);
wb_sra := (msk64(63 downto 40) & v64(63 downto 24));
when 25 =>
wb_sll := v64(38 downto 0) & X"000000" & "0";
wb_srl := X"000000" & "0" & v64(63 downto 25);
wb_sra := (msk64(63 downto 39) & v64(63 downto 25));
when 26 =>
wb_sll := v64(37 downto 0) & X"000000" & "00";
wb_srl := X"000000" & "00" & v64(63 downto 26);
wb_sra := (msk64(63 downto 38) & v64(63 downto 26));
when 27 =>
wb_sll := v64(36 downto 0) & X"000000" & "000";
wb_srl := X"000000" & "000" & v64(63 downto 27);
wb_sra := (msk64(63 downto 37) & v64(63 downto 27));
when 28 =>
wb_sll := v64(35 downto 0) & X"0000000";
wb_srl := X"0000000" & v64(63 downto 28);
wb_sra := (msk64(63 downto 36) & v64(63 downto 28));
when 29 =>
wb_sll := v64(34 downto 0) & X"0000000" & "0";
wb_srl := X"0000000" & "0" & v64(63 downto 29);
wb_sra := (msk64(63 downto 35) & v64(63 downto 29));
when 30 =>
wb_sll := v64(33 downto 0) & X"0000000" & "00";
wb_srl := X"0000000" & "00" & v64(63 downto 30);
wb_sra := (msk64(63 downto 34) & v64(63 downto 30));
when 31 =>
wb_sll := v64(32 downto 0) & X"0000000" & "000";
wb_srl := X"0000000" & "000" & v64(63 downto 31);
wb_sra := (msk64(63 downto 33) & v64(63 downto 31));
when 32 =>
wb_sll := v64(31 downto 0) & X"00000000";
wb_srl := X"00000000" & v64(63 downto 32);
wb_sra := (msk64(63 downto 32) & v64(63 downto 32));
when 33 =>
wb_sll := v64(30 downto 0) & X"00000000" & "0";
wb_srl := X"00000000" & "0" & v64(63 downto 33);
wb_sra := (msk64(63 downto 31) & v64(63 downto 33));
when 34 =>
wb_sll := v64(29 downto 0) & X"00000000" & "00";
wb_srl := X"00000000" & "00" & v64(63 downto 34);
wb_sra := (msk64(63 downto 30) & v64(63 downto 34));
when 35 =>
wb_sll := v64(28 downto 0) & X"00000000" & "000";
wb_srl := X"00000000" & "000" & v64(63 downto 35);
wb_sra := (msk64(63 downto 29) & v64(63 downto 35));
when 36 =>
wb_sll := v64(27 downto 0) & X"000000000";
wb_srl := X"000000000" & v64(63 downto 36);
wb_sra := (msk64(63 downto 28) & v64(63 downto 36));
when 37 =>
wb_sll := v64(26 downto 0) & X"000000000" & "0";
wb_srl := X"000000000" & "0" & v64(63 downto 37);
wb_sra := (msk64(63 downto 27) & v64(63 downto 37));
when 38 =>
wb_sll := v64(25 downto 0) & X"000000000" & "00";
wb_srl := X"000000000" & "00" & v64(63 downto 38);
wb_sra := (msk64(63 downto 26) & v64(63 downto 38));
when 39 =>
wb_sll := v64(24 downto 0) & X"000000000" & "000";
wb_srl := X"000000000" & "000" & v64(63 downto 39);
wb_sra := (msk64(63 downto 25) & v64(63 downto 39));
when 40 =>
wb_sll := v64(23 downto 0) & X"0000000000";
wb_srl := X"0000000000" & v64(63 downto 40);
wb_sra := (msk64(63 downto 24) & v64(63 downto 40));
when 41 =>
wb_sll := v64(22 downto 0) & X"0000000000" & "0";
wb_srl := X"0000000000" & "0" & v64(63 downto 41);
wb_sra := (msk64(63 downto 23) & v64(63 downto 41));
when 42 =>
wb_sll := v64(21 downto 0) & X"0000000000" & "00";
wb_srl := X"0000000000" & "00" & v64(63 downto 42);
wb_sra := (msk64(63 downto 22) & v64(63 downto 42));
when 43 =>
wb_sll := v64(20 downto 0) & X"0000000000" & "000";
wb_srl := X"0000000000" & "000" & v64(63 downto 43);
wb_sra := (msk64(63 downto 21) & v64(63 downto 43));
when 44 =>
wb_sll := v64(19 downto 0) & X"00000000000";
wb_srl := X"00000000000" & v64(63 downto 44);
wb_sra := (msk64(63 downto 20) & v64(63 downto 44));
when 45 =>
wb_sll := v64(18 downto 0) & X"00000000000" & "0";
wb_srl := X"00000000000" & "0" & v64(63 downto 45);
wb_sra := (msk64(63 downto 19) & v64(63 downto 45));
when 46 =>
wb_sll := v64(17 downto 0) & X"00000000000" & "00";
wb_srl := X"00000000000" & "00" & v64(63 downto 46);
wb_sra := (msk64(63 downto 18) & v64(63 downto 46));
when 47 =>
wb_sll := v64(16 downto 0) & X"00000000000" & "000";
wb_srl := X"00000000000" & "000" & v64(63 downto 47);
wb_sra := (msk64(63 downto 17) & v64(63 downto 47));
when 48 =>
wb_sll := v64(15 downto 0) & X"000000000000";
wb_srl := X"000000000000" & v64(63 downto 48);
wb_sra := (msk64(63 downto 16) & v64(63 downto 48));
when 49 =>
wb_sll := v64(14 downto 0) & X"000000000000" & "0";
wb_srl := X"000000000000" & "0" & v64(63 downto 49);
wb_sra := (msk64(63 downto 15) & v64(63 downto 49));
when 50 =>
wb_sll := v64(13 downto 0) & X"000000000000" & "00";
wb_srl := X"000000000000" & "00" & v64(63 downto 50);
wb_sra := (msk64(63 downto 14) & v64(63 downto 50));
when 51 =>
wb_sll := v64(12 downto 0) & X"000000000000" & "000";
wb_srl := X"000000000000" & "000" & v64(63 downto 51);
wb_sra := (msk64(63 downto 13) & v64(63 downto 51));
when 52 =>
wb_sll := v64(11 downto 0) & X"0000000000000";
wb_srl := X"0000000000000" & v64(63 downto 52);
wb_sra := (msk64(63 downto 12) & v64(63 downto 52));
when 53 =>
wb_sll := v64(10 downto 0) & X"0000000000000" & "0";
wb_srl := X"0000000000000" & "0" & v64(63 downto 53);
wb_sra := (msk64(63 downto 11) & v64(63 downto 53));
when 54 =>
wb_sll := v64(9 downto 0) & X"0000000000000" & "00";
wb_srl := X"0000000000000" & "00" & v64(63 downto 54);
wb_sra := (msk64(63 downto 10) & v64(63 downto 54));
when 55 =>
wb_sll := v64(8 downto 0) & X"0000000000000" & "000";
wb_srl := X"0000000000000" & "000" & v64(63 downto 55);
wb_sra := (msk64(63 downto 9) & v64(63 downto 55));
when 56 =>
wb_sll := v64(7 downto 0) & X"00000000000000";
wb_srl := X"00000000000000" & v64(63 downto 56);
wb_sra := (msk64(63 downto 8) & v64(63 downto 56));
when 57 =>
wb_sll := v64(6 downto 0) & X"00000000000000" & "0";
wb_srl := X"00000000000000" & "0" & v64(63 downto 57);
wb_sra := (msk64(63 downto 7) & v64(63 downto 57));
when 58 =>
wb_sll := v64(5 downto 0) & X"00000000000000" & "00";
wb_srl := X"00000000000000" & "00" & v64(63 downto 58);
wb_sra := (msk64(63 downto 6) & v64(63 downto 58));
when 59 =>
wb_sll := v64(4 downto 0) & X"00000000000000" & "000";
wb_srl := X"00000000000000" & "000" & v64(63 downto 59);
wb_sra := (msk64(63 downto 5) & v64(63 downto 59));
when 60 =>
wb_sll := v64(3 downto 0) & X"000000000000000";
wb_srl := X"000000000000000" & v64(63 downto 60);
wb_sra := (msk64(63 downto 4) & v64(63 downto 60));
when 61 =>
wb_sll := v64(2 downto 0) & X"000000000000000" & "0";
wb_srl := X"000000000000000" & "0" & v64(63 downto 61);
wb_sra := (msk64(63 downto 3) & v64(63 downto 61));
when 62 =>
wb_sll := v64(1 downto 0) & X"000000000000000" & "00";
wb_srl := X"000000000000000" & "00" & v64(63 downto 62);
wb_sra := (msk64(63 downto 2) & v64(63 downto 62));
when 63 =>
wb_sll := v64(0) & X"000000000000000" & "000";
wb_srl := X"000000000000000" & "000" & v64(63);
wb_sra := (msk64(63 downto 1) & v64(63));
end case;
case shift32 is
when 0 =>
wb_sllw(31 downto 0) := v32;
wb_srlw(31 downto 0) := v32;
wb_sraw := (msk32(63 downto 32) & v32);
when 1 =>
wb_sllw(31 downto 0) := v32(30 downto 0) & "0";
wb_srlw(31 downto 0) := "0" & v32(31 downto 1);
wb_sraw := (msk32(63 downto 31) & v32(31 downto 1));
when 2 =>
wb_sllw(31 downto 0) := v32(29 downto 0) & "00";
wb_srlw(31 downto 0) := "00" & v32(31 downto 2);
wb_sraw := (msk32(63 downto 30) & v32(31 downto 2));
when 3 =>
wb_sllw(31 downto 0) := v32(28 downto 0) & "000";
wb_srlw(31 downto 0) := "000" & v32(31 downto 3);
wb_sraw := (msk32(63 downto 29) & v32(31 downto 3));
when 4 =>
wb_sllw(31 downto 0) := v32(27 downto 0) & X"0";
wb_srlw(31 downto 0) := X"0" & v32(31 downto 4);
wb_sraw := (msk32(63 downto 28) & v32(31 downto 4));
when 5 =>
wb_sllw(31 downto 0) := v32(26 downto 0) & X"0" & "0";
wb_srlw(31 downto 0) := X"0" & "0" & v32(31 downto 5);
wb_sraw := (msk32(63 downto 27) & v32(31 downto 5));
when 6 =>
wb_sllw(31 downto 0) := v32(25 downto 0) & X"0" & "00";
wb_srlw(31 downto 0) := X"0" & "00" & v32(31 downto 6);
wb_sraw := (msk32(63 downto 26) & v32(31 downto 6));
when 7 =>
wb_sllw(31 downto 0) := v32(24 downto 0) & X"0" & "000";
wb_srlw(31 downto 0) := X"0" & "000" & v32(31 downto 7);
wb_sraw := (msk32(63 downto 25) & v32(31 downto 7));
when 8 =>
wb_sllw(31 downto 0) := v32(23 downto 0) & X"00";
wb_srlw(31 downto 0) := X"00" & v32(31 downto 8);
wb_sraw := (msk32(63 downto 24) & v32(31 downto 8));
when 9 =>
wb_sllw(31 downto 0) := v32(22 downto 0) & X"00" & "0";
wb_srlw(31 downto 0) := X"00" & "0" & v32(31 downto 9);
wb_sraw := (msk32(63 downto 23) & v32(31 downto 9));
when 10 =>
wb_sllw(31 downto 0) := v32(21 downto 0) & X"00" & "00";
wb_srlw(31 downto 0) := X"00" & "00" & v32(31 downto 10);
wb_sraw := (msk32(63 downto 22) & v32(31 downto 10));
when 11 =>
wb_sllw(31 downto 0) := v32(20 downto 0) & X"00" & "000";
wb_srlw(31 downto 0) := X"00" & "000" & v32(31 downto 11);
wb_sraw := (msk32(63 downto 21) & v32(31 downto 11));
when 12 =>
wb_sllw(31 downto 0) := v32(19 downto 0) & X"000";
wb_srlw(31 downto 0) := X"000" & v32(31 downto 12);
wb_sraw := (msk32(63 downto 20) & v32(31 downto 12));
when 13 =>
wb_sllw(31 downto 0) := v32(18 downto 0) & X"000" & "0";
wb_srlw(31 downto 0) := X"000" & "0" & v32(31 downto 13);
wb_sraw := (msk32(63 downto 19) & v32(31 downto 13));
when 14 =>
wb_sllw(31 downto 0) := v32(17 downto 0) & X"000" & "00";
wb_srlw(31 downto 0) := X"000" & "00" & v32(31 downto 14);
wb_sraw := (msk32(63 downto 18) & v32(31 downto 14));
when 15 =>
wb_sllw(31 downto 0) := v32(16 downto 0) & X"000" & "000";
wb_srlw(31 downto 0) := X"000" & "000" & v32(31 downto 15);
wb_sraw := (msk32(63 downto 17) & v32(31 downto 15));
when 16 =>
wb_sllw(31 downto 0) := v32(15 downto 0) & X"0000";
wb_srlw(31 downto 0) := X"0000" & v32(31 downto 16);
wb_sraw := (msk32(63 downto 16) & v32(31 downto 16));
when 17 =>
wb_sllw(31 downto 0) := v32(14 downto 0) & X"0000" & "0";
wb_srlw(31 downto 0) := X"0000" & "0" & v32(31 downto 17);
wb_sraw := (msk32(63 downto 15) & v32(31 downto 17));
when 18 =>
wb_sllw(31 downto 0) := v32(13 downto 0) & X"0000" & "00";
wb_srlw(31 downto 0) := X"0000" & "00" & v32(31 downto 18);
wb_sraw := (msk32(63 downto 14) & v32(31 downto 18));
when 19 =>
wb_sllw(31 downto 0) := v32(12 downto 0) & X"0000" & "000";
wb_srlw(31 downto 0) := X"0000" & "000" & v32(31 downto 19);
wb_sraw := (msk32(63 downto 13) & v32(31 downto 19));
when 20 =>
wb_sllw(31 downto 0) := v32(11 downto 0) & X"00000";
wb_srlw(31 downto 0) := X"00000" & v32(31 downto 20);
wb_sraw := (msk32(63 downto 12) & v32(31 downto 20));
when 21 =>
wb_sllw(31 downto 0) := v32(10 downto 0) & X"00000" & "0";
wb_srlw(31 downto 0) := X"00000" & "0" & v32(31 downto 21);
wb_sraw := (msk32(63 downto 11) & v32(31 downto 21));
when 22 =>
wb_sllw(31 downto 0) := v32(9 downto 0) & X"00000" & "00";
wb_srlw(31 downto 0) := X"00000" & "00" & v32(31 downto 22);
wb_sraw := (msk32(63 downto 10) & v32(31 downto 22));
when 23 =>
wb_sllw(31 downto 0) := v32(8 downto 0) & X"00000" & "000";
wb_srlw(31 downto 0) := X"00000" & "000" & v32(31 downto 23);
wb_sraw := (msk32(63 downto 9) & v32(31 downto 23));
when 24 =>
wb_sllw(31 downto 0) := v32(7 downto 0) & X"000000";
wb_srlw(31 downto 0) := X"000000" & v32(31 downto 24);
wb_sraw := (msk32(63 downto 8) & v32(31 downto 24));
when 25 =>
wb_sllw(31 downto 0) := v32(6 downto 0) & X"000000" & "0";
wb_srlw(31 downto 0) := X"000000" & "0" & v32(31 downto 25);
wb_sraw := (msk32(63 downto 7) & v32(31 downto 25));
when 26 =>
wb_sllw(31 downto 0) := v32(5 downto 0) & X"000000" & "00";
wb_srlw(31 downto 0) := X"000000" & "00" & v32(31 downto 26);
wb_sraw := (msk32(63 downto 6) & v32(31 downto 26));
when 27 =>
wb_sllw(31 downto 0) := v32(4 downto 0) & X"000000" & "000";
wb_srlw(31 downto 0) := X"000000" & "000" & v32(31 downto 27);
wb_sraw := (msk32(63 downto 5) & v32(31 downto 27));
when 28 =>
wb_sllw(31 downto 0) := v32(3 downto 0) & X"0000000";
wb_srlw(31 downto 0) := X"0000000" & v32(31 downto 28);
wb_sraw := (msk32(63 downto 4) & v32(31 downto 28));
when 29 =>
wb_sllw(31 downto 0) := v32(2 downto 0) & X"0000000" & "0";
wb_srlw(31 downto 0) := X"0000000" & "0" & v32(31 downto 29);
wb_sraw := (msk32(63 downto 3) & v32(31 downto 29));
when 30 =>
wb_sllw(31 downto 0) := v32(1 downto 0) & X"0000000" & "00";
wb_srlw(31 downto 0) := X"0000000" & "00" & v32(31 downto 30);
wb_sraw := (msk32(63 downto 2) & v32(31 downto 30));
when 31 =>
wb_sllw(31 downto 0) := v32(0) & X"0000000" & "000";
wb_srlw(31 downto 0) := X"0000000" & "000" & v32(31 downto 31);
wb_sraw := (msk32(63 downto 1) & v32(31 downto 31));
end case;
-- Take into account case when shift = 0 and input value a[31]=1
wb_srlw(63 downto 32) := (others => wb_srlw(31));
wb_sllw(63 downto 32) := (others => wb_sllw(31));
o_sll <= wb_sll;
o_srl <= wb_srl;
o_sra <= wb_sra;
o_sllw <= wb_sllw;
o_srlw <= wb_srlw;
o_sraw <= wb_sraw;
end process;
end;
| apache-2.0 | 8b7f77665ba40ef12edf85829d454aa3 | 0.519132 | 2.881496 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/rocketlib/types_rocket.vhd | 1 | 16,150 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief System Top level modules and interconnect declarations.
-----------------------------------------------------------------------------
--! Standard library.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library commonlib;
use commonlib.types_common.all;
--! Technology definition library.
library techmap;
use techmap.gencomp.all;
--! CPU, System Bus and common peripheries library.
library ambalib;
use ambalib.types_amba4.all;
--! @brief Declaration of components visible on SoC top level.
package types_rocket is
--! @name Scala inherited constants.
--! @brief The following constants were define in Rocket-chip generator.
--! @{
--! @brief Bits allocated for the memory tag value.
--! @details This value is defined \i Config.scala and depends of others
--! configuration paramters, like number of master, clients, channels
--! and so on. It is not used in VHDL implemenation.
constant MEM_TAG_BITS : integer := 6;
--! @brief SCALA generated value. Not used in VHDL.
constant MEM_ADDR_BITS : integer := 26;
--! @}
--! @name Rocket Chip interrupt pins
--!
--! Interrupts types:
--! 1. Local (inside tile) Software interrupts
--! 2. Local (inside tile) interrupts from timer
--! 3. External (global) interrupts from PLIC (Platorm-Level Interrupt Controller).
--! @}
constant CFG_CORE_IRQ_DEBUG : integer := 0;
--! Local Timer's interrupt (machine mode)
constant CFG_CORE_IRQ_MTIP : integer := CFG_CORE_IRQ_DEBUG + 1;
--! Local sofware interrupt (machine mode)
constant CFG_CORE_IRQ_MSIP : integer := CFG_CORE_IRQ_MTIP + 1;
--! External PLIC's interrupt (machine mode)
constant CFG_CORE_IRQ_MEIP : integer := CFG_CORE_IRQ_MSIP + 1;
--! External PLIC's interrupt (superuser mode)
constant CFG_CORE_IRQ_SEIP : integer := CFG_CORE_IRQ_MEIP + 1;
-- Total number of implemented interrupts
constant CFG_CORE_IRQ_TOTAL : integer := CFG_CORE_IRQ_SEIP + 1;
--! @}
--! @name Memory Transaction types.
--! @details TileLinkIO interface uses these constant to identify the payload
--! size of the transaction.
--! @{
constant MT_B : integer := 0; --! int8_t Memory Transaction.
constant MT_H : integer := 1; --! int16_t Memory Transaction.
constant MT_W : integer := 2; --! int32_t Memory Transaction.
constant MT_D : integer := 3; --! int64_t Memory Transaction.
constant MT_BU : integer := 4; --! uint8_t Memory Transaction.
constant MT_HU : integer := 5; --! uint16_t Memory Transaction.
constant MT_WU : integer := 6; --! uint32_t Memory Transaction.
constant MT_Q : integer := 7; --! AXI data-width Memory Transaction (default 128-bits).
--! @}
--! @brief Memory operation types
--! @details The union bits [5:1] contains information about current transaction
constant M_XRD : std_logic_vector(4 downto 0) := "00000"; --! int load
constant M_XWR : std_logic_vector(4 downto 0) := "00001"; --! int store
constant M_PFR : std_logic_vector(4 downto 0) := "00010"; --! prefetch with intent to read
constant M_PFW : std_logic_vector(4 downto 0) := "00011"; --! prefetch with intent to write
constant M_XA_SWAP : std_logic_vector(4 downto 0) := "00100";
constant M_NOP : std_logic_vector(4 downto 0) := "00101";
constant M_XLR : std_logic_vector(4 downto 0) := "00110";
constant M_XSC : std_logic_vector(4 downto 0) := "00111";
constant M_XA_ADD : std_logic_vector(4 downto 0) := "01000";
constant M_XA_XOR : std_logic_vector(4 downto 0) := "01001";
constant M_XA_OR : std_logic_vector(4 downto 0) := "01010";
constant M_XA_AND : std_logic_vector(4 downto 0) := "01011";
constant M_XA_MIN : std_logic_vector(4 downto 0) := "01100";
constant M_XA_MAX : std_logic_vector(4 downto 0) := "01101";
constant M_XA_MINU : std_logic_vector(4 downto 0) := "01110";
constant M_XA_MAXU : std_logic_vector(4 downto 0) := "01111";
constant M_FLUSH : std_logic_vector(4 downto 0) := "10000"; --! write back dirty data and cede R/W permissions
constant M_PRODUCE : std_logic_vector(4 downto 0) := "10001"; --! write back dirty data and cede W permissions
constant M_CLEAN : std_logic_vector(4 downto 0) := "10011"; --! write back dirty data and retain R/W permissions
function isAMO(cmd : std_logic_vector(4 downto 0)) return std_logic;
--def isPrefetch(cmd: UInt) = cmd === M_PFR || cmd === M_PFW
--def isRead(cmd: UInt) = cmd === M_XRD || cmd === M_XLR || cmd === M_XSC || isAMO(cmd)
function isWrite(cmd : std_logic_vector(4 downto 0)) return std_logic;
--def isWriteIntent(cmd: UInt) = isWrite(cmd) || cmd === M_PFW || cmd === M_XLR
--! <Definitions.scala> Object Acquire {}
constant ACQUIRE_GET_SINGLE_DATA_BEAT : std_logic_vector(2 downto 0) := "000"; -- Get a single beat of data
constant ACQUIRE_GET_BLOCK_DATA : std_logic_vector(2 downto 0) := "001"; -- Get a whole block of data
constant ACQUIRE_PUT_SINGLE_DATA_BEAT : std_logic_vector(2 downto 0) := "010"; -- Put a single beat of data.
constant ACQUIRE_PUT_BLOCK_DATA : std_logic_vector(2 downto 0) := "011"; -- Put a whole block of data.
constant ACQUIRE_PUT_ATOMIC_DATA : std_logic_vector(2 downto 0) := "100"; -- Performe an atomic memory op
constant ACQUIRE_GET_PREFETCH_BLOCK : std_logic_vector(2 downto 0) := "101"; -- Prefetch a whole block of data
constant ACQUIRE_PUT_PREFETCH_BLOCK : std_logic_vector(2 downto 0) := "110"; -- Prefetch a whole block of data, with intent to write
--! <tilelink.scala> Object Grant {}
constant GRANT_ACK_RELEASE : std_logic_vector(3 downto 0) := "0000"; -- For acking Releases
constant GRANT_ACK_PREFETCH : std_logic_vector(3 downto 0) := "0001"; -- For acking any kind of Prefetch
constant GRANT_ACK_NON_PREFETCH_PUT : std_logic_vector(3 downto 0) := "0011"; -- For acking any kind of non-prfetch Put
constant GRANT_SINGLE_BEAT_GET : std_logic_vector(3 downto 0) := "0100"; -- Supplying a single beat of Get
constant GRANT_BLOCK_GET : std_logic_vector(3 downto 0) := "0101"; -- Supplying all beats of a GetBlock
--! MESI coherence
constant CACHED_ACQUIRE_SHARED : std_logic_vector(2 downto 0) := "000"; -- get
constant CACHED_ACQUIRE_EXCLUSIVE : std_logic_vector(2 downto 0) := "001"; -- put
constant CACHED_GRANT_SHARED : std_logic_vector(3 downto 0) := "0000";
constant CACHED_GRANT_EXCLUSIVE : std_logic_vector(3 downto 0) := "0001";
constant CACHED_GRANT_EXCLUSIVE_ACK : std_logic_vector(3 downto 0) := "0010";
--! @brief Memory Operation size decoder
--! @details TileLink bus has encoded Memory Operation size
--! in the union[n+1:n] bits of the acquire request.
--! @warning Sign bit isn't transmitted in union since 20160930.
constant MEMOP_XSIZE_TOTAL : integer := 8;
type memop_xsize_type is array (0 to MEMOP_XSIZE_TOTAL-1) of std_logic_vector(2 downto 0);
constant opSizeToXSize : memop_xsize_type := (
MT_B => "000",
MT_H => "001",
MT_W => "010",
MT_D => "011",
MT_BU => "100",
MT_HU => "101",
MT_WU => "110",
MT_Q => conv_std_logic_vector(log2(CFG_SYSBUS_DATA_BYTES),3)
);
type tile_in_type is record
a_ready : std_logic;
b_valid : std_logic;
b_opcode : std_logic_vector(2 downto 0);
b_param : std_logic_vector(1 downto 0);
b_size : std_logic_vector(3 downto 0);
b_source : std_logic_vector(2 downto 0);
b_address : std_logic_vector(31 downto 0);
b_mask : std_logic_vector(7 downto 0);
b_data : std_logic_vector(63 downto 0);
c_ready : std_logic;
d_valid : std_logic;
d_opcode : std_logic_vector(2 downto 0);
d_param : std_logic_vector(1 downto 0);
d_size : std_logic_vector(3 downto 0);
d_source : std_logic_vector(2 downto 0);
d_sink : std_logic_vector(3 downto 0);
d_addr_lo : std_logic_vector(2 downto 0);
d_data : std_logic_vector(63 downto 0);
d_error : std_logic;
e_ready : std_logic;
end record;
type tile_out_type is record
a_valid : std_logic;
a_opcode : std_logic_vector(2 downto 0);
a_param : std_logic_vector(2 downto 0);
a_size : std_logic_vector(3 downto 0);
a_source : std_logic_vector(2 downto 0);
a_address : std_logic_vector(31 downto 0);
a_mask : std_logic_vector(7 downto 0);
a_data : std_logic_vector(63 downto 0);
b_ready : std_logic;
c_valid : std_logic;
c_opcode : std_logic_vector(2 downto 0);
c_param : std_logic_vector(2 downto 0);
c_size : std_logic_vector(3 downto 0);
c_source : std_logic_vector(2 downto 0);
c_address : std_logic_vector(31 downto 0);
c_data : std_logic_vector(63 downto 0);
c_error : std_logic;
d_ready : std_logic;
e_valid : std_logic;
e_sink : std_logic_vector(3 downto 0);
end record;
--! @brief Decode Acquire request from the Cached/Uncached TileLink
--! @param[in] a_type Request type depends of the built_in flag
--! @param[in] built_in This flag defines cached or uncached request. For
--! the uncached this value is set to 1.
--! @param[in] u Union bits. This value is decoding depending of
--! types operation (rd/wr) and cached/uncached.
procedure procedureDecodeTileAcquire (
a_type : in std_logic_vector(2 downto 0);
built_in : in std_logic;
u : in std_logic_vector(10 downto 0);--was 16
write : out std_logic;
wmask : out std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
axi_sz : out std_logic_vector(2 downto 0);
byte_addr : out std_logic_vector(2 downto 0);
beat_cnt : out integer
);
--! @brief RocketTile component declaration.
--! @details This module implements Risc-V Core with L1-cache,
--! branch predictor and other stuffs of the RocketTile.
--! @param[in] xindex1 Cached Tile AXI master index
--! @param[in] xindex2 Uncached Tile AXI master index
--! @param[in] hartid Tile ID. At least 0 must be implemented.
--! @param[in] reset_vector Reset instruction pointer value.
--! @param[in] rst Reset signal with active HIGH level.
--! @param[in] soft_rst Software Reset via DSU
--! @param[in] clk_sys System clock (BUS/CPU clock).
--! @param[in] slvo Bus-to-Slave device signals.
--! @param[in] msti Bus-to-Master device signals.
--! @param[out] msto1 CachedTile-to-Bus request signals.
--! @param[out] msto2 UncachedTile-to-Bus request signals.
--! @param[in] interrupts Interrupts line supported by Rocket chip.
component rocket_l1only is
generic (
hartid : integer := 0;
reset_vector : integer := 16#1000#
);
port (
nrst : in std_logic;
clk_sys : in std_logic;
msti1 : in axi4_master_in_type;
msto1 : out axi4_master_out_type;
mstcfg1 : out axi4_master_config_type;
msti2 : in axi4_master_in_type;
msto2 : out axi4_master_out_type;
mstcfg2 : out axi4_master_config_type;
interrupts : in std_logic_vector(CFG_CORE_IRQ_TOTAL-1 downto 0)
);
end component;
end; -- package declaration
--! -----------------
package body types_rocket is
function isAMO(cmd : std_logic_vector(4 downto 0))
return std_logic is
variable t1 : std_logic;
begin
t1 := '0';
if cmd = M_XA_SWAP then
t1 := '1';
end if;
return (cmd(3) or t1);
end;
function isWrite(cmd : std_logic_vector(4 downto 0))
return std_logic is
variable ret : std_logic;
begin
ret := isAMO(cmd);
if cmd = M_XWR then ret := '1'; end if;
if cmd = M_XSC then ret := '1'; end if;
return (ret);
end;
--! @brief Decode Acquire request from the Cached/Uncached TileLink
--! @param[in] a_type Request type depends of the built_in flag
--! @param[in] built_in This flag defines cached or uncached request. For
--! the uncached this value is set to 1.
--! @param[in] u Union bits. This value is decoding depending of
--! types operation (rd/wr) and cached/uncached.
procedure procedureDecodeTileAcquire(
a_type : in std_logic_vector(2 downto 0);
built_in : in std_logic;
u : in std_logic_vector(10 downto 0);--was 16
write : out std_logic;
wmask : out std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
axi_sz : out std_logic_vector(2 downto 0);
byte_addr : out std_logic_vector(2 downto 0);
beat_cnt : out integer
) is
begin
if built_in = '1' then
-- Cached request
case a_type is
when ACQUIRE_GET_SINGLE_DATA_BEAT =>
write := '0';
wmask := (others => '0');
--! union used as:
--! addr[2:0] & op_sz[1:0] & mem_op_code[M_SZ-1:0] & alloc[0]
--! [10:8][7:6][5:1][0]
byte_addr := u(10 downto 8);--tst.block.byte_addr;
axi_sz := opSizeToXSize(conv_integer(u(7 downto 6)));
beat_cnt := 0;
when ACQUIRE_GET_PREFETCH_BLOCK |
ACQUIRE_PUT_PREFETCH_BLOCK |
ACQUIRE_GET_BLOCK_DATA =>
-- cache line size / data bits width
write := '0';
wmask := (others => '0');
byte_addr := (others => '0');
axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3);
beat_cnt := 7;--3;--tlDataBeats-1;
when ACQUIRE_PUT_SINGLE_DATA_BEAT =>
-- Single beat data.
write := '1';
--! union used as:
--! wmask[log2(64)-1:0] & alloc[0]
wmask := u(CFG_SYSBUS_DATA_BYTES downto 1);
byte_addr := (others => '0');
axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3);
beat_cnt := 0;
when ACQUIRE_PUT_BLOCK_DATA =>
-- Multibeat data.
write := '1';
wmask := (others => '1');
byte_addr := (others => '0');
axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3);
beat_cnt := 7;--3;--tlDataBeats-1;
when ACQUIRE_PUT_ATOMIC_DATA =>
-- Single beat data. 64 bits width
write := '1';
--if CFG_NASTI_DATA_BITS = 128 then
-- if u(12) = '0' then
-- wmask(7 downto 0) := (others => '1');
-- wmask(15 downto 8) := (others => '0');
-- else
-- wmask(7 downto 0) := (others => '0');
-- wmask(15 downto 8) := (others => '1');
-- end if;
--else
wmask := (others => '1');
--end if;
byte_addr := (others => '0');
axi_sz := opSizeToXSize(conv_integer(u(7 downto 6)));
beat_cnt := 0;
when others =>
write := '0';
wmask := (others => '0');
byte_addr := (others => '0');
axi_sz := (others => '0');
beat_cnt := 0;
end case;
else --! built_in = '0'
--! Cached request
case a_type is
when CACHED_ACQUIRE_SHARED =>
--! Uncore/coherence/Metadata.scala
--! union = op_code[4:0] & '1';
write := '0';
wmask := (others => '0');
byte_addr := u(10 downto 8);--tst.block.byte_addr;
axi_sz := opSizeToXSize(conv_integer(u(7 downto 6)));
beat_cnt := 0;
when CACHED_ACQUIRE_EXCLUSIVE =>
-- Single beat data.
write := '1';
--! Uncore/coherence/Metadata.scala
--! union = op_code[4:0] & '1';
--! unclear how to manage it.
--wmask := u(CFG_NASTI_DATA_BYTES downto 1);
wmask := (others => '1');
byte_addr := (others => '0');
axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3);
beat_cnt := 0;
when others =>
write := '0';
wmask := (others => '0');
byte_addr := (others => '0');
axi_sz := (others => '0');
beat_cnt := 0;
end case;
end if;
end procedure;
end; -- package body
| apache-2.0 | d82a49a0d7d17889992ea48816e022f6 | 0.600124 | 3.444231 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/common/rd_pe_as.vhd | 19 | 25,238 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OHeaBmhw2WWXga/8pOVTMIzcYutI6Mhna2kzvZmeKvttg8GRcsMBDXpogvkdmdxp1KLLzWXMAKSV
fUAOBPVAvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ao3tKAmGrk9jDIJ5tmEl5p3MIRphIc7Vg/SqO4TER/rFDRMS3J83CwQ2b9YFrnde65FSvizCvsTV
0Knxkw8zoIma+TSgIxOnivhI3WBhgKeA2uGkUI4h7aI3JKyXt+ar8rATgfMIjtkwwZmXnAQdFAm/
DhnKD9KmESp1ihQZWxM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tIRCJBwrqw861TllYkYZisN+3Hf+P2JXRGH4rS3/mIyKaeRa8ciKvXh+DuDwE0CQ8FK1JKt0o7Wy
5niCab0pNdgMIWoeJTN4M3Yv3mIYHhxe/uhUY+qL9dbTdi1peu0ypGwB+pCVAaCMnYsMP87ovoxG
mFxz/aWHoq6z5hUiOqs/8QctFGTu5uGrqo/fDpwnQByfUDzc5kOGUXom+7Ix+u0CBnUzxUPMVE8H
FW15FWlEhZ2/WOv5odw8POvTaQir1St/I4TCBaM8Ne779Z1F4E4v1nyrImWHcYGt30Ex/kdASWup
x0rIb4g/F4zfpMwk2F9PI0IRzfsxsXBx1PSZmQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vDR9iZfmcKoc03DxzsUkjAUcoXZpLGp+jz9oB+bhIzk9fA1B+YkBJ4B6wGhxOSVsIGzj0A/2+sve
cYv4/y/PnMWoVJu5GAXMXsNWS0+yhRlFm65eqZTnif9T4BQLUfDB3Poe8t8+8qJraoiNha1dShh9
FtnafnjfaWlgFCK4DSo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P5OVsGiC3k02pbA8zjICborh5BXFBySD3cMhIIsNr8DZdx+UrjbiVbqZMU9Ry3hJ/1iX0Q8zDyFo
F6W3nmvV82n8xeQJN36fxUpz69izOLDYVC7B/XqC5I6fwrewIKThxTuK9lZtFdQHHrzj3T2ZDLDy
Z1+PK2wQ4cNjjft1DSS07aO+6gcWXb8X25cWmNGk/P6Hl0pzIcfFFHwO6Oq+bJ671kKmsX3jUKAg
DTTCgxx1Ex2XG0j8cWCnhZjmetyd9o4fKBdb10goxmIXB8/8Sn+4BcUJVLUQkMnRwy0YJGGtpiHs
ZxxUU5IU2sy5csUBb6rGbP4ap8jLGVFhtMQgiA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944)
`protect data_block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`protect end_protected
| bsd-2-clause | fae0fe2e9247d18c245ce0d3c4cbf6a1 | 0.943815 | 1.845963 | false | false | false | false |
mharndt/profibusmonitor | VHDL_Bausteine_old/TEST_CTRL_TELEGRAM_CHECK/CTRL_TELEGRAM_CHECK.vhd | 4 | 24,417 | -- CTRL_TELEGRAM_CHECK
-- Profibus Telegramtyp ermitteln, aktuelle Laenge und Telegram komplett anzeigen
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 02.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 28.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
-- Optimierungen aus: http://www.lothar-miller.de/s9y/categories/37-FSM
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_TELEGRAM_CHECK is
Port (TELEGRAM_RUN : in std_logic; --Eingangsvariable, Naechstes Telegram
BYTE_IN : in std_logic_vector (7 downto 0); --Eingangsvariable, Byte, 8bit
PARITY_OK : in std_logic; --Eingangsvariable, Paritaet i.O.
BYTE_CMPLT : in std_logic; --Eingangsvariable, BYTE komplett empfangen
PAUSE_END : in std_logic; --Eingangsvariable, Pause erkannt und beendet
TELEGRAM_STOP : in std_logic; --Eingangsvariable, nach Telegramm stoppen
ERROR_CTRL : in std_logic; --Eingangsvariable, Fehlerkontrolle
T_END : out std_logic; --Ausgangsvariable, Telegramm zu Ende
T_LENGTH : out std_logic_vector (7 downto 0); --Ausgangsvariable, Telegramlaenge, 8bit
T_TYPE : out std_logic_vector (3 downto 0); --Ausgangsvariable, Telegramtyp, 4bit
SEND_OUT : out std_logic; --Ausgangsvariable, Senden
PARITY_FAIL : out std_logic; --Ausgangsvariable, Paritaetsprüfung fehlerhaft
NO_ED : out std_logic; --Ausgangsvariable, kein Enddelimiter festgestellt
WORKING : out std_logic; --Ausgangsvariable, TELEGRAM_CHECK arbeitet
KNOWN_T : out std_logic; --Ausgangsvariable, Telegramm erkannt
UNKNOWN_BYTE : out std_logic; --Ausgangsvariable, BYTE nicht erkannt
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL_COUNT : in std_logic; --Eingangsvariable, Zähler anzeigen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_TELEGRAM_CHECK;
architecture Behavioral of CTRL_TELEGRAM_CHECK is
type TYPE_STATE is
(ST_TC_00, --Zustaende TELEGRAM_CHECK
ST_TC_01,
ST_TC_02,
ST_TC_03,
ST_TC_04,
ST_TC_05,
ST_TC_06,
ST_TC_07,
ST_TC_08,
ST_TC_09,
ST_TC_10,
ST_TC_11);
signal SV : TYPE_STATE := ST_TC_00; --Zustandsvariable
signal n_SV: TYPE_STATE := ST_TC_00; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE := ST_TC_00; --Zustandsvariable, Ausgang Master
signal COUNT : std_logic_vector (7 downto 0) := x"00"; -- Vektor, Telegrammlaenge, 8bit
signal n_COUNT : std_logic_vector (7 downto 0) := x"00"; -- Vektor, Telegrammlaenge, 8bit, neuer Wert
signal COUNT_M : std_logic_vector (7 downto 0) := x"00"; -- Vektor, Telegrammlaenge, 8bit, Ausgang Master
signal STATE_SV : std_logic_vector (7 downto 0) := x"00"; -- aktueller Zustand in 8 Bit, binär
signal STATE_n_SV : std_logic_vector (7 downto 0) := x"00"; -- Folgezustand in 8 Bit, binär
begin
SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TC_00;
COUNT_M <= x"00";
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then
SV_M <= n_SV;
COUNT_M <= n_COUNT;
else
SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then
SV <= ST_TC_00;
COUNT <= x"00";
else
if falling_edge(CLK)
then
SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
TELEGRAM_CHECK_PROC: process (SV, COUNT, TELEGRAM_RUN, PAUSE_END, BYTE_CMPLT, PARITY_OK, BYTE_IN, TELEGRAM_STOP, ERROR_CTRL) --Telegramme erkennen und Ende Telegram erkennen und ausgeben
begin
case SV is
when ST_TC_00 =>
if (TELEGRAM_RUN = '1')
then
if (PAUSE_END = '1')
then
--TC01
n_COUNT <= COUNT; --Zaehler erhöhen
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_01; --Zustandsübergang
else
--TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00;
end if;
else --TELEGRAM_RUN = '0'
-- TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00;
end if;
when ST_TC_01 =>
if (BYTE_CMPLT = '1')
then
if (PARITY_OK = '1')
then
if (BYTE_IN = x"10") --SD1 erkannt
then
--TC02
n_COUNT <= COUNT+1; --Zaehler erhöhen
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0001"; --SD1
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_02; --Zustandsübergang
else
if (BYTE_IN = x"68") --SD2 erkannt
then
--TC05
n_COUNT <= COUNT+1; --Zaehler erhöhen
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0010"; --SD2
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_03; --Zustandsübergang
else
if (BYTE_IN = x"A2") --SD3 erkannt
then
--TC08
n_COUNT <= COUNT+1; --Zaehler erhöhen
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0011"; --SD3
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_04; --Zustandsübergang
else
if (BYTE_IN = x"DC") --SD4 erkannt
then
--TC11
n_COUNT <= COUNT+1; --Zaehler erhöhen
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0100"; --SD4
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_05; --Zustandsübergang
else
if (BYTE_IN = x"E5") --SC erkannt
then
--TC14
n_COUNT <= COUNT+1; --Zaehler erhöhen
T_END <= '1'; --Telgeram Ende
T_LENGTH <= COUNT;
T_TYPE <= "1000"; --SC
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_07; --Zustandsübergang
else
if (TELEGRAM_STOP = '1')
then
--TC15
n_COUNT <= COUNT; --Zaehler bleibt gleich
T_END <= '0'; --Telgeram Ende
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '1'; --Unbekanntes BYTE
n_SV <= ST_TC_06; --Zustandsübergang
else
--TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00;
end if; --TELEGRAM_STOP
end if; --BYTE_IN =x"E5"
end if; --BYTE_IN = x"DC"
end if; --BYTE_IN = x"A2"
end if; --BYTE_IN = x"68"
end if; --BYTE_IN = x"10"
else ----PARITY_OK = '0'
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaets Fehler
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_08; --Zustandsuebergang
end if; --PARITY_OK = '1'
else --BYTE_CMPLT = '0'
--TC01
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_01;
end if; --BYTE_CMPLT = '1'
when ST_TC_02 =>
if (BYTE_CMPLT = '1')
then
if (PARITY_OK = '1')
then
if (COUNT = x"06")
then
if (BYTE_IN = x"16")
then
--TC04
n_COUNT <= COUNT;
T_END <= '1'; --Telegrammende erkannt
T_LENGTH <= COUNT;
T_TYPE <= "0001"; --SD1
SEND_OUT <= '1';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_07; --Zustandsuebergang
else
--TC18
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '1'; --kein Enddelimiter
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_10; --Zustandsuebergang
end if; --BYTE_IN = x"16"
else --not COUNT = x"06"
--TC02
n_COUNT <= COUNT+1;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0001"; --SD1
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --arbeitet
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_02;
end if; --COUNT = x"06"
else --PARITY_OK = '0'
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaets Fehler
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_08; --Zustandsuebergang
end if; --PARITY_OK = '1'
else --BYTE_CMPLT = '0'
--TC03
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0001"; --SD1
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_02;
end if; --BYTE_CMPLT = '1'
when ST_TC_03 =>
if (BYTE_CMPLT = '1')
then
if (PARITY_OK = '1')
then
if (BYTE_IN = x"16")
then
--TC07
n_COUNT <= COUNT;
T_END <= '1'; --Telegrammende erkannt
T_LENGTH <= COUNT;
T_TYPE <= "0010"; --SD2
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_07; --Zustandsuebergang
else
if (COUNT = x"FF") --255
then
--TC18
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '1'; --kein Enddelimiter
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_10; --Zustandsuebergang
else --not COUNT = x"FF"
--TC05
n_COUNT <= COUNT+1;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0010"; --SD2
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_03;
end if; --COUNT = x"FF"
end if; --BYTE_IN = x"16"
else --PARITY_OK = '0'
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaets Fehler
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_08; --Zustandsuebergang
end if; --PARITY_OK = '1'
else --BYTE_CMPLT = '0'
--TC06
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0010"; --SD2
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_03;
end if; --BYTE_CMPLT = '1'
when ST_TC_04 =>
if (BYTE_CMPLT = '1')
then
if (PARITY_OK = '1')
then
if (COUNT = x"0E") --14
then
if (BYTE_IN = x"16")
then
--TC10
n_COUNT <= COUNT;
T_END <= '1'; --Telegrammende erkannt
T_LENGTH <= COUNT;
T_TYPE <= "0011"; --SD3
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_07; --Zustandsuebergang
else --not BYTE_IN = x"16"
--TC18
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '1'; --kein Enddelimiter
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_10; --Zustandsuebergang
end if; --BYTE_IN = x"16"
else --not COUNT = x"0E"
--TC08
n_COUNT <= COUNT+1;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0011"; --SD3
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_04;
end if; --COUNT = x"0E"
else --PARITY_OK = '0'
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaets Fehler
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_08; --Zustandsuebergang
end if; --PARITY_OK = '1'
else --BYTE_CMPLT = '0'
--TC09
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0011"; --SD3
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_04;
end if; --BYTE_CMPLT = '1'
when ST_TC_05 =>
if (BYTE_CMPLT = '1')
then
if (PARITY_OK = '1')
then
if (COUNT = x"03")
then
--TC13
n_COUNT <= COUNT;
T_END <= '1'; --Telegrammende erkannt
T_LENGTH <= COUNT;
T_TYPE <= "0100"; --SD4
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_07; --Zustandsuebergang
else --not COUNT = x"03"
--TC11
n_COUNT <= COUNT+1;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0100"; --SD4
SEND_OUT <= '1'; --senden
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_05;
end if; --COUNT = x"03"
else --PARITY_OK = '0'
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaets Fehler
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_08; --Zustandsuebergang
end if; --PARITY_OK = '1'
else --BYTE_CMPLT = '0'
--TC12
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0100"; --SD4
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '1'; --laeuft
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_05;
end if; --BYTE_CMPLT = '1'
when ST_TC_06 =>
if (TELEGRAM_STOP = '1')
then
--TC15
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '1'; --Kein bekanntes Startdelimiter-Byte gefunden
n_SV <= ST_TC_06;
else
--TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00; --Zustandsuebergang
end if;
when ST_TC_07 =>
if (TELEGRAM_STOP = '1')
then
--TC16
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '1'; --Bekanntes Telegramm gefunden
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_07;
else
--TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00; --Zustandsuebergang
end if;
when ST_TC_08 =>
if (ERROR_CTRL = '1')
then
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaetsfehler festgestellt
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_09; --Zustandsuebergang
else
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaetsfehler festgestellt
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_08;
end if;
when ST_TC_09 =>
if (ERROR_CTRL = '1')
then
--TC17
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '1'; --Paritaetsfehler festgestellt
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_09;
else
-- TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00; --Zustandsuebergang
end if;
when ST_TC_10 =>
if (ERROR_CTRL = '1')
then
--TC18
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '1'; --Fehlendes Enddelimiter festgestellt
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_11; --Zustandsuebergang
else
--TC18
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '1'; --Fehlendes Enddelimiter festgestellt
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_10;
end if;
when ST_TC_11 =>
if (ERROR_CTRL = '1')
then
--TC18
n_COUNT <= COUNT;
T_END <= '0';
T_LENGTH <= COUNT;
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '1'; --Fehlendes Enddelimiter festgestellt
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_11;
else
-- TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00; --Zustandsuebergang
end if;
when others =>
-- TC00
n_COUNT <= x"00";
T_END <= '0';
T_LENGTH <= x"00";
T_TYPE <= "0000";
SEND_OUT <= '0';
PARITY_FAIL <= '0';
NO_ED <= '0';
WORKING <= '0';
KNOWN_T <= '0';
UNKNOWN_BYTE <= '0';
n_SV <= ST_TC_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, STATE_SV, STATE_n_SV, DISPL_COUNT, COUNT) -- Zustandsanzeige
begin
STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= STATE_SV(0); --Bit0
DISPL1_SV(1) <= STATE_SV(1); --Bit1
DISPL1_SV(2) <= STATE_SV(2); --Bit2
DISPL1_SV(3) <= STATE_SV(3); --Bit3
DISPL2_SV(0) <= STATE_SV(4); --usw.
DISPL2_SV(1) <= STATE_SV(5);
DISPL2_SV(2) <= STATE_SV(6);
DISPL2_SV(3) <= STATE_SV(7);
if (DISPL_COUNT = '1')
then
-- Zaehler anzeigen
DISPL1_n_SV(0) <= COUNT(0);
DISPL1_n_SV(1) <= COUNT(1);
DISPL1_n_SV(2) <= COUNT(2);
DISPL1_n_SV(3) <= COUNT(3);
DISPL2_n_SV(0) <= COUNT(4);
DISPL2_n_SV(1) <= COUNT(5);
DISPL2_n_SV(2) <= COUNT(6);
DISPL2_n_SV(3) <= COUNT(7);
else
--Folgezustand anzeigen
DISPL1_n_SV(0) <= STATE_n_SV(0);
DISPL1_n_SV(1) <= STATE_n_SV(1);
DISPL1_n_SV(2) <= STATE_n_SV(2);
DISPL1_n_SV(3) <= STATE_n_SV(3);
DISPL2_n_SV(0) <= STATE_n_SV(4);
DISPL2_n_SV(1) <= STATE_n_SV(5);
DISPL2_n_SV(2) <= STATE_n_SV(6);
DISPL2_n_SV(3) <= STATE_n_SV(7);
end if;
end process;
end Behavioral;
| gpl-2.0 | 3519b9b0f4c0629df3d343359ffe13d0 | 0.431626 | 3.357673 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/ambalib/axislv.vhd | 1 | 14,946 | --!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
library ambalib;
use ambalib.types_amba4.all;
entity axi4_slave is
generic (
async_reset : boolean
);
port (
i_clk : in std_logic;
i_nrst : in std_logic;
i_xcfg : in axi4_slave_config_type;
i_xslvi : in axi4_slave_in_type;
o_xslvo : out axi4_slave_out_type;
i_ready : in std_logic;
i_rdata : in std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
o_re : out std_logic;
o_r32 : out std_logic;
o_radr : out global_addr_array_type;
o_wadr : out global_addr_array_type;
o_we : out std_logic;
o_wstrb : out std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
o_wdata : out std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0)
);
end;
architecture arch_axi4_slave of axi4_slave is
--! Slave device states during reading value operation.
type axi_slave_rstatetype is (rwait, rhold, rtrans);
--! Slave device states during writting data operation.
type axi_slave_wstatetype is (wwait, wtrans);
--! @brief Template bank of registers for any slave device.
type axi_slave_bank_type is record
rstate : axi_slave_rstatetype;
wstate : axi_slave_wstatetype;
rburst : std_logic_vector(1 downto 0);
rsize : integer;
raddr : global_addr_array_type;
rlen : integer; --! AXI4 supports 256 burst operation
rid : std_logic_vector(CFG_SYSBUS_ID_BITS-1 downto 0);
rresp : std_logic_vector(1 downto 0); --! OK=0
ruser : std_logic_vector(CFG_SYSBUS_USER_BITS-1 downto 0);
rswap : std_logic;
rwaitready : std_logic; --! Reading wait state flag: 0=waiting. User's waitstates
wburst : std_logic_vector(1 downto 0); -- 0=INCREMENT
wsize : integer; -- code in range 0=1 Bytes upto 7=128 Bytes.
waddr : global_addr_array_type; --! 4 KB bank
wlen : integer; --! AXI4 supports 256 burst operation
wid : std_logic_vector(CFG_SYSBUS_ID_BITS-1 downto 0);
wresp : std_logic_vector(1 downto 0); --! OK=0
wuser : std_logic_vector(CFG_SYSBUS_USER_BITS-1 downto 0);
wswap : std_logic;
b_valid : std_logic;
end record;
--! Reset value of the template bank of registers of a slave device.
constant AXI_SLAVE_BANK_RESET : axi_slave_bank_type := (
rwait, wwait,
AXI_BURST_FIXED, 0, (others=>(others=>'0')), 0, (others=>'0'), AXI_RESP_OKAY, (others => '0'), '0', '1',
AXI_BURST_FIXED, 0, (others=>(others=>'0')), 0, (others=>'0'), AXI_RESP_OKAY, (others => '0'), '0', '0'
);
signal rin, r : axi_slave_bank_type;
begin
comblogic : process(i_nrst, i_xcfg, i_xslvi, i_ready, i_rdata, r)
variable v : axi_slave_bank_type;
variable traddr : std_logic_vector(CFG_SYSBUS_ADDR_BITS-1 downto 0);
variable twaddr : std_logic_vector(CFG_SYSBUS_ADDR_BITS-1 downto 0);
variable v_raddr_bus : global_addr_array_type;
variable v_raddr_bus_swp : global_addr_array_type;
variable v_raddr_bus_nxt : global_addr_array_type;
variable v_raddr_bus_nxt_swp : global_addr_array_type;
variable v_raddr_burst_nxt_swp : global_addr_array_type;
variable v_wadr_bus : global_addr_array_type;
variable v_wadr_bus_swp : global_addr_array_type;
variable v_waddr_burst_nxt_swp : global_addr_array_type;
variable v_re : std_logic;
variable v_r32 : std_logic;
variable v_radr : global_addr_array_type;
variable v_we : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
variable v_wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
variable v_wdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
variable v_aw_ready : std_logic;
variable v_w_ready : std_logic;
variable v_ar_ready : std_logic;
variable v_r_valid : std_logic;
variable v_r_last : std_logic;
variable vb_r_data : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
begin
v := r;
traddr := (i_xslvi.ar_bits.addr(CFG_SYSBUS_ADDR_BITS-1 downto 12) and (not i_xcfg.xmask))
& i_xslvi.ar_bits.addr(11 downto 0);
twaddr := (i_xslvi.aw_bits.addr(CFG_SYSBUS_ADDR_BITS-1 downto 12) and (not i_xcfg.xmask))
& i_xslvi.aw_bits.addr(11 downto 0);
for n in 0 to CFG_WORDS_ON_BUS-1 loop
v_raddr_bus(n) := traddr + n*CFG_ALIGN_BYTES;
v_raddr_bus_nxt(n) := v_raddr_bus(n) + XSizeToBytes(conv_integer(i_xslvi.ar_bits.size));
if i_xslvi.ar_bits.burst = AXI_BURST_WRAP then
v_raddr_bus_nxt(n)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= v_raddr_bus(n)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
end if;
v_wadr_bus(n) := twaddr + n*CFG_ALIGN_BYTES;
end loop;
v_re := '0';
v_r32 := '0';
v_radr(0) := (others => '0');
v_radr(1) := (others => '0');
-- Next hold read address while write transaction not finished
if i_xslvi.ar_bits.addr(2) = '0' then
v_raddr_bus_swp := v_raddr_bus;
else
v_raddr_bus_swp(0) := v_raddr_bus(1);
v_raddr_bus_swp(1) := v_raddr_bus(0);
end if;
-- Next read accepted address if no write request
if (i_xslvi.ar_bits.addr(2) = '0' and i_xslvi.ar_bits.size = "011") or
(i_xslvi.ar_bits.addr(2) = '1' and i_xslvi.ar_bits.size = "010") then
v_raddr_bus_nxt_swp := v_raddr_bus_nxt;
else
v_raddr_bus_nxt_swp(0) := v_raddr_bus_nxt(1);
v_raddr_bus_nxt_swp(1) := v_raddr_bus_nxt(0);
end if;
-- Next burst read address
if r.rsize = 4 then
v_raddr_burst_nxt_swp(0) := r.raddr(1) + r.rsize;
v_raddr_burst_nxt_swp(1) := r.raddr(0) + r.rsize;
if r.rburst = AXI_BURST_WRAP then
v_raddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.raddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
v_raddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.raddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
end if;
else
v_raddr_burst_nxt_swp(0) := r.raddr(0) + r.rsize;
v_raddr_burst_nxt_swp(1) := r.raddr(1) + r.rsize;
if r.rburst = AXI_BURST_WRAP then
v_raddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.raddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
v_raddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.raddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
end if;
end if;
-- Write swapped address
if i_xslvi.aw_bits.addr(2) = '0' then
v_wadr_bus_swp := v_wadr_bus;
else
v_wadr_bus_swp(0) := v_wadr_bus(1);
v_wadr_bus_swp(1) := v_wadr_bus(0);
end if;
-- Next burst write address
if r.wsize = 4 then
v_waddr_burst_nxt_swp(0) := r.waddr(1) + r.wsize;
v_waddr_burst_nxt_swp(1) := r.waddr(0) + r.wsize;
if r.wburst = AXI_BURST_WRAP then
v_waddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.waddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
v_waddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.waddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
end if;
else
v_waddr_burst_nxt_swp(0) := r.waddr(0) + r.wsize;
v_waddr_burst_nxt_swp(1) := r.waddr(1) + r.wsize;
if r.wburst = AXI_BURST_WRAP then
v_waddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.waddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
v_waddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5)
:= r.waddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5);
end if;
end if;
v_we := (others => '0');
v_ar_ready := '0';
v_r_valid := '0';
v_r_last := '0';
v_aw_ready := '0';
v_w_ready := '0';
-- Reading state machine:
case r.rstate is
when rwait =>
v_ar_ready := '1';
v_radr := v_raddr_bus_swp;
if i_xslvi.ar_valid = '1' then
if i_xslvi.aw_valid = '0' and r.wstate = wwait then
v_re := '1';
v.rstate := rtrans;
v.raddr := v_raddr_bus_nxt_swp;
else
v.rstate := rhold;
v.raddr := v_raddr_bus_swp;
end if;
if i_xslvi.ar_bits.size = "010" then
v_r32 := '1';
end if;
v.rswap := i_xslvi.ar_bits.addr(2);
v.rsize := XSizeToBytes(conv_integer(i_xslvi.ar_bits.size));
v.rburst := i_xslvi.ar_bits.burst;
v.rlen := conv_integer(i_xslvi.ar_bits.len);
v.rid := i_xslvi.ar_id;
v.rresp := AXI_RESP_OKAY;
v.ruser := i_xslvi.ar_user;
end if;
when rhold =>
v_radr := r.raddr;
if r.rsize = 4 then
v_r32 := '1';
end if;
if i_xslvi.aw_valid = '0' and r.wstate = wwait then
v_re := '1';
v.rstate := rtrans;
v.raddr := v_raddr_burst_nxt_swp;
end if;
when rtrans =>
v_r_valid := i_ready;
v_radr := r.raddr;
if r.rlen /= 0 then
v_re := '1'; -- request next burst read address even if no ready data
end if;
if r.rsize = 4 then
v_r32 := '1';
end if;
if i_xslvi.r_ready = '1' and i_ready = '1' then
if r.rsize = 4 then
v.rswap := not r.rswap;
end if;
v.raddr := v_raddr_burst_nxt_swp;
-- End of transaction (or process another one):
if r.rlen = 0 then
v_r_last := '1';
v_ar_ready := '1';
v_radr := v_raddr_bus_swp;
if i_xslvi.ar_valid = '1' then
if i_xslvi.aw_valid = '0' and r.wstate = wwait then
v_re := '1';
v.rstate := rtrans;
v.raddr := v_raddr_bus_nxt_swp;
else
v.rstate := rhold;
v.raddr := v_raddr_bus_swp;
end if;
if i_xslvi.ar_bits.size = "010" then
v_r32 := '1';
end if;
v.rswap := i_xslvi.ar_bits.addr(2);
v.rsize := XSizeToBytes(conv_integer(i_xslvi.ar_bits.size));
v.rburst := i_xslvi.ar_bits.burst;
v.rlen := conv_integer(i_xslvi.ar_bits.len);
v.rid := i_xslvi.ar_id;
v.rresp := AXI_RESP_OKAY;
v.ruser := i_xslvi.ar_user;
else
v.rstate := rwait;
end if;
else
v.rlen := r.rlen - 1;
end if;
end if;
end case;
-- Writing state machine:
case r.wstate is
when wwait =>
if r.rlen = 0 or r.rstate = rhold then
v_aw_ready := '1';
end if;
if i_xslvi.aw_valid = '1' and (r.rlen = 0 or r.rstate = rhold) then
v.wstate := wtrans;
v.waddr := v_wadr_bus_swp;
v.wswap := i_xslvi.aw_bits.addr(2);
v.wsize := XSizeToBytes(conv_integer(i_xslvi.aw_bits.size));
v.wburst := i_xslvi.aw_bits.burst;
v.wlen := conv_integer(i_xslvi.aw_bits.len);
v.wid := i_xslvi.aw_id;
v.wresp := AXI_RESP_OKAY;
v.wuser := i_xslvi.aw_user;
end if;
when wtrans =>
v_we := (others => '1');
v_w_ready := i_ready;
if i_xslvi.w_valid = '1' and i_ready = '1' then
if r.wsize = 4 then
v.wswap := not r.wswap;
end if;
v.waddr := v_waddr_burst_nxt_swp;
-- End of transaction:
if r.wlen = 0 then
v.b_valid := '1';
v_aw_ready := '1';
if i_xslvi.aw_valid = '0' then
v.wstate := wwait;
else
v.waddr := v_wadr_bus_swp;
v.wswap := i_xslvi.aw_bits.addr(2);
v.wsize := XSizeToBytes(conv_integer(i_xslvi.aw_bits.size));
v.wburst := i_xslvi.aw_bits.burst;
v.wlen := conv_integer(i_xslvi.aw_bits.len);
v.wid := i_xslvi.aw_id;
v.wresp := AXI_RESP_OKAY;
v.wuser := i_xslvi.aw_user;
end if;
else
v.wlen := r.wlen - 1;
end if;
end if;
end case;
if i_xslvi.b_ready = '1' and r.b_valid = '1' then
if r.wstate = wtrans and i_xslvi.w_valid = '1' and r.wlen = 0 then
v.b_valid := '1';
else
v.b_valid := '0';
end if;
end if;
-- AXI Lite must be 8-byte aligned in this implementation
if r.wswap = '0' then
v_wdata := i_xslvi.w_data;
v_wstrb := i_xslvi.w_strb and v_we;
else
v_wdata(31 downto 0) := i_xslvi.w_data(63 downto 32);
v_wdata(63 downto 32) := i_xslvi.w_data(31 downto 0);
v_wstrb := (i_xslvi.w_strb(3 downto 0) & i_xslvi.w_strb(7 downto 4))
and (v_we(3 downto 0) & v_we(7 downto 4));
end if;
o_re <= v_re;
o_radr <= v_radr;
o_r32 <= v_r32;
o_wadr <= r.waddr;
o_we <= v_we(0);
o_wdata <= v_wdata;
o_wstrb <= v_wstrb;
if r.rswap = '0' then
vb_r_data := i_rdata;
else
vb_r_data := i_rdata(31 downto 0) & i_rdata(63 downto 32);
end if;
if not async_reset and i_nrst = '0' then
v := AXI_SLAVE_BANK_RESET;
end if;
rin <= v;
o_xslvo.aw_ready <= v_aw_ready;
o_xslvo.w_ready <= v_w_ready;
o_xslvo.ar_ready <= v_ar_ready;
o_xslvo.r_valid <= v_r_valid;
o_xslvo.r_last <= v_r_last;
o_xslvo.r_data <= vb_r_data;
o_xslvo.r_id <= r.rid;
o_xslvo.r_resp <= r.rresp;
o_xslvo.r_user <= r.ruser;
-- Write Handshaking:
o_xslvo.b_id <= r.wid;
o_xslvo.b_resp <= r.wresp;
o_xslvo.b_user <= r.wuser;
o_xslvo.b_valid <= r.b_valid;
end process;
-- registers
regs : process(i_clk, i_nrst)
begin
if async_reset and i_nrst = '0' then
r <= AXI_SLAVE_BANK_RESET;
elsif rising_edge(i_clk) then
r <= rin;
end if;
end process;
end;
| apache-2.0 | 8a47bb2b776c2a8c3de182c9c682d25d | 0.535327 | 3.028571 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/fifo_generator_v11_0_pkg.vhd | 19 | 129,958 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XoDvqNcsUAMVrCepxGZ+692mBkX+rCE8HMYzKPm5R78cJ+RMc0dkNWWZsdClXOY6y1T5UuLnfOdJ
4pIk+MIfbA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GQd6VykDj7htiYnOl+4WVHQM4hKgz1J8Md5aI6kr8/Lamm+PnYCv/9ATHhzH1x3ZwU/+Hk75nShM
Z/fTah2o7SNlXBmxO/TZV+Cu1NdyZPM9aMjSfxhjbc4DdKhbt2eR/JXlXgPN+qqN+l8aDRz6dW1r
rhTiAjUos5V3YtoS0kE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1H8fvXKZG1QF+UJtGmRK0CnD8bm/+01l6RcgU14qYFFE8GVuJpGQyW5h972p3ANLjy1WRtjYQ4xM
/dkbNa4PXjLXaYaHj221vfSd3lB0MAvfi3uUVJSvclNp9cIhjsynHt6eX7sY3mGpxNDMKipfks7Y
7QsvE6SpbzMkIaxn/W/Og06vrJaRobnXPbk5O8bulSLgRIfqtOFawh2LDbI1+cySFds9EMjhPXGY
R3cSwZrw9voRIz0AJIAvvOBrLoxc5eVp/j0gskNHjRbPo5Gkm/B0oz1Ia6kiZiwtS5XXf5fYsvSq
8ip/JtlfeTs2FRpXweWaPr5rFOg0LxkGg0mLCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A7F7hPxr1ObCyOsY3iC3Phcz4OOcedLcCp9ggSn92l+/8vc/8WokvA1XgYsChaRHJl3lXf2X6jfk
OU2I7E3QgZVgyd5+syjWVqouw27C41FFBeCuGD1GtzyBYnFEqdtK4Wi9fPab76EJM+QSrUTFTxOM
vNsxaERzJOCdVgQoGH4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DAf8RFZxkL4Com/8UijiDJflLxIdfhDldD1zcH1XeixMo5g8/n+Yg5p6ecx6wthzScLrbvkfxjSo
INrqjZhuOy8JD1hgSySspkuAnlB/pYzsB41QYrTQXDdhODLQLAYA4QNlYnc0Hld5QRA0QsNa7b9I
jitn7EoP2gA5KtAm5w8Y3SJ5GziR/wWC7+Oq7vo7hHrOsipiX4kUa9vhXNaEzGvrcPOJN0YgaqRR
HJt/OxiJdqU+tEWkUefOFMVnQWevf91iZ/Fb0oG88z41wfeJt8eTwCR6ZrUTPInU5uj9Frdns/GT
RmMrsalABVuwLraRXdip/IKnMD1dw9K3eH9MHA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464)
`protect data_block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`protect end_protected
| bsd-2-clause | cecc195e2a82e9c3f01c1dc8263f331e | 0.953824 | 1.816857 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/techmap/bufg/igdsbuf_v6.vhd | 3 | 814 | ----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov
--! @brief Gigabits buffer with the differential signals.
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity igdsbuf_virtex6 is
generic (
generic_tech : integer := 0
);
port (
gclk_p : in std_logic;
gclk_n : in std_logic;
o_clk : out std_logic
);
end;
architecture rtl of igdsbuf_virtex6 is
begin
x1 : IBUFDS_GTXE1 port map (
I => gclk_p,
IB => gclk_n,
CEB => '0',
O => o_clk,
ODIV2 => open
);
end;
| apache-2.0 | 01d89a19ba7ea2b44a0f161ca7977645 | 0.468059 | 4.13198 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_mngr.vhd | 1 | 50,285 | -- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_mngr.vhd
-- Description: This entity is the top level entity for the AXI DMA S2MM
-- manager.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_10;
use axi_dma_v7_1_10.axi_dma_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_mngr is
generic(
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1;
-- Depth of DataMover command FIFO
C_DM_STATUS_WIDTH : integer range 8 to 32 := 8;
-- Width of DataMover status word
-- 8 for Determinate BTT Mode
-- 32 for Indterminate BTT Mode
-----------------------------------------------------------------------
-- Scatter Gather Parameters
-----------------------------------------------------------------------
C_INCLUDE_SG : integer range 0 to 1 := 1;
-- Include or Exclude the Scatter Gather Engine
-- 0 = Exclude SG Engine - Enables Simple DMA Mode
-- 1 = Include SG Engine - Enables Scatter Gather Mode
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Descriptor Buffer Length, Transferred Bytes, and Status Stream
-- Rx Length Width. Indicates the least significant valid bits of
-- descriptor buffer length, transferred bytes, or Rx Length value
-- in the status word coincident with tlast.
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32;
-- AXI Master Stream in for descriptor fetch
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Slave AXI Status Stream Data Width
-----------------------------------------------------------------------
-- Stream to Memory Map (S2MM) Parameters
-----------------------------------------------------------------------
C_INCLUDE_S2MM : integer range 0 to 1 := 1;
-- Include or exclude S2MM primary data path
-- 0 = Exclude S2MM primary data path
-- 1 = Include S2MM primary data path
C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for S2MM Write Port
C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1;
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex5"
-- Target FPGA Device Family
);
port (
-- Secondary Clock and Reset
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Primary Clock and Reset --
axi_prmry_aclk : in std_logic ; --
p_reset_n : in std_logic ; --
--
soft_reset : in std_logic ; --
-- MM2S Control and Status --
s2mm_run_stop : in std_logic ; --
s2mm_keyhole : in std_logic ;
s2mm_halted : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_updt_idle : in std_logic ; --
s2mm_tailpntr_enble : in std_logic ; --
s2mm_ftch_err_early : in std_logic ; --
s2mm_ftch_stale_desc : in std_logic ; --
s2mm_halt : in std_logic ; --
s2mm_halt_cmplt : in std_logic ; --
s2mm_packet_eof_out : out std_logic ;
s2mm_halted_clr : out std_logic ; --
s2mm_halted_set : out std_logic ; --
s2mm_idle_set : out std_logic ; --
s2mm_idle_clr : out std_logic ; --
s2mm_new_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
s2mm_new_curdesc_wren : out std_logic ; --
s2mm_stop : out std_logic ; --
s2mm_desc_flush : out std_logic ; --
s2mm_all_idle : out std_logic ; --
s2mm_error : out std_logic ; --
mm2s_error : in std_logic ; --
s2mm_desc_info_in : in std_logic_vector (13 downto 0) ;
-- Simple DMA Mode Signals
s2mm_da : in std_logic_vector --
(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
s2mm_length : in std_logic_vector --
(C_SG_LENGTH_WIDTH-1 downto 0) ; --
s2mm_length_wren : in std_logic ; --
s2mm_smple_done : out std_logic ; --
s2mm_interr_set : out std_logic ; --
s2mm_slverr_set : out std_logic ; --
s2mm_decerr_set : out std_logic ; --
s2mm_bytes_rcvd : out std_logic_vector --
(C_SG_LENGTH_WIDTH-1 downto 0) ; --
s2mm_bytes_rcvd_wren : out std_logic ; --
--
-- SG S2MM Descriptor Fetch AXI Stream In --
m_axis_s2mm_ftch_tdata : in std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_s2mm_ftch_tvalid : in std_logic ; --
m_axis_s2mm_ftch_tready : out std_logic ; --
m_axis_s2mm_ftch_tlast : in std_logic ; --
m_axis_s2mm_ftch_tdata_new : in std_logic_vector --
(96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); --
m_axis_s2mm_ftch_tdata_mcdma_new : in std_logic_vector --
(63 downto 0); --
m_axis_s2mm_ftch_tdata_mcdma_nxt : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
m_axis_s2mm_ftch_tvalid_new : in std_logic ; --
m_axis_ftch2_desc_available : in std_logic;
--
--
-- SG S2MM Descriptor Update AXI Stream Out --
s_axis_s2mm_updtptr_tdata : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
s_axis_s2mm_updtptr_tvalid : out std_logic ; --
s_axis_s2mm_updtptr_tready : in std_logic ; --
s_axis_s2mm_updtptr_tlast : out std_logic ; --
--
s_axis_s2mm_updtsts_tdata : out std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) ; --
s_axis_s2mm_updtsts_tvalid : out std_logic ; --
s_axis_s2mm_updtsts_tready : in std_logic ; --
s_axis_s2mm_updtsts_tlast : out std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_s2mm_cmd_tvalid : out std_logic ; --
s_axis_s2mm_cmd_tready : in std_logic ; --
s_axis_s2mm_cmd_tdata : out std_logic_vector --
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_s2mm_sts_tvalid : in std_logic ; --
m_axis_s2mm_sts_tready : out std_logic ; --
m_axis_s2mm_sts_tdata : in std_logic_vector --
(C_DM_STATUS_WIDTH - 1 downto 0) ; --
m_axis_s2mm_sts_tkeep : in std_logic_vector((C_DM_STATUS_WIDTH/8-1) downto 0); --
s2mm_err : in std_logic ; --
updt_error : in std_logic ; --
ftch_error : in std_logic ; --
--
-- Stream to Memory Map Status Stream Interface --
s_axis_s2mm_sts_tdata : in std_logic_vector --
(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_sts_tkeep : in std_logic_vector --
((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_sts_tvalid : in std_logic ; --
s_axis_s2mm_sts_tready : out std_logic ; --
s_axis_s2mm_sts_tlast : in std_logic --
);
end axi_dma_s2mm_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Primary DataMover Command signals
signal s2mm_cmnd_wr : std_logic := '0';
signal s2mm_cmnd_data : std_logic_vector
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0');
signal s2mm_cmnd_pending : std_logic := '0';
-- Primary DataMover Status signals
signal s2mm_done : std_logic := '0';
signal s2mm_stop_i : std_logic := '0';
signal s2mm_interr : std_logic := '0';
signal s2mm_slverr : std_logic := '0';
signal s2mm_decerr : std_logic := '0';
signal s2mm_tag : std_logic_vector(3 downto 0) := (others => '0');
signal s2mm_brcvd : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal dma_s2mm_error : std_logic := '0';
signal soft_reset_d1 : std_logic := '0';
signal soft_reset_d2 : std_logic := '0';
signal soft_reset_re : std_logic := '0';
signal s2mm_error_i : std_logic := '0';
signal sts_strm_stop : std_logic := '0';
signal s2mm_halted_set_i : std_logic := '0';
signal s2mm_sts_received_clr : std_logic := '0';
signal s2mm_sts_received : std_logic := '0';
signal s2mm_cmnd_idle : std_logic := '0';
signal s2mm_sts_idle : std_logic := '0';
signal s2mm_eof_set : std_logic := '0';
signal s2mm_packet_eof : std_logic := '0';
-- Scatter Gather Interface signals
signal desc_fetch_req : std_logic := '0';
signal desc_fetch_done : std_logic := '0';
signal desc_update_req : std_logic := '0';
signal desc_update_done : std_logic := '0';
signal desc_available : std_logic := '0';
signal s2mm_desc_baddress : std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_info : std_logic_vector(31 downto 0) := (others => '0');
signal s2mm_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_cmplt : std_logic := '0';
signal s2mm_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal s2mm_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
-- S2MM Status Stream Signals
signal s2mm_rxlength_valid : std_logic := '0';
signal s2mm_rxlength_clr : std_logic := '0';
signal s2mm_rxlength : std_logic_vector(C_SG_LENGTH_WIDTH - 1 downto 0) := (others => '0');
signal stsstrm_fifo_rden : std_logic := '0';
signal stsstrm_fifo_empty : std_logic := '0';
signal stsstrm_fifo_dout : std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0) := (others => '0');
signal s2mm_desc_flush_i : std_logic := '0';
signal updt_pending : std_logic := '0';
signal s2mm_cmnd_wr_1 : std_logic := '0';
signal s2mm_eof_micro, s2mm_sof_micro : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Include S2MM (Received) Channel
-------------------------------------------------------------------------------
GEN_S2MM_DMA_CONTROL : if C_INCLUDE_S2MM = 1 generate
begin
-- pass out to register module
s2mm_halted_set <= s2mm_halted_set_i;
-------------------------------------------------------------------------------
-- Graceful shut down logic
-------------------------------------------------------------------------------
-- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error
-- or SG Fetch error, or Stale Descriptor Error
s2mm_error_i <= dma_s2mm_error -- Primary data mover reports error
or updt_error -- SG Update engine reports error
or ftch_error -- SG Fetch engine reports error
or s2mm_ftch_err_early -- SG Fetch engine reports early error on S2MM
or s2mm_ftch_stale_desc; -- SG Fetch stale descriptor error
-- pass out to shut down mm2s
s2mm_error <= s2mm_error_i;
-- Clear run/stop and stop state machines due to errors or soft reset
-- Error based on datamover error report or sg update error or sg fetch error
-- SG update error and fetch error included because need to shut down, no way
-- to update descriptors on sg update error and on fetch error descriptor
-- data is corrupt therefor do not want to issue the xfer command to primary datamover
--CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore
-- need to stop all processes regardless of the source of the error.
-- s2mm_stop_i <= s2mm_error -- Error
-- or soft_reset; -- Soft Reset issued
s2mm_stop_i <= s2mm_error_i -- Error on s2mm
or mm2s_error -- Error on mm2s
or soft_reset; -- Soft Reset issued
-- Register signals out
REG_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_stop <= '0';
s2mm_desc_flush_i <= '0';
else
s2mm_stop <= s2mm_stop_i;
-- Flush any fetch descriptors if error or if run stop cleared
s2mm_desc_flush_i <= s2mm_stop_i or not s2mm_run_stop;
end if;
end if;
end process REG_OUT;
-- Generate DMA Controller For Scatter Gather Mode
GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate
begin
-- Not used in Scatter Gather mode
s2mm_smple_done <= '0';
s2mm_interr_set <= '0';
s2mm_slverr_set <= '0';
s2mm_decerr_set <= '0';
s2mm_bytes_rcvd <= (others => '0');
s2mm_bytes_rcvd_wren <= '0';
-- Flush descriptors
s2mm_desc_flush <= s2mm_desc_flush_i;
OLD_CMD_WR : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate
begin
s2mm_cmnd_wr <= s2mm_cmnd_wr_1;
end generate OLD_CMD_WR;
NEW_CMD_WR : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate
begin
s2mm_cmnd_wr <= m_axis_s2mm_ftch_tvalid_new;
end generate NEW_CMD_WR;
---------------------------------------------------------------------------
-- S2MM Primary DMA Controller State Machine
---------------------------------------------------------------------------
I_S2MM_SM : entity axi_dma_v7_1_10.axi_dma_s2mm_sm
generic map(
C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ,
C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_MICRO_DMA => C_MICRO_DMA ,
C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
s2mm_stop => s2mm_stop_i ,
-- Channel 1 Control and Status
s2mm_run_stop => s2mm_run_stop ,
s2mm_keyhole => s2mm_keyhole ,
s2mm_ftch_idle => s2mm_ftch_idle ,
s2mm_desc_flush => s2mm_desc_flush_i ,
s2mm_cmnd_idle => s2mm_cmnd_idle ,
s2mm_sts_idle => s2mm_sts_idle ,
s2mm_eof_set => s2mm_eof_set ,
s2mm_eof_micro => s2mm_eof_micro,
s2mm_sof_micro => s2mm_sof_micro,
-- S2MM Status Stream RX Length
s2mm_rxlength_valid => s2mm_rxlength_valid ,
s2mm_rxlength_clr => s2mm_rxlength_clr ,
s2mm_rxlength => s2mm_rxlength ,
-- S2MM Descriptor Fetch Request (from s2mm_sm)
desc_fetch_req => desc_fetch_req ,
desc_fetch_done => desc_fetch_done ,
desc_update_done => desc_update_done ,
updt_pending => updt_pending ,
desc_available => desc_available ,
-- DataMover Command
s2mm_cmnd_wr => s2mm_cmnd_wr_1 ,
s2mm_cmnd_data => s2mm_cmnd_data ,
s2mm_cmnd_pending => s2mm_cmnd_pending ,
-- Descriptor Fields
s2mm_desc_baddress => s2mm_desc_baddress ,
s2mm_desc_info => s2mm_desc_info ,
s2mm_desc_blength => s2mm_desc_blength,
s2mm_desc_blength_v => s2mm_desc_blength_v,
s2mm_desc_blength_s => s2mm_desc_blength_s
);
---------------------------------------------------------------------------
-- S2MM Scatter Gather State Machine
---------------------------------------------------------------------------
I_S2MM_SG_IF : entity axi_dma_v7_1_10.axi_dma_s2mm_sg_if
generic map(
-------------------------------------------------------------------
-- Scatter Gather Parameters
-------------------------------------------------------------------
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE ,
C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ,
C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ,
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_S_AXIS_S2MM_STS_TDATA_WIDTH=> C_S_AXIS_S2MM_STS_TDATA_WIDTH ,
C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
s2mm_desc_info_in => s2mm_desc_info_in ,
-- SG S2MM Descriptor Fetch AXI Stream In
m_axis_s2mm_ftch_tdata => m_axis_s2mm_ftch_tdata ,
m_axis_s2mm_ftch_tvalid => m_axis_s2mm_ftch_tvalid ,
m_axis_s2mm_ftch_tready => m_axis_s2mm_ftch_tready ,
m_axis_s2mm_ftch_tlast => m_axis_s2mm_ftch_tlast ,
m_axis_s2mm_ftch_tdata_new => m_axis_s2mm_ftch_tdata_new ,
m_axis_s2mm_ftch_tdata_mcdma_new => m_axis_s2mm_ftch_tdata_mcdma_new ,
m_axis_s2mm_ftch_tdata_mcdma_nxt => m_axis_s2mm_ftch_tdata_mcdma_nxt ,
m_axis_s2mm_ftch_tvalid_new => m_axis_s2mm_ftch_tvalid_new ,
m_axis_ftch2_desc_available => m_axis_ftch2_desc_available ,
-- SG S2MM Descriptor Update AXI Stream Out
s_axis_s2mm_updtptr_tdata => s_axis_s2mm_updtptr_tdata ,
s_axis_s2mm_updtptr_tvalid => s_axis_s2mm_updtptr_tvalid ,
s_axis_s2mm_updtptr_tready => s_axis_s2mm_updtptr_tready ,
s_axis_s2mm_updtptr_tlast => s_axis_s2mm_updtptr_tlast ,
s_axis_s2mm_updtsts_tdata => s_axis_s2mm_updtsts_tdata ,
s_axis_s2mm_updtsts_tvalid => s_axis_s2mm_updtsts_tvalid ,
s_axis_s2mm_updtsts_tready => s_axis_s2mm_updtsts_tready ,
s_axis_s2mm_updtsts_tlast => s_axis_s2mm_updtsts_tlast ,
-- S2MM Descriptor Fetch Request (from s2mm_sm)
desc_available => desc_available ,
desc_fetch_req => desc_fetch_req ,
desc_fetch_done => desc_fetch_done ,
updt_pending => updt_pending ,
-- S2MM Status Stream Interface
stsstrm_fifo_rden => stsstrm_fifo_rden ,
stsstrm_fifo_empty => stsstrm_fifo_empty ,
stsstrm_fifo_dout => stsstrm_fifo_dout ,
-- Update command write interface from s2mm sm
s2mm_cmnd_wr => s2mm_cmnd_wr ,
s2mm_cmnd_data => s2mm_cmnd_data (
((1+C_ENABLE_MULTI_CHANNEL)*
C_M_AXI_S2MM_ADDR_WIDTH+
CMD_BASE_WIDTH)-1 downto 0) ,
-- S2MM Descriptor Update Request (from s2mm_sm)
desc_update_done => desc_update_done ,
s2mm_sts_received_clr => s2mm_sts_received_clr ,
s2mm_sts_received => s2mm_sts_received ,
s2mm_desc_cmplt => s2mm_desc_cmplt ,
s2mm_done => s2mm_done ,
s2mm_interr => s2mm_interr ,
s2mm_slverr => s2mm_slverr ,
s2mm_decerr => s2mm_decerr ,
s2mm_tag => s2mm_tag ,
s2mm_brcvd => s2mm_brcvd ,
s2mm_eof_set => s2mm_eof_set ,
s2mm_packet_eof => s2mm_packet_eof ,
s2mm_halt => s2mm_halt ,
s2mm_eof_micro => s2mm_eof_micro,
s2mm_sof_micro => s2mm_sof_micro,
-- S2MM Descriptor Field Output
s2mm_new_curdesc => s2mm_new_curdesc ,
s2mm_new_curdesc_wren => s2mm_new_curdesc_wren ,
s2mm_desc_baddress => s2mm_desc_baddress ,
s2mm_desc_blength => s2mm_desc_blength ,
s2mm_desc_blength_v => s2mm_desc_blength_v ,
s2mm_desc_blength_s => s2mm_desc_blength_s ,
s2mm_desc_info => s2mm_desc_info ,
s2mm_desc_app0 => s2mm_desc_app0 ,
s2mm_desc_app1 => s2mm_desc_app1 ,
s2mm_desc_app2 => s2mm_desc_app2 ,
s2mm_desc_app3 => s2mm_desc_app3 ,
s2mm_desc_app4 => s2mm_desc_app4
);
end generate GEN_SCATTER_GATHER_MODE;
s2mm_packet_eof_out <= s2mm_packet_eof;
-- Generate DMA Controller for Simple DMA Mode
GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate
begin
-- Scatter Gather signals not used in Simple DMA Mode
s2mm_desc_flush <= '0';
m_axis_s2mm_ftch_tready <= '0';
s_axis_s2mm_updtptr_tdata <= (others => '0');
s_axis_s2mm_updtptr_tvalid <= '0';
s_axis_s2mm_updtptr_tlast <= '0';
s_axis_s2mm_updtsts_tdata <= (others => '0');
s_axis_s2mm_updtsts_tvalid <= '0';
s_axis_s2mm_updtsts_tlast <= '0';
desc_fetch_req <= '0';
desc_available <= '0';
desc_fetch_done <= '0';
desc_update_done <= '0';
s2mm_rxlength_clr <= '0';
stsstrm_fifo_rden <= '0';
s2mm_new_curdesc <= (others => '0');
s2mm_new_curdesc_wren <= '0';
s2mm_desc_baddress <= (others => '0');
s2mm_desc_info <= (others => '0');
s2mm_desc_blength <= (others => '0');
s2mm_desc_blength_v <= (others => '0');
s2mm_desc_blength_s <= (others => '0');
s2mm_desc_cmplt <= '0';
s2mm_desc_app0 <= (others => '0');
s2mm_desc_app1 <= (others => '0');
s2mm_desc_app2 <= (others => '0');
s2mm_desc_app3 <= (others => '0');
s2mm_desc_app4 <= (others => '0');
-- Simple DMA State Machine
I_S2MM_SMPL_SM : entity axi_dma_v7_1_10.axi_dma_smple_sm
generic map(
C_M_AXI_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_MICRO_DMA => C_MICRO_DMA ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
run_stop => s2mm_run_stop ,
keyhole => s2mm_keyhole ,
stop => s2mm_stop_i ,
cmnd_idle => s2mm_cmnd_idle ,
sts_idle => s2mm_sts_idle ,
-- DataMover Status
sts_received => s2mm_sts_received ,
sts_received_clr => s2mm_sts_received_clr ,
-- DataMover Command
cmnd_wr => s2mm_cmnd_wr ,
cmnd_data => s2mm_cmnd_data ,
cmnd_pending => s2mm_cmnd_pending ,
-- Trasnfer Qualifiers
xfer_length_wren => s2mm_length_wren ,
xfer_address => s2mm_da ,
xfer_length => s2mm_length
);
-- Pass Done/Error Status out to DMASR
s2mm_interr_set <= s2mm_interr;
s2mm_slverr_set <= s2mm_slverr;
s2mm_decerr_set <= s2mm_decerr;
s2mm_bytes_rcvd <= s2mm_brcvd;
s2mm_bytes_rcvd_wren <= s2mm_done;
-- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR.
-- Receive clear when not shutting down
s2mm_smple_done <= s2mm_sts_received_clr when s2mm_stop_i = '0'
-- Else halt set prior to halted being set
else s2mm_halted_set_i when s2mm_halted = '0'
else '0';
end generate GEN_SIMPLE_DMA_MODE;
-------------------------------------------------------------------------------
-- S2MM DataMover Command / Status Interface
-------------------------------------------------------------------------------
I_S2MM_CMDSTS : entity axi_dma_v7_1_10.axi_dma_s2mm_cmdsts_if
generic map(
C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_DM_STATUS_WIDTH => C_DM_STATUS_WIDTH ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ,
C_INCLUDE_SG => C_INCLUDE_SG ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_MICRO_DMA => C_MICRO_DMA ,
C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Update command write interface from s2mm sm
s2mm_cmnd_wr => s2mm_cmnd_wr ,
s2mm_cmnd_data => s2mm_cmnd_data ,
s2mm_cmnd_pending => s2mm_cmnd_pending ,
s2mm_packet_eof => s2mm_packet_eof , -- EOF Detected
s2mm_sts_received_clr => s2mm_sts_received_clr ,
s2mm_sts_received => s2mm_sts_received ,
s2mm_tailpntr_enble => s2mm_tailpntr_enble ,
s2mm_desc_cmplt => s2mm_desc_cmplt ,
-- User Command Interface Ports (AXI Stream)
s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid ,
s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready ,
s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_s2mm_sts_tvalid => m_axis_s2mm_sts_tvalid ,
m_axis_s2mm_sts_tready => m_axis_s2mm_sts_tready ,
m_axis_s2mm_sts_tdata => m_axis_s2mm_sts_tdata ,
m_axis_s2mm_sts_tkeep => m_axis_s2mm_sts_tkeep ,
-- S2MM Primary DataMover Status
s2mm_brcvd => s2mm_brcvd ,
s2mm_err => s2mm_err ,
s2mm_done => s2mm_done ,
s2mm_error => dma_s2mm_error ,
s2mm_interr => s2mm_interr ,
s2mm_slverr => s2mm_slverr ,
s2mm_decerr => s2mm_decerr ,
s2mm_tag => s2mm_tag
);
---------------------------------------------------------------------------
-- Halt / Idle Status Manager
---------------------------------------------------------------------------
I_S2MM_STS_MNGR : entity axi_dma_v7_1_10.axi_dma_s2mm_sts_mngr
generic map(
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- dma control and sg engine status signals
s2mm_run_stop => s2mm_run_stop ,
s2mm_ftch_idle => s2mm_ftch_idle ,
s2mm_updt_idle => s2mm_updt_idle ,
s2mm_cmnd_idle => s2mm_cmnd_idle ,
s2mm_sts_idle => s2mm_sts_idle ,
-- stop and halt control/status
s2mm_stop => s2mm_stop_i ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
-- system state and control
s2mm_all_idle => s2mm_all_idle ,
s2mm_halted_clr => s2mm_halted_clr ,
s2mm_halted_set => s2mm_halted_set_i ,
s2mm_idle_set => s2mm_idle_set ,
s2mm_idle_clr => s2mm_idle_clr
);
-- S2MM Status Stream Included
GEN_STS_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate
begin
-- Register soft reset to create rising edge pulse to use for shut down.
-- soft_reset from DMACR does not clear until after all reset processes
-- are done. This causes stop to assert too long causing issue with
-- status stream skid buffer.
REG_SFT_RST : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
soft_reset_d1 <= '0';
soft_reset_d2 <= '0';
else
soft_reset_d1 <= soft_reset;
soft_reset_d2 <= soft_reset_d1;
end if;
end if;
end process REG_SFT_RST;
-- Rising edge soft reset pulse
soft_reset_re <= soft_reset_d1 and not soft_reset_d2;
-- Status Stream module stop requires rising edge of soft reset to
-- shut down due to DMACR.SoftReset does not deassert on internal hard reset
-- It clears after therefore do not want to issue another stop to sts strm
-- skid buffer.
sts_strm_stop <= s2mm_error_i -- Error
or soft_reset_re; -- Soft Reset issued
I_S2MM_STS_STREAM : entity axi_dma_v7_1_10.axi_dma_s2mm_sts_strm
generic map(
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_S_AXIS_S2MM_STS_TDATA_WIDTH=> C_S_AXIS_S2MM_STS_TDATA_WIDTH ,
C_SG_USE_STSAPP_LENGTH => C_SG_USE_STSAPP_LENGTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ,
C_FAMILY => C_FAMILY
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
axi_prmry_aclk => axi_prmry_aclk ,
p_reset_n => p_reset_n ,
s2mm_stop => sts_strm_stop ,
s2mm_rxlength_valid => s2mm_rxlength_valid ,
s2mm_rxlength_clr => s2mm_rxlength_clr ,
s2mm_rxlength => s2mm_rxlength ,
stsstrm_fifo_rden => stsstrm_fifo_rden ,
stsstrm_fifo_empty => stsstrm_fifo_empty ,
stsstrm_fifo_dout => stsstrm_fifo_dout ,
-- Stream to Memory Map Status Stream Interface ,
s_axis_s2mm_sts_tdata => s_axis_s2mm_sts_tdata ,
s_axis_s2mm_sts_tkeep => s_axis_s2mm_sts_tkeep ,
s_axis_s2mm_sts_tvalid => s_axis_s2mm_sts_tvalid ,
s_axis_s2mm_sts_tready => s_axis_s2mm_sts_tready ,
s_axis_s2mm_sts_tlast => s_axis_s2mm_sts_tlast
);
end generate GEN_STS_STREAM;
-- S2MM Status Stream Not Included
GEN_NO_STS_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate
begin
s2mm_rxlength_valid <= '0';
s2mm_rxlength <= (others => '0');
stsstrm_fifo_empty <= '1';
stsstrm_fifo_dout <= (others => '0');
s_axis_s2mm_sts_tready <= '0';
end generate GEN_NO_STS_STREAM;
end generate GEN_S2MM_DMA_CONTROL;
-------------------------------------------------------------------------------
-- Do Not Include S2MM Channel
-------------------------------------------------------------------------------
GEN_NO_S2MM_DMA_CONTROL : if C_INCLUDE_S2MM = 0 generate
begin
m_axis_s2mm_ftch_tready <= '0';
s_axis_s2mm_updtptr_tdata <= (others =>'0');
s_axis_s2mm_updtptr_tvalid <= '0';
s_axis_s2mm_updtptr_tlast <= '0';
s_axis_s2mm_updtsts_tdata <= (others =>'0');
s_axis_s2mm_updtsts_tvalid <= '0';
s_axis_s2mm_updtsts_tlast <= '0';
s2mm_new_curdesc <= (others =>'0');
s2mm_new_curdesc_wren <= '0';
s_axis_s2mm_cmd_tvalid <= '0';
s_axis_s2mm_cmd_tdata <= (others =>'0');
m_axis_s2mm_sts_tready <= '0';
s2mm_halted_clr <= '0';
s2mm_halted_set <= '0';
s2mm_idle_set <= '0';
s2mm_idle_clr <= '0';
s_axis_s2mm_sts_tready <= '0';
s2mm_stop <= '0';
s2mm_desc_flush <= '0';
s2mm_all_idle <= '1';
s2mm_error <= '0'; -- CR#570587
s2mm_packet_eof_out <= '0';
s2mm_smple_done <= '0';
s2mm_interr_set <= '0';
s2mm_slverr_set <= '0';
s2mm_decerr_set <= '0';
s2mm_bytes_rcvd <= (others => '0');
s2mm_bytes_rcvd_wren <= '0';
end generate GEN_NO_S2MM_DMA_CONTROL;
end implementation;
| mit | 14bea59758c9d6d873d0d70a3d0c0206 | 0.398687 | 4.340152 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/techmap/mem/otp_clocked.vhd | 1 | 2,191 | --!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.ALL;
use IEEE.STD_LOGIC_TEXTIO.ALL;
use std.textio.all;
library commonlib;
use commonlib.types_common.all;
entity otp_clocked is
port (
clk : in std_ulogic;
we : in std_ulogic;
re : in std_ulogic;
address : in std_logic_vector(11 downto 0);
wdata : in std_logic_vector(15 downto 0);
rdata : out std_logic_vector(15 downto 0)
);
end;
architecture arch_otp_clocked of otp_clocked is
constant SRAM_LENGTH : integer := 2**12;
constant FILE_IMAGE_LINES_TOTAL : integer := SRAM_LENGTH;
type ram_type is array (0 to SRAM_LENGTH-1) of std_logic_vector(15 downto 0);
impure function init_ram(file_name : in string) return ram_type is
file ram_file : text open read_mode is file_name;
variable ram_line : line;
variable temp_bv : std_logic_vector(15 downto 0);
variable temp_mem : ram_type;
begin
for i in 0 to (FILE_IMAGE_LINES_TOTAL-1) loop
readline(ram_file, ram_line);
hread(ram_line, temp_bv);
temp_mem(i) := temp_bv;
end loop;
return temp_mem;
end function;
--! @warning SIMULATION INITIALIZATION
signal ram : ram_type;-- := init_ram(init_file);
begin
reg : process (clk, address, we, re, wdata, ram) begin
if rising_edge(clk) then
if we = '1' then
ram(conv_integer(address)) <= wdata;
end if;
end if;
if wdata = X"FFFF" and re = '1' then
rdata <= ram(conv_integer(address));
else
rdata <= X"CCCC";
end if;
end process;
end;
| apache-2.0 | 57473b45f84a9bae29e56666c7e7e9eb | 0.666362 | 3.455836 | false | false | false | false |
mharndt/profibusmonitor | VHDL_Bausteine_old/PROFIBUS_MONITOR/CTRL_RS232_TX_VHDL.vhd | 4 | 9,084 | -- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
--Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
--constant CNT01 : std_logic_vector := x"1458"; --16 Bit
--constant CNT02 : std_logic_vector := x"2C98"; --usw.
--constant CNT03 : std_logic_vector := x"3D08";
--constant CNT04 : std_logic_vector := x"5160";
--constant CNT05 : std_logic_vector := x"65B8";
--constant CNT06 : std_logic_vector := x"7A10";
--constant CNT07 : std_logic_vector := x"8E68";
--constant CNT08 : std_logic_vector := x"A2C0";
--constant CNT09 : std_logic_vector := x"B718";
--constant CNT10 : std_logic_vector := x"CB70";
--Konstanten, lang 19200 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
constant CNT01 : std_logic_vector := x"0A2C"; --16 Bit
constant CNT02 : std_logic_vector := x"1458"; --usw.
constant CNT03 : std_logic_vector := x"1E84";
constant CNT04 : std_logic_vector := x"28B0";
constant CNT05 : std_logic_vector := x"32DC";
constant CNT06 : std_logic_vector := x"3D09";
constant CNT07 : std_logic_vector := x"4735";
constant CNT08 : std_logic_vector := x"5161";
constant CNT09 : std_logic_vector := x"5B8D";
constant CNT10 : std_logic_vector := x"65B9";
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
end Behavioral;
| gpl-2.0 | dcb73eed821e7eececfc8bc196b54f06 | 0.545354 | 3.149792 | false | false | false | false |
szanni/aeshw | aes-core/cipher_tb.vhd | 1 | 718 | library ieee;
use ieee.std_logic_1164.all;
use work.types.all;
entity cipher_tb is
end cipher_tb;
architecture behavior of cipher_tb is
component cipher
port (
din : in state;
dout : out state
);
end component;
--Inputs
signal din : state;
--Outputs
signal dout : state;
begin
uut: cipher port map (
din => din,
dout => dout
);
stim_proc: process
begin
--din <= x"d42711aee0bf98f1b8b45de51e415230";
din <= x"d4bf5d30e0b452aeb84111f11e2798e5";
wait for 10 ns;
--assert dout = x"d4bf5d30e0b452aeb84111f11e2798e5" report "cipher: lookup failure" severity failure;
assert dout = x"046681e5e0cb199a48f8d37a2806264c" report "cipher: mix failure" severity failure;
wait;
end process;
end;
| bsd-2-clause | 677dc2af561f74174cc7ecd9e718948f | 0.724234 | 2.592058 | false | false | false | false |
AlessandroSpallina/CalcolatoriElettronici | VHDL/09-12-14/09-12-14_TEST.vhd | 2 | 1,207 | -- Copyright (C) 2016 by Spallina Ind.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity TESTANTONELLA is
end entity;
architecture beh of TESTANTONELLA is
component antonella is
port (
op : in std_logic_vector(1 downto 0);
din : in std_logic_vector(15 downto 0);
start, clk : in std_logic;
res : out std_logic_vector(15 downto 0);
fine : out std_logic
);
end component;
signal op : std_logic_vector(1 downto 0);
signal din, res : std_logic_vector(15 downto 0);
signal start, clk, fine : std_logic;
begin
DUT: antonella port map (op, din, start, clk, res, fine);
process
begin
clk <= '0';
wait for 5 ns;
clk <= '1';
wait for 5 ns;
end process;
start <= '1' after 1 ns, '0' after 11 ns,
'1' after 46 ns, '0' after 56 ns,
'1' after 86 ns, '0' after 96 ns,
'1' after 131 ns, '0' after 141 ns;
op <= "00" after 11 ns, -- OP "00" aka LD->R0
"01" after 56 ns, -- OP "01" aka LD->R1
"10" after 96 ns, -- OP "10" aka AND
"11" after 131 ns; -- OP "11" aka ADD
din <= conv_std_logic_vector(5, 16) after 11 ns,
conv_std_logic_vector(6, 16) after 56 ns;
end beh; | mit | 614737e1153ee40000fc328dfa77241c | 0.623032 | 2.652747 | false | false | false | false |
szanni/aeshw | aes-core/cipher_cu.vhd | 1 | 2,709 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:06:05 07/16/2014
-- Design Name:
-- Module Name: cipher_cu - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity cipher_cu is
port(
clk : in std_logic;
reset : in std_logic;
x_start : in std_logic; -- start encryption
x_comp : in std_logic; -- '1' if last round is reached
y_1_2 : out std_logic_vector(1 downto 0); -- controlling values for cipher
y_3_4 : out std_logic_vector(1 downto 0); -- controlling values for counter
y_end : out std_logic -- encryption finished
);
end cipher_cu;
architecture Behavioral of cipher_cu is
type States is (S0, S1, S2, S3, S4, S5);
signal S, S_next : States;
begin
delta : process (S, x_start, x_comp)
begin
case S is
when S0 => y_1_2 <="--";
y_3_4 <="00"; -- initialize counter
y_end <= '0';
if x_start = '1' then
S_next <= S1;
else
S_next <= S0;
end if;
when S1 => y_1_2 <= "--"; -- round key 0 not yet available (due to synchonous read)
y_3_4 <= "01"; -- increment counter
y_end <= '0';
S_next <= S2;
when S2 => y_1_2 <= "00"; -- load in plaintext (round key 0 now available)
y_3_4 <= "01"; -- increment counter
y_end <= '0';
S_next <= S3;
when S3 => y_1_2 <= "01"; -- include mix columns stage
y_3_4 <= "01";
y_end <= '0';
if x_comp = '1' then
S_next <= S4; -- last round starts after the next cycle
else
S_next <= S3;
end if;
when S4 => y_1_2 <= "10"; -- leave out mix columns stage
y_3_4 <= "--";
y_end <= '0';
S_next <= S5;
when S5 => y_1_2 <= "--";
y_3_4 <= "--";
y_end <= '1'; -- finished (output valid for one cycle)
S_next <= S0;
end case;
end process delta;
feedback_loop : process (clk, reset, S_next)
begin
if reset = '1' then
S <= S0;
elsif rising_edge(clk) then
S <= S_next;
end if;
end process feedback_loop;
end Behavioral;
| bsd-2-clause | 2f89e896fa0f6baabfbc1c44abd6db91 | 0.525655 | 3.259928 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/misclib/axi4_irqctrl.vhd | 1 | 8,248 | --!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library commonlib;
use commonlib.types_common.all;
--! AMBA system bus specific library.
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
library misclib;
use misclib.types_misc.all;
entity axi4_irqctrl is
generic (
async_reset : boolean := false;
xaddr : integer := 0;
xmask : integer := 16#fffff#
);
port
(
clk : in std_logic;
nrst : in std_logic;
i_irqs : in std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
o_cfg : out axi4_slave_config_type;
i_axi : in axi4_slave_in_type;
o_axi : out axi4_slave_out_type;
o_irq_meip : out std_logic
);
end;
architecture axi4_irqctrl_rtl of axi4_irqctrl is
constant xconfig : axi4_slave_config_type := (
descrtype => PNP_CFG_TYPE_SLAVE,
descrsize => PNP_CFG_SLAVE_DESCR_BYTES,
irq_idx => conv_std_logic_vector(0, 8),
xaddr => conv_std_logic_vector(xaddr, CFG_SYSBUS_CFG_ADDR_BITS),
xmask => conv_std_logic_vector(xmask, CFG_SYSBUS_CFG_ADDR_BITS),
vid => VENDOR_GNSSSENSOR,
did => GNSSSENSOR_IRQCTRL
);
constant IRQ_ZERO : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1) := (others => '0');
type registers is record
--! interrupt signal delay signal to detect interrupt positive edge
irqs_z : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
irqs_zz : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
--! mask irq disabled: 1=disabled; 0=enabled
irqs_mask : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
--! irq pending bit mask
irqs_pending : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
--! interrupt handler address initialized by FW:
isr_table : std_logic_vector(63 downto 0);
--! hold-on generation of interrupt.
irq_lock : std_logic;
--! delayed interrupt
irq_wait_unlock : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
irq_cause_idx : std_logic_vector(31 downto 0);
--! Function trap_entry copies the values of CSRs into these two regs:
dbg_cause : std_logic_vector(63 downto 0);
dbg_epc : std_logic_vector(63 downto 0);
raddr : global_addr_array_type;
end record;
constant R_RESET : registers := (
(others => '0'), (others => '0'), -- irqs_z, irqs_zz
(others => '1'), (others => '0'), -- irqs_mask, irqs_pending
(others => '0'), '0', -- isr_table, isr_lock
(others => '0'), (others => '0'), -- irq_wait_unlock, irq_cause_idx
(others => '0'), (others => '0'), -- dbg_cause, dbg_epc
((others => '0'), (others => '0'))
);
signal r, rin: registers;
signal wb_dev_rdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
signal wb_bus_raddr : global_addr_array_type;
signal w_bus_re : std_logic;
signal wb_bus_waddr : global_addr_array_type;
signal w_bus_we : std_logic;
signal wb_bus_wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0);
signal wb_bus_wdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
begin
axi0 : axi4_slave generic map (
async_reset => async_reset
) port map (
i_clk => clk,
i_nrst => nrst,
i_xcfg => xconfig,
i_xslvi => i_axi,
o_xslvo => o_axi,
i_ready => '1',
i_rdata => wb_dev_rdata,
o_re => w_bus_re,
o_r32 => open,
o_radr => wb_bus_raddr,
o_wadr => wb_bus_waddr,
o_we => w_bus_we,
o_wstrb => wb_bus_wstrb,
o_wdata => wb_bus_wdata
);
comblogic : process(nrst, i_irqs, r, w_bus_re, wb_bus_raddr, wb_bus_waddr,
w_bus_we, wb_bus_wstrb, wb_bus_wdata)
variable v : registers;
variable raddr : integer;
variable waddr : integer;
variable vrdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0);
variable tmp : std_logic_vector(31 downto 0);
variable wstrb : std_logic_vector(CFG_ALIGN_BYTES-1 downto 0);
variable w_generate_ipi : std_logic;
begin
v := r;
v.raddr := wb_bus_raddr;
w_generate_ipi := '0';
vrdata := (others => '0');
for n in 0 to CFG_WORDS_ON_BUS-1 loop
raddr := conv_integer(r.raddr(n)(11 downto 2));
tmp := (others => '0');
case raddr is
when 0 => tmp(CFG_IRQ_TOTAL-1 downto 1) := r.irqs_mask; --! [RW]: 1=irq disable; 0=enable
when 1 => tmp(CFG_IRQ_TOTAL-1 downto 1) := r.irqs_pending; --! [RO]: Rised interrupts.
when 2 => tmp := (others => '0'); --! [WO]: Clear interrupts mask.
when 3 => tmp := (others => '0'); --! [WO]: Rise interrupts mask.
when 4 => tmp := r.isr_table(31 downto 0); --! [RW]: LSB of the function address
when 5 => tmp := r.isr_table(63 downto 32); --! [RW]: MSB of the function address
when 6 => tmp := r.dbg_cause(31 downto 0); --! [RW]: Cause of the interrupt
when 7 => tmp := r.dbg_cause(63 downto 32); --! [RW]:
when 8 => tmp := r.dbg_epc(31 downto 0); --! [RW]: Instruction pointer
when 9 => tmp := r.dbg_epc(63 downto 32); --! [RW]:
when 10 => tmp(0) := r.irq_lock;
when 11 => tmp := r.irq_cause_idx;
when others =>
end case;
vrdata(8*CFG_ALIGN_BYTES*(n+1)-1 downto 8*CFG_ALIGN_BYTES*n) := tmp;
end loop;
if w_bus_we = '1' then
for n in 0 to CFG_WORDS_ON_BUS-1 loop
if conv_integer(wb_bus_wstrb(CFG_ALIGN_BYTES*(n+1)-1 downto CFG_ALIGN_BYTES*n)) /= 0 then
waddr := conv_integer(wb_bus_waddr(n)(11 downto 2));
tmp := wb_bus_wdata(32*(n+1)-1 downto 32*n);
case waddr is
when 0 => v.irqs_mask := tmp(CFG_IRQ_TOTAL-1 downto 1);
when 1 => --! Read only
when 2 =>
v.irqs_pending := r.irqs_pending and (not tmp(CFG_IRQ_TOTAL-1 downto 1));
when 3 =>
w_generate_ipi := '1';
v.irqs_pending := (not r.irqs_mask) and tmp(CFG_IRQ_TOTAL-1 downto 1);
when 4 => v.isr_table(31 downto 0) := tmp;
when 5 => v.isr_table(63 downto 32) := tmp;
when 6 => v.dbg_cause(31 downto 0) := tmp;
when 7 => v.dbg_cause(63 downto 32) := tmp;
when 8 => v.dbg_epc(31 downto 0) := tmp;
when 9 => v.dbg_epc(63 downto 32) := tmp;
when 10 => v.irq_lock := tmp(0);
when 11 => v.irq_cause_idx := tmp;
when others =>
end case;
end if;
end loop;
end if;
v.irqs_z := i_irqs;
v.irqs_zz := r.irqs_z;
for n in 1 to CFG_IRQ_TOTAL-1 loop
if (r.irqs_z(n) = '1' and r.irqs_zz(n) = '0') or r.irq_wait_unlock(n) = '1' then
if r.irq_lock = '0' then
v.irq_wait_unlock(n) := '0';
v.irqs_pending(n) := not r.irqs_mask(n);
w_generate_ipi := w_generate_ipi or (not r.irqs_mask(n));
else
v.irq_wait_unlock(n) := '1';
end if;
end if;
end loop;
if r.irqs_pending = IRQ_ZERO or r.irq_lock = '1' then
o_irq_meip <= '0';
else
o_irq_meip <= '1';
end if;
if not async_reset and nrst = '0' then
v := R_RESET;
end if;
rin <= v;
wb_dev_rdata <= vrdata;
end process;
o_cfg <= xconfig;
-- registers:
regs : process(clk, nrst)
begin
if async_reset and nrst = '0' then
r <= R_RESET;
elsif rising_edge(clk) then
r <= rin;
end if;
end process;
end;
| apache-2.0 | 8ef8423c48cc5fa7daaeca2808aca17e | 0.561469 | 3.267829 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/work/riscv_soc.vhd | 1 | 24,812 | --!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
--! Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--! Data transformation and math functions library
library commonlib;
use commonlib.types_common.all;
--! Technology definition library.
library techmap;
--! Technology constants definition.
use techmap.gencomp.all;
--! AMBA system bus specific library
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
use ambalib.types_bus0.all;
--! Misc modules library
library misclib;
use misclib.types_misc.all;
--! Ethernet related declarations.
library ethlib;
use ethlib.types_eth.all;
--! gnss sub-system library
library gnsslib;
use gnsslib.types_gnss.all;
--! River CPU specific library
library riverlib;
--! River top level with AMBA interface module declaration
use riverlib.types_river.all;
--! Top-level implementaion library
library work;
--! Target dependable configuration: RTL, FPGA or ASIC.
use work.config_target.all;
--! @brief SOC Top-level entity declaration.
--! @details This module implements full SOC functionality and all IO signals
--! are available on FPGA/ASIC IO pins.
entity riscv_soc is port
(
i_rst : in std_logic;
i_clk : in std_logic;
--! GPIO.
i_gpio : in std_logic_vector(11 downto 0);
o_gpio : out std_logic_vector(11 downto 0);
o_gpio_dir : out std_logic_vector(11 downto 0);
--! GPTimers
o_pwm : out std_logic_vector(1 downto 0);
--! JTAG signals:
i_jtag_tck : in std_logic;
i_jtag_ntrst : in std_logic;
i_jtag_tms : in std_logic;
i_jtag_tdi : in std_logic;
o_jtag_tdo : out std_logic;
o_jtag_vref : out std_logic;
--! UART1 signals:
i_uart1_ctsn : in std_logic;
i_uart1_rd : in std_logic;
o_uart1_td : out std_logic;
o_uart1_rtsn : out std_logic;
--! UART2 (debug port) signals:
i_uart2_ctsn : in std_logic;
i_uart2_rd : in std_logic;
o_uart2_td : out std_logic;
o_uart2_rtsn : out std_logic;
--! SPI Flash
i_flash_si : in std_logic;
o_flash_so : out std_logic;
o_flash_sck : out std_logic;
o_flash_csn : out std_logic;
o_flash_wpn : out std_logic;
o_flash_holdn : out std_logic;
o_flash_reset : out std_logic;
--! OTP Memory
i_otp_d : in std_logic_vector(15 downto 0);
o_otp_d : out std_logic_vector(15 downto 0);
o_otp_a : out std_logic_vector(11 downto 0);
o_otp_we : out std_logic;
o_otp_re : out std_logic;
--! Ethernet MAC PHY interface signals
i_etx_clk : in std_ulogic;
i_erx_clk : in std_ulogic;
i_erxd : in std_logic_vector(3 downto 0);
i_erx_dv : in std_ulogic;
i_erx_er : in std_ulogic;
i_erx_col : in std_ulogic;
i_erx_crs : in std_ulogic;
i_emdint : in std_ulogic;
o_etxd : out std_logic_vector(3 downto 0);
o_etx_en : out std_ulogic;
o_etx_er : out std_ulogic;
o_emdc : out std_ulogic;
i_eth_mdio : in std_logic;
o_eth_mdio : out std_logic;
o_eth_mdio_oe : out std_logic;
i_eth_gtx_clk : in std_logic;
i_eth_gtx_clk_90 : in std_logic;
o_erstn : out std_ulogic;
-- GNSS Sub-system signals:
i_clk_adc : in std_logic; -- GNSS ADC clock (4..40 MHz)
i_gps_I : in std_logic_vector(1 downto 0); -- Channel 0 sampled I value
i_gps_Q : in std_logic_vector(1 downto 0); -- Channel 0 sampled Q value
i_glo_I : in std_logic_vector(1 downto 0); -- Channel 1 sampled I value
i_glo_Q : in std_logic_vector(1 downto 0); -- Channel 1 sampled I value
o_pps : out std_logic; -- Pulse Per Second signal
i_gps_ld : in std_logic; -- Channel 0 RF front-end Lock detect
i_glo_ld : in std_logic; -- Channel 1 RF front-end Lock detect
o_max_sclk : out std_logic; -- RF synthesizer SPI clock
o_max_sdata : out std_logic; -- RF synthesizer SPI data
o_max_ncs : out std_logic_vector(1 downto 0); -- RF synthesizer channel 0/1 selector
i_antext_stat : in std_logic; -- Antenna powered status
i_antext_detect : in std_logic; -- Antenna connected status
o_antext_ena : out std_logic; -- Enabling/disabling antenna
o_antint_contr : out std_logic -- Antenna Internal/External selector
);
--! @}
end riscv_soc;
--! @brief SOC top-level architecture declaration.
architecture arch_riscv_soc of riscv_soc is
signal w_glob_rst : std_ulogic; -- Global reset active HIGH
signal w_glob_nrst : std_ulogic; -- Global reset active LOW
signal w_soft_rst : std_ulogic; -- Software reset (acitve HIGH) from DSU
signal w_bus_nrst : std_ulogic; -- Global reset and Soft Reset active LOW
signal uart1i : uart_in_type;
signal uart1o : uart_out_type;
signal uart2i : uart_in_type;
signal uart2o : uart_out_type;
signal spiflashi : spi_in_type;
signal spiflasho : spi_out_type;
--! Arbiter is switching only slaves output signal, data from noc
--! is connected to all slaves and to the arbiter itself.
signal aximi : bus0_xmst_in_vector;
signal aximo : bus0_xmst_out_vector;
signal axisi : bus0_xslv_in_vector;
signal axiso : bus0_xslv_out_vector;
signal slv_cfg : bus0_xslv_cfg_vector;
signal mst_cfg : bus0_xmst_cfg_vector;
signal wb_core_irq : std_logic_vector(CFG_TOTAL_CPU_MAX-1 downto 0);
signal w_ext_irq : std_logic;
signal dport_i : dport_in_vector;
signal dport_o : dport_out_vector;
signal dmi_dport_i : dport_in_vector;
signal dmi_dport_o : dport_out_vector;
signal dsu_dport_i : dport_in_vector;
signal dsu_dport_o : dport_out_vector;
signal wb_bus_util_w : std_logic_vector(CFG_BUS0_XMST_TOTAL-1 downto 0);
signal wb_bus_util_r : std_logic_vector(CFG_BUS0_XMST_TOTAL-1 downto 0);
signal w_dmi_jtag_req_valid : std_logic;
signal w_dmi_jtag_req_ready : std_logic;
signal w_dmi_jtag_write : std_logic;
signal wb_dmi_jtag_addr : std_logic_vector(6 downto 0);
signal wb_dmi_jtag_wdata : std_logic_vector(31 downto 0);
signal w_dmi_jtag_resp_valid : std_logic;
signal w_dmi_jtag_resp_ready : std_logic;
signal wb_dmi_jtag_rdata : std_logic_vector(31 downto 0);
signal w_dmi_dsu_req_valid : std_logic;
signal w_dmi_dsu_req_ready : std_logic;
signal w_dmi_dsu_write : std_logic;
signal wb_dmi_dsu_addr : std_logic_vector(6 downto 0);
signal wb_dmi_dsu_wdata : std_logic_vector(31 downto 0);
signal w_dmi_dsu_resp_valid : std_logic;
signal w_dmi_dsu_resp_ready : std_logic;
signal wb_dmi_dsu_rdata : std_logic_vector(31 downto 0);
signal wb_dmi_hartsel : std_logic_vector(CFG_LOG2_CPU_MAX-1 downto 0);
signal eth_i : eth_in_type;
signal eth_o : eth_out_type;
signal irq_pins : std_logic_vector(CFG_IRQ_TOTAL-1 downto 1);
signal w_otp_busy : std_logic;
signal wb_otp_cfg_rsetup : std_logic_vector(3 downto 0);
signal wb_otp_cfg_wadrsetup : std_logic_vector(3 downto 0);
signal wb_otp_cfg_wactive : std_logic_vector(31 downto 0);
signal wb_otp_cfg_whold : std_logic_vector(3 downto 0);
begin
------------------------------------
--! @brief System Reset device instance.
rst0 : reset_global port map (
inSysReset => i_rst,
inSysClk => i_clk,
outReset => w_glob_rst
);
w_glob_nrst <= not w_glob_rst;
w_bus_nrst <= not (w_glob_rst or w_soft_rst);
--! @brief AXI4 controller.
ctrl0 : axictrl_bus0 generic map (
async_reset => CFG_ASYNC_RESET
) port map (
i_clk => i_clk,
i_nrst => w_glob_nrst,
i_slvcfg => slv_cfg,
i_slvo => axiso,
i_msto => aximo,
o_slvi => axisi,
o_msti => aximi,
o_bus_util_w => wb_bus_util_w, -- Bus write access utilization per master statistic
o_bus_util_r => wb_bus_util_r -- Bus read access utilization per master statistic
);
wb_core_irq(CFG_TOTAL_CPU_MAX-1 downto 1) <= (others => '0');
wb_core_irq(0) <= w_ext_irq; -- TODO: other CPU interrupts
group0 : river_workgroup generic map (
cpunum => CFG_CPU_NUM,
memtech => CFG_MEMTECH,
async_reset => CFG_ASYNC_RESET,
fpu_ena => true,
coherence_ena => false,
tracer_ena => false
) port map (
i_nrst => w_bus_nrst,
i_clk => i_clk,
i_msti => aximi(CFG_BUS0_XMST_WORKGROUP),
o_msto => aximo(CFG_BUS0_XMST_WORKGROUP),
o_mstcfg => mst_cfg(CFG_BUS0_XMST_WORKGROUP),
i_dport => dport_i,
o_dport => dport_o,
i_ext_irq => wb_core_irq
);
-- Access to Debug port of the CPUs workgroup
dmregs0 : dmi_regs generic map (
async_reset => CFG_ASYNC_RESET,
cpu_available => CFG_CPU_NUM
) port map (
clk => i_clk,
nrst => w_glob_nrst,
-- port[0] connected to JTAG TAP has access to AXI master interface (SBA registers)
i_dmi_jtag_req_valid => w_dmi_jtag_req_valid,
o_dmi_jtag_req_ready => w_dmi_jtag_req_ready,
i_dmi_jtag_write => w_dmi_jtag_write,
i_dmi_jtag_addr => wb_dmi_jtag_addr,
i_dmi_jtag_wdata => wb_dmi_jtag_wdata,
o_dmi_jtag_resp_valid => w_dmi_jtag_resp_valid,
i_dmi_jtag_resp_ready => w_dmi_jtag_resp_ready,
o_dmi_jtag_rdata => wb_dmi_jtag_rdata,
-- port[1] connected to DSU doesn't have access to AXI master interface
i_dmi_dsu_req_valid => w_dmi_dsu_req_valid,
o_dmi_dsu_req_ready => w_dmi_dsu_req_ready,
i_dmi_dsu_write => w_dmi_dsu_write,
i_dmi_dsu_addr => wb_dmi_dsu_addr,
i_dmi_dsu_wdata => wb_dmi_dsu_wdata,
o_dmi_dsu_resp_valid => w_dmi_dsu_resp_valid,
i_dmi_dsu_resp_ready => w_dmi_dsu_resp_ready,
o_dmi_dsu_rdata => wb_dmi_dsu_rdata,
o_hartsel => wb_dmi_hartsel,
o_dmstat => open,
o_ndmreset => w_soft_rst,
o_cfg => mst_cfg(CFG_BUS0_XMST_DMI),
i_xmsti => aximi(CFG_BUS0_XMST_DMI),
o_xmsto => aximo(CFG_BUS0_XMST_DMI),
o_dporti => dmi_dport_i,
i_dporto => dmi_dport_o
);
-- Interconnect between DMI register and DSU debug interfaces
icdport0 : ic_dport_2s_1m generic map (
async_reset => CFG_ASYNC_RESET
) port map (
clk => i_clk,
nrst => w_glob_nrst,
i_sdport0i => dmi_dport_i,
o_sdport0o => dmi_dport_o,
i_sdport1i => dsu_dport_i,
o_sdport1o => dsu_dport_o,
o_mdporti => dport_i,
i_mdporto => dport_o
);
dsu_ena : if CFG_DSU_ENABLE generate
------------------------------------
--! @brief Debug Support Unit with access to the CSRs
--! @details Map address:
--! 0x80080000..0x8009ffff (128 KB total)
dsu0 : axi_dsu generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#80080#,
xmask => 16#fffe0#
) port map (
clk => i_clk,
nrst => w_glob_nrst,
o_cfg => slv_cfg(CFG_BUS0_XSLV_DSU),
i_axi => axisi(CFG_BUS0_XSLV_DSU),
o_axi => axiso(CFG_BUS0_XSLV_DSU),
o_dporti => dsu_dport_i,
i_dporto => dsu_dport_o,
i_dmi_hartsel => wb_dmi_hartsel,
o_dmi_req_valid => w_dmi_dsu_req_valid,
i_dmi_req_ready => w_dmi_dsu_req_ready,
o_dmi_write => w_dmi_dsu_write,
o_dmi_addr => wb_dmi_dsu_addr,
o_dmi_wdata => wb_dmi_dsu_wdata,
i_dmi_resp_valid => w_dmi_dsu_resp_valid,
o_dmi_resp_ready => w_dmi_dsu_resp_ready,
i_dmi_rdata => wb_dmi_dsu_rdata,
-- Run time platform statistic signals (move to tracer):
i_bus_util_w => wb_bus_util_w, -- Write access bus utilization per master statistic
i_bus_util_r => wb_bus_util_r -- Read access bus utilization per master statistic
);
end generate;
dsu_dis : if not CFG_DSU_ENABLE generate
slv_cfg(CFG_BUS0_XSLV_DSU) <= axi4_slave_config_none;
axiso(CFG_BUS0_XSLV_DSU) <= axi4_slave_out_none;
dsu_dport_i <= (others => dport_in_none);
w_dmi_dsu_req_valid <= '0';
w_dmi_dsu_write <= '0';
wb_dmi_dsu_addr <= (others => '0');
wb_dmi_dsu_wdata <= (others => '0');
w_dmi_dsu_resp_ready <= '0';
end generate;
------------------------------------
-- JTAG TAP interface
jtag0 : tap_jtag port map (
nrst => w_glob_nrst,
clk => i_clk,
i_tck => i_jtag_tck,
i_ntrst => i_jtag_ntrst,
i_tms => i_jtag_tms,
i_tdi => i_jtag_tdi,
o_tdo => o_jtag_tdo,
o_jtag_vref => o_jtag_vref,
-- DMI interface
o_dmi_req_valid => w_dmi_jtag_req_valid,
i_dmi_req_ready => w_dmi_jtag_req_ready,
o_dmi_write => w_dmi_jtag_write,
o_dmi_addr => wb_dmi_jtag_addr,
o_dmi_wdata => wb_dmi_jtag_wdata,
i_dmi_resp_valid => w_dmi_jtag_resp_valid,
o_dmi_resp_ready => w_dmi_jtag_resp_ready,
i_dmi_rdata => wb_dmi_jtag_rdata
);
------------------------------------
--! @brief TAP via UART (debug port) with master interface.
uart2i.cts <= not i_uart2_ctsn;
uart2i.rd <= i_uart2_rd;
uart2 : uart_tap port map (
nrst => w_glob_nrst,
clk => i_clk,
i_uart => uart2i,
o_uart => uart2o,
i_msti => aximi(CFG_BUS0_XMST_MSTUART),
o_msto => aximo(CFG_BUS0_XMST_MSTUART),
o_mstcfg => mst_cfg(CFG_BUS0_XMST_MSTUART)
);
o_uart2_td <= uart2o.td;
o_uart2_rtsn <= not uart2o.rts;
------------------------------------
--! @brief BOOT ROM module instance with the AXI4 interface.
--! @details Map address:
--! 0x00000000..0x00007fff (32 KB total)
boot0 : axi4_rom generic map (
memtech => CFG_MEMTECH,
async_reset => CFG_ASYNC_RESET,
xaddr => 16#00000#,
xmask => 16#ffff8#,
sim_hexfile => CFG_SIM_BOOTROM_HEX
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_BOOTROM),
i => axisi(CFG_BUS0_XSLV_BOOTROM),
o => axiso(CFG_BUS0_XSLV_BOOTROM)
);
------------------------------------
--! @brief OTP module instance with the AXI4 interface.
--! @details Map address:
--! 0x00010000..0x00011fff (8 KB total)
otp_ena : if CFG_OTP8KB_ENA generate
otp0 : axi4_otp generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#00010#,
xmask => 16#ffffe#
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_OTP),
i_axi => axisi(CFG_BUS0_XSLV_OTP),
o_axi => axiso(CFG_BUS0_XSLV_OTP),
o_otp_we => o_otp_we,
o_otp_re => o_otp_re,
o_otp_addr => o_otp_a,
o_otp_wdata => o_otp_d,
i_otp_rdata => i_otp_d,
i_cfg_rsetup => wb_otp_cfg_rsetup,
i_cfg_wadrsetup => wb_otp_cfg_wadrsetup,
i_cfg_wactive => wb_otp_cfg_wactive,
i_cfg_whold => wb_otp_cfg_whold,
o_busy => w_otp_busy
);
end generate;
otp_dis : if not CFG_OTP8KB_ENA generate
slv_cfg(CFG_BUS0_XSLV_OTP) <= axi4_slave_config_none;
axiso(CFG_BUS0_XSLV_OTP) <= axi4_slave_out_none;
o_otp_d <= X"0000";
o_otp_a <= X"000";
o_otp_we <= '0';
o_otp_re <= '0';
w_otp_busy <= '0';
end generate;
------------------------------------
--! @brief Firmware Image ROM with the AXI4 interface.
--! @details Map address:
--! 0x00100000..0x0013ffff (256 KB total)
--! @warning Don't forget to change ROM_ADDR_WIDTH in rom implementation
img0 : axi4_rom generic map (
memtech => CFG_MEMTECH,
async_reset => CFG_ASYNC_RESET,
xaddr => 16#00100#,
xmask => 16#fffc0#,
sim_hexfile => CFG_SIM_FWIMAGE_HEX
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_ROMIMAGE),
i => axisi(CFG_BUS0_XSLV_ROMIMAGE),
o => axiso(CFG_BUS0_XSLV_ROMIMAGE)
);
------------------------------------
--! @brief SPI FLASH module isntance with the AXI4 interface.
--! @details Map address:
--! 0x00200000..0x0023ffff (256 KB total)
spiflashi.SDI <= i_flash_si;
flash_ena : if CFG_EXT_FLASH_ENA generate
flash0 : axi4_flashspi generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#00200#,
xmask => 16#fffc0#,
wait_while_write => true
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_EXTFLASH),
i_spi => spiflashi,
o_spi => spiflasho,
i_axi => axisi(CFG_BUS0_XSLV_EXTFLASH),
o_axi => axiso(CFG_BUS0_XSLV_EXTFLASH)
);
end generate;
flash_dis : if not CFG_EXT_FLASH_ENA generate
slv_cfg(CFG_BUS0_XSLV_EXTFLASH) <= axi4_slave_config_none;
axiso(CFG_BUS0_XSLV_EXTFLASH) <= axi4_slave_out_none;
spiflasho <= spi_out_none;
end generate;
o_flash_so <= spiflasho.SDO;
o_flash_sck <= spiflasho.SCK;
o_flash_csn <= spiflasho.nCS;
o_flash_wpn <= spiflasho.nWP;
o_flash_holdn <= spiflasho.nHOLD;
o_flash_reset <= spiflasho.RESET;
------------------------------------
--! Internal SRAM module instance with the AXI4 interface.
--! @details Map address:
--! 0x10000000..0x1007ffff (512 KB total)
sram0 : axi4_sram generic map (
memtech => CFG_MEMTECH,
async_reset => CFG_ASYNC_RESET,
xaddr => 16#10000#,
xmask => 16#fff80#, -- 512 KB mask
abits => (10 + log2(512)), -- 512 KB address
init_file => CFG_SIM_FWIMAGE_HEX -- Used only for inferred
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_SRAM),
i => axisi(CFG_BUS0_XSLV_SRAM),
o => axiso(CFG_BUS0_XSLV_SRAM)
);
------------------------------------
--! @brief Controller of the LEDs, DIPs and GPIO with the AXI4 interface.
--! @details Map address:
--! 0x80000000..0x80000fff (4 KB total)
gpio0 : axi4_gpio generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#80000#,
xmask => 16#fffff#,
xirq => 0,
width => 12
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_GPIO),
i => axisi(CFG_BUS0_XSLV_GPIO),
o => axiso(CFG_BUS0_XSLV_GPIO),
i_gpio => i_gpio,
o_gpio => o_gpio,
o_gpio_dir => o_gpio_dir
);
------------------------------------
uart1i.cts <= not i_uart1_ctsn;
uart1i.rd <= i_uart1_rd;
--! @brief UART Controller with the AXI4 interface.
--! @details Map address:
--! 0x80001000..0x80001fff (4 KB total)
uart1 : axi4_uart generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#80001#,
xmask => 16#FFFFF#,
xirq => CFG_IRQ_UART1,
fifosz => 16
) port map (
nrst => w_glob_nrst,
clk => i_clk,
cfg => slv_cfg(CFG_BUS0_XSLV_UART1),
i_uart => uart1i,
o_uart => uart1o,
i_axi => axisi(CFG_BUS0_XSLV_UART1),
o_axi => axiso(CFG_BUS0_XSLV_UART1),
o_irq => irq_pins(CFG_IRQ_UART1)
);
o_uart1_td <= uart1o.td;
o_uart1_rtsn <= not uart1o.rts;
------------------------------------
--! @brief Interrupt controller with the AXI4 interface.
--! @details Map address:
--! 0x80002000..0x80002fff (4 KB total)
irq0 : axi4_irqctrl generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#80002#,
xmask => 16#FFFFF#
) port map (
clk => i_clk,
nrst => w_bus_nrst,
i_irqs => irq_pins,
o_cfg => slv_cfg(CFG_BUS0_XSLV_IRQCTRL),
i_axi => axisi(CFG_BUS0_XSLV_IRQCTRL),
o_axi => axiso(CFG_BUS0_XSLV_IRQCTRL),
o_irq_meip => w_ext_irq
);
--! @brief Timers with the AXI4 interface.
--! @details Map address:
--! 0x80005000..0x80005fff (4 KB total)
gptmr0 : axi4_gptimers generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#80005#,
xmask => 16#fffff#,
xirq => CFG_IRQ_GPTIMERS,
tmr_total => 2
) port map (
clk => i_clk,
nrst => w_glob_nrst,
cfg => slv_cfg(CFG_BUS0_XSLV_GPTIMERS),
i_axi => axisi(CFG_BUS0_XSLV_GPTIMERS),
o_axi => axiso(CFG_BUS0_XSLV_GPTIMERS),
o_pwm => o_pwm,
o_irq => irq_pins(CFG_IRQ_GPTIMERS)
);
--! @brief GNSS Sub-System with the AXI4 interface.
--! @details Map address:
--! 0x80008000..0x8000ffff (32 KB total)
--!
--! 0x80008000..0x80008fff (4 KB total) RF Controller
--! 0x80009000..0x80009fff (4 KB total) Engine
--! 0x8000a000..0x8000afff (4 KB total) GPS FSE
gnss_ena : if CFG_GNSS_SS_ENA generate
gnss0 : gnss_ss generic map (
async_reset => CFG_ASYNC_RESET,
tech => CFG_MEMTECH,
xaddr => 16#80008#,
xmask => 16#FFFF8#,
xirq => CFG_IRQ_GNSSENGINE
) port map (
i_nrst => w_glob_nrst,
i_clk_bus => i_clk,
i_clk_adc => i_clk_adc,
i_gps_I => i_gps_I,
i_gps_Q => i_gps_Q,
i_glo_I => i_glo_I,
i_glo_Q => i_glo_Q,
o_pps => o_pps,
i_gps_ld => i_gps_ld,
i_glo_ld => i_glo_ld,
o_max_sclk => o_max_sclk,
o_max_sdata => o_max_sdata,
o_max_ncs => o_max_ncs,
i_antext_stat => i_antext_stat,
i_antext_detect => i_antext_detect,
o_antext_ena => o_antext_ena,
o_antint_contr => o_antint_contr,
o_cfg => slv_cfg(CFG_BUS0_XSLV_GNSS_SS),
i_axi => axisi(CFG_BUS0_XSLV_GNSS_SS),
o_axi => axiso(CFG_BUS0_XSLV_GNSS_SS),
o_irq => irq_pins(CFG_IRQ_GNSSENGINE)
);
end generate;
gnss_dis : if not CFG_GNSS_SS_ENA generate
axiso(CFG_BUS0_XSLV_GNSS_SS) <= axi4_slave_out_none;
slv_cfg(CFG_BUS0_XSLV_GNSS_SS) <= axi4_slave_config_none;
irq_pins(CFG_IRQ_GNSSENGINE) <= '0';
end generate;
--! @brief Ethernet MAC with the AXI4 interface.
--! @details Map address:
--! 0x80040000..0x8007ffff (256 KB total)
--! EDCL IP: 192.168.1.51 = C0.A8.01.33
eth0_ena : if CFG_ETHERNET_ENABLE generate
eth_i.tx_clk <= i_etx_clk;
eth_i.rx_clk <= i_erx_clk;
eth_i.rxd <= i_erxd;
eth_i.rx_dv <= i_erx_dv;
eth_i.rx_er <= i_erx_er;
eth_i.rx_col <= i_erx_col;
eth_i.rx_crs <= i_erx_crs;
eth_i.mdint <= i_emdint;
eth_i.mdio_i <= i_eth_mdio;
eth_i.gtx_clk <= i_eth_gtx_clk;
mac0 : grethaxi generic map (
xaddr => 16#80040#,
xmask => 16#FFFC0#,
xirq => CFG_IRQ_ETHMAC,
memtech => CFG_MEMTECH,
mdcscaler => 60, --! System Bus clock in MHz
enable_mdio => 1,
fifosize => 16,
nsync => 1,
edcl => 1,
edclbufsz => 16,
macaddrh => 16#20789#,
macaddrl => 16#123#,
ipaddrh => 16#C0A8#,
ipaddrl => 16#0033#,
phyrstadr => 7,
enable_mdint => 1,
maxsize => 1518
) port map (
rst => w_glob_nrst,
clk => i_clk,
msti => aximi(CFG_BUS0_XMST_ETHMAC),
msto => aximo(CFG_BUS0_XMST_ETHMAC),
mstcfg => mst_cfg(CFG_BUS0_XMST_ETHMAC),
msto2 => open, -- EDCL separate access is disabled
mstcfg2 => open, -- EDCL separate access is disabled
slvi => axisi(CFG_BUS0_XSLV_ETHMAC),
slvo => axiso(CFG_BUS0_XSLV_ETHMAC),
slvcfg => slv_cfg(CFG_BUS0_XSLV_ETHMAC),
ethi => eth_i,
etho => eth_o,
irq => irq_pins(CFG_IRQ_ETHMAC)
);
end generate;
--! Ethernet disabled
eth0_dis : if not CFG_ETHERNET_ENABLE generate
slv_cfg(CFG_BUS0_XSLV_ETHMAC) <= axi4_slave_config_none;
axiso(CFG_BUS0_XSLV_ETHMAC) <= axi4_slave_out_none;
mst_cfg(CFG_BUS0_XMST_ETHMAC) <= axi4_master_config_none;
aximo(CFG_BUS0_XMST_ETHMAC) <= axi4_master_out_none;
irq_pins(CFG_IRQ_ETHMAC) <= '0';
eth_i.gtx_clk <= '0';
eth_o <= eth_out_none;
end generate;
o_etxd <= eth_o.txd;
o_etx_en <= eth_o.tx_en;
o_etx_er <= eth_o.tx_er;
o_emdc <= eth_o.mdc;
o_eth_mdio <= eth_o.mdio_o;
o_eth_mdio_oe <= eth_o.mdio_oe;
o_erstn <= w_glob_nrst;
--! @brief Plug'n'Play controller of the current configuration with the
--! AXI4 interface.
--! @details Map address:
--! 0xfffff000..0xffffffff (4 KB total)
pnp0 : axi4_pnp generic map (
async_reset => CFG_ASYNC_RESET,
xaddr => 16#fffff#,
xmask => 16#fffff#,
tech => CFG_MEMTECH,
hw_id => CFG_HW_ID
) port map (
sys_clk => i_clk,
adc_clk => '0',
nrst => w_glob_nrst,
mstcfg => mst_cfg,
slvcfg => slv_cfg,
cfg => slv_cfg(CFG_BUS0_XSLV_PNP),
i => axisi(CFG_BUS0_XSLV_PNP),
o => axiso(CFG_BUS0_XSLV_PNP),
-- OTP Timing control
i_otp_busy => w_otp_busy,
o_otp_cfg_rsetup => wb_otp_cfg_rsetup,
o_otp_cfg_wadrsetup => wb_otp_cfg_wadrsetup,
o_otp_cfg_wactive => wb_otp_cfg_wactive,
o_otp_cfg_whold => wb_otp_cfg_whold
);
end arch_riscv_soc;
| apache-2.0 | 03b0a12579ad913481267befa29fc700 | 0.592818 | 2.924564 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd | 19 | 78,408 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8
WbsjeU4skQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF
/cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7
zQiXYHxUisemJqUJdhA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq
rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt
EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8
zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa
rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr
lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS
8G6qhIBnq17qx8rO48o=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59
KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl
KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP
3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD
RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304)
`protect data_block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`protect end_protected
| bsd-2-clause | dba66e38f1e5f9fa4fb8932f1ef41dc0 | 0.9504 | 1.813279 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg.vhd | 1 | 84,412 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg.vhd
-- Description: This entity is the top level entity for the AXI Scatter Gather
-- Engine.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1_3;
use axi_sg_v4_1_3.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.max2;
-------------------------------------------------------------------------------
entity axi_sg is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32;
-- AXI Master Stream out for descriptor fetch
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_DESC_UPDATE : integer range 0 to 1 := 1;
-- Include or Exclude Scatter Gather Descriptor Update
-- 0 = Exclude Descriptor Update
-- 1 = Include Descriptor Update
C_INCLUDE_INTRPT : integer range 0 to 1 := 1;
-- Include/Exclude interrupt logic coalescing
-- 0 = Exclude Delay timer
-- 1 = Include Delay timer
C_INCLUDE_DLYTMR : integer range 0 to 1 := 1;
-- Include/Exclude interrupt delay timer
-- 0 = Exclude Delay timer
-- 1 = Include Delay timer
C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125;
-- Interrupt Delay Timer resolution in usec
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_ENABLE_CDMA : integer range 0 to 1 := 0;
C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0;
C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1;
C_NUM_MM2S_CHANNELS : integer range 1 to 16 := 1;
C_ACTUAL_ADDR : integer range 32 to 64 := 32;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_mm2s_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
p_reset_n : in std_logic ;
--
dm_resetn : in std_logic ; --
sg_ctl : in std_logic_vector (7 downto 0) ;
--
-- Scatter Gather Write Address Channel --
m_axi_sg_awaddr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
m_axi_sg_awlen : out std_logic_vector(7 downto 0) ; --
m_axi_sg_awsize : out std_logic_vector(2 downto 0) ; --
m_axi_sg_awburst : out std_logic_vector(1 downto 0) ; --
m_axi_sg_awprot : out std_logic_vector(2 downto 0) ; --
m_axi_sg_awcache : out std_logic_vector(3 downto 0) ; --
m_axi_sg_awuser : out std_logic_vector(3 downto 0) ; --
m_axi_sg_awvalid : out std_logic ; --
m_axi_sg_awready : in std_logic ; --
--
-- Scatter Gather Write Data Channel --
m_axi_sg_wdata : out std_logic_vector --
(C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; --
m_axi_sg_wstrb : out std_logic_vector --
((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); --
m_axi_sg_wlast : out std_logic ; --
m_axi_sg_wvalid : out std_logic ; --
m_axi_sg_wready : in std_logic ; --
--
-- Scatter Gather Write Response Channel --
m_axi_sg_bresp : in std_logic_vector(1 downto 0) ; --
m_axi_sg_bvalid : in std_logic ; --
m_axi_sg_bready : out std_logic ; --
--
-- Scatter Gather Read Address Channel --
m_axi_sg_araddr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; --
m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; --
m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; --
m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; --
m_axi_sg_aruser : out std_logic_vector(3 downto 0) ; --
m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; --
m_axi_sg_arvalid : out std_logic ; --
m_axi_sg_arready : in std_logic ; --
--
-- Memory Map to Stream Scatter Gather Read Data Channel --
m_axi_sg_rdata : in std_logic_vector --
(C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; --
m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; --
m_axi_sg_rlast : in std_logic ; --
m_axi_sg_rvalid : in std_logic ; --
m_axi_sg_rready : out std_logic ; --
--
-- Channel 1 Control and Status --
ch1_run_stop : in std_logic ; --
ch1_cyclic : in std_logic ; --
ch1_desc_flush : in std_logic ; --
ch1_cntrl_strm_stop : in std_logic ;
ch1_tailpntr_enabled : in std_logic ; --
ch1_taildesc_wren : in std_logic ; --
ch1_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_ftch_idle : out std_logic ; --
ch1_ftch_interr_set : out std_logic ; --
ch1_ftch_slverr_set : out std_logic ; --
ch1_ftch_decerr_set : out std_logic ; --
ch1_ftch_err_early : out std_logic ; --
ch1_ftch_stale_desc : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
--
--
-- Channel 1 Interrupt Coalescing Signals --
ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 --
ch1_dlyirq_dsble : in std_logic ; --
ch1_irqdelay_wren : in std_logic ; --
ch1_irqdelay : in std_logic_vector(7 downto 0) ; --
ch1_irqthresh_wren : in std_logic ; --
ch1_irqthresh : in std_logic_vector(7 downto 0) ; --
ch1_packet_sof : in std_logic ; --
ch1_packet_eof : in std_logic ; --
ch1_ioc_irq_set : out std_logic ; --
ch1_dly_irq_set : out std_logic ; --
ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; --
ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; --
--
-- Channel 1 AXI Fetch Stream Out --
m_axis_ch1_ftch_aclk : in std_logic ; --
m_axis_ch1_ftch_tdata : out std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_ch1_ftch_tvalid : out std_logic ; --
m_axis_ch1_ftch_tready : in std_logic ; --
m_axis_ch1_ftch_tlast : out std_logic ; --
m_axis_ch1_ftch_tdata_new : out std_logic_vector --
(96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); --
m_axis_ch1_ftch_tdata_mcdma_new : out std_logic_vector --
(63 downto 0); --
m_axis_ch1_ftch_tvalid_new : out std_logic ; --
m_axis_ftch1_desc_available : out std_logic;
--
--
-- Channel 1 AXI Update Stream In --
s_axis_ch1_updt_aclk : in std_logic ; --
s_axis_ch1_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_ch1_updtptr_tvalid : in std_logic ; --
s_axis_ch1_updtptr_tready : out std_logic ; --
s_axis_ch1_updtptr_tlast : in std_logic ; --
--
s_axis_ch1_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_ch1_updtsts_tvalid : in std_logic ; --
s_axis_ch1_updtsts_tready : out std_logic ; --
s_axis_ch1_updtsts_tlast : in std_logic ; --
--
-- Channel 2 Control and Status --
ch2_run_stop : in std_logic ; --
ch2_cyclic : in std_logic ; --
ch2_desc_flush : in std_logic ; --
ch2_tailpntr_enabled : in std_logic ; --
ch2_taildesc_wren : in std_logic ; --
ch2_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_ftch_idle : out std_logic ; --
ch2_ftch_interr_set : out std_logic ; --
ch2_ftch_slverr_set : out std_logic ; --
ch2_ftch_decerr_set : out std_logic ; --
ch2_ftch_err_early : out std_logic ; --
ch2_ftch_stale_desc : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
--
-- Channel 2 Interrupt Coalescing Signals --
ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 --
ch2_dlyirq_dsble : in std_logic ; --
ch2_irqdelay_wren : in std_logic ; --
ch2_irqdelay : in std_logic_vector(7 downto 0) ; --
ch2_irqthresh_wren : in std_logic ; --
ch2_irqthresh : in std_logic_vector(7 downto 0) ; --
ch2_packet_sof : in std_logic ; --
ch2_packet_eof : in std_logic ; --
ch2_ioc_irq_set : out std_logic ; --
ch2_dly_irq_set : out std_logic ; --
ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; --
ch2_irqthresh_status : out std_logic_vector(7 downto 0) ; --
ch2_update_active : out std_logic ;
--
-- Channel 2 AXI Fetch Stream Out --
m_axis_ch2_ftch_aclk : in std_logic ; --
m_axis_ch2_ftch_tdata : out std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_ch2_ftch_tvalid : out std_logic ; --
m_axis_ch2_ftch_tready : in std_logic ; --
m_axis_ch2_ftch_tlast : out std_logic ; --
--
m_axis_ch2_ftch_tdata_new : out std_logic_vector --
(96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); --
m_axis_ch2_ftch_tdata_mcdma_new : out std_logic_vector --
(63 downto 0); --
m_axis_ch2_ftch_tdata_mcdma_nxt : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
m_axis_ch2_ftch_tvalid_new : out std_logic ; --
m_axis_ftch2_desc_available : out std_logic;
-- Channel 2 AXI Update Stream In --
s_axis_ch2_updt_aclk : in std_logic ; --
s_axis_ch2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_ch2_updtptr_tvalid : in std_logic ; --
s_axis_ch2_updtptr_tready : out std_logic ; --
s_axis_ch2_updtptr_tlast : in std_logic ; --
--
--
s_axis_ch2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_ch2_updtsts_tvalid : in std_logic ; --
s_axis_ch2_updtsts_tready : out std_logic ; --
s_axis_ch2_updtsts_tlast : in std_logic ; --
--
--
-- Error addresses --
ftch_error : out std_logic ; --
ftch_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_error : out std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(31 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
(3 downto 0); --
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic := '0'; --
m_axis_mm2s_cntrl_tlast : out std_logic ;
bd_eq : out std_logic
);
end axi_sg;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant AXI_LITE_MODE : integer := 2; -- DataMover Lite Mode
constant EXCLUDE : integer := 0; -- Define Exclude as 0
constant NEVER_HALT : std_logic := '0'; -- Never halt sg datamover
-- Always include descriptor fetch (use lite datamover)
constant INCLUDE_DESC_FETCH : integer := AXI_LITE_MODE;
-- Selectable include descriptor update (use lite datamover)
constant INCLUDE_DESC_UPDATE : integer := AXI_LITE_MODE * C_INCLUDE_DESC_UPDATE;
-- Always allow address requests
constant ALWAYS_ALLOW : std_logic := '1';
-- If async mode and number of descriptors to fetch is zero then set number
-- of descriptors to fetch as 1.
constant SG_FTCH_DESC2QUEUE : integer := max2(C_SG_FTCH_DESC2QUEUE,C_AXIS_IS_ASYNC);
constant SG_UPDT_DESC2QUEUE : integer := max2(C_SG_UPDT_DESC2QUEUE,C_AXIS_IS_ASYNC);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- DataMover MM2S Fetch Command Stream Signals
signal s_axis_ftch_cmd_tvalid : std_logic := '0';
signal s_axis_ftch_cmd_tready : std_logic := '0';
signal s_axis_ftch_cmd_tdata : std_logic_vector
(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0');
-- DataMover MM2S Fetch Status Stream Signals
signal m_axis_ftch_sts_tvalid : std_logic := '0';
signal m_axis_ftch_sts_tready : std_logic := '0';
signal m_axis_ftch_sts_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal m_axis_ftch_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0');
signal mm2s_err : std_logic := '0';
-- DataMover MM2S Fetch Stream Signals
signal m_axis_mm2s_tdata : std_logic_vector
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal m_axis_mm2s_tkeep : std_logic_vector
((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_axis_mm2s_tlast : std_logic := '0';
signal m_axis_mm2s_tvalid : std_logic := '0';
signal m_axis_mm2s_tready : std_logic := '0';
-- DataMover S2MM Update Command Stream Signals
signal s_axis_updt_cmd_tvalid : std_logic := '0';
signal s_axis_updt_cmd_tready : std_logic := '0';
signal s_axis_updt_cmd_tdata : std_logic_vector
(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0');
-- DataMover S2MM Update Status Stream Signals
signal m_axis_updt_sts_tvalid : std_logic := '0';
signal m_axis_updt_sts_tready : std_logic := '0';
signal m_axis_updt_sts_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal m_axis_updt_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0');
signal s2mm_err : std_logic := '0';
-- DataMover S2MM Update Stream Signals
signal s_axis_s2mm_tdata : std_logic_vector
(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0');
signal s_axis_s2mm_tkeep : std_logic_vector
((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0) := (others => '1');
signal s_axis_s2mm_tlast : std_logic := '0';
signal s_axis_s2mm_tvalid : std_logic := '0';
signal s_axis_s2mm_tready : std_logic := '0';
-- Channel 1 internals
signal ch1_ftch_active : std_logic := '0';
signal ch1_ftch_queue_empty : std_logic := '0';
signal ch1_ftch_queue_full : std_logic := '0';
signal ch1_nxtdesc_wren : std_logic := '0';
signal ch1_updt_active : std_logic := '0';
signal ch1_updt_queue_empty : std_logic := '0';
signal ch1_updt_curdesc_wren : std_logic := '0';
signal ch1_updt_curdesc : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ch1_updt_ioc : std_logic := '0';
signal ch1_updt_ioc_irq_set_i : std_logic := '0';
signal ch1_dma_interr : std_logic := '0';
signal ch1_dma_slverr : std_logic := '0';
signal ch1_dma_decerr : std_logic := '0';
signal ch1_dma_interr_set_i : std_logic := '0';
signal ch1_dma_slverr_set_i : std_logic := '0';
signal ch1_dma_decerr_set_i : std_logic := '0';
signal ch1_updt_done : std_logic := '0';
signal ch1_ftch_pause : std_logic := '0';
-- Channel 2 internals
signal ch2_ftch_active : std_logic := '0';
signal ch2_ftch_queue_empty : std_logic := '0';
signal ch2_ftch_queue_full : std_logic := '0';
signal ch2_nxtdesc_wren : std_logic := '0';
signal ch2_updt_active : std_logic := '0';
signal ch2_updt_queue_empty : std_logic := '0';
signal ch2_updt_curdesc_wren : std_logic := '0';
signal ch2_updt_curdesc : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ch2_updt_ioc : std_logic := '0';
signal ch2_updt_ioc_irq_set_i : std_logic := '0';
signal ch2_dma_interr : std_logic := '0';
signal ch2_dma_slverr : std_logic := '0';
signal ch2_dma_decerr : std_logic := '0';
signal ch2_dma_interr_set_i : std_logic := '0';
signal ch2_dma_slverr_set_i : std_logic := '0';
signal ch2_dma_decerr_set_i : std_logic := '0';
signal ch2_updt_done : std_logic := '0';
signal ch2_ftch_pause : std_logic := '0';
signal nxtdesc : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ftch_cmnd_wr : std_logic := '0';
signal ftch_cmnd_data : std_logic_vector
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0');
signal ftch_stale_desc : std_logic := '0';
signal ftch_error_i : std_logic := '0';
signal updt_error_i : std_logic := '0';
signal ch1_irqthresh_decr : std_logic := '0'; --CR567661
signal ch2_irqthresh_decr : std_logic := '0'; --CR567661
signal m_axi_sg_awaddr_int : std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
signal m_axi_sg_awlen_int : std_logic_vector(7 downto 0) ; --
signal m_axi_sg_awsize_int : std_logic_vector(2 downto 0) ; --
signal m_axi_sg_awburst_int : std_logic_vector(1 downto 0) ; --
signal m_axi_sg_awprot_int : std_logic_vector(2 downto 0) ; --
signal m_axi_sg_awcache_int : std_logic_vector(3 downto 0) ; --
signal m_axi_sg_awuser_int : std_logic_vector(3 downto 0) ; --
signal m_axi_sg_awvalid_int : std_logic ; --
signal m_axi_sg_awready_int : std_logic ; --
--
-- Scatter Gather Write Data Channel --
signal m_axi_sg_wdata_int : std_logic_vector --
(C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; --
signal m_axi_sg_wstrb_int : std_logic_vector --
((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); --
signal m_axi_sg_wlast_int : std_logic ; --
signal m_axi_sg_wvalid_int : std_logic ; --
signal m_axi_sg_wready_int : std_logic ; --
signal m_axi_sg_bresp_int : std_logic_vector (1 downto 0);
signal m_axi_sg_bvalid_int : std_logic;
signal m_axi_sg_bready_int : std_logic;
signal m_axi_sg_bvalid_int_del : std_logic;
signal ch2_eof_detected : std_logic;
signal s_axis_ch2_updtsts_tready_i : std_logic;
signal ch2_sg_idle, tail_updt_latch : std_logic;
signal tail_updt : std_logic;
signal ch2_taildesc_wren_int : std_logic;
signal ch2_sg_idle_int : std_logic;
signal ftch_error_addr_1 : std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;
signal updt_error_addr_1 : std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;
signal ch1_ftch_interr_set_i : std_logic := '0';
signal ch1_ftch_slverr_set_i : std_logic := '0';
signal ch1_ftch_decerr_set_i : std_logic := '0';
signal ch2_ftch_interr_set_i : std_logic := '0';
signal ch2_ftch_slverr_set_i : std_logic := '0';
signal ch2_ftch_decerr_set_i : std_logic := '0';
signal ch1_updt_interr_set_i : std_logic := '0';
signal ch1_updt_slverr_set_i : std_logic := '0';
signal ch1_updt_decerr_set_i : std_logic := '0';
signal ch2_updt_interr_set_i : std_logic := '0';
signal ch2_updt_slverr_set_i : std_logic := '0';
signal ch2_updt_decerr_set_i : std_logic := '0';
signal ftch_error_capture : std_logic := '0';
signal updt_error_capture : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
updt_error <= updt_error_i;
ftch_error <= ftch_error_i;
ftch_error_capture <= ch1_ftch_interr_set_i or
ch1_ftch_slverr_set_i or
ch1_ftch_decerr_set_i or
ch2_ftch_interr_set_i or
ch2_ftch_slverr_set_i or
ch2_ftch_decerr_set_i;
ch1_ftch_interr_set <= ch1_ftch_interr_set_i;
ch1_ftch_slverr_set <= ch1_ftch_slverr_set_i;
ch1_ftch_decerr_set <= ch1_ftch_decerr_set_i;
ch2_ftch_interr_set <= ch2_ftch_interr_set_i;
ch2_ftch_slverr_set <= ch2_ftch_slverr_set_i;
ch2_ftch_decerr_set <= ch2_ftch_decerr_set_i;
updt_error_capture <= ch1_updt_interr_set_i or
ch1_updt_slverr_set_i or
ch1_updt_decerr_set_i or
ch2_updt_interr_set_i or
ch2_updt_slverr_set_i or
ch2_updt_decerr_set_i or
ch2_dma_interr_set_i or
ch2_dma_slverr_set_i or
ch2_dma_decerr_set_i or
ch1_dma_interr_set_i or
ch1_dma_slverr_set_i or
ch1_dma_decerr_set_i;
ch1_updt_interr_set <= ch1_updt_interr_set_i;
ch1_updt_slverr_set <= ch1_updt_slverr_set_i;
ch1_updt_decerr_set <= ch1_updt_decerr_set_i;
ch2_updt_interr_set <= ch2_updt_interr_set_i;
ch2_updt_slverr_set <= ch2_updt_slverr_set_i;
ch2_updt_decerr_set <= ch2_updt_decerr_set_i;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
ftch_error_addr (31 downto 6) <= (others => '0');
elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then
ftch_error_addr (31 downto 6)<= ftch_error_addr_1(31 downto 6);
elsif (updt_error_capture = '1') then
ftch_error_addr (31 downto 6)<= updt_error_addr_1(31 downto 6);
end if;
end if;
end process;
ADDR_64 : if (C_M_AXI_SG_ADDR_WIDTH > 32) generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
ftch_error_addr (63 downto 32) <= (others => '0');
elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then
ftch_error_addr (63 downto 32)<= ftch_error_addr_1(63 downto 32);
elsif (updt_error_capture = '1') then
ftch_error_addr (63 downto 32)<= updt_error_addr_1(63 downto 32);
end if;
end if;
end process;
end generate ADDR_64;
updt_error_addr <= (others => '0');
ftch_error_addr (5 downto 0) <= (others => '0');
-- Always valid therefore fix to '1'
s_axis_s2mm_tkeep <= (others => '1');
-- Drive interrupt on complete set out
--ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; -- CR567661
--ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; -- CR567661
ch1_dma_interr_set <= ch1_dma_interr_set_i;
ch1_dma_slverr_set <= ch1_dma_slverr_set_i;
ch1_dma_decerr_set <= ch1_dma_decerr_set_i;
ch2_dma_interr_set <= ch2_dma_interr_set_i;
ch2_dma_slverr_set <= ch2_dma_slverr_set_i;
ch2_dma_decerr_set <= ch2_dma_decerr_set_i;
s_axis_ch2_updtsts_tready <= s_axis_ch2_updtsts_tready_i;
EOF_DET : if (C_ENABLE_MULTI_CHANNEL = 1) generate
ch2_eof_detected <= s_axis_ch2_updtsts_tdata (26)
and s_axis_ch2_updtsts_tready_i
and s_axis_ch2_updtsts_tvalid
and s_axis_ch2_updtsts_tlast;
-- ch2_eof_detected <= '0';
ch2_sg_idle_int <= ch2_sg_idle;
-- ch2_sg_idle_int <= '0'; --ch2_sg_idle;
TAILUPDT_LATCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or tail_updt = '1' ) then -- nned to have some reset condition here
tail_updt <= '0';
elsif(ch2_sg_idle = '1' and tail_updt_latch = '1' and tail_updt = '0')then
tail_updt <= '1';
end if;
end if;
end process TAILUPDT_LATCH;
ch2_taildesc_wren_int <= ch2_taildesc_wren or tail_updt;
--ch2_taildesc_wren_int <= ch2_taildesc_wren;
end generate EOF_DET;
NOEOF_DET : if (C_ENABLE_MULTI_CHANNEL = 0) generate
tail_updt <= '0';
ch2_eof_detected <= '0';
ch2_taildesc_wren_int <= ch2_taildesc_wren;
ch2_sg_idle_int <= '0'; --ch2_sg_idle;
end generate NOEOF_DET;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Manager
-------------------------------------------------------------------------------
I_SG_FETCH_MNGR : entity axi_sg_v4_1_3.axi_sg_ftch_mngr
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH ,
C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR ,
C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR ,
C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
ch1_run_stop => ch1_run_stop ,
ch1_desc_flush => ch1_desc_flush ,
ch1_updt_done => ch1_updt_done ,
ch1_ftch_idle => ch1_ftch_idle ,
ch1_ftch_active => ch1_ftch_active ,
ch1_ftch_interr_set => ch1_ftch_interr_set_i ,
ch1_ftch_slverr_set => ch1_ftch_slverr_set_i ,
ch1_ftch_decerr_set => ch1_ftch_decerr_set_i ,
ch1_ftch_err_early => ch1_ftch_err_early ,
ch1_ftch_stale_desc => ch1_ftch_stale_desc ,
ch1_tailpntr_enabled => ch1_tailpntr_enabled ,
ch1_taildesc_wren => ch1_taildesc_wren ,
ch1_taildesc => ch1_taildesc ,
ch1_nxtdesc_wren => ch1_nxtdesc_wren ,
ch1_curdesc => ch1_curdesc ,
ch1_ftch_queue_empty => ch1_ftch_queue_empty ,
ch1_ftch_queue_full => ch1_ftch_queue_full ,
ch1_ftch_pause => ch1_ftch_pause ,
-- Channel 2 Control and Status
ch2_run_stop => ch2_run_stop ,
ch2_desc_flush => ch2_desc_flush ,
ch2_updt_done => ch2_updt_done ,
ch2_ftch_idle => ch2_ftch_idle ,
ch2_ftch_active => ch2_ftch_active ,
ch2_ftch_interr_set => ch2_ftch_interr_set_i ,
ch2_ftch_slverr_set => ch2_ftch_slverr_set_i ,
ch2_ftch_decerr_set => ch2_ftch_decerr_set_i ,
ch2_ftch_err_early => ch2_ftch_err_early ,
ch2_ftch_stale_desc => ch2_ftch_stale_desc ,
ch2_tailpntr_enabled => ch2_tailpntr_enabled ,
ch2_taildesc_wren => ch2_taildesc_wren_int ,
ch2_taildesc => ch2_taildesc ,
ch2_nxtdesc_wren => ch2_nxtdesc_wren ,
ch2_curdesc => ch2_curdesc ,
ch2_ftch_queue_empty => ch2_ftch_queue_empty ,
ch2_ftch_queue_full => ch2_ftch_queue_full ,
ch2_ftch_pause => ch2_ftch_pause ,
ch2_eof_detected => ch2_eof_detected ,
tail_updt => tail_updt ,
tail_updt_latch => tail_updt_latch ,
ch2_sg_idle => ch2_sg_idle ,
nxtdesc => nxtdesc ,
-- Read response for detecting slverr, decerr early
m_axi_sg_rresp => m_axi_sg_rresp ,
m_axi_sg_rvalid => m_axi_sg_rvalid ,
-- User Command Interface Ports (AXI Stream)
s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid ,
s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready ,
s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) ,
-- User Status Interface Ports (AXI Stream)
m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid ,
m_axis_ftch_sts_tready => m_axis_ftch_sts_tready ,
m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata ,
m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep ,
mm2s_err => mm2s_err ,
-- DataMover Command
ftch_cmnd_wr => ftch_cmnd_wr ,
ftch_cmnd_data => ftch_cmnd_data ,
ftch_stale_desc => ftch_stale_desc ,
updt_error => updt_error_i ,
ftch_error => ftch_error_i ,
ftch_error_addr => ftch_error_addr_1 ,
bd_eq => bd_eq
);
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Queue
-------------------------------------------------------------------------------
I_SG_FETCH_QUEUE : entity axi_sg_v4_1_3.axi_sg_ftch_q_mngr
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ,
C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE ,
C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH ,
C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR ,
C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_ASYNC => C_ASYNC ,
C_ENABLE_CDMA => C_ENABLE_CDMA,
C_ACTUAL_ADDR => C_ACTUAL_ADDR,
C_FAMILY => C_FAMILY
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_mm2s_aclk => m_axi_mm2s_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
p_reset_n => p_reset_n ,
ch2_sg_idle => ch2_sg_idle_int ,
-- Channel 1 Control
ch1_desc_flush => ch1_desc_flush ,
ch1_cyclic => ch1_cyclic ,
ch1_cntrl_strm_stop => ch1_cntrl_strm_stop ,
ch1_ftch_active => ch1_ftch_active ,
ch1_nxtdesc_wren => ch1_nxtdesc_wren ,
ch1_ftch_queue_empty => ch1_ftch_queue_empty ,
ch1_ftch_queue_full => ch1_ftch_queue_full ,
ch1_ftch_pause => ch1_ftch_pause ,
-- Channel 2 Control
ch2_ftch_active => ch2_ftch_active ,
ch2_cyclic => ch2_cyclic ,
ch2_desc_flush => ch2_desc_flush ,
ch2_nxtdesc_wren => ch2_nxtdesc_wren ,
ch2_ftch_queue_empty => ch2_ftch_queue_empty ,
ch2_ftch_queue_full => ch2_ftch_queue_full ,
ch2_ftch_pause => ch2_ftch_pause ,
nxtdesc => nxtdesc ,
-- DataMover Command
ftch_cmnd_wr => ftch_cmnd_wr ,
ftch_cmnd_data => ftch_cmnd_data ,
ftch_stale_desc => ftch_stale_desc ,
-- MM2S Stream In from DataMover
m_axis_mm2s_tdata => m_axis_mm2s_tdata ,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep ,
m_axis_mm2s_tlast => m_axis_mm2s_tlast ,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid ,
m_axis_mm2s_tready => m_axis_mm2s_tready ,
-- Channel 1 AXI Fetch Stream Out
m_axis_ch1_ftch_aclk => m_axis_ch1_ftch_aclk ,
m_axis_ch1_ftch_tdata => m_axis_ch1_ftch_tdata ,
m_axis_ch1_ftch_tvalid => m_axis_ch1_ftch_tvalid ,
m_axis_ch1_ftch_tready => m_axis_ch1_ftch_tready ,
m_axis_ch1_ftch_tlast => m_axis_ch1_ftch_tlast ,
m_axis_ch1_ftch_tdata_new => m_axis_ch1_ftch_tdata_new ,
m_axis_ch1_ftch_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new ,
m_axis_ch1_ftch_tvalid_new => m_axis_ch1_ftch_tvalid_new ,
m_axis_ftch1_desc_available => m_axis_ftch1_desc_available,
m_axis_ch2_ftch_tdata_new => m_axis_ch2_ftch_tdata_new ,
m_axis_ch2_ftch_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new ,
m_axis_ch2_ftch_tdata_mcdma_nxt => m_axis_ch2_ftch_tdata_mcdma_nxt ,
m_axis_ch2_ftch_tvalid_new => m_axis_ch2_ftch_tvalid_new ,
m_axis_ftch2_desc_available => m_axis_ftch2_desc_available,
-- Channel 2 AXI Fetch Stream Out
m_axis_ch2_ftch_aclk => m_axis_ch2_ftch_aclk ,
m_axis_ch2_ftch_tdata => m_axis_ch2_ftch_tdata ,
m_axis_ch2_ftch_tvalid => m_axis_ch2_ftch_tvalid ,
m_axis_ch2_ftch_tready => m_axis_ch2_ftch_tready ,
m_axis_ch2_ftch_tlast => m_axis_ch2_ftch_tlast ,
m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
);
-- Include Scatter Gather Descriptor Update logic
GEN_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 1 generate
begin
-- CR567661
-- Route update version of IOC set to threshold
-- counter decrement control
ch1_irqthresh_decr <= ch1_updt_ioc_irq_set_i;
ch2_irqthresh_decr <= ch2_updt_ioc_irq_set_i;
-- Drive interrupt on complete set out
ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i;
ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i;
-------------------------------------------------------------------------------
-- Scatter Gather Update Manager
-------------------------------------------------------------------------------
I_SG_UPDATE_MNGR : entity axi_sg_v4_1_3.axi_sg_updt_mngr
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE ,
C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD ,
C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE ,
C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
ch1_updt_idle => ch1_updt_idle ,
ch1_updt_active => ch1_updt_active ,
ch1_updt_ioc => ch1_updt_ioc ,
ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i ,
-- Update Descriptor Status
ch1_dma_interr => ch1_dma_interr ,
ch1_dma_slverr => ch1_dma_slverr ,
ch1_dma_decerr => ch1_dma_decerr ,
ch1_dma_interr_set => ch1_dma_interr_set_i ,
ch1_dma_slverr_set => ch1_dma_slverr_set_i ,
ch1_dma_decerr_set => ch1_dma_decerr_set_i ,
ch1_updt_interr_set => ch1_updt_interr_set_i ,
ch1_updt_slverr_set => ch1_updt_slverr_set_i ,
ch1_updt_decerr_set => ch1_updt_decerr_set_i ,
ch1_updt_queue_empty => ch1_updt_queue_empty ,
ch1_updt_curdesc_wren => ch1_updt_curdesc_wren ,
ch1_updt_curdesc => ch1_updt_curdesc ,
ch1_updt_done => ch1_updt_done ,
-- Channel 2 Control and Status
ch2_dma_interr => ch2_dma_interr ,
ch2_dma_slverr => ch2_dma_slverr ,
ch2_dma_decerr => ch2_dma_decerr ,
ch2_updt_idle => ch2_updt_idle ,
ch2_updt_active => ch2_updt_active ,
ch2_updt_ioc => ch2_updt_ioc ,
ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i ,
ch2_dma_interr_set => ch2_dma_interr_set_i ,
ch2_dma_slverr_set => ch2_dma_slverr_set_i ,
ch2_dma_decerr_set => ch2_dma_decerr_set_i ,
ch2_updt_interr_set => ch2_updt_interr_set_i ,
ch2_updt_slverr_set => ch2_updt_slverr_set_i ,
ch2_updt_decerr_set => ch2_updt_decerr_set_i ,
ch2_updt_queue_empty => ch2_updt_queue_empty ,
-- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren ,
-- ch2_updt_curdesc => ch2_updt_curdesc ,
ch2_updt_done => ch2_updt_done ,
-- User Command Interface Ports (AXI Stream)
s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid ,
s_axis_updt_cmd_tready => s_axis_updt_cmd_tready ,
s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) ,
-- User Status Interface Ports (AXI Stream)
m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid ,
m_axis_updt_sts_tready => m_axis_updt_sts_tready ,
m_axis_updt_sts_tdata => m_axis_updt_sts_tdata ,
m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep ,
s2mm_err => s2mm_err ,
ftch_error => ftch_error_i ,
updt_error => updt_error_i ,
updt_error_addr => updt_error_addr_1
);
-------------------------------------------------------------------------------
-- Scatter Gather Update Queue
-------------------------------------------------------------------------------
I_SG_UPDATE_QUEUE : entity axi_sg_v4_1_3.axi_sg_updt_q_mngr
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH ,
C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ,
C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE ,
C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE ,
C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_FAMILY => C_FAMILY
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control
ch1_updt_curdesc_wren => ch1_updt_curdesc_wren ,
ch1_updt_curdesc => ch1_updt_curdesc ,
ch1_updt_active => ch1_updt_active ,
ch1_updt_queue_empty => ch1_updt_queue_empty ,
ch1_updt_ioc => ch1_updt_ioc ,
ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i ,
-- Channel 1 Update Descriptor Status
ch1_dma_interr => ch1_dma_interr ,
ch1_dma_slverr => ch1_dma_slverr ,
ch1_dma_decerr => ch1_dma_decerr ,
ch1_dma_interr_set => ch1_dma_interr_set_i ,
ch1_dma_slverr_set => ch1_dma_slverr_set_i ,
ch1_dma_decerr_set => ch1_dma_decerr_set_i ,
-- Channel 2 Control
ch2_updt_active => ch2_updt_active ,
-- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren ,
-- ch2_updt_curdesc => ch2_updt_curdesc ,
ch2_updt_queue_empty => ch2_updt_queue_empty ,
ch2_updt_ioc => ch2_updt_ioc ,
ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i ,
-- Channel 2 Update Descriptor Status
ch2_dma_interr => ch2_dma_interr ,
ch2_dma_slverr => ch2_dma_slverr ,
ch2_dma_decerr => ch2_dma_decerr ,
ch2_dma_interr_set => ch2_dma_interr_set_i ,
ch2_dma_slverr_set => ch2_dma_slverr_set_i ,
ch2_dma_decerr_set => ch2_dma_decerr_set_i ,
-- S2MM Stream Out To DataMover
s_axis_s2mm_tdata => s_axis_s2mm_tdata ,
s_axis_s2mm_tlast => s_axis_s2mm_tlast ,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid ,
s_axis_s2mm_tready => s_axis_s2mm_tready ,
-- Channel 1 AXI Update Stream In
s_axis_ch1_updt_aclk => s_axis_ch1_updt_aclk ,
s_axis_ch1_updtptr_tdata => s_axis_ch1_updtptr_tdata ,
s_axis_ch1_updtptr_tvalid => s_axis_ch1_updtptr_tvalid ,
s_axis_ch1_updtptr_tready => s_axis_ch1_updtptr_tready ,
s_axis_ch1_updtptr_tlast => s_axis_ch1_updtptr_tlast ,
s_axis_ch1_updtsts_tdata => s_axis_ch1_updtsts_tdata ,
s_axis_ch1_updtsts_tvalid => s_axis_ch1_updtsts_tvalid ,
s_axis_ch1_updtsts_tready => s_axis_ch1_updtsts_tready ,
s_axis_ch1_updtsts_tlast => s_axis_ch1_updtsts_tlast ,
-- Channel 2 AXI Update Stream In
s_axis_ch2_updt_aclk => s_axis_ch2_updt_aclk ,
s_axis_ch2_updtptr_tdata => s_axis_ch2_updtptr_tdata ,
s_axis_ch2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid ,
s_axis_ch2_updtptr_tready => s_axis_ch2_updtptr_tready ,
s_axis_ch2_updtptr_tlast => s_axis_ch2_updtptr_tlast ,
s_axis_ch2_updtsts_tdata => s_axis_ch2_updtsts_tdata ,
s_axis_ch2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid ,
s_axis_ch2_updtsts_tready => s_axis_ch2_updtsts_tready_i ,
s_axis_ch2_updtsts_tlast => s_axis_ch2_updtsts_tlast
);
end generate GEN_DESC_UPDATE;
-- Exclude Scatter Gather Descriptor Update logic
GEN_NO_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 0 generate
begin
ch1_updt_idle <= '1';
ch1_updt_active <= '0';
-- ch1_updt_ioc_irq_set <= '0';--CR#569609
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set_i <= '0';
ch1_dma_slverr_set_i <= '0';
ch1_dma_decerr_set_i <= '0';
ch1_updt_done <= '1'; -- Always done
ch2_updt_idle <= '1';
ch2_updt_active <= '0';
-- ch2_updt_ioc_irq_set <= '0'; --CR#569609
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set_i <= '0';
ch2_dma_slverr_set_i <= '0';
ch2_dma_decerr_set_i <= '0';
ch2_updt_done <= '1'; -- Always done
s_axis_updt_cmd_tvalid <= '0';
s_axis_updt_cmd_tdata <= (others => '0');
m_axis_updt_sts_tready <= '0';
updt_error_i <= '0';
updt_error_addr <= (others => '0');
ch1_updt_curdesc_wren <= '0';
ch1_updt_curdesc <= (others => '0');
ch1_updt_queue_empty <= '0';
ch1_updt_ioc <= '0';
ch1_dma_interr <= '0';
ch1_dma_slverr <= '0';
ch1_dma_decerr <= '0';
ch2_updt_curdesc_wren <= '0';
ch2_updt_curdesc <= (others => '0');
ch2_updt_queue_empty <= '0';
ch2_updt_ioc <= '0';
ch2_dma_interr <= '0';
ch2_dma_slverr <= '0';
ch2_dma_decerr <= '0';
s_axis_s2mm_tdata <= (others => '0');
s_axis_s2mm_tlast <= '0';
s_axis_s2mm_tvalid <= '0';
s_axis_ch1_updtptr_tready <= '0';
s_axis_ch2_updtptr_tready <= '0';
s_axis_ch1_updtsts_tready <= '0';
s_axis_ch2_updtsts_tready <= '0';
-- CR567661
-- Route packet eof to threshold counter decrement control
ch1_irqthresh_decr <= ch1_packet_eof;
ch2_irqthresh_decr <= ch2_packet_eof;
-- Drive interrupt on complete set out
ch1_updt_ioc_irq_set <= ch1_packet_eof;
ch2_updt_ioc_irq_set <= ch2_packet_eof;
end generate GEN_NO_DESC_UPDATE;
-------------------------------------------------------------------------------
-- Scatter Gather Interrupt Coalescing
-------------------------------------------------------------------------------
GEN_INTERRUPT_LOGIC : if C_INCLUDE_INTRPT = 1 generate
begin
I_AXI_SG_INTRPT : entity axi_sg_v4_1_3.axi_sg_intrpt
generic map(
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_INCLUDE_DLYTMR => C_INCLUDE_DLYTMR ,
C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION
)
port map(
-- Secondary Clock and Reset
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
ch1_irqthresh_decr => ch1_irqthresh_decr , -- CR567661
ch1_irqthresh_rstdsbl => ch1_irqthresh_rstdsbl , -- CR572013
ch1_dlyirq_dsble => ch1_dlyirq_dsble ,
ch1_irqdelay_wren => ch1_irqdelay_wren ,
ch1_irqdelay => ch1_irqdelay ,
ch1_irqthresh_wren => ch1_irqthresh_wren ,
ch1_irqthresh => ch1_irqthresh ,
ch1_packet_sof => ch1_packet_sof ,
ch1_packet_eof => ch1_packet_eof ,
ch1_ioc_irq_set => ch1_ioc_irq_set ,
ch1_dly_irq_set => ch1_dly_irq_set ,
ch1_irqdelay_status => ch1_irqdelay_status ,
ch1_irqthresh_status => ch1_irqthresh_status ,
ch2_irqthresh_decr => ch2_irqthresh_decr , -- CR567661
ch2_irqthresh_rstdsbl => ch2_irqthresh_rstdsbl , -- CR572013
ch2_dlyirq_dsble => ch2_dlyirq_dsble ,
ch2_irqdelay_wren => ch2_irqdelay_wren ,
ch2_irqdelay => ch2_irqdelay ,
ch2_irqthresh_wren => ch2_irqthresh_wren ,
ch2_irqthresh => ch2_irqthresh ,
ch2_packet_sof => ch2_packet_sof ,
ch2_packet_eof => ch2_packet_eof ,
ch2_ioc_irq_set => ch2_ioc_irq_set ,
ch2_dly_irq_set => ch2_dly_irq_set ,
ch2_irqdelay_status => ch2_irqdelay_status ,
ch2_irqthresh_status => ch2_irqthresh_status
);
end generate GEN_INTERRUPT_LOGIC;
GEN_NO_INTRPT_LOGIC : if C_INCLUDE_INTRPT = 0 generate
begin
ch1_ioc_irq_set <= '0';
ch1_dly_irq_set <= '0';
ch1_irqdelay_status <= (others => '0');
ch1_irqthresh_status <= (others => '0');
ch2_ioc_irq_set <= '0';
ch2_dly_irq_set <= '0';
ch2_irqdelay_status <= (others => '0');
ch2_irqthresh_status <= (others => '0');
end generate GEN_NO_INTRPT_LOGIC;
-------------------------------------------------------------------------------
-- Scatter Gather DataMover Lite
-------------------------------------------------------------------------------
I_SG_AXI_DATAMOVER : entity axi_sg_v4_1_3.axi_sg_datamover
generic map(
C_INCLUDE_MM2S => 2, --INCLUDE_DESC_FETCH, -- Lite
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64
C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32
C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32
C_INCLUDE_MM2S_STSFIFO => 0, -- Exclude
C_MM2S_STSCMD_FIFO_DEPTH => 1, -- Set to Min
C_MM2S_STSCMD_IS_ASYNC => 0, -- Synchronous
C_INCLUDE_MM2S_DRE => 0, -- No DRE
C_MM2S_BURST_SIZE => 16, -- Set to Min
C_MM2S_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request
C_MM2S_INCLUDE_SF => 0, -- Exclude Store-and-Forward
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, --
C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD,
C_INCLUDE_S2MM => 2, --INCLUDE_DESC_UPDATE, -- Lite
C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64
C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32
C_S_AXIS_S2MM_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32
C_INCLUDE_S2MM_STSFIFO => 0, -- Exclude
C_S2MM_STSCMD_FIFO_DEPTH => 1, -- Set to Min
C_S2MM_STSCMD_IS_ASYNC => 0, -- Synchronous
C_INCLUDE_S2MM_DRE => 0, -- No DRE
C_S2MM_BURST_SIZE => 16, -- Set to Min;
C_S2MM_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request
C_S2MM_INCLUDE_SF => 0, -- Exclude Store-and-Forward
C_FAMILY => C_FAMILY
)
port map(
-- MM2S Primary Clock / Reset input
m_axi_mm2s_aclk => m_axi_sg_aclk ,
m_axi_mm2s_aresetn => dm_resetn ,
mm2s_halt => NEVER_HALT ,
mm2s_halt_cmplt => open ,
mm2s_err => mm2s_err ,
mm2s_allow_addr_req => ALWAYS_ALLOW ,
mm2s_addr_req_posted => open ,
mm2s_rd_xfer_cmplt => open ,
sg_ctl => sg_ctl ,
-- Memory Map to Stream Command FIFO and Status FIFO I/O --------------
m_axis_mm2s_cmdsts_aclk => m_axi_sg_aclk ,
m_axis_mm2s_cmdsts_aresetn => dm_resetn ,
-- User Command Interface Ports (AXI Stream)
s_axis_mm2s_cmd_tvalid => s_axis_ftch_cmd_tvalid ,
s_axis_mm2s_cmd_tready => s_axis_ftch_cmd_tready ,
s_axis_mm2s_cmd_tdata => s_axis_ftch_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_mm2s_sts_tvalid => m_axis_ftch_sts_tvalid ,
m_axis_mm2s_sts_tready => m_axis_ftch_sts_tready ,
m_axis_mm2s_sts_tdata => m_axis_ftch_sts_tdata ,
m_axis_mm2s_sts_tkeep => m_axis_ftch_sts_tkeep ,
-- MM2S AXI Address Channel I/O --------------------------------------
m_axi_mm2s_arid => open ,
m_axi_mm2s_araddr => m_axi_sg_araddr ,
m_axi_mm2s_arlen => m_axi_sg_arlen ,
m_axi_mm2s_arsize => m_axi_sg_arsize ,
m_axi_mm2s_arburst => m_axi_sg_arburst ,
m_axi_mm2s_arprot => m_axi_sg_arprot ,
m_axi_mm2s_arcache => m_axi_sg_arcache ,
m_axi_mm2s_aruser => m_axi_sg_aruser ,
m_axi_mm2s_arvalid => m_axi_sg_arvalid ,
m_axi_mm2s_arready => m_axi_sg_arready ,
-- MM2S AXI MMap Read Data Channel I/O -------------------------------
m_axi_mm2s_rdata => m_axi_sg_rdata ,
m_axi_mm2s_rresp => m_axi_sg_rresp ,
m_axi_mm2s_rlast => m_axi_sg_rlast ,
m_axi_mm2s_rvalid => m_axi_sg_rvalid ,
m_axi_mm2s_rready => m_axi_sg_rready ,
-- MM2S AXI Master Stream Channel I/O --------------------------------
m_axis_mm2s_tdata => m_axis_mm2s_tdata ,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep ,
m_axis_mm2s_tlast => m_axis_mm2s_tlast ,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid ,
m_axis_mm2s_tready => m_axis_mm2s_tready ,
-- Testing Support I/O
mm2s_dbg_sel => (others => '0') ,
mm2s_dbg_data => open ,
-- S2MM Primary Clock/Reset input
m_axi_s2mm_aclk => m_axi_sg_aclk ,
m_axi_s2mm_aresetn => dm_resetn ,
s2mm_halt => NEVER_HALT ,
s2mm_halt_cmplt => open ,
s2mm_err => s2mm_err ,
s2mm_allow_addr_req => ALWAYS_ALLOW ,
s2mm_addr_req_posted => open ,
s2mm_wr_xfer_cmplt => open ,
s2mm_ld_nxt_len => open ,
s2mm_wr_len => open ,
-- Stream to Memory Map Command FIFO and Status FIFO I/O --------------
m_axis_s2mm_cmdsts_awclk => m_axi_sg_aclk ,
m_axis_s2mm_cmdsts_aresetn => dm_resetn ,
-- User Command Interface Ports (AXI Stream)
s_axis_s2mm_cmd_tvalid => s_axis_updt_cmd_tvalid ,
s_axis_s2mm_cmd_tready => s_axis_updt_cmd_tready ,
s_axis_s2mm_cmd_tdata => s_axis_updt_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_s2mm_sts_tvalid => m_axis_updt_sts_tvalid ,
m_axis_s2mm_sts_tready => m_axis_updt_sts_tready ,
m_axis_s2mm_sts_tdata => m_axis_updt_sts_tdata ,
m_axis_s2mm_sts_tkeep => m_axis_updt_sts_tkeep ,
-- S2MM AXI Address Channel I/O --------------------------------------
m_axi_s2mm_awid => open ,
m_axi_s2mm_awaddr => m_axi_sg_awaddr_int ,
m_axi_s2mm_awlen => m_axi_sg_awlen_int ,
m_axi_s2mm_awsize => m_axi_sg_awsize_int ,
m_axi_s2mm_awburst => m_axi_sg_awburst_int ,
m_axi_s2mm_awprot => m_axi_sg_awprot_int ,
m_axi_s2mm_awcache => m_axi_sg_awcache_int ,
m_axi_s2mm_awuser => m_axi_sg_awuser_int ,
m_axi_s2mm_awvalid => m_axi_sg_awvalid_int ,
m_axi_s2mm_awready => m_axi_sg_awready_int ,
-- S2MM AXI MMap Write Data Channel I/O ------------------------------
m_axi_s2mm_wdata => m_axi_sg_wdata ,
m_axi_s2mm_wstrb => m_axi_sg_wstrb ,
m_axi_s2mm_wlast => m_axi_sg_wlast ,
m_axi_s2mm_wvalid => m_axi_sg_wvalid_int ,
m_axi_s2mm_wready => m_axi_sg_wready_int ,
-- S2MM AXI MMap Write response Channel I/O --------------------------
m_axi_s2mm_bresp => m_axi_sg_bresp_int ,
m_axi_s2mm_bvalid => m_axi_sg_bvalid_int ,
m_axi_s2mm_bready => m_axi_sg_bready_int ,
-- S2MM AXI Slave Stream Channel I/O ---------------------------------
s_axis_s2mm_tdata => s_axis_s2mm_tdata ,
s_axis_s2mm_tkeep => s_axis_s2mm_tkeep ,
s_axis_s2mm_tlast => s_axis_s2mm_tlast ,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid ,
s_axis_s2mm_tready => s_axis_s2mm_tready ,
-- Testing Support I/O
s2mm_dbg_sel => (others => '0') ,
s2mm_dbg_data => open
);
--ENABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS = 1) generate
-- begin
m_axi_sg_awaddr <= m_axi_sg_awaddr_int ;
m_axi_sg_awlen <= m_axi_sg_awlen_int ;
m_axi_sg_awsize <= m_axi_sg_awsize_int ;
m_axi_sg_awburst <= m_axi_sg_awburst_int;
m_axi_sg_awprot <= m_axi_sg_awprot_int ;
m_axi_sg_awcache <= m_axi_sg_awcache_int;
m_axi_sg_awuser <= m_axi_sg_awuser_int ;
m_axi_sg_awvalid <= m_axi_sg_awvalid_int;
m_axi_sg_awready_int <= m_axi_sg_awready;
m_axi_sg_wvalid <= m_axi_sg_wvalid_int;
m_axi_sg_wready_int <= m_axi_sg_wready;
m_axi_sg_bresp_int <= m_axi_sg_bresp;
m_axi_sg_bvalid_int <= m_axi_sg_bvalid;
m_axi_sg_bready <= m_axi_sg_bready_int;
-- end generate ENABLE_MM2S_STATUS;
--DISABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS > 1) generate
--
-- m_axi_sg_awaddr <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awaddr_int;
-- m_axi_sg_awlen <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awlen_int;
-- m_axi_sg_awsize <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awsize_int;
-- m_axi_sg_awburst <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awburst_int;
-- m_axi_sg_awprot <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awprot_int;
-- m_axi_sg_awcache <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awcache_int;
-- m_axi_sg_awuser <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awuser_int;
-- m_axi_sg_awvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_awvalid_int;
-- m_axi_sg_awready_int <= m_axi_sg_awvalid_int when ch1_updt_active = '1' else m_axi_sg_awready; -- to make sure that AXI logic is fine.
--
-- m_axi_sg_wvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_wvalid_int;
-- m_axi_sg_wready_int <= m_axi_sg_wvalid_int when ch1_updt_active = '1' else m_axi_sg_wready; -- to make sure that AXI logic is fine
--
-- m_axi_sg_bresp_int <= m_axi_sg_bresp;
-- m_axi_sg_bvalid_int <= m_axi_sg_bvalid_int_del when ch1_updt_active = '1' else m_axi_sg_bvalid;
-- m_axi_sg_bready <= m_axi_sg_bready_int;
--
ch2_update_active <= ch2_updt_active;
--
---- A dummy response is needed to keep things running on DMA side
-- PROC_DUMMY_RESP : process (m_axi_sg_aclk)
-- begin
-- if (dm_resetn = '0') then
-- m_axi_sg_bvalid_int_del <= '0';
-- elsif (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
-- m_axi_sg_bvalid_int_del <= m_axi_sg_wvalid_int;
-- end if;
-- end process PROC_DUMMY_RESP;
--
-- end generate DISABLE_MM2S_STATUS;
end implementation;
| mit | 0b4b1cc143994d175c7ad94ab25f673f | 0.402502 | 4.026522 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/techmap/pll/SysPLL_zynq.vhd | 1 | 4,501 | -- 50 MHz PS to 40 MHz PL
-- 50 *
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity SysPLL_zynq is
port
(-- Clock in ports
CLK_IN : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end SysPLL_zynq;
architecture xilinx of SysPLL_zynq is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "SysPLL_zynq,clk_wiz_v3_6,{component_name=SysPLL_k7,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=1,clkin1_period=5.000,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}";
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkfbout_buf : std_logic;
signal clkfboutb_unused : std_logic;
signal clkout0 : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Clocking primitive
--------------------------------------
-- Instantiation of the MMCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT_F => 20.000,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 25.000,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 20.000)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clkout0,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf,
CLKIN1 => CLK_IN,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => LOCKED,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => RESET);
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf,
I => clkfbout);
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
| apache-2.0 | c45c736440f9278ded2eea2fcd81b060 | 0.571429 | 3.782353 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_cmdsts_if.vhd | 1 | 15,459 | -- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_mm2s_cmdsts_if.vhd
-- Description: This entity is the descriptor fetch command and status inteface
-- for the Scatter Gather Engine AXI DataMover.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_10;
use axi_dma_v7_1_10.axi_dma_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_mm2s_cmdsts_if is
generic (
C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32;
C_ENABLE_QUEUE : integer range 0 to 1 := 1;
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Command write interface from mm2s sm --
mm2s_cmnd_wr : in std_logic ; --
mm2s_cmnd_data : in std_logic_vector --
((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
mm2s_cmnd_pending : out std_logic ; --
mm2s_sts_received_clr : in std_logic ; --
mm2s_sts_received : out std_logic ; --
mm2s_tailpntr_enble : in std_logic ; --
mm2s_desc_cmplt : in std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_mm2s_cmd_tvalid : out std_logic ; --
s_axis_mm2s_cmd_tready : in std_logic ; --
s_axis_mm2s_cmd_tdata : out std_logic_vector --
((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_mm2s_sts_tvalid : in std_logic ; --
m_axis_mm2s_sts_tready : out std_logic ; --
m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; --
--
-- Scatter Gather Fetch Status --
mm2s_err : in std_logic ; --
mm2s_done : out std_logic ; --
mm2s_error : out std_logic ; --
mm2s_interr : out std_logic ; --
mm2s_slverr : out std_logic ; --
mm2s_decerr : out std_logic ; --
mm2s_tag : out std_logic_vector(3 downto 0) --
);
end axi_dma_mm2s_cmdsts_if;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_mm2s_cmdsts_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal sts_tready : std_logic := '0';
signal sts_received_i : std_logic := '0';
signal stale_desc : std_logic := '0';
signal log_status : std_logic := '0';
signal mm2s_slverr_i : std_logic := '0';
signal mm2s_decerr_i : std_logic := '0';
signal mm2s_interr_i : std_logic := '0';
signal mm2s_error_or : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
mm2s_slverr <= mm2s_slverr_i;
mm2s_decerr <= mm2s_decerr_i;
mm2s_interr <= mm2s_interr_i;
-- Stale descriptor if complete bit already set and in tail pointer mode.
stale_desc <= '1' when mm2s_desc_cmplt = '1' and mm2s_tailpntr_enble = '1'
else '0';
-------------------------------------------------------------------------------
-- DataMover Command Interface
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- When command by fetch sm, drive descriptor fetch command to data mover.
-- Hold until data mover indicates ready.
-------------------------------------------------------------------------------
GEN_NO_HOLD_DATA : if C_ENABLE_QUEUE = 1 generate
begin
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s_axis_mm2s_cmd_tvalid <= '0';
-- s_axis_mm2s_cmd_tdata <= (others => '0');
mm2s_cmnd_pending <= '0';
-- New command write and not flagged as stale descriptor
elsif(mm2s_cmnd_wr = '1' and stale_desc = '0')then
s_axis_mm2s_cmd_tvalid <= '1';
-- s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data;
mm2s_cmnd_pending <= '1';
-- Clear flags when command excepted by datamover
elsif(s_axis_mm2s_cmd_tready = '1')then
s_axis_mm2s_cmd_tvalid <= '0';
-- s_axis_mm2s_cmd_tdata <= (others => '0');
mm2s_cmnd_pending <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data;
end generate GEN_NO_HOLD_DATA;
GEN_HOLD_DATA : if C_ENABLE_QUEUE = 0 generate
begin
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s_axis_mm2s_cmd_tvalid <= '0';
s_axis_mm2s_cmd_tdata <= (others => '0');
mm2s_cmnd_pending <= '0';
-- New command write and not flagged as stale descriptor
elsif(mm2s_cmnd_wr = '1' and stale_desc = '0')then
s_axis_mm2s_cmd_tvalid <= '1';
s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data;
mm2s_cmnd_pending <= '1';
-- Clear flags when command excepted by datamover
elsif(s_axis_mm2s_cmd_tready = '1')then
s_axis_mm2s_cmd_tvalid <= '0';
s_axis_mm2s_cmd_tdata <= (others => '0');
mm2s_cmnd_pending <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
-- s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data;
end generate GEN_HOLD_DATA;
-------------------------------------------------------------------------------
-- DataMover Status Interface
-------------------------------------------------------------------------------
-- Drive ready low during reset to indicate not ready
REG_STS_READY : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
sts_tready <= '0';
-- De-assert tready on acceptance of status to prevent
-- over writing current status
elsif(sts_tready = '1' and m_axis_mm2s_sts_tvalid = '1')then
sts_tready <= '0';
-- If not status received assert ready to datamover
elsif(sts_received_i = '0') then
sts_tready <= '1';
end if;
end if;
end process REG_STS_READY;
-- Pass to DataMover
m_axis_mm2s_sts_tready <= sts_tready;
-------------------------------------------------------------------------------
-- Log status bits out of data mover.
-------------------------------------------------------------------------------
log_status <= '1' when m_axis_mm2s_sts_tvalid = '1' and sts_received_i = '0'
else '0';
DATAMOVER_STS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_done <= '0';
mm2s_slverr_i <= '0';
mm2s_decerr_i <= '0';
mm2s_interr_i <= '0';
mm2s_tag <= (others => '0');
-- Status valid, therefore capture status
elsif(log_status = '1')then
mm2s_done <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_CMDDONE_BIT);
mm2s_slverr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_SLVERR_BIT);
mm2s_decerr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_DECERR_BIT);
mm2s_interr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_INTERR_BIT);
mm2s_tag <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_TAGMSB_BIT downto DATAMOVER_STS_TAGLSB_BIT);
-- Only assert when valid
else
mm2s_done <= '0';
mm2s_slverr_i <= '0';
mm2s_decerr_i <= '0';
mm2s_interr_i <= '0';
mm2s_tag <= (others => '0');
end if;
end if;
end process DATAMOVER_STS;
-- Flag when status is received. Used to hold status until sg if
-- can use status. This only has meaning when SG Engine Queues are turned
-- on
STS_RCVD_FLAG : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- Clear flag on reset or sg_if status clear
if(m_axi_sg_aresetn = '0' or mm2s_sts_received_clr = '1')then
sts_received_i <= '0';
-- Status valid, therefore capture status
elsif(m_axis_mm2s_sts_tvalid = '1' and sts_received_i = '0')then
sts_received_i <= '1';
end if;
end if;
end process STS_RCVD_FLAG;
mm2s_sts_received <= sts_received_i;
-------------------------------------------------------------------------------
-- Register global error from data mover.
-------------------------------------------------------------------------------
mm2s_error_or <= mm2s_slverr_i or mm2s_decerr_i or mm2s_interr_i;
-- Log errors into a global error output
MM2S_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_error <= '0';
-- If Datamover issues error on the transfer or if a stale descriptor is
-- detected when in tailpointer mode then issue an error
elsif((mm2s_error_or = '1')
or (stale_desc = '1' and mm2s_cmnd_wr='1'))then
mm2s_error <= '1';
end if;
end if;
end process MM2S_ERROR_PROCESS;
end implementation;
| mit | 1439d7b0a845e998ca78c22205e734f7 | 0.443302 | 4.388022 | false | false | false | false |
mharndt/profibusmonitor | VHDL_Bausteine/TEST_CTRL_RS232_TX/CTRL_RS232_TX_VHDL.vhd | 2 | 13,128 | -- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 10.01.2013
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
CHOSE_VALUE : in std_logic; --Eingangsvariable, Zählerwert aendern
DISPL_COUNT : in std_logic; --Eingangsvariable, Counter anzeigen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal SEND_BYTE_S : std_logic_vector (7 downto 0); --Eingangsvariable, Zwischengespeichern im Eingangsregister
signal SEND_S : std_logic; --Eingangsvariable, Zwischengespeichern im Eingangsregister
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
signal CNT01 : std_logic_vector (15 downto 0);
signal CNT02 : std_logic_vector (15 downto 0);
signal CNT03 : std_logic_vector (15 downto 0);
signal CNT04 : std_logic_vector (15 downto 0);
signal CNT05 : std_logic_vector (15 downto 0);
signal CNT06 : std_logic_vector (15 downto 0);
signal CNT07 : std_logic_vector (15 downto 0);
signal CNT08 : std_logic_vector (15 downto 0);
signal CNT09 : std_logic_vector (15 downto 0);
signal CNT10 : std_logic_vector (15 downto 0);
--Konstanten, lang
constant long_CNT01 : std_logic_vector := x"1458"; --16 Bit
constant long_CNT02 : std_logic_vector := x"2C98"; --usw.
constant long_CNT03 : std_logic_vector := x"3D08";
constant long_CNT04 : std_logic_vector := x"5160";
constant long_CNT05 : std_logic_vector := x"65B8";
constant long_CNT06 : std_logic_vector := x"7A10";
constant long_CNT07 : std_logic_vector := x"8E68";
constant long_CNT08 : std_logic_vector := x"A2C0";
constant long_CNT09 : std_logic_vector := x"B718";
constant long_CNT10 : std_logic_vector := x"CB70";
--Konstanten, kurz
constant short_CNT01 : std_logic_vector := x"0003"; --3
constant short_CNT02 : std_logic_vector := x"0006"; --6
constant short_CNT03 : std_logic_vector := x"0009"; --9
constant short_CNT04 : std_logic_vector := x"000C"; --12
constant short_CNT05 : std_logic_vector := x"000F"; --15
constant short_CNT06 : std_logic_vector := x"0012"; --18
constant short_CNT07 : std_logic_vector := x"0015"; --21
constant short_CNT08 : std_logic_vector := x"0018"; --24
constant short_CNT09 : std_logic_vector := x"001B"; --27
constant short_CNT10 : std_logic_vector := x"001E"; --30
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then SEND_BYTE_S <= SEND_BYTE;
SEND_S <= SEND;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND_S, SEND_BYTE_S, CNT01, CNT02, CNT03, CNT04, CNT05, CNT06, CNT07, CNT08, CNT09, CNT10) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND_S = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND_S = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, STATE_SV, STATE_n_SV,COUNT) -- Zustandsanzeige
begin
STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
--aktuellen Zustand anzeigen
DISPL1_SV(0) <= STATE_SV(0); --Bit0
DISPL1_SV(1) <= STATE_SV(1); --Bit1
DISPL1_SV(2) <= STATE_SV(2); --Bit2
DISPL1_SV(3) <= STATE_SV(3); --Bit3
DISPL2_SV(0) <= STATE_SV(4); --usw.
DISPL2_SV(1) <= STATE_SV(5);
DISPL2_SV(2) <= STATE_SV(6);
DISPL2_SV(3) <= STATE_SV(7);
if (DISPL_COUNT ='0')
then --Folgezustand anzeigen
DISPL1_n_SV(0) <= STATE_n_SV(0);
DISPL1_n_SV(1) <= STATE_n_SV(1);
DISPL1_n_SV(2) <= STATE_n_SV(2);
DISPL1_n_SV(3) <= STATE_n_SV(3);
DISPL2_n_SV(0) <= STATE_n_SV(4);
DISPL2_n_SV(1) <= STATE_n_SV(5);
DISPL2_n_SV(2) <= STATE_n_SV(6);
DISPL2_n_SV(3) <= STATE_n_SV(7);
else --Zähler anzeigen
DISPL1_n_SV(0) <= COUNT(0);
DISPL1_n_SV(1) <= COUNT(1);
DISPL1_n_SV(2) <= COUNT(2);
DISPL1_n_SV(3) <= COUNT(3);
DISPL2_n_SV(0) <= COUNT(4);
DISPL2_n_SV(1) <= COUNT(5);
DISPL2_n_SV(2) <= COUNT(6);
DISPL2_n_SV(3) <= COUNT(7);
end if;
end process;
SWITCH_VALUES_PROC: process (CHOSE_VALUE) --Schaltet zw. langen und kurzem Zaehler um
begin
if (CHOSE_VALUE = '0')
then
--normale Werte
CNT01 <= long_CNT01;
CNT02 <= long_CNT02;
CNT03 <= long_CNT03;
CNT04 <= long_CNT04;
CNT05 <= long_CNT05;
CNT06 <= long_CNT06;
CNT07 <= long_CNT07;
CNT08 <= long_CNT08;
CNT09 <= long_CNT09;
CNT10 <= long_CNT10;
else
--kurze Werte
CNT01 <= short_CNT01;
CNT02 <= short_CNT02;
CNT03 <= short_CNT03;
CNT04 <= short_CNT04;
CNT05 <= short_CNT05;
CNT06 <= short_CNT06;
CNT07 <= short_CNT07;
CNT08 <= short_CNT08;
CNT09 <= short_CNT09;
CNT10 <= short_CNT10;
end if;
end process;
end Behavioral;
| gpl-2.0 | 153cbb1b915da6f40f3709a6cddf3240 | 0.563681 | 3.070159 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/ethlib/greth_rx.vhd | 1 | 11,630 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: greth_rx
-- File: greth_rx.vhd
-- Author: Marko Isomaki
-- Description: Ethernet receiver
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library commonlib;
use commonlib.types_common.all;
library ethlib;
use ethlib.types_eth.all;
entity greth_rx is
generic(
nsync : integer range 1 to 2 := 2;
rmii : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
maxsize : integer := 1500;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
rxi : in host_rx_type;
rxo : out rx_host_type
);
end entity;
architecture rtl of greth_rx is
-- constant maxsize : integer := 1518;
constant maxsizerx : unsigned(15 downto 0) :=
to_unsigned(maxsize + 18, 16);
constant minsize : integer := 64;
--receiver types
type rx_state_type is (idle, wait_sfd, data1, data2, errorst, report_status,
wait_report, check_crc, discard_packet);
type rx_reg_type is record
er : std_ulogic;
en : std_ulogic;
rxd : std_logic_vector(3 downto 0);
rxdp : std_logic_vector(3 downto 0);
crc : std_logic_vector(31 downto 0);
sync_start : std_ulogic;
gotframe : std_ulogic;
start : std_ulogic;
write : std_ulogic;
done : std_ulogic;
odd_nibble : std_ulogic;
lentype : std_logic_vector(15 downto 0);
ltfound : std_ulogic;
byte_count : std_logic_vector(10 downto 0);
data : std_logic_vector(31 downto 0);
dataout : std_logic_vector(31 downto 0);
rx_state : rx_state_type;
status : std_logic_vector(3 downto 0);
write_ack : std_logic_vector(nsync-1 downto 0);
done_ack : std_logic_vector(nsync downto 0);
rxen : std_logic_vector(1 downto 0);
got4b : std_ulogic;
mcasthash : std_logic_vector(5 downto 0);
hashlock : std_ulogic;
--rmii
enold : std_ulogic;
act : std_ulogic;
dv : std_ulogic;
cnt : std_logic_vector(3 downto 0);
rxd2 : std_logic_vector(1 downto 0);
speed : std_logic_vector(1 downto 0);
zero : std_ulogic;
end record;
--receiver signals
signal r, rin : rx_reg_type;
signal rxrst : std_ulogic;
signal vcc : std_ulogic;
begin
vcc <= '1';
rx_rst : eth_rstgen
port map(rst, clk, vcc, rxrst, open);
rx : process(rxrst, r, rxi) is
variable v : rx_reg_type;
variable index : integer range 0 to 3;
variable crc_en : std_ulogic;
variable write_req : std_ulogic;
variable write_ack : std_ulogic;
variable done_ack : std_ulogic;
variable er : std_ulogic;
variable dv : std_ulogic;
variable act : std_ulogic;
variable rxd : std_logic_vector(3 downto 0);
begin
v := r; v.rxd := rxi.rxd(3 downto 0);
if rmii = 0 then
v.en := rxi.rx_dv;
else
v.en := rxi.rx_crs;
end if;
v.er := rxi.rx_er; write_req := '0'; crc_en := '0';
index := conv_integer(r.byte_count(1 downto 0));
--synchronization
v.rxen(1) := r.rxen(0); v.rxen(0) := rxi.enable;
v.write_ack(0) := rxi.writeack;
v.done_ack(0) := rxi.doneack;
if nsync = 2 then
v.write_ack(1) := r.write_ack(0);
v.done_ack(1) := r.done_ack(0);
end if;
write_ack := not (r.write xor r.write_ack(nsync-1));
done_ack := not (r.done xor r.done_ack(nsync-1));
--rmii/mii
if rmii = 0 then
er := r.er; dv := r.en; act := r.en; rxd := r.rxd;
else
--sync
v.speed(1) := r.speed(0); v.speed(0) := rxi.speed;
rxd := r.rxd(1 downto 0) & r.rxd2;
if r.cnt = "0000" then
v.cnt := "1001";
else
v.cnt := r.cnt - 1;
end if;
if v.cnt = "0000" then
v.zero := '1';
else
v.zero := '0';
end if;
act := r.act; er := '0';
if r.speed(1) = '0' then
if r.zero = '1' then
v.enold := r.en;
dv := r.en and r.dv;
v.dv := r.act and not r.dv;
if r.dv = '0' then
v.rxd2 := r.rxd(1 downto 0);
end if;
if (r.enold or r.en) = '0' then
v.act := '0';
end if;
else
dv := '0';
end if;
else
v.enold := r.en;
dv := r.en and r.dv;
v.dv := r.act and not r.dv;
v.rxd2 := r.rxd(1 downto 0);
if (r.enold or r.en) = '0' then
v.act := '0';
end if;
end if;
end if;
if (r.en and not r.act) = '1' then
if (rxd = "0101") and (r.speed(1) or
(not r.speed(1) and r.zero)) = '1' then
v.act := '1'; v.dv := '0'; v.rxdp := rxd;
end if;
end if;
if (dv = '1') then
v.rxdp := rxd;
end if;
if multicast = 1 then
if (r.byte_count(2 downto 0) = "110") and (r.hashlock = '0') then
v.mcasthash := r.crc(5 downto 0); v.hashlock := '1';
end if;
end if;
--fsm
case r.rx_state is
when idle =>
v.gotframe := '0'; v.status := (others => '0'); v.got4b := '0';
v.byte_count := (others => '0'); v.odd_nibble := '0';
v.ltfound := '0';
if multicast = 1 then
v.hashlock := '0';
end if;
if (dv and r.rxen(1)) = '1' then
if (rxd = "1101") and (r.rxdp = "0101") then
v.rx_state := data1; v.sync_start := not r.sync_start;
end if;
v.start := '0'; v.crc := (others => '1');
if er = '1' then v.status(2) := '1'; end if;
elsif dv = '1' then
v.rx_state := discard_packet;
end if;
when discard_packet =>
if act = '0' then v.rx_state := idle; end if;
when data1 =>
if (act and dv) = '1' then
crc_en := '1';
v.odd_nibble := not r.odd_nibble; v.rx_state := data2;
case index is
when 0 => v.data(27 downto 24) := rxd;
when 1 => v.data(19 downto 16) := rxd;
when 2 => v.data(11 downto 8) := rxd;
when 3 => v.data(3 downto 0) := rxd;
end case;
elsif act = '0' then
v.rx_state := check_crc;
end if;
if (r.byte_count(1 downto 0) = "00" and (r.start and act and dv) = '1') then
write_req := '1';
end if;
if er = '1' then v.status(2) := '1'; end if;
if conv_integer(r.byte_count) > maxsizerx then
v.rx_state := errorst; v.status(1) := '1';
v.byte_count := r.byte_count - 4;
end if;
v.got4b := v.byte_count(2) or r.got4b;
when data2 =>
if (act and dv) = '1' then
crc_en := '1';
v.odd_nibble := not r.odd_nibble; v.rx_state := data1;
v.byte_count := r.byte_count + 1; v.start := '1';
case index is
when 0 => v.data(31 downto 28) := rxd;
when 1 => v.data(23 downto 20) := rxd;
when 2 => v.data(15 downto 12) := rxd;
when 3 => v.data(7 downto 4) := rxd;
end case;
elsif act = '0' then
v.rx_state := check_crc;
end if;
if er = '1' then v.status(2) := '1'; end if;
v.got4b := v.byte_count(2) or r.got4b;
when check_crc =>
if r.crc /= X"C704DD7B" then
if r.odd_nibble = '1' then v.status(0) := '1';
else v.status(2) := '1'; end if;
end if;
if write_ack = '1' then
if r.got4b = '1' then
v.byte_count := r.byte_count - 4;
else
v.byte_count := (others => '0');
end if;
v.rx_state := report_status;
if conv_integer(r.byte_count) < minsize then
v.rx_state := wait_report; v.done := not r.done;
end if;
end if;
when errorst =>
if act = '0' then
v.rx_state := wait_report; v.done := not r.done;
v.gotframe := '1';
end if;
when report_status =>
v.done := not r.done; v.rx_state := wait_report;
v.gotframe := '1';
when wait_report =>
if done_ack = '1' then
if act = '1' then
v.rx_state := discard_packet;
else
v.rx_state := idle;
end if;
end if;
when others => null;
end case;
--write to fifo
if write_req = '1' then
if (r.status(3) or not write_ack) = '1' then
v.status(3) := '1';
else
v.dataout := r.data; v.write := not r.write;
end if;
if (r.byte_count(4 downto 2) = "100") and (r.ltfound = '0') then
v.lentype := r.data(31 downto 16) + 14; v.ltfound := '1';
end if;
end if;
if write_ack = '1' then
if rxi.writeok = '0' then v.status(3) := '1'; end if;
end if;
--crc generation
if crc_en = '1' then
v.crc := calccrc(rxd, r.crc);
end if;
if rxrst = '0' then
v.rx_state := idle; v.write := '0'; v.done := '0'; v.sync_start := '0';
v.done_ack := (others => '0');
v.gotframe := '0'; v.write_ack := (others => '0');
v.dv := '0'; v.cnt := (others => '0'); v.zero := '0';
v.byte_count := (others => '0'); v.lentype := (others => '0');
v.status := (others => '0'); v.got4b := '0'; v.odd_nibble := '0';
v.ltfound := '0';
v.mcasthash := (others => '0');
v.dataout := (others => '0');
if multicast = 1 then
v.hashlock := '0';
end if;
end if;
if rmii = 0 then
v.cnt := (others => '0'); v.zero := '0';
end if;
rin <= v;
rxo.dataout <= r.dataout;
rxo.start <= r.sync_start;
rxo.done <= r.done;
rxo.write <= r.write;
rxo.status <= r.status;
rxo.gotframe <= r.gotframe;
rxo.byte_count <= r.byte_count;
rxo.lentype <= r.lentype;
rxo.mcasthash <= r.mcasthash;
end process;
gmiimode0 : if gmiimode = 0 generate
rxregs0 : process(clk) is
begin
if rising_edge(clk) then
r <= rin;
end if;
end process;
end generate;
gmiimode1 : if gmiimode = 1 generate
rxregs1 : process(clk) is
begin
if rising_edge(clk) then
if (rxi.rx_en = '1' or rxrst = '0') then r <= rin; end if;
end if;
end process;
end generate;
end architecture;
| apache-2.0 | 92ff53d0f656b86a53983c6449e053d8 | 0.49957 | 3.244978 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/fifo_generator_top.vhd | 19 | 34,705 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jtXjITQ50a0ecf2Im0hc5gDMz+eLQYg/zzqRdEOtUonTsMauUR2I/zDZca/cFZRkz2Bn/e1TcNfn
wKr/p3+6Ew==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ANnTEQ5JJem4BDOpiZXGW1BGnlByArgufttfMLkwemXR407wjOM5c7+DduQ2B6Rws3h4VtvHo6rO
wrBVcL7VsvPq1+tV939t3BGzv7HmeOgz+bF6BolXyM301AxlRkWo/0oJhXt9sAWYr7zYDeoXtQZb
l76HOHad93vrCilEPkc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XmwNj23lI8XFGQYG7vF9oV5Kxca20ebqjV8UOZJpCCCr+xVAS7ag+llpfkHEOHuw9tSDfsd4Eagb
WTNoLsXhoBdOAYPEcNzU+W9qGu9/wjx0qrsJ9f6NyxsR8o/IzcMAojV3xWACKEn/35hhcf9UXdPw
jFtFMZBq82H3pspBY7rQB54QzJyh7kwXdtgWfJuR8vKgpz2Bgw+sWz2/D2DHqFf2M9nR9Jj5wsYi
jA2guHzbYFRqb3Hyb8w16e2ODRs1Chv6CQa8J/8jZZjpfNE9JYFfYFbj02jB3GIgpxkUh95YsKVS
nyG+AAIy66AvGO8wjxEaZssb0O8bFU7NUeHAaw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jhiEXYtW8X8urAKsC5DlhfR1BlhyMUwpr7b+LLkcXXJrwnqMhkaTCeeV/MLdD2fZlxbKcfLK7F9V
JGPVeMHqW/OgkDKoPYInFHgV4dQ8+vVlaEgOkFd21VNxhDMogpMeEu/OUw7EcrJ+uVFRL9Y4CZQe
7QVrICfnVX7/1Uf6PJs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fOUx+hBZ6Yu+THnpJi++K5FNQDW/3h2F0eesEGevzvwYAUzmUKIlynhcf5gdgPU7azk/daFeo+yk
Krq/01NBV0vQpvK8q0FHFH+ghuL05juk1koa24QZKqKLJESEoqe8+SMhcjfeA/1/cXTmsbZU0sOR
598davhiRIPeODK4SAJwb2vC+fldvr29ZQPfn7IqVQ1mWsnCoHzWBSYPyy4Xw+6asrFDW88G8kf8
wyRSd13FqmDW+hKwsLgtlOhvBagW21tHVBbEEW2kPEAMrlmNhaLMf5utkD/lTPuEPBItEC5xgDps
hn/cW4ZYOpIgB7hTnFioHxnAEnyoEZ+mfU5gPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23952)
`protect data_block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`protect end_protected
| bsd-2-clause | 140a3ce745129f5482e9987e2997203e | 0.945541 | 1.833915 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/techmap/mem/syncram_2p_inferred.vhd | 1 | 2,121 | -----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief Synchronous 2-port ram, common clock
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
entity syncram_2p_inferred is
generic (
abits : integer := 8;
dbits : integer := 32;
sepclk: integer := 0
);
port (
rclk : in std_ulogic;
wclk : in std_ulogic;
rdaddress: in std_logic_vector (abits -1 downto 0);
wraddress: in std_logic_vector (abits -1 downto 0);
data: in std_logic_vector (dbits -1 downto 0);
wren : in std_ulogic;
q: out std_logic_vector (dbits -1 downto 0)
);
end;
architecture arch_syncram_2p_inferred of syncram_2p_inferred is
type dregtype is array (0 to 2**abits - 1)
of std_logic_vector(dbits -1 downto 0);
--! This fuinction just to check with C++ reference model. Can be removed.
impure function init_ram(file_name : in string) return dregtype is
variable temp_mem : dregtype;
begin
for i in 0 to (2**abits - 1) loop
if dbits = 64 then
temp_mem(i) := X"0000000000000000";--X"CCCCCCCC";
elsif dbits = 32 then
temp_mem(i) := X"00000000";--X"CCCCCCCC";
else
temp_mem(i) := X"0000";--X"CCCC";
end if;
end loop;
return temp_mem;
end function;
signal rfd : dregtype := init_ram("");
begin
wp : process(wclk)
begin
if rising_edge(wclk) then
if wren = '1' then rfd(conv_integer(wraddress)) <= data; end if;
end if;
end process;
oneclk : if sepclk = 0 generate
rp : process(wclk) begin
if rising_edge(wclk) then
q <= rfd(conv_integer(rdaddress));
end if;
end process;
end generate;
twoclk : if sepclk = 1 generate
rp : process(rclk) begin
if rising_edge(rclk) then q <= rfd(conv_integer(rdaddress)); end if;
end process;
end generate;
end;
| apache-2.0 | d1780766f11866948f78901a56174a25 | 0.58133 | 3.7875 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/misclib/dcom_jtag.vhd | 1 | 7,416 | --!
--! Copyright 2018 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
entity dcom_jtag is
generic (
id : std_logic_vector(31 downto 0) := X"01040093");
port (
rst : in std_ulogic;
tck : in std_ulogic;
tms : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
tapi_tdo : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_inst : out std_logic_vector(4 downto 0);
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of dcom_jtag is
type ltap_out_type is record
tck : std_ulogic;
tdi : std_ulogic;
inst : std_logic_vector(4 downto 0);
asel : std_ulogic;
dsel : std_ulogic;
reset : std_ulogic;
capt : std_ulogic;
shift : std_ulogic;
upd : std_ulogic;
end record;
constant IDCODE : std_logic_vector(4 downto 0) := "00001";
constant DTMCS : std_logic_vector(4 downto 0) := "10000";
constant DMI_ACCESS : std_logic_vector(4 downto 0) := "10001";
type state_type is (test_rst, run_idle, select_dr, capture_dr, shift_dr, exit1_dr,
pause_dr, exit2_dr, update_dr, select_ir, capture_ir, shift_ir,
exit1_ir, pause_ir, exit2_ir, update_ir);
type reg_type is record
state : state_type;
inst : std_logic_vector(4 downto 0);
shft : std_logic_vector(31 downto 0);
tdo : std_ulogic;
sel_user1 : std_logic;
sel_user2 : std_logic;
end record;
signal r, rin : reg_type;
begin
comb : process(tck, tms, tdi, tapi_tdo, r)
variable v : reg_type;
variable vtapo : ltap_out_type;
variable vtdo : std_ulogic;
begin
v := r;
vtapo.tck := tck;
vtapo.reset := '0';
vtapo.tdi := tdi;
vtapo.inst := (others => '0');
vtapo.inst(4 downto 0) := r.inst;
vtapo.capt := '0';
vtapo.upd := '0';
vtapo.shift := '0';
vtapo.asel := '0';
vtapo.dsel := '0';
if r.inst /= DMI_ACCESS then
v.tdo := r.shft(0);
else
v.tdo := tapi_tdo;
end if;
--if (r.inst = IDCODE_I) or (r.inst = BYPASS) then v.tdo := r.shft(0);
--else v.tdo := tapi_tdo; end if;
case r.state is
when test_rst => if tms = '0' then v.state := run_idle; end if;
when run_idle => if tms = '1' then v.state := select_dr; end if;
when select_dr => if tms = '0' then v.state := capture_dr; else v.state := select_ir; end if;
when capture_dr => if tms = '0' then v.state := shift_dr; else v.state := exit1_dr; end if;
when shift_dr => if tms = '1' then v.state := exit1_dr; end if;
when exit1_dr => if tms = '0' then v.state := pause_dr; else v.state := update_dr; end if;
when pause_dr => if tms = '1' then v.state := exit2_dr; end if;
when exit2_dr => if tms = '0' then v.state := shift_dr; else v.state := update_dr; end if;
when update_dr => if tms = '0' then v.state := run_idle; else v.state := select_dr; end if;
when select_ir => if tms = '0' then v.state := capture_ir; else v.state := test_rst; end if;
when capture_ir => if tms = '0' then v.state := shift_ir; else v.state := exit1_ir; end if;
when shift_ir => if tms = '1' then v.state := exit1_ir; end if;
when exit1_ir => if tms = '0' then v.state := pause_ir; else v.state := update_ir; end if;
when pause_ir => if tms = '1' then v.state := exit2_ir; end if;
when exit2_ir => if tms = '0' then v.state := shift_ir; else v.state := update_ir; end if;
when update_ir => if tms = '0' then v.state := run_idle; else v.state := select_dr; end if;
end case;
case r.state is
when test_rst =>
vtapo.reset := '1';
v.inst := IDCODE;
when capture_dr =>
vtapo.capt := '1';
if r.inst = IDCODE then
v.shft := id;
elsif r.inst = DTMCS then
v.shft := (others => '0');
v.shft(14 downto 12) := "001"; -- idle: 1=Enter Run-Test/Idle and leave it immediately
v.shft(11 downto 10) := "00"; -- dmstat: TODO
v.shft(9 downto 4) := conv_std_logic_vector(7, 6); -- abits: 7 bits dmi address width
v.shft(3 downto 0) := X"1"; -- version: 1=spec 0.13
elsif r.inst = DMI_ACCESS then
v.sel_user1 := '1';
else
v.shft(0) := '0'; -- BYPASS
end if;
-- if r.inst = BYPASS then v.shft(0) := '0'; end if;
-- if r.inst = IDCODE_I then v.shft := id; end if;
when shift_dr =>
vtapo.shift := '1';
if (r.inst = IDCODE) or (r.inst = DTMCS) then
v.shft(31 downto 0) := tdi & r.shft(31 downto 1);
else
v.shft(0) := tdi; -- BYPASS
end if;
-- if r.inst = BYPASS then v.shft(0) := tdi; end if;
-- if r.inst = IDCODE_I then v.shft := tdi & r.shft(31 downto 1); end if;
when update_dr =>
vtapo.upd := '1';
v.sel_user1 := '0';
v.sel_user2 := '0';
when capture_ir =>
v.shft(4 downto 2) := r.inst(4 downto 2);
v.shft(1 downto 0) := "01";
v.sel_user1 := '0';
v.sel_user2 := '0';
when shift_ir =>
v.shft(4 downto 0) := tdi & r.shft(4 downto 1);
when update_ir =>
v.inst := r.shft(4 downto 0);
when others =>
end case;
rin <= v;
tdo <= r.tdo;
tapo_tck <= tck;
--if (r.sel_user1 or r.sel_user2)='1' then tapo_tck <= tck;
--else tapo_tck <= '1'; end if;
tapo_tdi <= tdi;
tapo_inst <= vtapo.inst;
tapo_rst <= vtapo.reset;
tapo_capt <= vtapo.capt;
tapo_shft <= vtapo.shift;
tapo_upd <= vtapo.upd;
tapo_xsel1 <= r.sel_user1;
tapo_xsel2 <= r.sel_user2;
end process;
posreg : process(tck, rst) begin
if rising_edge(tck) then
r.state <= rin.state;
r.shft <= rin.shft;
end if;
if rst = '0' then
r.state <= test_rst;
r.shft <= id;
end if;
end process;
negreg : process(tck, rst) begin
if falling_edge(tck) then
r.inst <= rin.inst;
r.tdo <= rin.tdo;
r.sel_user1 <= rin.sel_user1;
r.sel_user2 <= rin.sel_user2;
end if;
if rst = '0' then
r.inst <= IDCODE;
r.sel_user1 <= '0';
r.sel_user2 <= '0';
end if;
end process;
end;
| apache-2.0 | ce8b539bc8ab135d81276814f0b7cf10 | 0.532632 | 3.137056 | false | false | false | false |
codepainters/vhdl-utils | tests/t_i2c_slave.vhd | 1 | 4,525 | --------------------------------------------------------------------------------
-- Copyright (c) 2015, Przemyslaw Wegrzyn <[email protected]>
-- This file is distributed under the Modified BSD License.
--
-- Testbench for I2C slave interface
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity t_i2c_slave is
end t_i2c_slave;
architecture behavior of t_i2c_slave is
component i2c_slave
generic (
address: std_logic_vector(6 downto 0));
port(
clk : in std_logic;
-- I2C interface
scl : inout std_logic;
sda : inout std_logic;
-- received data interface
wr_data : out std_logic_vector(7 downto 0);
wr_data_valid : out std_logic;
wr_data_ack : in std_logic;
-- transmitted data interface
rd_data : in std_logic_vector(7 downto 0);
rd_data_req : out std_logic;
rd_data_valid : in std_logic);
end component;
-- clock
signal clk : std_logic := '0';
constant clk_period : time := 20 ns;
signal clk_enabled : boolean := true;
-- I2C interface
signal scl : std_logic;
signal sda : std_logic;
signal scl_out : std_logic := '1';
signal sda_out : std_logic := '1';
-- RX interface
signal wr_data : std_logic_vector(7 downto 0);
signal wr_data_valid : std_logic;
signal wr_data_ack : std_logic := '0';
-- TX interface
signal rd_data : std_logic_vector(7 downto 0) := (others => '0');
signal rd_data_req : std_logic;
signal rd_data_valid : std_logic := '0';
-- 400kHz I2C clock
constant i2c_clk_period : time := 2.5 us;
procedure i2c_start(signal sda : out std_logic; signal scl : out std_logic) is
begin
sda <= '1';
scl <= '1';
wait for i2c_clk_period / 2;
sda <= '0';
wait for i2c_clk_period / 2;
scl <= '0';
end procedure;
procedure i2c_stop(signal sda : out std_logic; signal scl : out std_logic) is
begin
sda <= '0';
scl <= '0';
wait for i2c_clk_period / 2;
scl <= '1';
wait for i2c_clk_period / 2;
sda <= '1';
end procedure;
procedure i2c_clock_pulse(signal sda : out std_logic; signal scl : out std_logic) is
begin
scl <= '0';
wait for i2c_clk_period / 4;
scl <= '1';
wait for i2c_clk_period / 2;
scl <= '0';
wait for i2c_clk_period / 4;
end procedure;
procedure i2c_send_addr(signal sda : out std_logic; signal scl : out std_logic;
address : std_logic_vector(6 downto 0); wr : boolean) is
begin
for i in address'high downto address'low loop
sda <= address(i);
i2c_clock_pulse(sda, scl);
end loop;
if wr then
sda <= '1';
else
sda <= '0';
end if;
i2c_clock_pulse(sda, scl);
end procedure;
procedure i2c_ack(signal sda : out std_logic; signal scl : out std_logic;
signal sda_in : in std_logic; ack : out boolean) is
begin
sda <= '1';
scl <= '0';
wait for i2c_clk_period / 4;
scl <= '1';
ack := (sda_in = '0');
wait for i2c_clk_period / 2;
scl <= '0';
wait for i2c_clk_period / 4;
end procedure;
begin
uut: i2c_slave
generic map (address => "1010110")
port map (
clk => clk,
scl => scl,
sda => sda,
wr_data => wr_data,
wr_data_valid => wr_data_valid,
wr_data_ack => wr_data_ack,
rd_data => rd_data,
rd_data_req => rd_data_req,
rd_data_valid => rd_data_valid);
-- clock generator
clk <= not clk after clk_period / 2 when clk_enabled = true else '0';
-- I2C drivers, note: weak H emulates pull-ups
scl <= 'H' when scl_out = '1' else '0';
sda <= 'H' when sda_out = '1' else '0';
stimulation : process is
variable ack : boolean;
begin
-- write with valid address
i2c_start(sda_out, scl_out);
i2c_send_addr(sda_out, scl_out, B"101_0110", true);
i2c_ack(sda_out, scl_out, sda, ack);
i2c_stop(sda_out, scl_out);
assert ack report "test failed - no ACK" severity error;
wait for 2 * i2c_clk_period;
clk_enabled <= false;
wait until false;
end process;
end;
| bsd-2-clause | f7314c5f51c6f23a051d3152d771a441 | 0.520221 | 3.513199 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/techmap/bufg/types_buf.vhd | 1 | 4,315 | ----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov
--! @brief Declaration types_buf package components.
------------------------------------------------------------------------------
--! Standard library
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--! Technology constants definition.
library techmap;
use techmap.gencomp.all;
--! @brief Declaration of 'virtual' Buffers components.
package types_buf is
--! @brief Clock signals multiplexer.
--! @param[in] tech Technology selector.
--! @param[out] O Output clock signal.
--! @param[in] I1 Input clock signal 1.
--! @param[in] I2 Input clock signal 2.
--! @param[in] S Input signals switcher:
--! 0 = I1; 1 = I2.
component bufgmux_tech is
generic (
tech : integer := 0;
rf_frontend_ena : boolean := false
);
port (
O : out std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
S : in std_ulogic);
end component;
--! @brief Input PAD buffer.
--! @details This buffer makes sense only for ASIC implementation.
--! @param[in] tech Technology selector.
--! @param[out] o Output buffered signal.
--! @param[in] i Input unbuffered signal.
component ibuf_tech is generic (generic_tech : integer := 0);
port (
o : out std_logic;
i : in std_logic
);
end component;
--! @brief Input clocking PAD buffer.
--! @param[in] tech Technology selector.
--! @param[out] o Output buffered clock signal.
--! @param[in] i Input unbuffered clock signal.
component ibufg_tech is generic (tech : integer := 0);
port (
O : out std_ulogic;
I : in std_ulogic
);
end component;
--! @brief Output PAD buffer.
--! @details This buffer makes sense only for ASIC implementation.
--! @param[in] tech Technology selector.
--! @param[out] o Output signal directly connected to the ASIC output pin.
--! @param[in] i Input signal.
component obuf_tech is generic (generic_tech : integer := 0);
port (
o : out std_logic;
i : in std_logic
);
end component;
--! @brief Input/Output PAD buffer.
--! @param[in] tech Technology selector.
--! @param[out] o Output signal
--! @param[inout] io Bi-directional signal.
--! @param[in] i Input signal
--! @param[in] t Controlling signal: 0 = in; 1=out
--!
--! Example:
--! @code
--! entity foo is port (
--! io_gpio : inout std_logic
--! )
--! end foo;
--! architecture rtl of foo is
--! signal ob_gpio_direction : std_logic;
--! signal ob_gpio_opins : std_logic;
--! signal ib_gpio_ipins : std_logic;
--! ...
--! begin
--! ob_gpio_direction <= '1';
--!
--! iob : iobuf_tech generic map(kintex7)
--! port map (ib_gpio_ipins, io_gpio, ob_gpio_opins, ob_gpio_direction);
--!
--! reg : process(clk, nrst) begin
--! if rising_edge(clk) then
--! reg1 <= ib_gpio_ipins;
--! ob_gpio_opins <= reg2;
--! end;
--! end process;
--! end;
--! @endcode
component iobuf_tech is generic (generic_tech : integer := 0);
port (
o : out std_logic;
io : inout std_logic;
i : in std_logic;
t : in std_logic
);
end component;
--! @brief Gigabit buffer with differential inputs.
--! @param[in] gclk_p Differential clock input.
--! @param[in] gclk_n Differential clock inversed input.
--! @param[out] o_clk Unbuffered clock output.
component igdsbuf_tech is
generic (
generic_tech : integer := 0
);
port (
gclk_p : in std_logic;
gclk_n : in std_logic;
o_clk : out std_logic
);
end component;
--! @brief Input buffer with differential inputs.
--! @param[in] clk_p Differential clock input.
--! @param[in] clk_n Differential clock inversed input.
--! @param[out] o_clk Unbuffered clock output.
component idsbuf_tech is
generic (
generic_tech : integer := 0
);
port (
clk_p : in std_logic;
clk_n : in std_logic;
o_clk : out std_logic
);
end component;
end;
| apache-2.0 | 9decba5630ce27574b3f4ed2ee89b2d2 | 0.565469 | 3.707045 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/rd_pe_sshft.vhd | 19 | 17,676 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOOYAbmSVdMSmEhVcX6OANZAlRBhIeIgp+j8aWie5qMiZZfkKWRKGFlDj4dOK2MxGgpLi60kolAl
iwo8CvQQmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XO8hvx7ayNrMYNs+QowHbS9oiS1GjnY7XWvxUBWvS8S0pBwgguPJgxI5Jawjx75IEBra9z6gur8D
+8bJ3wjB5uOzP0Op4TufbsYZTMy5/IRaR1m1haAiZDNWpnRaJY0iGIl1ZfXnFFB/FNm2d6rg/H7b
+K1wV2KmxNsYmhxGeUs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qrXPktUjITPZaeyYovMGSvjyrwEeWSEPCoXArB49zu0J+taotc50izauZkw4BvtuT10+TUqV3pWu
H2Y4+wBhbI0avNdhBTQ6WysNgxNkl4xSoIMSUDeWLPrThpvXqf5EM2xFWnYEsoSt1fOlTzsbNp4Z
xTF0/8eRzGcTqQK8goNirFS4li1yNxnvMyocM7UB0Hgwd4r1WhVfwqexmsE2F2aKD0WceDfUKvzW
BkaD/pggzoFKe9ZBj4krjm5QO6MJe6tmyETtklCe5Tp5KFVAoUG5SSUacYfOW5JRRQQN1B29KV6+
B/PXOjnEprmrDoW2/GvnZUOJ8iICUgvcDDx9Gw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RfdpJMuL5lneUspdc3THLHWNRfMy7ZKvo7MAlgXNSeMyJ16shj6csIbQx7zWlYY0s5cmQ5qBeuky
S0nRybRR8cWMHwN/9rEo4V+uesao4mJ5GbtqRFTH0pGXUIW0hSA/qLXBAZCtANiThLFmTTovXGQx
QWChhP7QcQZsZBRuEUY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KfAPtRUOpYg8KaNj0Wxd1r4Bcs5Lt64mregrxrObBeYBNNIje2iGcuv2d5+PQzzomKwP4NoGlbzx
CSYz6XLlhFat5X0Kad65Lvso8ilyZLrxVgz/cQQVMyGtqJsflyi+jbqMWdWQzDlLboEzDolIGqLM
T16l7bjdTv+UHoBJFQNNpgCUB8RCwZwGjuOrDkNOQRBxFbXP4ewZBD1TITGRJ+9yag2oeIszJxFS
OnxOibAvqbpn5K7zetHoNiQFD0HLxODP6ACT7OZWy2QVwDRr6smLhIBBF+7E8S7up2WgvZZ778OW
7Swo175PkHbmEfmpa+y5XkNQNOq7GC6XNCURkg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
| bsd-2-clause | 57ea7383db35549e78ce0fd13e1beaa9 | 0.937938 | 1.859066 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/prj/zynq/zynq_top.vhd | 1 | 12,048 | --!
--! Copyright 2018 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
--! Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library unisim;
use unisim.vcomponents.all;
--! Data transformation and math functions library
library commonlib;
use commonlib.types_common.all;
--! Technology definition library.
library techmap;
--! Technology constants definition.
use techmap.gencomp.all;
--! "Virtual" PLL declaration.
use techmap.types_pll.all;
--! "Virtual" buffers declaration.
use techmap.types_buf.all;
--! Top-level implementaion library
library work;
--! Target dependable configuration: RTL, FPGA or ASIC.
use work.config_target.all;
--! Warning: this project wasn't verified on real FPGA (2018 Nov 18). No board is available.
entity zynq_top is port
(
io_gpio : inout std_logic_vector(11 downto 0);
--! UART1 signals:
i_uart1_rd : in std_logic;
o_uart1_td : out std_logic;
--! UART2 (TAP) signals:
i_uart2_rd : in std_logic;
o_uart2_td : out std_logic;
--! JTAG
i_jtag_tck : in std_logic;
i_jtag_ntrst : in std_logic;
i_jtag_tms : in std_logic;
i_jtag_tdi : in std_logic;
o_jtag_tdo : out std_logic;
o_jtag_vref : out std_logic
);
end zynq_top;
architecture arch_zynq_top of zynq_top is
component riscv_soc is port (
i_rst : in std_logic;
i_clk : in std_logic;
--! GPIO.
i_gpio : in std_logic_vector(11 downto 0);
o_gpio : out std_logic_vector(11 downto 0);
o_gpio_dir : out std_logic_vector(11 downto 0);
--! GPTimers
o_pwm : out std_logic_vector(1 downto 0);
--! JTAG signals:
i_jtag_tck : in std_logic;
i_jtag_ntrst : in std_logic;
i_jtag_tms : in std_logic;
i_jtag_tdi : in std_logic;
o_jtag_tdo : out std_logic;
o_jtag_vref : out std_logic;
--! UART1 signals:
i_uart1_ctsn : in std_logic;
i_uart1_rd : in std_logic;
o_uart1_td : out std_logic;
o_uart1_rtsn : out std_logic;
--! UART2 (debug port) signals:
i_uart2_ctsn : in std_logic;
i_uart2_rd : in std_logic;
o_uart2_td : out std_logic;
o_uart2_rtsn : out std_logic;
--! SPI Flash
i_flash_si : in std_logic;
o_flash_so : out std_logic;
o_flash_sck : out std_logic;
o_flash_csn : out std_logic;
o_flash_wpn : out std_logic;
o_flash_holdn : out std_logic;
o_flash_reset : out std_logic;
--! OTP Memory
i_otp_d : in std_logic_vector(15 downto 0);
o_otp_d : out std_logic_vector(15 downto 0);
o_otp_a : out std_logic_vector(11 downto 0);
o_otp_we : out std_logic;
o_otp_re : out std_logic;
--! Ethernet MAC PHY interface signals
i_etx_clk : in std_ulogic;
i_erx_clk : in std_ulogic;
i_erxd : in std_logic_vector(3 downto 0);
i_erx_dv : in std_ulogic;
i_erx_er : in std_ulogic;
i_erx_col : in std_ulogic;
i_erx_crs : in std_ulogic;
i_emdint : in std_ulogic;
o_etxd : out std_logic_vector(3 downto 0);
o_etx_en : out std_ulogic;
o_etx_er : out std_ulogic;
o_emdc : out std_ulogic;
i_eth_mdio : in std_logic;
o_eth_mdio : out std_logic;
o_eth_mdio_oe : out std_logic;
i_eth_gtx_clk : in std_logic;
i_eth_gtx_clk_90 : in std_logic;
o_erstn : out std_ulogic;
-- GNSS Sub-system signals:
i_clk_adc : in std_logic;
i_gps_I : in std_logic_vector(1 downto 0);
i_gps_Q : in std_logic_vector(1 downto 0);
i_glo_I : in std_logic_vector(1 downto 0);
i_glo_Q : in std_logic_vector(1 downto 0);
o_pps : out std_logic;
i_gps_ld : in std_logic;
i_glo_ld : in std_logic;
o_max_sclk : out std_logic;
o_max_sdata : out std_logic;
o_max_ncs : out std_logic_vector(1 downto 0);
i_antext_stat : in std_logic;
i_antext_detect : in std_logic;
o_antext_ena : out std_logic;
o_antint_contr : out std_logic
);
end component;
COMPONENT processing_system7_0
PORT (
M_AXI_GP0_ARVALID : OUT STD_LOGIC;
M_AXI_GP0_AWVALID : OUT STD_LOGIC;
M_AXI_GP0_BREADY : OUT STD_LOGIC;
M_AXI_GP0_RREADY : OUT STD_LOGIC;
M_AXI_GP0_WLAST : OUT STD_LOGIC;
M_AXI_GP0_WVALID : OUT STD_LOGIC;
M_AXI_GP0_ARID : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
M_AXI_GP0_AWID : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
M_AXI_GP0_WID : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
M_AXI_GP0_ARBURST : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
M_AXI_GP0_ARLOCK : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
M_AXI_GP0_ARSIZE : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
M_AXI_GP0_AWBURST : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
M_AXI_GP0_AWLOCK : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
M_AXI_GP0_AWSIZE : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
M_AXI_GP0_ARPROT : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
M_AXI_GP0_AWPROT : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
M_AXI_GP0_ARADDR : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
M_AXI_GP0_AWADDR : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
M_AXI_GP0_WDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
M_AXI_GP0_ARCACHE : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_ARLEN : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_ARQOS : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_AWCACHE : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_AWLEN : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_AWQOS : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_WSTRB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M_AXI_GP0_ACLK : IN STD_LOGIC;
M_AXI_GP0_ARREADY : IN STD_LOGIC;
M_AXI_GP0_AWREADY : IN STD_LOGIC;
M_AXI_GP0_BVALID : IN STD_LOGIC;
M_AXI_GP0_RLAST : IN STD_LOGIC;
M_AXI_GP0_RVALID : IN STD_LOGIC;
M_AXI_GP0_WREADY : IN STD_LOGIC;
M_AXI_GP0_BID : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
M_AXI_GP0_RID : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
M_AXI_GP0_BRESP : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
M_AXI_GP0_RRESP : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
M_AXI_GP0_RDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
FCLK_CLK0 : OUT STD_LOGIC;
FCLK_RESET0_N : OUT STD_LOGIC;
MIO : INOUT STD_LOGIC_VECTOR(53 DOWNTO 0);
DDR_CAS_n : INOUT STD_LOGIC;
DDR_CKE : INOUT STD_LOGIC;
DDR_Clk_n : INOUT STD_LOGIC;
DDR_Clk : INOUT STD_LOGIC;
DDR_CS_n : INOUT STD_LOGIC;
DDR_DRSTB : INOUT STD_LOGIC;
DDR_ODT : INOUT STD_LOGIC;
DDR_RAS_n : INOUT STD_LOGIC;
DDR_WEB : INOUT STD_LOGIC;
DDR_BankAddr : INOUT STD_LOGIC_VECTOR(2 DOWNTO 0);
DDR_Addr : INOUT STD_LOGIC_VECTOR(14 DOWNTO 0);
DDR_VRN : INOUT STD_LOGIC;
DDR_VRP : INOUT STD_LOGIC;
DDR_DM : INOUT STD_LOGIC_VECTOR(3 DOWNTO 0);
DDR_DQ : INOUT STD_LOGIC_VECTOR(31 DOWNTO 0);
DDR_DQS_n : INOUT STD_LOGIC_VECTOR(3 DOWNTO 0);
DDR_DQS : INOUT STD_LOGIC_VECTOR(3 DOWNTO 0);
PS_SRSTB : INOUT STD_LOGIC;
PS_CLK : INOUT STD_LOGIC;
PS_PORB : INOUT STD_LOGIC
);
END COMPONENT;
signal FCLK_RESET0_N : std_logic;
signal FCLK_RESET0 : std_logic;
signal locked : std_logic;
signal w_ext_clk : std_logic;
signal w_ext_clk_buf : std_logic;
signal w_pll_clk : std_logic;
signal w_pll_lock : std_logic;
signal w_rst : std_logic;
signal ob_gpio_direction : std_logic_vector(11 downto 0);
signal ob_gpio_opins : std_logic_vector(11 downto 0);
signal ib_gpio_ipins : std_logic_vector(11 downto 0);
begin
procsys0 : processing_system7_0
PORT MAP (
M_AXI_GP0_ARVALID => open,
M_AXI_GP0_AWVALID => open,
M_AXI_GP0_BREADY => open,
M_AXI_GP0_RREADY => open,
M_AXI_GP0_WLAST => open,
M_AXI_GP0_WVALID => open,
M_AXI_GP0_ARID => open,
M_AXI_GP0_AWID => open,
M_AXI_GP0_WID => open,
M_AXI_GP0_ARBURST => open,
M_AXI_GP0_ARLOCK => open,
M_AXI_GP0_ARSIZE => open,
M_AXI_GP0_AWBURST => open,
M_AXI_GP0_AWLOCK => open,
M_AXI_GP0_AWSIZE => open,
M_AXI_GP0_ARPROT => open,
M_AXI_GP0_AWPROT => open,
M_AXI_GP0_ARADDR => open,
M_AXI_GP0_AWADDR => open,
M_AXI_GP0_WDATA => open,
M_AXI_GP0_ARCACHE => open,
M_AXI_GP0_ARLEN => open,
M_AXI_GP0_ARQOS => open,
M_AXI_GP0_AWCACHE => open,
M_AXI_GP0_AWLEN => open,
M_AXI_GP0_AWQOS => open,
M_AXI_GP0_WSTRB => open,
M_AXI_GP0_ACLK => w_ext_clk,
M_AXI_GP0_ARREADY => '1',
M_AXI_GP0_AWREADY => '1',
M_AXI_GP0_BVALID => '0',
M_AXI_GP0_RLAST => '0',
M_AXI_GP0_RVALID => '0',
M_AXI_GP0_WREADY => '1',
M_AXI_GP0_BID => X"000",
M_AXI_GP0_RID => X"000",
M_AXI_GP0_BRESP => "00",
M_AXI_GP0_RRESP => "00",
M_AXI_GP0_RDATA => X"00000000",
FCLK_CLK0 => w_ext_clk,
FCLK_RESET0_N => FCLK_RESET0_N,
MIO => open,
DDR_CAS_n => open,
DDR_CKE => open,
DDR_Clk_n => open,
DDR_Clk => open,
DDR_CS_n => open,
DDR_DRSTB => open,
DDR_ODT => open,
DDR_RAS_n => open,
DDR_WEB => open,
DDR_BankAddr => open,
DDR_Addr => open,
DDR_VRN => open,
DDR_VRP => open,
DDR_DM => open,
DDR_DQ => open,
DDR_DQS_n => open,
DDR_DQS => open,
PS_SRSTB => open,
PS_CLK => open,
PS_PORB => open
);
FCLK_RESET0 <= not FCLK_RESET0_N;
buf0 : BUFG port map (
I => w_ext_clk,
O => w_ext_clk_buf
);
gpiox : for i in 0 to 11 generate
iob0 : iobuf_tech generic map(zynq7000)
port map (ib_gpio_ipins(i), io_gpio(i), ob_gpio_opins(i), ob_gpio_direction(i));
end generate;
pll0 : SysPLL_tech generic map (
tech => zynq7000
) port map (
i_reset => FCLK_RESET0,
i_clk_tcxo => w_ext_clk_buf,
o_clk_bus => w_pll_clk,
o_locked => w_pll_lock
);
w_rst <= w_pll_lock;
soc0 : riscv_soc port map (
i_rst => w_rst,
i_clk => w_pll_lock,
--! GPIO.
i_gpio => ib_gpio_ipins,
o_gpio => ob_gpio_opins,
o_gpio_dir => ob_gpio_direction,
--! GPTimers
o_pwm => open,
--! JTAG signals:
i_jtag_tck => i_jtag_tck,
i_jtag_ntrst => i_jtag_ntrst,
i_jtag_tms => i_jtag_tms,
i_jtag_tdi => i_jtag_tdi,
o_jtag_tdo => o_jtag_tdo,
o_jtag_vref => o_jtag_vref,
--! UART1 signals:
i_uart1_ctsn => '0',
i_uart1_rd => i_uart1_rd,
o_uart1_td => o_uart1_td,
o_uart1_rtsn => open,
--! UART2 (debug port) signals:
i_uart2_ctsn => '0',
i_uart2_rd => i_uart2_rd,
o_uart2_td => o_uart2_td,
o_uart2_rtsn => open,
--! SPI Flash
i_flash_si => '0',
o_flash_so => open,
o_flash_sck => open,
o_flash_csn => open,
o_flash_wpn => open,
o_flash_holdn => open,
o_flash_reset => open,
--! OTP Memory
i_otp_d => X"0000",
o_otp_d => open,
o_otp_a => open,
o_otp_we => open,
o_otp_re => open,
--! Ethernet MAC PHY interface signals
i_etx_clk => '0',
i_erx_clk => '0',
i_erxd => X"0",
i_erx_dv => '0',
i_erx_er => '0',
i_erx_col => '0',
i_erx_crs => '0',
i_emdint => '0',
o_etxd => open,
o_etx_en => open,
o_etx_er => open,
o_emdc => open,
i_eth_mdio => '0',
o_eth_mdio => open,
o_eth_mdio_oe => open,
i_eth_gtx_clk => '0',
i_eth_gtx_clk_90 => '0',
o_erstn => open,
-- GNSS Sub-system signals:
i_clk_adc => '0',
i_gps_I => "00",
i_gps_Q => "00",
i_glo_I => "00",
i_glo_Q => "00",
o_pps => open,
i_gps_ld => '0',
i_glo_ld => '0',
o_max_sclk => open,
o_max_sdata => open,
o_max_ncs => open,
i_antext_stat => '0',
i_antext_detect => '0',
o_antext_ena => open,
o_antint_contr => open
);
end arch_zynq_top;
| apache-2.0 | b49043c3fd41c1c2858e7af5b9c2fdd5 | 0.591799 | 2.787598 | false | false | false | false |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/fifo_generator_v11_0/ramfifo/rd_dc_fwft_ext_as.vhd | 19 | 12,811 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SPpb0sHtYr+7D0Z/NdHkBGKHFj6bPnAk4zCT9Qd9jSi/NZdzqHWXjKwgFh3NrYG/AQMVJcT4R9KU
T1kWm6bsuw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PM0w38wqoKZTqxD5ZMv+He7u+x4mAKOhS9vNWqYsLtlMu2ni98hkp4Js0D7iFCQdcFCu3Jaj2Vqe
E0m1H+UGB6We+zPa+TnTKUC9+mxtEW7xpi8i+GVKfIfe89n3euEibIBIS0WLtZypuPRjuzr2TWw/
TpBFYS1oUTQ1qwWguI8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OIEbVz6QJBHT228fhImFLc7Q94gbSg/QOSgeKpAp1zRCxot1azeNL0EHN3pwZU9Qs6kuTNEAn7+w
agqdilWN9rl3uQlRBfW5KbIj2khza90rK/4UYrbcPGQyMxF8l/LBS9RaSzH8pqlJgQ4YfgwGNaq6
EHHkNL7CBEprP8VBO3A9geAIYBWstNirz3P/01jzH8PT87csZHkt/KV+1ancvBdl8zy3Pi5RrOtK
WdR5qLkbXJ6m4DjaubrW8HdK/fqusuCVkVGxmajuQw899iRpx5AiTEwKYKOor3msJGxdK7STL4ZT
S1m+Ec1GdsxDwYBgiKT0A3c1/unIYBS6y17V2A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Y9qoE+tEhAFEsAZgxeFxNUflksEoY80RYly6rjz4X/QwncMYkOdY5w8AxmW4IYZfWprQfyfkxMrN
8JuXogLHC84iIPhEFIhJ/+RivFHW4gCUIf9NTOGEkQza7hd31B0/7LZttbZHcfTR5stmYGMhB9xi
VCriwe4C9iR9zFvOJxk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eCcOM7HngIZB2JCDRQ//SPPOptJbtDQ6WJM03A6xR3t8OhM7+MFavTdB4aR11UrppwUsYiZCHTBc
4AdaSSNbTEcILhRaZMNZ85hgqiNgFb3YTJu8ZIWifM+Ad5U1zkzbH1xsVssRl/Sl+cf+TCDh9Psd
UOpjIzWfsyGgyfaSSbczC/DMklBqFcyspqzOP0YGdgI4It3e5xnwDvYeewRqIZggj0RyjkJH8PxJ
o1XlyTZFQZIIFN0x8sDbcPdsUekU3pOCvI9JK89jigNzKmLJRotLEgZQt0B8gMiz/gm5u0+k01OA
f/7Xo9TSexSaZ5evmswsNTBQhg4v8j39bgkh9Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744)
`protect data_block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==
`protect end_protected
| bsd-2-clause | 0570fe2c59467628daf153ccb52cfe95 | 0.933885 | 1.884248 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_rst_module.vhd | 1 | 24,263 | -- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_rst_module.vhd
-- Description: This entity is the top level reset module entity for the
-- AXI VDMA core.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_10;
use axi_dma_v7_1_10.axi_dma_pkg.all;
library lib_cdc_v1_0_2;
-------------------------------------------------------------------------------
entity axi_dma_rst_module is
generic(
C_INCLUDE_MM2S : integer range 0 to 1 := 1;
-- Include or exclude MM2S primary data path
-- 0 = Exclude MM2S primary data path
-- 1 = Include MM2S primary data path
C_INCLUDE_S2MM : integer range 0 to 1 := 1;
-- Include or exclude S2MM primary data path
-- 0 = Exclude S2MM primary data path
-- 1 = Include S2MM primary data path
C_INCLUDE_SG : integer range 0 to 1 := 1;
-- Include or Exclude the Scatter Gather Engine
-- 0 = Exclude SG Engine - Enables Simple DMA Mode
-- 1 = Include SG Engine - Enables Scatter Gather Mode
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_M_AXI_MM2S_ACLK_FREQ_HZ : integer := 100000000;
-- Primary clock frequency in hertz
C_M_AXI_S2MM_ACLK_FREQ_HZ : integer := 100000000;
-- Primary clock frequency in hertz
C_M_AXI_SG_ACLK_FREQ_HZ : integer := 100000000
-- Scatter Gather clock frequency in hertz
);
port (
-----------------------------------------------------------------------
-- Clock Sources
-----------------------------------------------------------------------
s_axi_lite_aclk : in std_logic ;
m_axi_sg_aclk : in std_logic ; --
m_axi_mm2s_aclk : in std_logic ; --
m_axi_s2mm_aclk : in std_logic ; --
--
----------------------------------------------------------------------- --
-- Hard Reset --
----------------------------------------------------------------------- --
axi_resetn : in std_logic ; --
----------------------------------------------------------------------- --
-- Soft Reset --
----------------------------------------------------------------------- --
soft_reset : in std_logic ; --
soft_reset_clr : out std_logic := '0' ; --
--
----------------------------------------------------------------------- --
-- MM2S Soft Reset Support --
----------------------------------------------------------------------- --
mm2s_all_idle : in std_logic ; --
mm2s_stop : in std_logic ; --
mm2s_halt : out std_logic := '0' ; --
mm2s_halt_cmplt : in std_logic ; --
--
----------------------------------------------------------------------- --
-- S2MM Soft Reset Support --
----------------------------------------------------------------------- --
s2mm_all_idle : in std_logic ; --
s2mm_stop : in std_logic ; --
s2mm_halt : out std_logic := '0' ; --
s2mm_halt_cmplt : in std_logic ; --
--
----------------------------------------------------------------------- --
-- MM2S Distributed Reset Out --
----------------------------------------------------------------------- --
-- AXI DataMover Primary Reset (Raw) --
dm_mm2s_prmry_resetn : out std_logic := '1' ; --
-- AXI DataMover Secondary Reset (Raw) --
dm_mm2s_scndry_resetn : out std_logic := '1' ;
-- AXI Stream Primary Reset Outputs --
mm2s_prmry_reset_out_n : out std_logic := '1' ; --
-- AXI Stream Control Reset Outputs --
mm2s_cntrl_reset_out_n : out std_logic := '1' ; --
-- AXI Secondary reset
mm2s_scndry_resetn : out std_logic := '1' ; --
-- AXI Upsizer and Line Buffer --
mm2s_prmry_resetn : out std_logic := '1' ; --
--
--
----------------------------------------------------------------------- --
-- S2MM Distributed Reset Out --
----------------------------------------------------------------------- --
-- AXI DataMover Primary Reset (Raw) --
dm_s2mm_prmry_resetn : out std_logic := '1' ; --
-- AXI DataMover Secondary Reset (Raw) --
dm_s2mm_scndry_resetn : out std_logic := '1' ;
-- AXI Stream Primary Reset Outputs --
s2mm_prmry_reset_out_n : out std_logic := '1' ; --
-- AXI Stream Control Reset Outputs --
s2mm_sts_reset_out_n : out std_logic := '1' ; --
-- AXI Secondary reset
s2mm_scndry_resetn : out std_logic := '1' ; --
-- AXI Upsizer and Line Buffer --
s2mm_prmry_resetn : out std_logic := '1' ; --
----------------------------------------------------------------------- --
-- Scatter Gather Distributed Reset Out
----------------------------------------------------------------------- --
-- AXI Scatter Gather Reset Out
m_axi_sg_aresetn : out std_logic := '1' ; --
-- AXI Scatter Gather Datamover Reset Out
dm_m_axi_sg_aresetn : out std_logic := '1' ; --
----------------------------------------------------------------------- --
-- Hard Reset Out --
----------------------------------------------------------------------- --
m_axi_sg_hrdresetn : out std_logic := '1' ; --
s_axi_lite_resetn : out std_logic := '1' --
);
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of s_axi_lite_resetn : signal is "TRUE";
Attribute KEEP of m_axi_sg_hrdresetn : signal is "TRUE";
Attribute EQUIVALENT_REGISTER_REMOVAL of s_axi_lite_resetn : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of m_axi_sg_hrdresetn : signal is "no";
end axi_dma_rst_module;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_rst_module is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
ATTRIBUTE async_reg : STRING;
signal hrd_resetn_i_cdc_tig : std_logic := '1';
signal hrd_resetn_i_d1_cdc_tig : std_logic := '1';
--ATTRIBUTE async_reg OF hrd_resetn_i_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF hrd_resetn_i_d1_cdc_tig : SIGNAL IS "true";
-- Soft reset support
signal mm2s_soft_reset_clr : std_logic := '0';
signal s2mm_soft_reset_clr : std_logic := '0';
signal soft_reset_clr_i : std_logic := '0';
signal mm2s_soft_reset_done : std_logic := '0';
signal s2mm_soft_reset_done : std_logic := '0';
signal mm2s_scndry_resetn_i : std_logic := '0';
signal s2mm_scndry_resetn_i : std_logic := '0';
signal dm_mm2s_scndry_resetn_i : std_logic := '0';
signal dm_s2mm_scndry_resetn_i : std_logic := '0';
signal sg_hard_reset : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Register hard reset in
REG_HRD_RST : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => axi_resetn,
prmry_vect_in => (others => '0'),
scndry_aclk => m_axi_sg_aclk,
scndry_resetn => '0',
scndry_out => sg_hard_reset,
scndry_vect_out => open
);
m_axi_sg_hrdresetn <= sg_hard_reset;
--REG_HRD_RST : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- hrd_resetn_i_cdc_tig <= axi_resetn;
-- m_axi_sg_hrdresetn <= hrd_resetn_i_cdc_tig;
-- end if;
-- end process REG_HRD_RST;
-- Regsiter hard reset out for axi lite interface
REG_HRD_RST_OUT : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => axi_resetn,
prmry_vect_in => (others => '0'),
scndry_aclk => s_axi_lite_aclk,
scndry_resetn => '0',
scndry_out => s_axi_lite_resetn,
scndry_vect_out => open
);
--REG_HRD_RST_OUT : process(s_axi_lite_aclk)
-- begin
-- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
-- hrd_resetn_i_d1_cdc_tig <= hrd_resetn_i_cdc_tig;
-- s_axi_lite_resetn <= hrd_resetn_i_d1_cdc_tig;
-- end if;
-- end process REG_HRD_RST_OUT;
dm_mm2s_scndry_resetn <= dm_mm2s_scndry_resetn_i;
dm_s2mm_scndry_resetn <= dm_s2mm_scndry_resetn_i;
-- mm2s channel included therefore map secondary resets to
-- from mm2s reset module to scatter gather interface (default)
MAP_SG_FOR_BOTH : if C_INCLUDE_MM2S = 1 and C_INCLUDE_S2MM = 1 generate
begin
-- both must be low before sg reset is asserted.
m_axi_sg_aresetn <= mm2s_scndry_resetn_i or s2mm_scndry_resetn_i;
dm_m_axi_sg_aresetn <= dm_mm2s_scndry_resetn_i or dm_s2mm_scndry_resetn_i;
end generate MAP_SG_FOR_BOTH;
-- Only s2mm channel included therefore map secondary resets to
-- from s2mm reset module to scatter gather interface
MAP_SG_FOR_S2MM : if C_INCLUDE_MM2S = 0 and C_INCLUDE_S2MM = 1 generate
begin
m_axi_sg_aresetn <= s2mm_scndry_resetn_i;
dm_m_axi_sg_aresetn <= dm_s2mm_scndry_resetn_i;
end generate MAP_SG_FOR_S2MM;
-- Only mm2s channel included therefore map secondary resets to
-- from mm2s reset module to scatter gather interface
MAP_SG_FOR_MM2S : if C_INCLUDE_MM2S = 1 and C_INCLUDE_S2MM = 0 generate
begin
m_axi_sg_aresetn <= mm2s_scndry_resetn_i;
dm_m_axi_sg_aresetn <= dm_mm2s_scndry_resetn_i;
end generate MAP_SG_FOR_MM2S;
-- Invalid configuration for axi dma - simply here for completeness
MAP_NO_SG : if C_INCLUDE_MM2S = 0 and C_INCLUDE_S2MM = 0 generate
begin
m_axi_sg_aresetn <= '1';
dm_m_axi_sg_aresetn <= '1';
end generate MAP_NO_SG;
s2mm_scndry_resetn <= s2mm_scndry_resetn_i;
mm2s_scndry_resetn <= mm2s_scndry_resetn_i;
-- Generate MM2S reset signals
GEN_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 1 generate
begin
RESET_I : entity axi_dma_v7_1_10.axi_dma_reset
generic map(
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_AXI_PRMRY_ACLK_FREQ_HZ => C_M_AXI_MM2S_ACLK_FREQ_HZ ,
C_AXI_SCNDRY_ACLK_FREQ_HZ => C_M_AXI_SG_ACLK_FREQ_HZ ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_INCLUDE_SG => C_INCLUDE_SG
)
port map(
-- Clock Sources
m_axi_sg_aclk => m_axi_sg_aclk ,
axi_prmry_aclk => m_axi_mm2s_aclk ,
-- Hard Reset
axi_resetn => sg_hard_reset ,
-- Soft Reset
soft_reset => soft_reset ,
soft_reset_clr => mm2s_soft_reset_clr ,
soft_reset_done => soft_reset_clr_i ,
all_idle => mm2s_all_idle ,
stop => mm2s_stop ,
halt => mm2s_halt ,
halt_cmplt => mm2s_halt_cmplt ,
-- Secondary Reset
scndry_resetn => mm2s_scndry_resetn_i ,
-- AXI Upsizer and Line Buffer
prmry_resetn => mm2s_prmry_resetn ,
-- AXI DataMover Primary Reset (Raw)
dm_prmry_resetn => dm_mm2s_prmry_resetn ,
-- AXI DataMover Secondary Reset (Raw)
dm_scndry_resetn => dm_mm2s_scndry_resetn_i ,
-- AXI Stream Primary Reset Outputs
prmry_reset_out_n => mm2s_prmry_reset_out_n ,
-- AXI Stream Alternate Reset Outputs
altrnt_reset_out_n => mm2s_cntrl_reset_out_n
);
-- Sample an hold mm2s soft reset done to use in
-- combined reset done to DMACR
MM2S_SOFT_RST_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(sg_hard_reset = '0' or soft_reset_clr_i = '1')then
mm2s_soft_reset_done <= '0';
elsif(mm2s_soft_reset_clr = '1')then
mm2s_soft_reset_done <= '1';
end if;
end if;
end process MM2S_SOFT_RST_DONE;
end generate GEN_RESET_FOR_MM2S;
-- No MM2S therefore tie off mm2s reset signals
GEN_NO_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_prmry_reset_out_n <= '1';
mm2s_cntrl_reset_out_n <= '1';
dm_mm2s_scndry_resetn_i <= '1';
dm_mm2s_prmry_resetn <= '1';
mm2s_prmry_resetn <= '1';
mm2s_scndry_resetn_i <= '1';
mm2s_halt <= '0';
mm2s_soft_reset_clr <= '0';
mm2s_soft_reset_done <= '1';
end generate GEN_NO_RESET_FOR_MM2S;
-- Generate S2MM reset signals
GEN_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 1 generate
begin
RESET_I : entity axi_dma_v7_1_10.axi_dma_reset
generic map(
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_AXI_PRMRY_ACLK_FREQ_HZ => C_M_AXI_S2MM_ACLK_FREQ_HZ ,
C_AXI_SCNDRY_ACLK_FREQ_HZ => C_M_AXI_SG_ACLK_FREQ_HZ ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_INCLUDE_SG => C_INCLUDE_SG
)
port map(
-- Clock Sources
m_axi_sg_aclk => m_axi_sg_aclk ,
axi_prmry_aclk => m_axi_s2mm_aclk ,
-- Hard Reset
axi_resetn => sg_hard_reset ,
-- Soft Reset
soft_reset => soft_reset ,
soft_reset_clr => s2mm_soft_reset_clr ,
soft_reset_done => soft_reset_clr_i ,
all_idle => s2mm_all_idle ,
stop => s2mm_stop ,
halt => s2mm_halt ,
halt_cmplt => s2mm_halt_cmplt ,
-- Secondary Reset
scndry_resetn => s2mm_scndry_resetn_i ,
-- AXI Upsizer and Line Buffer
prmry_resetn => s2mm_prmry_resetn ,
-- AXI DataMover Primary Reset (Raw)
dm_prmry_resetn => dm_s2mm_prmry_resetn ,
-- AXI DataMover Secondary Reset (Raw)
dm_scndry_resetn => dm_s2mm_scndry_resetn_i ,
-- AXI Stream Primary Reset Outputs
prmry_reset_out_n => s2mm_prmry_reset_out_n ,
-- AXI Stream Alternate Reset Outputs
altrnt_reset_out_n => s2mm_sts_reset_out_n
);
-- Sample an hold s2mm soft reset done to use in
-- combined reset done to DMACR
S2MM_SOFT_RST_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(sg_hard_reset = '0' or soft_reset_clr_i = '1')then
s2mm_soft_reset_done <= '0';
elsif(s2mm_soft_reset_clr = '1')then
s2mm_soft_reset_done <= '1';
end if;
end if;
end process S2MM_SOFT_RST_DONE;
end generate GEN_RESET_FOR_S2MM;
-- No SsMM therefore tie off mm2s reset signals
GEN_NO_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 0 generate
begin
s2mm_prmry_reset_out_n <= '1';
dm_s2mm_scndry_resetn_i <= '1';
dm_s2mm_prmry_resetn <= '1';
s2mm_prmry_resetn <= '1';
s2mm_scndry_resetn_i <= '1';
s2mm_halt <= '0';
s2mm_soft_reset_clr <= '0';
s2mm_soft_reset_done <= '1';
end generate GEN_NO_RESET_FOR_S2MM;
-- When both mm2s and s2mm are done then drive soft reset clear and
-- also clear s_h registers above
soft_reset_clr_i <= s2mm_soft_reset_done and mm2s_soft_reset_done;
soft_reset_clr <= soft_reset_clr_i;
end implementation;
| mit | 389c7cd7b53f6b6f7d954f6461dd819a | 0.422042 | 4.423519 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_s2mm_basic_wrap.vhd | 1 | 49,604 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_s2mm_basic_wrap.vhd
--
-- Description:
-- This file implements the DataMover S2MM Basic Wrapper.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- axi_sg Library Modules
library axi_sg_v4_1_3;
use axi_sg_v4_1_3.axi_sg_reset;
use axi_sg_v4_1_3.axi_sg_cmd_status;
use axi_sg_v4_1_3.axi_sg_scc_wr;
use axi_sg_v4_1_3.axi_sg_addr_cntl;
use axi_sg_v4_1_3.axi_sg_wrdata_cntl;
use axi_sg_v4_1_3.axi_sg_wr_status_cntl;
Use axi_sg_v4_1_3.axi_sg_skid2mm_buf;
Use axi_sg_v4_1_3.axi_sg_skid_buf;
-------------------------------------------------------------------------------
entity axi_sg_s2mm_basic_wrap is
generic (
C_INCLUDE_S2MM : Integer range 0 to 2 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_S2MM_AWID : Integer range 0 to 255 := 9;
-- Specifies the constant value to output on
-- the ARID output port
C_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_S2MM_MDATA_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S2MM_SDATA_WIDTH : Integer range 8 to 64 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 0;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 16 to 64 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1;
C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0;
C_TAG_WIDTH : Integer range 1 to 8 := 4 ;
-- Width of the TAG field
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- S2MM Primary Clock and reset inputs -----------------------------
s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------------
sg_ctl : in std_logic_vector (7 downto 0); --
-- S2MM Halt request input control ---------------------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
--------------------------------------------------------------------
-- S2MM Error discrete output --------------------------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------------------------
-- Optional Command/Status Interface Clock and Reset Inputs -------
-- Only used when C_S2MM_STSCMD_IS_ASYNC = 1 --
--
s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) ------------------------------------------------------
s2mm_cmd_wvalid : in std_logic; --
s2mm_cmd_wready : out std_logic; --
s2mm_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_S2MM_ADDR_WIDTH+36)-1 downto 0); --
---------------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
s2mm_sts_wvalid : out std_logic; --
s2mm_sts_wready : in std_logic; --
s2mm_sts_wdata : out std_logic_vector(7 downto 0); --
s2mm_sts_wstrb : out std_logic_vector(0 downto 0); --
s2mm_sts_wlast : out std_logic; --
--------------------------------------------------------------------
-- Address posting controls ----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
--------------------------------------------------------------------
-- S2MM AXI Address Channel I/O --------------------------------------
s2mm_awid : out std_logic_vector(C_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
s2mm_awaddr : out std_logic_vector(C_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel PROT output --
s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel PROT output --
--
s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -----------
-- s2mm__awlock : out std_logic_vector(2 downto 0); --
-- s2mm__awcache : out std_logic_vector(4 downto 0); --
-- s2mm__awqos : out std_logic_vector(3 downto 0); --
-- s2mm__awregion : out std_logic_vector(3 downto 0); --
-----------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O ---------------------------------------------
s2mm_wdata : Out std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0); --
s2mm_wstrb : Out std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0); --
s2mm_wlast : Out std_logic; --
s2mm_wvalid : Out std_logic; --
s2mm_wready : In std_logic; --
--------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -----------------------------------------
s2mm_bresp : In std_logic_vector(1 downto 0); --
s2mm_bvalid : In std_logic; --
s2mm_bready : Out std_logic; --
--------------------------------------------------------------------------------------
-- S2MM AXI Master Stream Channel I/O -----------------------------------------------
s2mm_strm_wdata : In std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0); --
s2mm_strm_wstrb : In std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0); --
s2mm_strm_wlast : In std_logic; --
s2mm_strm_wvalid : In std_logic; --
s2mm_strm_wready : Out std_logic; --
--------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
-----------------------------------------------------------------
);
end entity axi_sg_s2mm_basic_wrap;
architecture implementation of axi_sg_s2mm_basic_wrap is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_calc_wdemux_sel_bits
--
-- Function Description:
-- This function calculates the number of address bits needed for
-- the Write Strobe demux select control.
--
-------------------------------------------------------------------
function func_calc_wdemux_sel_bits (mmap_dwidth_value : integer) return integer is
Variable num_addr_bits_needed : Integer range 1 to 5 := 1;
begin
case mmap_dwidth_value is
when 32 =>
num_addr_bits_needed := 2;
-- coverage off
when 64 =>
num_addr_bits_needed := 3;
when 128 =>
num_addr_bits_needed := 4;
when others => -- 256 bits
num_addr_bits_needed := 5;
-- coverage on
end case;
Return (num_addr_bits_needed);
end function func_calc_wdemux_sel_bits;
-- Constant Declarations ----------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant S2MM_AWID_VALUE : integer range 0 to 255 := C_S2MM_AWID;
Constant S2MM_AWID_WIDTH : integer range 1 to 8 := C_S2MM_ID_WIDTH;
Constant S2MM_ADDR_WIDTH : integer range 32 to 64 := C_S2MM_ADDR_WIDTH;
Constant S2MM_MDATA_WIDTH : integer range 32 to 256 := C_S2MM_MDATA_WIDTH;
Constant S2MM_SDATA_WIDTH : integer range 8 to 256 := C_S2MM_SDATA_WIDTH;
Constant S2MM_CMD_WIDTH : integer := (C_TAG_WIDTH+C_S2MM_ADDR_WIDTH+32);
Constant S2MM_STS_WIDTH : integer := 8; -- always 8 for S2MM Basic Version
Constant INCLUDE_S2MM_STSFIFO : integer range 0 to 1 := 1;
Constant S2MM_STSCMD_FIFO_DEPTH : integer range 1 to 16 := 1;
Constant S2MM_STSCMD_IS_ASYNC : integer range 0 to 1 := 0;
Constant S2MM_BURST_SIZE : integer range 16 to 256 := 16;
Constant WR_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH;
Constant WR_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH;
Constant WR_STATUS_CNTL_FIFO_DEPTH : integer range 1 to 32 := WR_DATA_CNTL_FIFO_DEPTH+2;-- 2 added for going
-- full thresholding
-- in WSC
Constant SEL_ADDR_WIDTH : integer := func_calc_wdemux_sel_bits(S2MM_MDATA_WIDTH);
Constant INCLUDE_S2MM_DRE : integer range 0 to 1 := 1;
Constant OMIT_S2MM_DRE : integer range 0 to 1 := 0;
Constant OMIT_INDET_BTT : integer := 0;
Constant SF_BYTES_RCVD_WIDTH : integer := 1;
Constant ZEROS_8_BIT : std_logic_vector(7 downto 0) := (others => '0');
-- Signal Declarations ------------------------------------------
signal sig_cmd_stat_rst_user : std_logic := '0';
signal sig_cmd_stat_rst_int : std_logic := '0';
signal sig_mmap_rst : std_logic := '0';
signal sig_stream_rst : std_logic := '0';
signal sig_s2mm_cmd_wdata : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0');
signal sig_s2mm_cache_data : std_logic_vector(7 downto 0) := (others => '0');
signal sig_cmd2mstr_command : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2mstr_cmd_valid : std_logic := '0';
signal sig_mst2cmd_cmd_ready : std_logic := '0';
signal sig_mstr2addr_addr : std_logic_vector(S2MM_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_cmd_cmplt : std_logic := '0';
signal sig_mstr2addr_calc_error : std_logic := '0';
signal sig_mstr2addr_cmd_valid : std_logic := '0';
signal sig_addr2mstr_cmd_ready : std_logic := '0';
signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2data_strt_strb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_last_strb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_drr : std_logic := '0';
signal sig_mstr2data_eof : std_logic := '0';
signal sig_mstr2data_calc_error : std_logic := '0';
signal sig_mstr2data_cmd_last : std_logic := '0';
signal sig_mstr2data_cmd_valid : std_logic := '0';
signal sig_data2mstr_cmd_ready : std_logic := '0';
signal sig_addr2data_addr_posted : std_logic := '0';
signal sig_data2addr_data_rdy : std_logic := '0';
signal sig_data2all_tlast_error : std_logic := '0';
signal sig_data2all_dcntlr_halted : std_logic := '0';
signal sig_addr2wsc_calc_error : std_logic := '0';
signal sig_addr2wsc_cmd_fifo_empty : std_logic := '0';
signal sig_data2wsc_rresp : std_logic_vector(1 downto 0) := (others => '0');
signal sig_data2wsc_cmd_empty : std_logic := '0';
signal sig_data2wsc_calc_err : std_logic := '0';
signal sig_data2wsc_cmd_cmplt : std_logic := '0';
signal sig_data2wsc_last_err : std_logic := '0';
signal sig_calc2dm_calc_err : std_logic := '0';
signal sig_wsc2stat_status : std_logic_vector(7 downto 0) := (others => '0');
signal sig_stat2wsc_status_ready : std_logic := '0';
signal sig_wsc2stat_status_valid : std_logic := '0';
signal sig_wsc2mstr_halt_pipe : std_logic := '0';
signal sig_data2wsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_data2skid_addr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_data2skid_wvalid : std_logic := '0';
signal sig_skid2data_wready : std_logic := '0';
signal sig_data2skid_wdata : std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_data2skid_wstrb : std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_data2skid_wlast : std_logic := '0';
signal sig_skid2axi_wvalid : std_logic := '0';
signal sig_axi2skid_wready : std_logic := '0';
signal sig_skid2axi_wdata : std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_skid2axi_wstrb : std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_skid2axi_wlast : std_logic := '0';
signal sig_data2wsc_sof : std_logic := '0';
signal sig_data2wsc_eof : std_logic := '0';
signal sig_data2wsc_valid : std_logic := '0';
signal sig_wsc2data_ready : std_logic := '0';
signal sig_data2wsc_eop : std_logic := '0';
signal sig_data2wsc_bytes_rcvd : std_logic_vector(SF_BYTES_RCVD_WIDTH-1 downto 0) := (others => '0');
signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_rst2all_stop_request : std_logic := '0';
signal sig_data2rst_stop_cmplt : std_logic := '0';
signal sig_addr2rst_stop_cmplt : std_logic := '0';
signal sig_data2addr_stop_req : std_logic := '0';
signal sig_wsc2rst_stop_cmplt : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_realign2wdc_eop_error : std_logic := '0';
signal skid2wdc_wvalid : std_logic := '0';
signal wdc2skid_wready : std_logic := '0';
signal skid2wdc_wdata : std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0) := (others => '0');
signal skid2wdc_wstrb : std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal skid2wdc_wlast : std_logic := '0';
signal s2mm_awcache_int : std_logic_vector (3 downto 0);
signal sig_cache2mstr_command : std_logic_vector (7 downto 0);
begin --(architecture implementation)
-- Debug Port Assignments
s2mm_dbg_data <= sig_dbg_data_mux_out;
-- Note that only the s2mm_dbg_sel(0) is used at this time
sig_dbg_data_mux_out <= sig_dbg_data_1
When (s2mm_dbg_sel(0) = '1')
else sig_dbg_data_0 ;
sig_dbg_data_0 <= X"CAFE2222" ; -- 32 bit Constant indicating S2MM Basic type
sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ;
sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ;
sig_dbg_data_1(2) <= sig_mmap_rst ;
sig_dbg_data_1(3) <= sig_stream_rst ;
sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ;
sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ;
sig_dbg_data_1(6) <= sig_stat2wsc_status_ready;
sig_dbg_data_1(7) <= sig_wsc2stat_status_valid;
sig_dbg_data_1(11 downto 8) <= sig_data2wsc_tag ; -- Current TAG of active data transfer
sig_dbg_data_1(15 downto 12) <= sig_wsc2stat_status(3 downto 0); -- Internal status tag field
sig_dbg_data_1(16) <= sig_wsc2stat_status(4) ; -- Internal error
sig_dbg_data_1(17) <= sig_wsc2stat_status(5) ; -- Decode Error
sig_dbg_data_1(18) <= sig_wsc2stat_status(6) ; -- Slave Error
--sig_dbg_data_1(19) <= sig_wsc2stat_status(7) ; -- OKAY
sig_dbg_data_1(19) <= '0' ; -- OKAY not used by TB
sig_dbg_data_1(20) <= sig_stat2wsc_status_ready ; -- Status Ready Handshake
sig_dbg_data_1(21) <= sig_wsc2stat_status_valid ; -- Status Valid Handshake
sig_dbg_data_1(29 downto 22) <= sig_mstr2data_len ; -- WDC Cmd FIFO LEN input
sig_dbg_data_1(30) <= sig_mstr2data_cmd_valid ; -- WDC Cmd FIFO Valid Inpute
sig_dbg_data_1(31) <= sig_data2mstr_cmd_ready ; -- WDC Cmd FIFO Ready Output
-- Write Data Channel I/O
s2mm_wvalid <= sig_skid2axi_wvalid;
sig_axi2skid_wready <= s2mm_wready ;
s2mm_wdata <= sig_skid2axi_wdata ;
s2mm_wstrb <= sig_skid2axi_wstrb ;
s2mm_wlast <= sig_skid2axi_wlast ;
GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate
begin
-- Cache signal tie-off
s2mm_awcache <= "0011"; -- pre Interface-X guidelines for Masters
s2mm_awuser <= "0000"; -- pre Interface-X guidelines for Masters
sig_s2mm_cache_data <= (others => '0'); --s2mm_cmd_wdata(103 downto 96);
end generate GEN_CACHE;
GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate
begin
-- Cache signal tie-off
s2mm_awcache <= sg_ctl (3 downto 0); -- SG Cache from register
s2mm_awuser <= sg_ctl (7 downto 4); -- SG Cache from register
sig_s2mm_cache_data <= s2mm_cmd_wdata(103 downto 96);
end generate GEN_CACHE2;
-- Internal error output discrete
s2mm_err <= sig_calc2dm_calc_err or sig_data2all_tlast_error;
-- Rip the used portion of the Command Interface Command Data
-- and throw away the padding
sig_s2mm_cmd_wdata <= s2mm_cmd_wdata(S2MM_CMD_WIDTH-1 downto 0);
-- No Realigner in S2MM Basic
sig_realign2wdc_eop_error <= '0';
------------------------------------------------------------
-- Instance: I_RESET
--
-- Description:
-- Reset Block
--
------------------------------------------------------------
I_RESET : entity axi_sg_v4_1_3.axi_sg_reset
generic map (
C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC
)
port map (
primary_aclk => s2mm_aclk ,
primary_aresetn => s2mm_aresetn ,
secondary_awclk => s2mm_cmdsts_awclk ,
secondary_aresetn => s2mm_cmdsts_aresetn ,
halt_req => s2mm_halt ,
halt_cmplt => s2mm_halt_cmplt ,
flush_stop_request => sig_rst2all_stop_request,
data_cntlr_stopped => sig_data2rst_stop_cmplt ,
addr_cntlr_stopped => sig_addr2rst_stop_cmplt ,
aux1_stopped => sig_wsc2rst_stop_cmplt ,
aux2_stopped => LOGIC_HIGH ,
cmd_stat_rst_user => sig_cmd_stat_rst_user ,
cmd_stat_rst_int => sig_cmd_stat_rst_int ,
mmap_rst => sig_mmap_rst ,
stream_rst => sig_stream_rst
);
------------------------------------------------------------
-- Instance: I_CMD_STATUS
--
-- Description:
-- Command and Status Interface Block
--
------------------------------------------------------------
I_CMD_STATUS : entity axi_sg_v4_1_3.axi_sg_cmd_status
generic map (
C_ADDR_WIDTH => S2MM_ADDR_WIDTH ,
C_INCLUDE_STSFIFO => INCLUDE_S2MM_STSFIFO ,
C_STSCMD_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH ,
C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC ,
C_CMD_WIDTH => S2MM_CMD_WIDTH ,
C_STS_WIDTH => S2MM_STS_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => s2mm_aclk ,
secondary_awclk => s2mm_cmdsts_awclk ,
user_reset => sig_cmd_stat_rst_user ,
internal_reset => sig_cmd_stat_rst_int ,
cmd_wvalid => s2mm_cmd_wvalid ,
cmd_wready => s2mm_cmd_wready ,
cmd_wdata => sig_s2mm_cmd_wdata ,
cache_data => sig_s2mm_cache_data ,
sts_wvalid => s2mm_sts_wvalid ,
sts_wready => s2mm_sts_wready ,
sts_wdata => s2mm_sts_wdata ,
sts_wstrb => s2mm_sts_wstrb ,
sts_wlast => s2mm_sts_wlast ,
cmd2mstr_command => sig_cmd2mstr_command ,
cache2mstr_command => sig_cache2mstr_command ,
mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid ,
cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2stat_status => sig_wsc2stat_status ,
stat2mstr_status_ready => sig_stat2wsc_status_ready ,
mst2stst_status_valid => sig_wsc2stat_status_valid
);
------------------------------------------------------------
-- Instance: I_RD_STATUS_CNTLR
--
-- Description:
-- Write Status Controller Block
--
------------------------------------------------------------
I_WR_STATUS_CNTLR : entity axi_sg_v4_1_3.axi_sg_wr_status_cntl
generic map (
C_ENABLE_INDET_BTT => OMIT_INDET_BTT ,
C_SF_BYTES_RCVD_WIDTH => SF_BYTES_RCVD_WIDTH ,
C_STS_FIFO_DEPTH => WR_STATUS_CNTL_FIFO_DEPTH ,
C_STS_WIDTH => S2MM_STS_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => s2mm_aclk ,
mmap_reset => sig_mmap_rst ,
rst2wsc_stop_request => sig_rst2all_stop_request ,
wsc2rst_stop_cmplt => sig_wsc2rst_stop_cmplt ,
addr2wsc_addr_posted => sig_addr2data_addr_posted ,
s2mm_bresp => s2mm_bresp ,
s2mm_bvalid => s2mm_bvalid ,
s2mm_bready => s2mm_bready ,
calc2wsc_calc_error => sig_calc2dm_calc_err ,
addr2wsc_calc_error => sig_addr2wsc_calc_error ,
addr2wsc_fifo_empty => sig_addr2wsc_cmd_fifo_empty ,
data2wsc_tag => sig_data2wsc_tag ,
data2wsc_calc_error => sig_data2wsc_calc_err ,
data2wsc_last_error => sig_data2wsc_last_err ,
data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt ,
data2wsc_valid => sig_data2wsc_valid ,
wsc2data_ready => sig_wsc2data_ready ,
data2wsc_eop => sig_data2wsc_eop ,
data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd ,
wsc2stat_status => sig_wsc2stat_status ,
stat2wsc_status_ready => sig_stat2wsc_status_ready ,
wsc2stat_status_valid => sig_wsc2stat_status_valid ,
wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe
);
------------------------------------------------------------
-- Instance: I_MSTR_SCC
--
-- Description:
-- Simple Command Calculator Block
--
------------------------------------------------------------
I_MSTR_SCC : entity axi_sg_v4_1_3.axi_sg_scc_wr
generic map (
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_ADDR_WIDTH => S2MM_ADDR_WIDTH ,
C_STREAM_DWIDTH => S2MM_SDATA_WIDTH ,
C_MAX_BURST_LEN => C_S2MM_BURST_SIZE ,
C_CMD_WIDTH => S2MM_CMD_WIDTH ,
C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
-- Clock input
primary_aclk => s2mm_aclk ,
mmap_reset => sig_mmap_rst ,
cmd2mstr_command => sig_cmd2mstr_command ,
cache2mstr_command => sig_cache2mstr_command ,
cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid ,
mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_cache => sig_mstr2addr_cache ,
mstr2addr_user => sig_mstr2addr_user ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_sof => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_last ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
calc_error => sig_calc2dm_calc_err
);
------------------------------------------------------------
-- Instance: I_ADDR_CNTL
--
-- Description:
-- Address Controller Block
--
------------------------------------------------------------
I_ADDR_CNTL : entity axi_sg_v4_1_3.axi_sg_addr_cntl
generic map (
-- obsoleted C_ENABlE_WAIT_FOR_DATA => ENABLE_WAIT_FOR_DATA ,
C_ADDR_FIFO_DEPTH => WR_ADDR_CNTL_FIFO_DEPTH ,
--C_ADDR_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH ,
C_ADDR_WIDTH => S2MM_ADDR_WIDTH ,
C_ADDR_ID => S2MM_AWID_VALUE ,
C_ADDR_ID_WIDTH => S2MM_AWID_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
primary_aclk => s2mm_aclk ,
mmap_reset => sig_mmap_rst ,
addr2axi_aid => s2mm_awid ,
addr2axi_aaddr => s2mm_awaddr ,
addr2axi_alen => s2mm_awlen ,
addr2axi_asize => s2mm_awsize ,
addr2axi_aburst => s2mm_awburst ,
addr2axi_aprot => s2mm_awprot ,
addr2axi_avalid => s2mm_awvalid ,
addr2axi_acache => open ,
addr2axi_auser => open ,
axi2addr_aready => s2mm_awready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_cache => sig_mstr2addr_cache ,
mstr2addr_user => sig_mstr2addr_user ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt ,
allow_addr_req => s2mm_allow_addr_req ,
addr_req_posted => s2mm_addr_req_posted ,
addr2data_addr_posted => sig_addr2data_addr_posted ,
data2addr_data_rdy => sig_data2addr_data_rdy ,
data2addr_stop_req => sig_data2addr_stop_req ,
addr2stat_calc_error => sig_addr2wsc_calc_error ,
addr2stat_cmd_fifo_empty => sig_addr2wsc_cmd_fifo_empty
);
------------------------------------------------------------
-- Instance: I_S2MM_STRM_SKID_BUF
--
-- Description:
-- Instance for the S2MM Skid Buffer which provides for
-- registerd Slave Stream inputs and supports bi-dir
-- throttling.
--
------------------------------------------------------------
-- I_S2MM_STRM_SKID_BUF : entity axi_sg_v4_1_3.axi_sg_skid_buf
-- generic map (
--
-- C_WDATA_WIDTH => S2MM_SDATA_WIDTH
--
-- )
-- port map (
--
-- -- System Ports
-- aclk => s2mm_aclk ,
-- arst => sig_mmap_rst ,
--
-- -- Shutdown control (assert for 1 clk pulse)
-- skid_stop => sig_data2skid_halt ,
--
-- -- Slave Side (Stream Data Input)
-- s_valid => s2mm_strm_wvalid ,
-- s_ready => s2mm_strm_wready ,
-- s_data => s2mm_strm_wdata ,
-- s_strb => s2mm_strm_wstrb ,
-- s_last => s2mm_strm_wlast ,
--
-- -- Master Side (Stream Data Output
-- m_valid => skid2wdc_wvalid ,
-- m_ready => wdc2skid_wready ,
-- m_data => skid2wdc_wdata ,
-- m_strb => skid2wdc_wstrb ,
-- m_last => skid2wdc_wlast
--
-- );
--
------------------------------------------------------------
-- Instance: I_WR_DATA_CNTL
--
-- Description:
-- Write Data Controller Block
--
------------------------------------------------------------
I_WR_DATA_CNTL : entity axi_sg_v4_1_3.axi_sg_wrdata_cntl
generic map (
-- obsoleted C_ENABlE_WAIT_FOR_DATA => ENABLE_WAIT_FOR_DATA ,
C_REALIGNER_INCLUDED => OMIT_S2MM_DRE ,
C_ENABLE_INDET_BTT => OMIT_INDET_BTT ,
C_SF_BYTES_RCVD_WIDTH => SF_BYTES_RCVD_WIDTH ,
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_DATA_CNTL_FIFO_DEPTH => WR_DATA_CNTL_FIFO_DEPTH ,
C_MMAP_DWIDTH => S2MM_MDATA_WIDTH ,
C_STREAM_DWIDTH => S2MM_SDATA_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => s2mm_aclk ,
mmap_reset => sig_mmap_rst ,
rst2data_stop_request => sig_rst2all_stop_request ,
data2addr_stop_req => sig_data2addr_stop_req ,
data2rst_stop_cmplt => sig_data2rst_stop_cmplt ,
wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
data2skid_saddr_lsb => sig_data2skid_addr_lsb ,
data2skid_wdata => sig_skid2axi_wdata,-- sig_data2skid_wdata ,
data2skid_wstrb => sig_skid2axi_wstrb,-- sig_data2skid_wstrb ,
data2skid_wlast => sig_skid2axi_wlast,-- sig_data2skid_wlast ,
data2skid_wvalid => sig_skid2axi_wvalid,-- sig_data2skid_wvalid ,
skid2data_wready => sig_axi2skid_wready,-- sig_skid2data_wready ,
s2mm_strm_wvalid => s2mm_strm_wvalid, --skid2wdc_wvalid ,
s2mm_strm_wready => s2mm_strm_wready, --wdc2skid_wready ,
s2mm_strm_wdata => s2mm_strm_wdata, --skid2wdc_wdata ,
s2mm_strm_wstrb => s2mm_strm_wstrb, --skid2wdc_wstrb ,
s2mm_strm_wlast => s2mm_strm_wlast, --skid2wdc_wlast ,
s2mm_strm_eop => s2mm_strm_wlast, --skid2wdc_wlast ,
s2mm_stbs_asserted => ZEROS_8_BIT ,
realign2wdc_eop_error => sig_realign2wdc_eop_error ,
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_drr => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_sequential => LOGIC_LOW ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_last ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
addr2data_addr_posted => sig_addr2data_addr_posted ,
data2addr_data_rdy => sig_data2addr_data_rdy ,
data2all_tlast_error => sig_data2all_tlast_error ,
data2all_dcntlr_halted => sig_data2all_dcntlr_halted ,
data2skid_halt => sig_data2skid_halt ,
data2wsc_tag => sig_data2wsc_tag ,
data2wsc_calc_err => sig_data2wsc_calc_err ,
data2wsc_last_err => sig_data2wsc_last_err ,
data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt ,
wsc2data_ready => sig_wsc2data_ready ,
data2wsc_valid => sig_data2wsc_valid ,
data2wsc_eop => sig_data2wsc_eop ,
data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd ,
wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe
);
------------------------------------------------------------
-- Instance: I_S2MM_MMAP_SKID_BUF
--
-- Description:
-- Instance for the S2MM Skid Buffer which provides for
-- registered outputs and supports bi-dir throttling.
--
-- This Module also provides Write Data Bus Mirroring and WSTRB
-- Demuxing to match a narrow Stream to a wider MMap Write
-- Channel. By doing this in the skid buffer, the resource
-- utilization of the skid buffer can be minimized by only
-- having to buffer/mux the Stream data width, not the MMap
-- Data width.
--
------------------------------------------------------------
-- I_S2MM_MMAP_SKID_BUF : entity axi_sg_v4_1_3.axi_sg_skid2mm_buf
-- generic map (
--
-- C_MDATA_WIDTH => S2MM_MDATA_WIDTH ,
-- C_SDATA_WIDTH => S2MM_SDATA_WIDTH ,
-- C_ADDR_LSB_WIDTH => SEL_ADDR_WIDTH
--
-- )
-- port map (
--
-- -- System Ports
-- ACLK => s2mm_aclk ,
-- ARST => sig_stream_rst ,
--
-- -- Slave Side (Wr Data Controller Input Side )
-- S_ADDR_LSB => sig_data2skid_addr_lsb,
-- S_VALID => sig_data2skid_wvalid ,
-- S_READY => sig_skid2data_wready ,
-- S_Data => sig_data2skid_wdata ,
-- S_STRB => sig_data2skid_wstrb ,
-- S_Last => sig_data2skid_wlast ,
--
-- -- Master Side (MMap Write Data Output Side)
-- M_VALID => sig_skid2axi_wvalid ,
-- M_READY => sig_axi2skid_wready ,
-- M_Data => sig_skid2axi_wdata ,
-- M_STRB => sig_skid2axi_wstrb ,
-- M_Last => sig_skid2axi_wlast
--
-- );
--
end implementation;
| mit | 5e51e82b797cd3c223f5f46bd8173729 | 0.439702 | 4.066235 | false | false | false | false |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/ip/blk_mem_gen_1/synth/blk_mem_gen_1.vhd | 1 | 14,509 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_3;
USE blk_mem_gen_v8_3_3.blk_mem_gen_v8_3_3;
ENTITY blk_mem_gen_1 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(18 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END blk_mem_gen_1;
ARCHITECTURE blk_mem_gen_1_arch OF blk_mem_gen_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF blk_mem_gen_1_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_3 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(18 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(18 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(18 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(18 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF blk_mem_gen_1_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_3,Vivado 2016.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF blk_mem_gen_1_arch : ARCHITECTURE IS "blk_mem_gen_1,blk_mem_gen_v8_3_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF blk_mem_gen_1_arch: ARCHITECTURE IS "blk_mem_gen_1,blk_mem_gen_v8_3_3,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_fi" &
"le_loaded,C_INIT_FILE=blk_mem_gen_1.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=10,C_READ_WIDTH_A=10,C_WRITE_DEPTH_A=307200,C_READ_DEPTH_A=307200,C_ADDRA_WIDTH=19,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=10,C_READ_WIDTH_B" &
"=10,C_WRITE_DEPTH_B=307200,C_READ_DEPTH_B=307200,C_ADDRB_WIDTH=19,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFE" &
"TY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=84,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.847786 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_3
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "blk_mem_gen_1.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 10,
C_READ_WIDTH_A => 10,
C_WRITE_DEPTH_A => 307200,
C_READ_DEPTH_A => 307200,
C_ADDRA_WIDTH => 19,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 10,
C_READ_WIDTH_B => 10,
C_WRITE_DEPTH_B => 307200,
C_READ_DEPTH_B => 307200,
C_ADDRB_WIDTH => 19,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "84",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.847786 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 19)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END blk_mem_gen_1_arch;
| mit | 93d1a623f8947cb680ec5d2d0e33f02a | 0.625818 | 2.992163 | false | false | false | false |
szanni/aeshw | aes-core/inv_cipher_cu.vhd | 1 | 2,818 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:32:08 07/20/2014
-- Design Name:
-- Module Name: inv_cipher_cu - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity inv_cipher_cu is
port(
clk : in std_logic;
reset : in std_logic;
x_start : in std_logic; -- start decryption
x_comp : in std_logic; -- '1' if last round is reached
y_1_2 : out std_logic_vector(1 downto 0); -- controlling values for inv_cipher
y_3_4 : out std_logic_vector(1 downto 0); -- controlling values for decrementor
y_end : out std_logic -- decryption finished
);
end inv_cipher_cu;
architecture Behavioral of inv_cipher_cu is
type States is (S0, S1, S2, S3, S4, S5);
signal S, S_next : States;
begin
delta : process (S, x_start, x_comp)
begin
case S is
when S0 => y_1_2 <="--";
y_3_4 <="00"; -- initialize decrementor (with "A")
y_end <= '0';
if x_start = '1' then
S_next <= S1;
else
S_next <= S0;
end if;
when S1 => y_1_2 <= "--"; -- round key A not yet available (due to synchonous read)
y_3_4 <= "01"; -- decrement
y_end <= '0';
S_next <= S2;
when S2 => y_1_2 <= "00"; -- load in plaintext (round key A is now available), leave out inv_mix_columns stage
y_3_4 <= "01"; -- decrement
y_end <= '0';
S_next <= S3;
when S3 => y_1_2 <= "11"; -- feedback previous round result, include inv_mix_columns stage
y_3_4 <= "01"; -- decrement
y_end <= '0';
if x_comp = '1' then
S_next <= S4; -- last round starts after the next cycle
else
S_next <= S3;
end if;
when S4 => y_1_2 <= "10"; -- leave out inv_mix_columns stage
y_3_4 <= "--";
y_end <= '0';
S_next <= S5;
when S5 => y_1_2 <= "--";
y_3_4 <= "--";
y_end <= '1'; -- finished (output valid for one cycle)
S_next <= S0;
end case;
end process delta;
feedback_loop : process (clk, reset, S_next)
begin
if reset = '1' then
S <= S0;
elsif rising_edge(clk) then
S <= S_next;
end if;
end process feedback_loop;
end Behavioral;
| bsd-2-clause | 6395ca842c0225d9ded8481c37e14aab | 0.535131 | 3.246544 | false | false | false | false |
sergeykhbr/riscv_vhdl | vhdl/rtl/riverlib/core/memaccess.vhd | 1 | 19,616 | --!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all; -- or_reduce()
library commonlib;
use commonlib.types_common.all;
--! RIVER CPU specific library.
library riverlib;
--! RIVER CPU configuration constants.
use riverlib.river_cfg.all;
entity MemAccess is generic (
async_reset : boolean
);
port (
i_clk : in std_logic;
i_nrst : in std_logic;
i_e_valid : in std_logic; -- Execution stage outputs are valid
i_e_pc : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); -- Execution stage instruction pointer
i_e_instr : in std_logic_vector(31 downto 0); -- Execution stage instruction value
i_e_flushd : in std_logic;
o_flushd : out std_logic;
i_memop_waddr : in std_logic_vector(5 downto 0); -- Register address to be written (0=no writing)
i_memop_wtag : in std_logic_vector(3 downto 0);
i_memop_wdata : in std_logic_vector(RISCV_ARCH-1 downto 0); -- Register value to be written
i_memop_sign_ext : in std_logic; -- Load data with sign extending (if less than 8 Bytes)
i_memop_load : in std_logic; -- Load data from memory and write to i_res_addr
i_memop_store : in std_logic; -- Store i_res_data value into memory
i_memop_size : in std_logic_vector(1 downto 0); -- Encoded memory transaction size in bytes: 0=1B; 1=2B; 2=4B; 3=8B
i_memop_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); -- Memory access address
o_memop_ready : out std_logic; -- Ready to accept memop request
o_wb_wena : out std_logic; -- Write enable signal
o_wb_waddr : out std_logic_vector(5 downto 0); -- Output register address (0 = x0 = no write)
o_wb_wdata : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Register value
o_wb_wtag : out std_logic_vector(3 downto 0);
i_wb_ready : in std_logic;
-- Memory interface:
i_mem_req_ready : in std_logic;
o_mem_valid : out std_logic; -- Memory request is valid
o_mem_write : out std_logic; -- Memory write request
o_mem_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); -- Data path requested address
o_mem_wdata : out std_logic_vector(63 downto 0); -- Data path requested data (write transaction)
o_mem_wstrb : out std_logic_vector(7 downto 0); -- 8-bytes aligned strobs
i_mem_data_valid : in std_logic; -- Data path memory response is valid
i_mem_data_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); -- Data path memory response address
i_mem_data : in std_logic_vector(63 downto 0); -- Data path memory response value
o_mem_resp_ready : out std_logic
);
end;
architecture arch_MemAccess of MemAccess is
constant State_Idle : std_logic_vector(1 downto 0) := "00";
constant State_WaitReqAccept : std_logic_vector(1 downto 0) := "01";
constant State_WaitResponse : std_logic_vector(1 downto 0) := "10";
constant State_Hold : std_logic_vector(1 downto 0) := "11";
constant zero64 : std_logic_vector(63 downto 0) := (others => '0');
type RegistersType is record
state : std_logic_vector(1 downto 0);
memop_w : std_logic;
memop_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
memop_wdata : std_logic_vector(63 downto 0);
memop_wstrb : std_logic_vector(7 downto 0);
memop_sign_ext : std_logic;
memop_size : std_logic_vector(1 downto 0);
memop_res_pc : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
memop_res_instr : std_logic_vector(31 downto 0);
memop_res_addr : std_logic_vector(5 downto 0);
memop_res_data : std_logic_vector(RISCV_ARCH-1 downto 0);
memop_res_wena : std_logic;
memop_wtag : std_logic_vector(3 downto 0);
hold_rdata : std_logic_vector(RISCV_ARCH-1 downto 0);
end record;
constant R_RESET : RegistersType := (
State_Idle, -- state
'0', (others => '0'), -- memop_w, memop_addr
(others => '0'), (others => '0'), -- memop_wdata, memop_wstrb
'0', (others => '0'), -- memop_sign_ext, memop_size
(others => '0'), (others => '0'), -- memop_res_pc, memop_res_instr
(others => '0'), -- memop_res_addr
(others => '0'), '0', -- memop_res_data, memop_res_wena
(others => '0'), -- memop_wtag
(others => '0') -- hold_rdata
);
signal r, rin : RegistersType;
-- TODO: move into separate module
-- queue signals before move into separate module
constant QUEUE_WIDTH : integer := 1 -- i_e_flushd
+ 4 -- wtag
+ 64 -- wdata width
+ 8 -- wdata btyes
+ RISCV_ARCH
+ 6
+ 32
+ CFG_CPU_ADDR_BITS
+ 2
+ 1
+ 1
+ CFG_CPU_ADDR_BITS
;
signal queue_we : std_logic;
signal queue_re : std_logic;
signal queue_data_i : std_logic_vector(QUEUE_WIDTH-1 downto 0);
signal queue_data_o : std_logic_vector(QUEUE_WIDTH-1 downto 0);
signal queue_nempty : std_logic;
signal queue_full : std_logic;
begin
queue0 : Queue generic map (
async_reset => async_reset,
szbits => 2,
dbits => QUEUE_WIDTH
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_re => queue_re,
i_we => queue_we,
i_wdata => queue_data_i,
o_rdata => queue_data_o,
o_full => queue_full,
o_nempty => queue_nempty
);
comb : process(i_nrst, i_e_valid, i_e_pc, i_e_instr, i_memop_waddr, i_memop_wtag, i_memop_wdata,
i_memop_sign_ext, i_memop_load, i_memop_store, i_memop_size, i_memop_addr,
i_wb_ready, i_mem_data_addr, i_mem_req_ready, i_mem_data_valid,
i_mem_data_addr, i_mem_data, i_e_flushd,
queue_data_o, queue_nempty, queue_full, r)
variable v : RegistersType;
variable vb_memop_wdata : std_logic_vector(63 downto 0);
variable vb_memop_wstrb : std_logic_vector(7 downto 0);
variable v_mem_valid : std_logic;
variable v_mem_write : std_logic;
variable v_mem_sign_ext : std_logic;
variable vb_mem_sz : std_logic_vector(1 downto 0);
variable vb_mem_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
variable vb_mem_rdata : std_logic_vector(63 downto 0);
variable v_queue_re : std_logic;
variable v_flushd : std_logic;
variable vb_mem_wtag : std_logic_vector(3 downto 0);
variable vb_mem_wdata : std_logic_vector(63 downto 0);
variable vb_mem_wstrb : std_logic_vector(7 downto 0);
variable vb_mem_resp_shifted : std_logic_vector(63 downto 0);
variable vb_mem_data_unsigned : std_logic_vector(63 downto 0);
variable vb_mem_data_signed : std_logic_vector(63 downto 0);
variable vb_res_data : std_logic_vector(RISCV_ARCH-1 downto 0);
variable vb_res_addr : std_logic_vector(5 downto 0);
variable vb_e_pc : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
variable vb_e_instr : std_logic_vector(31 downto 0);
variable v_memop_ready : std_logic;
variable v_o_wena : std_logic;
variable vb_o_waddr : std_logic_vector(5 downto 0);
variable vb_o_wdata : std_logic_vector(RISCV_ARCH-1 downto 0);
variable vb_o_wtag : std_logic_vector(3 downto 0);
begin
v := r;
v_mem_valid := '0';
v_queue_re := '0';
vb_mem_resp_shifted := (others => '0');
vb_mem_data_unsigned := (others => '0');
vb_mem_data_signed := (others => '0');
vb_memop_wdata := (others => '0');
vb_memop_wstrb := (others => '0');
v_o_wena := '0';
vb_o_waddr := (others => '0');
vb_o_wdata := (others => '0');
vb_o_wtag := (others => '0');
case i_memop_size is
when "00" =>
vb_memop_wdata := i_memop_wdata(7 downto 0) & i_memop_wdata(7 downto 0)
& i_memop_wdata(7 downto 0) & i_memop_wdata(7 downto 0)
& i_memop_wdata(7 downto 0) & i_memop_wdata(7 downto 0)
& i_memop_wdata(7 downto 0) & i_memop_wdata(7 downto 0);
if i_memop_addr(2 downto 0) = "000" then
vb_memop_wstrb := X"01";
elsif i_memop_addr(2 downto 0) = "001" then
vb_memop_wstrb := X"02";
elsif i_memop_addr(2 downto 0) = "010" then
vb_memop_wstrb := X"04";
elsif i_memop_addr(2 downto 0) = "011" then
vb_memop_wstrb := X"08";
elsif i_memop_addr(2 downto 0) = "100" then
vb_memop_wstrb := X"10";
elsif i_memop_addr(2 downto 0) = "101" then
vb_memop_wstrb := X"20";
elsif i_memop_addr(2 downto 0) = "110" then
vb_memop_wstrb := X"40";
elsif i_memop_addr(2 downto 0) = "111" then
vb_memop_wstrb := X"80";
end if;
when "01" =>
vb_memop_wdata := i_memop_wdata(15 downto 0) & i_memop_wdata(15 downto 0)
& i_memop_wdata(15 downto 0) & i_memop_wdata(15 downto 0);
if i_memop_addr(2 downto 1) = "00" then
vb_memop_wstrb := X"03";
elsif i_memop_addr(2 downto 1) = "01" then
vb_memop_wstrb := X"0C";
elsif i_memop_addr(2 downto 1) = "10" then
vb_memop_wstrb := X"30";
else
vb_memop_wstrb := X"C0";
end if;
when "10" =>
vb_memop_wdata := i_memop_wdata(31 downto 0) & i_memop_wdata(31 downto 0);
if i_memop_addr(2) = '1' then
vb_memop_wstrb := X"F0";
else
vb_memop_wstrb := X"0F";
end if;
when "11" =>
vb_memop_wdata := i_memop_wdata;
vb_memop_wstrb := X"FF";
when others =>
end case;
-- Form Queue inputs:
queue_data_i <= i_e_flushd & i_memop_wtag & vb_memop_wdata & vb_memop_wstrb &
i_memop_wdata & i_memop_waddr & i_e_instr & i_e_pc &
i_memop_size & i_memop_sign_ext & i_memop_store &
i_memop_addr;
queue_we <= i_e_valid and (i_memop_load or i_memop_store or i_e_flushd);
-- Split Queue outputs:
v_flushd := queue_data_o(2*CFG_CPU_ADDR_BITS+RISCV_ARCH+8+64+46);
vb_mem_wtag := queue_data_o(2*CFG_CPU_ADDR_BITS+RISCV_ARCH+8+64+45 downto
2*CFG_CPU_ADDR_BITS+RISCV_ARCH+8+64+42);
vb_mem_wdata := queue_data_o(2*CFG_CPU_ADDR_BITS+RISCV_ARCH+8+64+42-1 downto
2*CFG_CPU_ADDR_BITS+RISCV_ARCH+8+42);
vb_mem_wstrb := queue_data_o(2*CFG_CPU_ADDR_BITS+RISCV_ARCH+8+42-1 downto
2*CFG_CPU_ADDR_BITS+RISCV_ARCH+42);
vb_res_data := queue_data_o(2*CFG_CPU_ADDR_BITS+RISCV_ARCH+42-1 downto
2*CFG_CPU_ADDR_BITS+42);
vb_res_addr := queue_data_o(2*CFG_CPU_ADDR_BITS+42-1 downto
2*CFG_CPU_ADDR_BITS+36);
vb_e_instr := queue_data_o(2*CFG_CPU_ADDR_BITS+36-1 downto
2*CFG_CPU_ADDR_BITS+4);
vb_e_pc := queue_data_o(2*CFG_CPU_ADDR_BITS+4-1 downto CFG_CPU_ADDR_BITS+4);
vb_mem_sz := queue_data_o(CFG_CPU_ADDR_BITS+3 downto CFG_CPU_ADDR_BITS+2);
v_mem_sign_ext := queue_data_o(CFG_CPU_ADDR_BITS+1);
v_mem_write := queue_data_o(CFG_CPU_ADDR_BITS);
vb_mem_addr := queue_data_o(CFG_CPU_ADDR_BITS-1 downto 0);
case r.memop_addr(2 downto 0) is
when "001" => vb_mem_resp_shifted := zero64(7 downto 0) & i_mem_data(63 downto 8);
when "010" => vb_mem_resp_shifted := zero64(15 downto 0) & i_mem_data(63 downto 16);
when "011" => vb_mem_resp_shifted := zero64(23 downto 0) & i_mem_data(63 downto 24);
when "100" => vb_mem_resp_shifted := zero64(31 downto 0) & i_mem_data(63 downto 32);
when "101" => vb_mem_resp_shifted := zero64(39 downto 0) & i_mem_data(63 downto 40);
when "110" => vb_mem_resp_shifted := zero64(47 downto 0) & i_mem_data(63 downto 48);
when "111" => vb_mem_resp_shifted := zero64(55 downto 0) & i_mem_data(63 downto 56);
when others => vb_mem_resp_shifted := i_mem_data;
end case;
case r.memop_size is
when MEMOP_1B =>
vb_mem_data_unsigned(7 downto 0) := vb_mem_resp_shifted(7 downto 0);
vb_mem_data_signed(7 downto 0) := vb_mem_resp_shifted(7 downto 0);
vb_mem_data_signed(63 downto 8) := (others => vb_mem_resp_shifted(7));
when MEMOP_2B =>
vb_mem_data_unsigned(15 downto 0) := vb_mem_resp_shifted(15 downto 0);
vb_mem_data_signed(15 downto 0) := vb_mem_resp_shifted(15 downto 0);
vb_mem_data_signed(63 downto 16) := (others => vb_mem_resp_shifted(15));
when MEMOP_4B =>
vb_mem_data_unsigned(31 downto 0) := vb_mem_resp_shifted(31 downto 0);
vb_mem_data_signed(31 downto 0) := vb_mem_resp_shifted(31 downto 0);
vb_mem_data_signed(63 downto 32) := (others => vb_mem_resp_shifted(31));
when others =>
vb_mem_data_unsigned := vb_mem_resp_shifted;
vb_mem_data_signed := vb_mem_resp_shifted;
end case;
if r.memop_w = '0' then
if r.memop_sign_ext = '1' then
vb_mem_rdata := vb_mem_data_signed;
else
vb_mem_rdata := vb_mem_data_unsigned;
end if;
else
vb_mem_rdata := r.memop_res_data;
end if;
case r.state is
when State_Idle =>
v_queue_re := '1';
if queue_nempty = '1' then
v_mem_valid := not v_flushd;
v.memop_res_pc := vb_e_pc;
v.memop_res_instr := vb_e_instr;
v.memop_res_addr := vb_res_addr;
v.memop_res_data := vb_res_data;
v.memop_res_wena := or_reduce(vb_res_addr);
v.memop_addr := vb_mem_addr;
v.memop_wdata := vb_mem_wdata;
v.memop_wtag := vb_mem_wtag;
v.memop_wstrb := vb_mem_wstrb;
v.memop_w := v_mem_write;
v.memop_sign_ext := v_mem_sign_ext;
v.memop_size := vb_mem_sz;
if v_flushd = '1' then
-- do nothing
elsif i_mem_req_ready = '1' then
v.state := State_WaitResponse;
else
v.state := State_WaitReqAccept;
end if;
end if;
when State_WaitReqAccept =>
v_mem_valid := '1';
v_mem_write := r.memop_w;
vb_mem_sz := r.memop_size;
vb_mem_addr := r.memop_addr;
vb_mem_wdata := r.memop_wdata;
vb_mem_wstrb := r.memop_wstrb;
vb_res_data := r.memop_res_data;
if i_mem_req_ready = '1' then
v.state := State_WaitResponse;
end if;
when State_WaitResponse =>
if i_mem_data_valid = '0' then
-- Do nothing
else
v_o_wena := r.memop_res_wena;
vb_o_waddr := r.memop_res_addr;
vb_o_wdata := vb_mem_rdata;
vb_o_wtag := r.memop_wtag;
v_queue_re := '1';
if r.memop_res_wena = '1' and i_wb_ready = '0' then
-- Inject only one clock hold-on and wait a couple of clocks
v_queue_re := '0';
v.state := State_Hold;
v.hold_rdata := vb_mem_rdata;
elsif queue_nempty = '1' then
v_mem_valid := not v_flushd;
v.memop_res_pc := vb_e_pc;
v.memop_res_instr := vb_e_instr;
v.memop_res_addr := vb_res_addr;
v.memop_res_data := vb_res_data;
v.memop_res_wena := or_reduce(vb_res_addr);
v.memop_addr := vb_mem_addr;
v.memop_wdata := vb_mem_wdata;
v.memop_wtag := vb_mem_wtag;
v.memop_wstrb := vb_mem_wstrb;
v.memop_w := v_mem_write;
v.memop_sign_ext := v_mem_sign_ext;
v.memop_size := vb_mem_sz;
if v_flushd = '1' then
v.state := State_Idle;
elsif i_mem_req_ready = '1' then
v.state := State_WaitResponse;
else
v.state := State_WaitReqAccept;
end if;
else
v.state := State_Idle;
end if;
end if;
when State_Hold =>
v_o_wena := r.memop_res_wena;
vb_o_waddr := r.memop_res_addr;
vb_o_wdata := r.hold_rdata;
vb_o_wtag := r.memop_wtag;
if i_wb_ready = '1' then
v_queue_re := '1';
if queue_nempty = '1' then
v_mem_valid := not v_flushd;
v.memop_res_pc := vb_e_pc;
v.memop_res_instr := vb_e_instr;
v.memop_res_addr := vb_res_addr;
v.memop_res_data := vb_res_data;
v.memop_res_wena := or_reduce(vb_res_addr);
v.memop_addr := vb_mem_addr;
v.memop_wdata := vb_mem_wdata;
v.memop_wtag := vb_mem_wtag;
v.memop_wstrb := vb_mem_wstrb;
v.memop_w := v_mem_write;
v.memop_sign_ext := v_mem_sign_ext;
v.memop_size := vb_mem_sz;
if v_flushd = '1' then
v.state := State_Idle;
elsif i_mem_req_ready = '1' then
v.state := State_WaitResponse;
else
v.state := State_WaitReqAccept;
end if;
else
v.state := State_Idle;
end if;
end if;
when others =>
end case;
v_memop_ready := '1';
if queue_full = '1' then
v_memop_ready := '0';
end if;
if not async_reset and i_nrst = '0' then
v := R_RESET;
end if;
queue_re <= v_queue_re;
o_flushd <= queue_nempty and v_flushd and v_queue_re;
o_mem_resp_ready <= '1';
o_mem_valid <= v_mem_valid;
o_mem_write <= v_mem_write;
o_mem_addr <= vb_mem_addr(CFG_CPU_ADDR_BITS-1 downto 3) & "000";
o_mem_wdata <= vb_mem_wdata;
o_mem_wstrb <= vb_mem_wstrb;
o_memop_ready <= v_memop_ready;
o_wb_wena <= v_o_wena;
o_wb_waddr <= vb_o_waddr;
o_wb_wdata <= vb_o_wdata;
o_wb_wtag <= vb_o_wtag;
rin <= v;
end process;
-- registers:
regs : process(i_clk, i_nrst)
begin
if async_reset and i_nrst = '0' then
r <= R_RESET;
elsif rising_edge(i_clk) then
r <= rin;
end if;
end process;
end;
| apache-2.0 | d777ddb4260b08a4616177f842ac1aee | 0.535991 | 3.278623 | false | false | false | false |
szanni/aeshw | aes-core/inv_cipher_tb.vhd | 1 | 746 | library ieee;
use ieee.std_logic_1164.all;
use work.types.all;
entity inv_cipher_tb is
end inv_cipher_tb;
architecture behavior of inv_cipher_tb is
component inv_cipher
port (
din : in state;
dout : out state
);
end component;
--Inputs
signal din : state;
--Outputs
signal dout : state;
begin
uut: inv_cipher port map (
din => din,
dout => dout
);
stim_proc: process
begin
--din <= x"d4bf5d30e0b452aeb84111f11e2798e5";
din <= x"fa636a2825b339c940668a3157244d17";
wait for 10 ns;
--assert dout = x"d42711aee0bf98f1b8b45de51e415230" report "inv_cipher: lookup failure" severity failure;
assert dout = x"fc1fc1f91934c98210fbfb8da340eb21" report "inv_cipher: mix failure" severity failure;
wait;
end process;
end;
| bsd-2-clause | ac1a18e8953f551b5757f016e9a37d90 | 0.725201 | 2.617544 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.