repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_rgb888_to_g8_0_0/system_rgb888_to_g8_0_0_sim_netlist.vhdl | 1 | 157,944 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sat May 27 21:25:06 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_rgb888_to_g8_0_0 -prefix
-- system_rgb888_to_g8_0_0_ system_rgb888_to_g8_0_0_sim_netlist.vhdl
-- Design : system_rgb888_to_g8_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb888_to_g8_0_0_rgb888_to_g8 is
port (
g8 : out STD_LOGIC_VECTOR ( 7 downto 0 );
clk : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 )
);
end system_rgb888_to_g8_0_0_rgb888_to_g8;
architecture STRUCTURE of system_rgb888_to_g8_0_0_rgb888_to_g8 is
signal \_carry__0_i_1_n_0\ : STD_LOGIC;
signal \_carry__0_i_2_n_0\ : STD_LOGIC;
signal \_carry__0_i_3_n_0\ : STD_LOGIC;
signal \_carry__0_i_4_n_0\ : STD_LOGIC;
signal \_carry__0_n_0\ : STD_LOGIC;
signal \_carry__0_n_1\ : STD_LOGIC;
signal \_carry__0_n_2\ : STD_LOGIC;
signal \_carry__0_n_3\ : STD_LOGIC;
signal \_carry__1_i_1_n_0\ : STD_LOGIC;
signal \_carry__1_n_2\ : STD_LOGIC;
signal \_carry_i_1_n_0\ : STD_LOGIC;
signal \_carry_i_2_n_0\ : STD_LOGIC;
signal \_carry_i_3_n_0\ : STD_LOGIC;
signal \_carry_i_4_n_0\ : STD_LOGIC;
signal \_carry_i_5_n_0\ : STD_LOGIC;
signal \_carry_n_0\ : STD_LOGIC;
signal \_carry_n_1\ : STD_LOGIC;
signal \_carry_n_2\ : STD_LOGIC;
signal \_carry_n_3\ : STD_LOGIC;
signal g810_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \g81__120_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_n_1\ : STD_LOGIC;
signal \g81__120_carry__0_n_2\ : STD_LOGIC;
signal \g81__120_carry__0_n_3\ : STD_LOGIC;
signal \g81__120_carry__0_n_4\ : STD_LOGIC;
signal \g81__120_carry__0_n_5\ : STD_LOGIC;
signal \g81__120_carry__0_n_6\ : STD_LOGIC;
signal \g81__120_carry__0_n_7\ : STD_LOGIC;
signal \g81__120_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_n_1\ : STD_LOGIC;
signal \g81__120_carry__1_n_2\ : STD_LOGIC;
signal \g81__120_carry__1_n_3\ : STD_LOGIC;
signal \g81__120_carry__1_n_4\ : STD_LOGIC;
signal \g81__120_carry__1_n_5\ : STD_LOGIC;
signal \g81__120_carry__1_n_6\ : STD_LOGIC;
signal \g81__120_carry__1_n_7\ : STD_LOGIC;
signal \g81__120_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry__2_n_1\ : STD_LOGIC;
signal \g81__120_carry__2_n_3\ : STD_LOGIC;
signal \g81__120_carry__2_n_6\ : STD_LOGIC;
signal \g81__120_carry__2_n_7\ : STD_LOGIC;
signal \g81__120_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__120_carry_n_0\ : STD_LOGIC;
signal \g81__120_carry_n_1\ : STD_LOGIC;
signal \g81__120_carry_n_2\ : STD_LOGIC;
signal \g81__120_carry_n_3\ : STD_LOGIC;
signal \g81__120_carry_n_4\ : STD_LOGIC;
signal \g81__120_carry_n_5\ : STD_LOGIC;
signal \g81__120_carry_n_6\ : STD_LOGIC;
signal \g81__149_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_n_1\ : STD_LOGIC;
signal \g81__149_carry__0_n_2\ : STD_LOGIC;
signal \g81__149_carry__0_n_3\ : STD_LOGIC;
signal \g81__149_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_n_1\ : STD_LOGIC;
signal \g81__149_carry__1_n_2\ : STD_LOGIC;
signal \g81__149_carry__1_n_3\ : STD_LOGIC;
signal \g81__149_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_8_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_n_1\ : STD_LOGIC;
signal \g81__149_carry__2_n_2\ : STD_LOGIC;
signal \g81__149_carry__2_n_3\ : STD_LOGIC;
signal \g81__149_carry__2_n_4\ : STD_LOGIC;
signal \g81__149_carry__2_n_5\ : STD_LOGIC;
signal \g81__149_carry__2_n_6\ : STD_LOGIC;
signal \g81__149_carry__2_n_7\ : STD_LOGIC;
signal \g81__149_carry__3_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_n_1\ : STD_LOGIC;
signal \g81__149_carry__3_n_2\ : STD_LOGIC;
signal \g81__149_carry__3_n_3\ : STD_LOGIC;
signal \g81__149_carry__3_n_4\ : STD_LOGIC;
signal \g81__149_carry__3_n_5\ : STD_LOGIC;
signal \g81__149_carry__3_n_6\ : STD_LOGIC;
signal \g81__149_carry__3_n_7\ : STD_LOGIC;
signal \g81__149_carry__4_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_n_2\ : STD_LOGIC;
signal \g81__149_carry__4_n_3\ : STD_LOGIC;
signal \g81__149_carry__4_n_5\ : STD_LOGIC;
signal \g81__149_carry__4_n_6\ : STD_LOGIC;
signal \g81__149_carry__4_n_7\ : STD_LOGIC;
signal \g81__149_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry_n_0\ : STD_LOGIC;
signal \g81__149_carry_n_1\ : STD_LOGIC;
signal \g81__149_carry_n_2\ : STD_LOGIC;
signal \g81__149_carry_n_3\ : STD_LOGIC;
signal \g81__206_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_n_1\ : STD_LOGIC;
signal \g81__206_carry__0_n_2\ : STD_LOGIC;
signal \g81__206_carry__0_n_3\ : STD_LOGIC;
signal \g81__206_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_n_1\ : STD_LOGIC;
signal \g81__206_carry__1_n_2\ : STD_LOGIC;
signal \g81__206_carry__1_n_3\ : STD_LOGIC;
signal \g81__206_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_n_1\ : STD_LOGIC;
signal \g81__206_carry__2_n_2\ : STD_LOGIC;
signal \g81__206_carry__2_n_3\ : STD_LOGIC;
signal \g81__206_carry__2_n_4\ : STD_LOGIC;
signal \g81__206_carry__2_n_5\ : STD_LOGIC;
signal \g81__206_carry__2_n_6\ : STD_LOGIC;
signal \g81__206_carry__2_n_7\ : STD_LOGIC;
signal \g81__206_carry__3_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_n_1\ : STD_LOGIC;
signal \g81__206_carry__3_n_2\ : STD_LOGIC;
signal \g81__206_carry__3_n_3\ : STD_LOGIC;
signal \g81__206_carry__3_n_4\ : STD_LOGIC;
signal \g81__206_carry__3_n_5\ : STD_LOGIC;
signal \g81__206_carry__3_n_6\ : STD_LOGIC;
signal \g81__206_carry__3_n_7\ : STD_LOGIC;
signal \g81__206_carry__4_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_n_2\ : STD_LOGIC;
signal \g81__206_carry__4_n_3\ : STD_LOGIC;
signal \g81__206_carry__4_n_5\ : STD_LOGIC;
signal \g81__206_carry__4_n_6\ : STD_LOGIC;
signal \g81__206_carry__4_n_7\ : STD_LOGIC;
signal \g81__206_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry_n_0\ : STD_LOGIC;
signal \g81__206_carry_n_1\ : STD_LOGIC;
signal \g81__206_carry_n_2\ : STD_LOGIC;
signal \g81__206_carry_n_3\ : STD_LOGIC;
signal \g81__22_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_n_1\ : STD_LOGIC;
signal \g81__22_carry__0_n_2\ : STD_LOGIC;
signal \g81__22_carry__0_n_3\ : STD_LOGIC;
signal \g81__22_carry__0_n_4\ : STD_LOGIC;
signal \g81__22_carry__0_n_5\ : STD_LOGIC;
signal \g81__22_carry__0_n_6\ : STD_LOGIC;
signal \g81__22_carry__0_n_7\ : STD_LOGIC;
signal \g81__22_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_n_1\ : STD_LOGIC;
signal \g81__22_carry__1_n_2\ : STD_LOGIC;
signal \g81__22_carry__1_n_3\ : STD_LOGIC;
signal \g81__22_carry__1_n_4\ : STD_LOGIC;
signal \g81__22_carry__1_n_5\ : STD_LOGIC;
signal \g81__22_carry__1_n_6\ : STD_LOGIC;
signal \g81__22_carry__1_n_7\ : STD_LOGIC;
signal \g81__22_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry__2_n_1\ : STD_LOGIC;
signal \g81__22_carry__2_n_3\ : STD_LOGIC;
signal \g81__22_carry__2_n_6\ : STD_LOGIC;
signal \g81__22_carry__2_n_7\ : STD_LOGIC;
signal \g81__22_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__22_carry_n_0\ : STD_LOGIC;
signal \g81__22_carry_n_1\ : STD_LOGIC;
signal \g81__22_carry_n_2\ : STD_LOGIC;
signal \g81__22_carry_n_3\ : STD_LOGIC;
signal \g81__22_carry_n_4\ : STD_LOGIC;
signal \g81__22_carry_n_5\ : STD_LOGIC;
signal \g81__22_carry_n_6\ : STD_LOGIC;
signal \g81__261_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_n_1\ : STD_LOGIC;
signal \g81__261_carry__0_n_2\ : STD_LOGIC;
signal \g81__261_carry__0_n_3\ : STD_LOGIC;
signal \g81__261_carry__0_n_4\ : STD_LOGIC;
signal \g81__261_carry__0_n_5\ : STD_LOGIC;
signal \g81__261_carry__0_n_6\ : STD_LOGIC;
signal \g81__261_carry__0_n_7\ : STD_LOGIC;
signal \g81__261_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_n_1\ : STD_LOGIC;
signal \g81__261_carry__1_n_2\ : STD_LOGIC;
signal \g81__261_carry__1_n_3\ : STD_LOGIC;
signal \g81__261_carry__1_n_4\ : STD_LOGIC;
signal \g81__261_carry__1_n_5\ : STD_LOGIC;
signal \g81__261_carry__1_n_6\ : STD_LOGIC;
signal \g81__261_carry__1_n_7\ : STD_LOGIC;
signal \g81__261_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry__2_n_1\ : STD_LOGIC;
signal \g81__261_carry__2_n_3\ : STD_LOGIC;
signal \g81__261_carry__2_n_6\ : STD_LOGIC;
signal \g81__261_carry__2_n_7\ : STD_LOGIC;
signal \g81__261_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__261_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__261_carry_n_0\ : STD_LOGIC;
signal \g81__261_carry_n_1\ : STD_LOGIC;
signal \g81__261_carry_n_2\ : STD_LOGIC;
signal \g81__261_carry_n_3\ : STD_LOGIC;
signal \g81__261_carry_n_4\ : STD_LOGIC;
signal \g81__261_carry_n_5\ : STD_LOGIC;
signal \g81__261_carry_n_6\ : STD_LOGIC;
signal \g81__261_carry_n_7\ : STD_LOGIC;
signal \g81__301_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_n_1\ : STD_LOGIC;
signal \g81__301_carry__0_n_2\ : STD_LOGIC;
signal \g81__301_carry__0_n_3\ : STD_LOGIC;
signal \g81__301_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_9_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_n_1\ : STD_LOGIC;
signal \g81__301_carry__1_n_2\ : STD_LOGIC;
signal \g81__301_carry__1_n_3\ : STD_LOGIC;
signal \g81__301_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_n_1\ : STD_LOGIC;
signal \g81__301_carry__2_n_2\ : STD_LOGIC;
signal \g81__301_carry__2_n_3\ : STD_LOGIC;
signal \g81__301_carry__3_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_n_1\ : STD_LOGIC;
signal \g81__301_carry__3_n_2\ : STD_LOGIC;
signal \g81__301_carry__3_n_3\ : STD_LOGIC;
signal \g81__301_carry__4_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_n_1\ : STD_LOGIC;
signal \g81__301_carry__4_n_2\ : STD_LOGIC;
signal \g81__301_carry__4_n_3\ : STD_LOGIC;
signal \g81__301_carry__5_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_n_1\ : STD_LOGIC;
signal \g81__301_carry__5_n_2\ : STD_LOGIC;
signal \g81__301_carry__5_n_3\ : STD_LOGIC;
signal \g81__301_carry__6_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_n_1\ : STD_LOGIC;
signal \g81__301_carry__6_n_2\ : STD_LOGIC;
signal \g81__301_carry__6_n_3\ : STD_LOGIC;
signal \g81__301_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry_n_0\ : STD_LOGIC;
signal \g81__301_carry_n_1\ : STD_LOGIC;
signal \g81__301_carry_n_2\ : STD_LOGIC;
signal \g81__301_carry_n_3\ : STD_LOGIC;
signal \g81__347_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_n_1\ : STD_LOGIC;
signal \g81__347_carry__0_n_2\ : STD_LOGIC;
signal \g81__347_carry__0_n_3\ : STD_LOGIC;
signal \g81__347_carry__0_n_4\ : STD_LOGIC;
signal \g81__347_carry__0_n_5\ : STD_LOGIC;
signal \g81__347_carry__0_n_6\ : STD_LOGIC;
signal \g81__347_carry__0_n_7\ : STD_LOGIC;
signal \g81__347_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__347_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__347_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__347_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__347_carry_n_0\ : STD_LOGIC;
signal \g81__347_carry_n_1\ : STD_LOGIC;
signal \g81__347_carry_n_2\ : STD_LOGIC;
signal \g81__347_carry_n_3\ : STD_LOGIC;
signal \g81__347_carry_n_4\ : STD_LOGIC;
signal \g81__347_carry_n_5\ : STD_LOGIC;
signal \g81__347_carry_n_6\ : STD_LOGIC;
signal \g81__347_carry_n_7\ : STD_LOGIC;
signal \g81__53_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_n_1\ : STD_LOGIC;
signal \g81__53_carry__0_n_2\ : STD_LOGIC;
signal \g81__53_carry__0_n_3\ : STD_LOGIC;
signal \g81__53_carry__0_n_4\ : STD_LOGIC;
signal \g81__53_carry__0_n_5\ : STD_LOGIC;
signal \g81__53_carry__0_n_6\ : STD_LOGIC;
signal \g81__53_carry__0_n_7\ : STD_LOGIC;
signal \g81__53_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_n_1\ : STD_LOGIC;
signal \g81__53_carry__1_n_2\ : STD_LOGIC;
signal \g81__53_carry__1_n_3\ : STD_LOGIC;
signal \g81__53_carry__1_n_4\ : STD_LOGIC;
signal \g81__53_carry__1_n_5\ : STD_LOGIC;
signal \g81__53_carry__1_n_6\ : STD_LOGIC;
signal \g81__53_carry__1_n_7\ : STD_LOGIC;
signal \g81__53_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry__2_n_1\ : STD_LOGIC;
signal \g81__53_carry__2_n_3\ : STD_LOGIC;
signal \g81__53_carry__2_n_6\ : STD_LOGIC;
signal \g81__53_carry__2_n_7\ : STD_LOGIC;
signal \g81__53_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__53_carry_n_0\ : STD_LOGIC;
signal \g81__53_carry_n_1\ : STD_LOGIC;
signal \g81__53_carry_n_2\ : STD_LOGIC;
signal \g81__53_carry_n_3\ : STD_LOGIC;
signal \g81__53_carry_n_4\ : STD_LOGIC;
signal \g81__53_carry_n_5\ : STD_LOGIC;
signal \g81__53_carry_n_6\ : STD_LOGIC;
signal \g81__92_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_n_1\ : STD_LOGIC;
signal \g81__92_carry__0_n_2\ : STD_LOGIC;
signal \g81__92_carry__0_n_3\ : STD_LOGIC;
signal \g81__92_carry__0_n_4\ : STD_LOGIC;
signal \g81__92_carry__0_n_5\ : STD_LOGIC;
signal \g81__92_carry__0_n_6\ : STD_LOGIC;
signal \g81__92_carry__0_n_7\ : STD_LOGIC;
signal \g81__92_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_n_1\ : STD_LOGIC;
signal \g81__92_carry__1_n_2\ : STD_LOGIC;
signal \g81__92_carry__1_n_3\ : STD_LOGIC;
signal \g81__92_carry__1_n_4\ : STD_LOGIC;
signal \g81__92_carry__1_n_5\ : STD_LOGIC;
signal \g81__92_carry__1_n_6\ : STD_LOGIC;
signal \g81__92_carry__1_n_7\ : STD_LOGIC;
signal \g81__92_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry__2_n_1\ : STD_LOGIC;
signal \g81__92_carry__2_n_3\ : STD_LOGIC;
signal \g81__92_carry__2_n_6\ : STD_LOGIC;
signal \g81__92_carry__2_n_7\ : STD_LOGIC;
signal \g81__92_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__92_carry_n_0\ : STD_LOGIC;
signal \g81__92_carry_n_1\ : STD_LOGIC;
signal \g81__92_carry_n_2\ : STD_LOGIC;
signal \g81__92_carry_n_3\ : STD_LOGIC;
signal \g81__92_carry_n_4\ : STD_LOGIC;
signal \g81__92_carry_n_5\ : STD_LOGIC;
signal \g81__92_carry_n_6\ : STD_LOGIC;
signal \g81_carry__0_i_10_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_11_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_12_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_13_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_14_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_15_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_9_n_0\ : STD_LOGIC;
signal \g81_carry__0_n_0\ : STD_LOGIC;
signal \g81_carry__0_n_1\ : STD_LOGIC;
signal \g81_carry__0_n_2\ : STD_LOGIC;
signal \g81_carry__0_n_3\ : STD_LOGIC;
signal \g81_carry__0_n_4\ : STD_LOGIC;
signal \g81_carry__0_n_5\ : STD_LOGIC;
signal \g81_carry__0_n_6\ : STD_LOGIC;
signal \g81_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_9_n_0\ : STD_LOGIC;
signal \g81_carry__1_n_0\ : STD_LOGIC;
signal \g81_carry__1_n_1\ : STD_LOGIC;
signal \g81_carry__1_n_2\ : STD_LOGIC;
signal \g81_carry__1_n_3\ : STD_LOGIC;
signal \g81_carry__1_n_4\ : STD_LOGIC;
signal \g81_carry__1_n_5\ : STD_LOGIC;
signal \g81_carry__1_n_6\ : STD_LOGIC;
signal \g81_carry__1_n_7\ : STD_LOGIC;
signal \g81_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81_carry__2_n_1\ : STD_LOGIC;
signal \g81_carry__2_n_3\ : STD_LOGIC;
signal \g81_carry__2_n_6\ : STD_LOGIC;
signal \g81_carry__2_n_7\ : STD_LOGIC;
signal g81_carry_i_1_n_0 : STD_LOGIC;
signal g81_carry_i_2_n_0 : STD_LOGIC;
signal g81_carry_i_3_n_0 : STD_LOGIC;
signal g81_carry_i_4_n_0 : STD_LOGIC;
signal g81_carry_i_5_n_0 : STD_LOGIC;
signal g81_carry_i_6_n_0 : STD_LOGIC;
signal g81_carry_i_7_n_0 : STD_LOGIC;
signal g81_carry_n_0 : STD_LOGIC;
signal g81_carry_n_1 : STD_LOGIC;
signal g81_carry_n_2 : STD_LOGIC;
signal g81_carry_n_3 : STD_LOGIC;
signal g81_carry_n_7 : STD_LOGIC;
signal g83 : STD_LOGIC_VECTOR ( 9 downto 1 );
signal \g83__0_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_n_1\ : STD_LOGIC;
signal \g83__0_carry__0_n_2\ : STD_LOGIC;
signal \g83__0_carry__0_n_3\ : STD_LOGIC;
signal \g83__0_carry__0_n_4\ : STD_LOGIC;
signal \g83__0_carry__0_n_5\ : STD_LOGIC;
signal \g83__0_carry__0_n_6\ : STD_LOGIC;
signal \g83__0_carry__0_n_7\ : STD_LOGIC;
signal \g83__0_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g83__0_carry__1_n_2\ : STD_LOGIC;
signal \g83__0_carry__1_n_7\ : STD_LOGIC;
signal \g83__0_carry_i_1_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_2_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_3_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_4_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_5_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_6_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_7_n_0\ : STD_LOGIC;
signal \g83__0_carry_n_0\ : STD_LOGIC;
signal \g83__0_carry_n_1\ : STD_LOGIC;
signal \g83__0_carry_n_2\ : STD_LOGIC;
signal \g83__0_carry_n_3\ : STD_LOGIC;
signal \g83__0_carry_n_4\ : STD_LOGIC;
signal \g83__0_carry_n_5\ : STD_LOGIC;
signal \g83__0_carry_n_6\ : STD_LOGIC;
signal \g83__0_carry_n_7\ : STD_LOGIC;
signal g84 : STD_LOGIC;
signal \g84_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g84_carry__0_i_2_n_0\ : STD_LOGIC;
signal g84_carry_i_1_n_0 : STD_LOGIC;
signal g84_carry_i_2_n_0 : STD_LOGIC;
signal g84_carry_i_3_n_0 : STD_LOGIC;
signal g84_carry_i_4_n_0 : STD_LOGIC;
signal g84_carry_i_5_n_0 : STD_LOGIC;
signal g84_carry_i_6_n_0 : STD_LOGIC;
signal g84_carry_i_7_n_0 : STD_LOGIC;
signal g84_carry_i_8_n_0 : STD_LOGIC;
signal g84_carry_n_0 : STD_LOGIC;
signal g84_carry_n_1 : STD_LOGIC;
signal g84_carry_n_2 : STD_LOGIC;
signal g84_carry_n_3 : STD_LOGIC;
signal \NLW__carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW__carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__120_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__120_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__120_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__149_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__149_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__149_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__149_carry__4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \NLW_g81__149_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__206_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__206_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__206_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__206_carry__4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \NLW_g81__206_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__22_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__22_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__22_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__261_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__261_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__301_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__301_carry__6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__347_carry__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__53_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__53_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__53_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__92_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__92_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__92_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_g81_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g83__0_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g83__0_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_g84_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g84_carry__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g84_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute HLUTNM : string;
attribute HLUTNM of \g81__120_carry__1_i_1\ : label is "lutpair7";
attribute HLUTNM of \g81__149_carry__0_i_1\ : label is "lutpair8";
attribute HLUTNM of \g81__149_carry__0_i_2\ : label is "lutpair27";
attribute HLUTNM of \g81__149_carry__0_i_5\ : label is "lutpair9";
attribute HLUTNM of \g81__149_carry__0_i_6\ : label is "lutpair8";
attribute HLUTNM of \g81__149_carry__0_i_7\ : label is "lutpair27";
attribute HLUTNM of \g81__149_carry__1_i_1\ : label is "lutpair12";
attribute HLUTNM of \g81__149_carry__1_i_2\ : label is "lutpair11";
attribute HLUTNM of \g81__149_carry__1_i_3\ : label is "lutpair10";
attribute HLUTNM of \g81__149_carry__1_i_4\ : label is "lutpair9";
attribute HLUTNM of \g81__149_carry__1_i_5\ : label is "lutpair13";
attribute HLUTNM of \g81__149_carry__1_i_6\ : label is "lutpair12";
attribute HLUTNM of \g81__149_carry__1_i_7\ : label is "lutpair11";
attribute HLUTNM of \g81__149_carry__1_i_8\ : label is "lutpair10";
attribute HLUTNM of \g81__149_carry__2_i_1\ : label is "lutpair16";
attribute HLUTNM of \g81__149_carry__2_i_2\ : label is "lutpair15";
attribute HLUTNM of \g81__149_carry__2_i_3\ : label is "lutpair14";
attribute HLUTNM of \g81__149_carry__2_i_4\ : label is "lutpair13";
attribute HLUTNM of \g81__149_carry__2_i_5\ : label is "lutpair17";
attribute HLUTNM of \g81__149_carry__2_i_6\ : label is "lutpair16";
attribute HLUTNM of \g81__149_carry__2_i_7\ : label is "lutpair15";
attribute HLUTNM of \g81__149_carry__2_i_8\ : label is "lutpair14";
attribute HLUTNM of \g81__149_carry__3_i_1\ : label is "lutpair17";
attribute HLUTNM of \g81__206_carry__0_i_1\ : label is "lutpair18";
attribute HLUTNM of \g81__206_carry__0_i_2\ : label is "lutpair28";
attribute HLUTNM of \g81__206_carry__0_i_5\ : label is "lutpair19";
attribute HLUTNM of \g81__206_carry__0_i_6\ : label is "lutpair18";
attribute HLUTNM of \g81__206_carry__0_i_7\ : label is "lutpair28";
attribute HLUTNM of \g81__206_carry__1_i_1\ : label is "lutpair22";
attribute HLUTNM of \g81__206_carry__1_i_2\ : label is "lutpair21";
attribute HLUTNM of \g81__206_carry__1_i_3\ : label is "lutpair20";
attribute HLUTNM of \g81__206_carry__1_i_4\ : label is "lutpair19";
attribute HLUTNM of \g81__206_carry__1_i_5\ : label is "lutpair23";
attribute HLUTNM of \g81__206_carry__1_i_6\ : label is "lutpair22";
attribute HLUTNM of \g81__206_carry__1_i_7\ : label is "lutpair21";
attribute HLUTNM of \g81__206_carry__1_i_8\ : label is "lutpair20";
attribute HLUTNM of \g81__206_carry__2_i_1\ : label is "lutpair29";
attribute HLUTNM of \g81__206_carry__2_i_4\ : label is "lutpair23";
attribute HLUTNM of \g81__206_carry__2_i_6\ : label is "lutpair29";
attribute HLUTNM of \g81__206_carry__3_i_1\ : label is "lutpair25";
attribute HLUTNM of \g81__206_carry__3_i_3\ : label is "lutpair24";
attribute HLUTNM of \g81__206_carry__3_i_6\ : label is "lutpair25";
attribute HLUTNM of \g81__206_carry__3_i_8\ : label is "lutpair24";
attribute HLUTNM of \g81__206_carry__4_i_2\ : label is "lutpair26";
attribute HLUTNM of \g81__206_carry__4_i_6\ : label is "lutpair26";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \g81_carry__0_i_10\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \g81_carry__0_i_11\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \g81_carry__0_i_12\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \g81_carry__0_i_13\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \g81_carry__0_i_14\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \g81_carry__0_i_15\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \g81_carry__0_i_9\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \g81_carry__1_i_9\ : label is "soft_lutpair3";
attribute HLUTNM of \g81_carry__2_i_2\ : label is "lutpair7";
attribute HLUTNM of \g83__0_carry__0_i_1\ : label is "lutpair6";
attribute HLUTNM of \g83__0_carry__0_i_2\ : label is "lutpair5";
attribute HLUTNM of \g83__0_carry__0_i_3\ : label is "lutpair4";
attribute HLUTNM of \g83__0_carry__0_i_4\ : label is "lutpair3";
attribute HLUTNM of \g83__0_carry__0_i_6\ : label is "lutpair6";
attribute HLUTNM of \g83__0_carry__0_i_7\ : label is "lutpair5";
attribute HLUTNM of \g83__0_carry__0_i_8\ : label is "lutpair4";
attribute HLUTNM of \g83__0_carry_i_1\ : label is "lutpair2";
attribute HLUTNM of \g83__0_carry_i_2\ : label is "lutpair1";
attribute HLUTNM of \g83__0_carry_i_3\ : label is "lutpair0";
attribute HLUTNM of \g83__0_carry_i_4\ : label is "lutpair3";
attribute HLUTNM of \g83__0_carry_i_5\ : label is "lutpair2";
attribute HLUTNM of \g83__0_carry_i_6\ : label is "lutpair1";
attribute HLUTNM of \g83__0_carry_i_7\ : label is "lutpair0";
begin
\_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \_carry_n_0\,
CO(2) => \_carry_n_1\,
CO(1) => \_carry_n_2\,
CO(0) => \_carry_n_3\,
CYINIT => \_carry_i_1_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => g83(4 downto 1),
S(3) => \_carry_i_2_n_0\,
S(2) => \_carry_i_3_n_0\,
S(1) => \_carry_i_4_n_0\,
S(0) => \_carry_i_5_n_0\
);
\_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \_carry_n_0\,
CO(3) => \_carry__0_n_0\,
CO(2) => \_carry__0_n_1\,
CO(1) => \_carry__0_n_2\,
CO(0) => \_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => g83(8 downto 5),
S(3) => \_carry__0_i_1_n_0\,
S(2) => \_carry__0_i_2_n_0\,
S(1) => \_carry__0_i_3_n_0\,
S(0) => \_carry__0_i_4_n_0\
);
\_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__1_n_7\,
O => \_carry__0_i_1_n_0\
);
\_carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_4\,
O => \_carry__0_i_2_n_0\
);
\_carry__0_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_5\,
O => \_carry__0_i_3_n_0\
);
\_carry__0_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_6\,
O => \_carry__0_i_4_n_0\
);
\_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \_carry__0_n_0\,
CO(3 downto 2) => \NLW__carry__1_CO_UNCONNECTED\(3 downto 2),
CO(1) => \_carry__1_n_2\,
CO(0) => \NLW__carry__1_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW__carry__1_O_UNCONNECTED\(3 downto 1),
O(0) => g83(9),
S(3 downto 1) => B"001",
S(0) => \_carry__1_i_1_n_0\
);
\_carry__1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__1_n_2\,
O => \_carry__1_i_1_n_0\
);
\_carry_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_7\,
O => \_carry_i_1_n_0\
);
\_carry_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_7\,
O => \_carry_i_2_n_0\
);
\_carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_4\,
O => \_carry_i_3_n_0\
);
\_carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_5\,
O => \_carry_i_4_n_0\
);
\_carry_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_6\,
O => \_carry_i_5_n_0\
);
\g81__120_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__120_carry_n_0\,
CO(2) => \g81__120_carry_n_1\,
CO(1) => \g81__120_carry_n_2\,
CO(0) => \g81__120_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__120_carry_i_1_n_0\,
DI(1) => \g81__120_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__120_carry_n_4\,
O(2) => \g81__120_carry_n_5\,
O(1) => \g81__120_carry_n_6\,
O(0) => \NLW_g81__120_carry_O_UNCONNECTED\(0),
S(3) => \g81__120_carry_i_3_n_0\,
S(2) => \g81__120_carry_i_4_n_0\,
S(1) => \g81__120_carry_i_5_n_0\,
S(0) => \g81__120_carry_i_6_n_0\
);
\g81__120_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__120_carry_n_0\,
CO(3) => \g81__120_carry__0_n_0\,
CO(2) => \g81__120_carry__0_n_1\,
CO(1) => \g81__120_carry__0_n_2\,
CO(0) => \g81__120_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__120_carry__0_n_4\,
O(2) => \g81__120_carry__0_n_5\,
O(1) => \g81__120_carry__0_n_6\,
O(0) => \g81__120_carry__0_n_7\,
S(3) => \g81__120_carry__0_i_1_n_0\,
S(2) => \g81__120_carry__0_i_2_n_0\,
S(1) => \g81__120_carry__0_i_3_n_0\,
S(0) => \g81__120_carry__0_i_4_n_0\
);
\g81__120_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__120_carry__0_i_1_n_0\
);
\g81__120_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__120_carry__0_i_2_n_0\
);
\g81__120_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__120_carry__0_i_3_n_0\
);
\g81__120_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__120_carry__0_i_4_n_0\
);
\g81__120_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__120_carry__0_n_0\,
CO(3) => \g81__120_carry__1_n_0\,
CO(2) => \g81__120_carry__1_n_1\,
CO(1) => \g81__120_carry__1_n_2\,
CO(0) => \g81__120_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__120_carry__1_n_4\,
O(2) => \g81__120_carry__1_n_5\,
O(1) => \g81__120_carry__1_n_6\,
O(0) => \g81__120_carry__1_n_7\,
S(3) => \g81__120_carry__1_i_1_n_0\,
S(2) => \g81__120_carry__1_i_2_n_0\,
S(1) => \g81__120_carry__1_i_3_n_0\,
S(0) => \g81__120_carry__1_i_4_n_0\
);
\g81__120_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"369C"
)
port map (
I0 => g84,
I1 => \g81_carry__1_i_1_n_0\,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__120_carry__1_i_1_n_0\
);
\g81__120_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__120_carry__1_i_2_n_0\
);
\g81__120_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__120_carry__1_i_3_n_0\
);
\g81__120_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__120_carry__1_i_4_n_0\
);
\g81__120_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__120_carry__1_n_0\,
CO(3) => \NLW_g81__120_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__120_carry__2_n_1\,
CO(1) => \NLW_g81__120_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__120_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__120_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__120_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__120_carry__2_n_6\,
O(0) => \g81__120_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__120_carry__2_i_2_n_0\
);
\g81__120_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__120_carry__2_i_1_n_0\
);
\g81__120_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__120_carry__2_i_2_n_0\
);
\g81__120_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__120_carry_i_1_n_0\
);
\g81__120_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__120_carry_i_2_n_0\
);
\g81__120_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__120_carry_i_3_n_0\
);
\g81__120_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__120_carry_i_4_n_0\
);
\g81__120_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__120_carry_i_5_n_0\
);
\g81__120_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__120_carry_i_6_n_0\
);
\g81__149_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__149_carry_n_0\,
CO(2) => \g81__149_carry_n_1\,
CO(1) => \g81__149_carry_n_2\,
CO(0) => \g81__149_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry_i_1_n_0\,
DI(2) => \g81__149_carry_i_2_n_0\,
DI(1) => \g81__149_carry_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => \NLW_g81__149_carry_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__149_carry_i_4_n_0\,
S(2) => \g81__149_carry_i_5_n_0\,
S(1) => \g81__149_carry_i_6_n_0\,
S(0) => \g81__149_carry_i_7_n_0\
);
\g81__149_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry_n_0\,
CO(3) => \g81__149_carry__0_n_0\,
CO(2) => \g81__149_carry__0_n_1\,
CO(1) => \g81__149_carry__0_n_2\,
CO(0) => \g81__149_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry__0_i_1_n_0\,
DI(2) => \g81__149_carry__0_i_2_n_0\,
DI(1) => \g81__149_carry__0_i_3_n_0\,
DI(0) => \g81__149_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_g81__149_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__149_carry__0_i_5_n_0\,
S(2) => \g81__149_carry__0_i_6_n_0\,
S(1) => \g81__149_carry__0_i_7_n_0\,
S(0) => \g81__149_carry__0_i_8_n_0\
);
\g81__149_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__22_carry__0_n_6\,
I2 => \g81_carry__1_n_4\,
O => \g81__149_carry__0_i_1_n_0\
);
\g81__149_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__22_carry__0_n_7\,
I1 => \g81_carry__1_n_5\,
O => \g81__149_carry__0_i_2_n_0\
);
\g81__149_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__1_n_6\,
I1 => \g81__22_carry_n_4\,
O => \g81__149_carry__0_i_3_n_0\
);
\g81__149_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__1_n_7\,
I1 => \g81__22_carry_n_5\,
O => \g81__149_carry__0_i_4_n_0\
);
\g81__149_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => \g81__22_carry__0_n_5\,
I2 => \g81_carry__2_n_7\,
I3 => \g81__149_carry__0_i_1_n_0\,
O => \g81__149_carry__0_i_5_n_0\
);
\g81__149_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__22_carry__0_n_6\,
I2 => \g81_carry__1_n_4\,
I3 => \g81__149_carry__0_i_2_n_0\,
O => \g81__149_carry__0_i_6_n_0\
);
\g81__149_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9666"
)
port map (
I0 => \g81__22_carry__0_n_7\,
I1 => \g81_carry__1_n_5\,
I2 => \g81_carry__1_n_6\,
I3 => \g81__22_carry_n_4\,
O => \g81__149_carry__0_i_7_n_0\
);
\g81__149_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__1_n_7\,
I1 => \g81__22_carry_n_5\,
I2 => \g81__22_carry_n_4\,
I3 => \g81_carry__1_n_6\,
O => \g81__149_carry__0_i_8_n_0\
);
\g81__149_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__0_n_0\,
CO(3) => \g81__149_carry__1_n_0\,
CO(2) => \g81__149_carry__1_n_1\,
CO(1) => \g81__149_carry__1_n_2\,
CO(0) => \g81__149_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry__1_i_1_n_0\,
DI(2) => \g81__149_carry__1_i_2_n_0\,
DI(1) => \g81__149_carry__1_i_3_n_0\,
DI(0) => \g81__149_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_g81__149_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__149_carry__1_i_5_n_0\,
S(2) => \g81__149_carry__1_i_6_n_0\,
S(1) => \g81__149_carry__1_i_7_n_0\,
S(0) => \g81__149_carry__1_i_8_n_0\
);
\g81__149_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry_n_4\,
I1 => \g81__22_carry__1_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__1_i_1_n_0\
);
\g81__149_carry__1_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__53_carry_n_5\,
I1 => \g81__22_carry__1_n_7\,
I2 => \g81_carry__2_n_1\,
O => \g81__149_carry__1_i_2_n_0\
);
\g81__149_carry__1_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__53_carry_n_6\,
I1 => \g81__22_carry__0_n_4\,
I2 => \g81_carry__2_n_6\,
O => \g81__149_carry__1_i_3_n_0\
);
\g81__149_carry__1_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => \g81__22_carry__0_n_5\,
I2 => \g81_carry__2_n_7\,
O => \g81__149_carry__1_i_4_n_0\
);
\g81__149_carry__1_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_7\,
I1 => \g81__22_carry__1_n_5\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__1_i_1_n_0\,
O => \g81__149_carry__1_i_5_n_0\
);
\g81__149_carry__1_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry_n_4\,
I1 => \g81__22_carry__1_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__1_i_2_n_0\,
O => \g81__149_carry__1_i_6_n_0\
);
\g81__149_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__53_carry_n_5\,
I1 => \g81__22_carry__1_n_7\,
I2 => \g81_carry__2_n_1\,
I3 => \g81__149_carry__1_i_3_n_0\,
O => \g81__149_carry__1_i_7_n_0\
);
\g81__149_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__53_carry_n_6\,
I1 => \g81__22_carry__0_n_4\,
I2 => \g81_carry__2_n_6\,
I3 => \g81__149_carry__1_i_4_n_0\,
O => \g81__149_carry__1_i_8_n_0\
);
\g81__149_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__1_n_0\,
CO(3) => \g81__149_carry__2_n_0\,
CO(2) => \g81__149_carry__2_n_1\,
CO(1) => \g81__149_carry__2_n_2\,
CO(0) => \g81__149_carry__2_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry__2_i_1_n_0\,
DI(2) => \g81__149_carry__2_i_2_n_0\,
DI(1) => \g81__149_carry__2_i_3_n_0\,
DI(0) => \g81__149_carry__2_i_4_n_0\,
O(3) => \g81__149_carry__2_n_4\,
O(2) => \g81__149_carry__2_n_5\,
O(1) => \g81__149_carry__2_n_6\,
O(0) => \g81__149_carry__2_n_7\,
S(3) => \g81__149_carry__2_i_5_n_0\,
S(2) => \g81__149_carry__2_i_6_n_0\,
S(1) => \g81__149_carry__2_i_7_n_0\,
S(0) => \g81__149_carry__2_i_8_n_0\
);
\g81__149_carry__2_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_4\,
I1 => \g81__22_carry__2_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_1_n_0\
);
\g81__149_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_5\,
I1 => \g81__22_carry__2_n_7\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_2_n_0\
);
\g81__149_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_6\,
I1 => \g81__22_carry__1_n_4\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_3_n_0\
);
\g81__149_carry__2_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_7\,
I1 => \g81__22_carry__1_n_5\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_4_n_0\
);
\g81__149_carry__2_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__1_n_7\,
I1 => \g81__22_carry__2_n_1\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_1_n_0\,
O => \g81__149_carry__2_i_5_n_0\
);
\g81__149_carry__2_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_4\,
I1 => \g81__22_carry__2_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_2_n_0\,
O => \g81__149_carry__2_i_6_n_0\
);
\g81__149_carry__2_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_5\,
I1 => \g81__22_carry__2_n_7\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_3_n_0\,
O => \g81__149_carry__2_i_7_n_0\
);
\g81__149_carry__2_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_6\,
I1 => \g81__22_carry__1_n_4\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_4_n_0\,
O => \g81__149_carry__2_i_8_n_0\
);
\g81__149_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__2_n_0\,
CO(3) => \g81__149_carry__3_n_0\,
CO(2) => \g81__149_carry__3_n_1\,
CO(1) => \g81__149_carry__3_n_2\,
CO(0) => \g81__149_carry__3_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__2_i_2_n_0\,
DI(2) => \g81_carry__2_i_2_n_0\,
DI(1) => \g81_carry__2_i_2_n_0\,
DI(0) => \g81__149_carry__3_i_1_n_0\,
O(3) => \g81__149_carry__3_n_4\,
O(2) => \g81__149_carry__3_n_5\,
O(1) => \g81__149_carry__3_n_6\,
O(0) => \g81__149_carry__3_n_7\,
S(3) => \g81__149_carry__3_i_2_n_0\,
S(2) => \g81__149_carry__3_i_3_n_0\,
S(1) => \g81__149_carry__3_i_4_n_0\,
S(0) => \g81__149_carry__3_i_5_n_0\
);
\g81__149_carry__3_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__1_n_7\,
I1 => \g81__22_carry__2_n_1\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__3_i_1_n_0\
);
\g81__149_carry__3_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__2_n_7\,
O => \g81__149_carry__3_i_2_n_0\
);
\g81__149_carry__3_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__1_n_4\,
O => \g81__149_carry__3_i_3_n_0\
);
\g81__149_carry__3_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__1_n_5\,
O => \g81__149_carry__3_i_4_n_0\
);
\g81__149_carry__3_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__149_carry__3_i_1_n_0\,
I1 => \g81__53_carry__1_n_6\,
O => \g81__149_carry__3_i_5_n_0\
);
\g81__149_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__3_n_0\,
CO(3) => \g81__149_carry__4_n_0\,
CO(2) => \NLW_g81__149_carry__4_CO_UNCONNECTED\(2),
CO(1) => \g81__149_carry__4_n_2\,
CO(0) => \g81__149_carry__4_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \g81__149_carry__4_i_1_n_0\,
DI(1) => \g81_carry__2_i_2_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3) => \NLW_g81__149_carry__4_O_UNCONNECTED\(3),
O(2) => \g81__149_carry__4_n_5\,
O(1) => \g81__149_carry__4_n_6\,
O(0) => \g81__149_carry__4_n_7\,
S(3 downto 2) => B"10",
S(1) => \g81__149_carry__4_i_2_n_0\,
S(0) => \g81__149_carry__4_i_3_n_0\
);
\g81__149_carry__4_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__149_carry__4_i_1_n_0\
);
\g81__149_carry__4_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__2_n_1\,
O => \g81__149_carry__4_i_2_n_0\
);
\g81__149_carry__4_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__2_n_6\,
O => \g81__149_carry__4_i_3_n_0\
);
\g81__149_carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__0_n_4\,
I1 => \g81__22_carry_n_6\,
O => \g81__149_carry_i_1_n_0\
);
\g81__149_carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__0_n_5\,
I1 => \g81_carry__0_i_11_n_0\,
O => \g81__149_carry_i_2_n_0\
);
\g81__149_carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__0_n_6\,
I1 => \g83__0_carry_n_7\,
O => \g81__149_carry_i_3_n_0\
);
\g81__149_carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__0_n_4\,
I1 => \g81__22_carry_n_6\,
I2 => \g81__22_carry_n_5\,
I3 => \g81_carry__1_n_7\,
O => \g81__149_carry_i_4_n_0\
);
\g81__149_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__0_n_5\,
I1 => \g81_carry__0_i_11_n_0\,
I2 => \g81__22_carry_n_6\,
I3 => \g81_carry__0_n_4\,
O => \g81__149_carry_i_5_n_0\
);
\g81__149_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__0_n_6\,
I1 => \g83__0_carry_n_7\,
I2 => \g81_carry__0_i_11_n_0\,
I3 => \g81_carry__0_n_5\,
O => \g81__149_carry_i_6_n_0\
);
\g81__149_carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__0_n_6\,
I1 => \g83__0_carry_n_7\,
O => \g81__149_carry_i_7_n_0\
);
\g81__206_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__206_carry_n_0\,
CO(2) => \g81__206_carry_n_1\,
CO(1) => \g81__206_carry_n_2\,
CO(0) => \g81__206_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry_i_1_n_0\,
DI(2) => \g81__206_carry_i_2_n_0\,
DI(1) => \g81__206_carry_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => \NLW_g81__206_carry_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__206_carry_i_4_n_0\,
S(2) => \g81__206_carry_i_5_n_0\,
S(1) => \g81__206_carry_i_6_n_0\,
S(0) => \g81__206_carry_i_7_n_0\
);
\g81__206_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry_n_0\,
CO(3) => \g81__206_carry__0_n_0\,
CO(2) => \g81__206_carry__0_n_1\,
CO(1) => \g81__206_carry__0_n_2\,
CO(0) => \g81__206_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__0_i_1_n_0\,
DI(2) => \g81__206_carry__0_i_2_n_0\,
DI(1) => \g81__206_carry__0_i_3_n_0\,
DI(0) => \g81__206_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_g81__206_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__206_carry__0_i_5_n_0\,
S(2) => \g81__206_carry__0_i_6_n_0\,
S(1) => \g81__206_carry__0_i_7_n_0\,
S(0) => \g81__206_carry__0_i_8_n_0\
);
\g81__206_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__3_n_5\,
I1 => \g83__0_carry_n_7\,
I2 => \g81__92_carry__0_n_6\,
O => \g81__206_carry__0_i_1_n_0\
);
\g81__206_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__149_carry__3_n_6\,
I1 => \g81__92_carry__0_n_7\,
O => \g81__206_carry__0_i_2_n_0\
);
\g81__206_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry_n_4\,
I1 => \g81__149_carry__3_n_7\,
O => \g81__206_carry__0_i_3_n_0\
);
\g81__206_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry_n_5\,
I1 => \g81__149_carry__2_n_4\,
O => \g81__206_carry__0_i_4_n_0\
);
\g81__206_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__3_n_4\,
I1 => \g81_carry__0_i_11_n_0\,
I2 => \g81__92_carry__0_n_5\,
I3 => \g81__206_carry__0_i_1_n_0\,
O => \g81__206_carry__0_i_5_n_0\
);
\g81__206_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__3_n_5\,
I1 => \g83__0_carry_n_7\,
I2 => \g81__92_carry__0_n_6\,
I3 => \g81__206_carry__0_i_2_n_0\,
O => \g81__206_carry__0_i_6_n_0\
);
\g81__206_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9666"
)
port map (
I0 => \g81__149_carry__3_n_6\,
I1 => \g81__92_carry__0_n_7\,
I2 => \g81__92_carry_n_4\,
I3 => \g81__149_carry__3_n_7\,
O => \g81__206_carry__0_i_7_n_0\
);
\g81__206_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81__92_carry_n_5\,
I1 => \g81__149_carry__2_n_4\,
I2 => \g81__149_carry__3_n_7\,
I3 => \g81__92_carry_n_4\,
O => \g81__206_carry__0_i_8_n_0\
);
\g81__206_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__0_n_0\,
CO(3) => \g81__206_carry__1_n_0\,
CO(2) => \g81__206_carry__1_n_1\,
CO(1) => \g81__206_carry__1_n_2\,
CO(0) => \g81__206_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__1_i_1_n_0\,
DI(2) => \g81__206_carry__1_i_2_n_0\,
DI(1) => \g81__206_carry__1_i_3_n_0\,
DI(0) => \g81__206_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_g81__206_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__206_carry__1_i_5_n_0\,
S(2) => \g81__206_carry__1_i_6_n_0\,
S(1) => \g81__206_carry__1_i_7_n_0\,
S(0) => \g81__206_carry__1_i_8_n_0\
);
\g81__206_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_5\,
I1 => \g81__120_carry_n_4\,
I2 => \g81__92_carry__1_n_6\,
O => \g81__206_carry__1_i_1_n_0\
);
\g81__206_carry__1_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_6\,
I1 => \g81__120_carry_n_5\,
I2 => \g81__92_carry__1_n_7\,
O => \g81__206_carry__1_i_2_n_0\
);
\g81__206_carry__1_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_7\,
I1 => \g81__120_carry_n_6\,
I2 => \g81__92_carry__0_n_4\,
O => \g81__206_carry__1_i_3_n_0\
);
\g81__206_carry__1_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__3_n_4\,
I1 => \g81_carry__0_i_11_n_0\,
I2 => \g81__92_carry__0_n_5\,
O => \g81__206_carry__1_i_4_n_0\
);
\g81__206_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_0\,
I1 => \g81__120_carry__0_n_7\,
I2 => \g81__92_carry__1_n_5\,
I3 => \g81__206_carry__1_i_1_n_0\,
O => \g81__206_carry__1_i_5_n_0\
);
\g81__206_carry__1_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_5\,
I1 => \g81__120_carry_n_4\,
I2 => \g81__92_carry__1_n_6\,
I3 => \g81__206_carry__1_i_2_n_0\,
O => \g81__206_carry__1_i_6_n_0\
);
\g81__206_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_6\,
I1 => \g81__120_carry_n_5\,
I2 => \g81__92_carry__1_n_7\,
I3 => \g81__206_carry__1_i_3_n_0\,
O => \g81__206_carry__1_i_7_n_0\
);
\g81__206_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_7\,
I1 => \g81__120_carry_n_6\,
I2 => \g81__92_carry__0_n_4\,
I3 => \g81__206_carry__1_i_4_n_0\,
O => \g81__206_carry__1_i_8_n_0\
);
\g81__206_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__1_n_0\,
CO(3) => \g81__206_carry__2_n_0\,
CO(2) => \g81__206_carry__2_n_1\,
CO(1) => \g81__206_carry__2_n_2\,
CO(0) => \g81__206_carry__2_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__2_i_1_n_0\,
DI(2) => \g81__206_carry__2_i_2_n_0\,
DI(1) => \g81__206_carry__2_i_3_n_0\,
DI(0) => \g81__206_carry__2_i_4_n_0\,
O(3) => \g81__206_carry__2_n_4\,
O(2) => \g81__206_carry__2_n_5\,
O(1) => \g81__206_carry__2_n_6\,
O(0) => \g81__206_carry__2_n_7\,
S(3) => \g81__206_carry__2_i_5_n_0\,
S(2) => \g81__206_carry__2_i_6_n_0\,
S(1) => \g81__206_carry__2_i_7_n_0\,
S(0) => \g81__206_carry__2_i_8_n_0\
);
\g81__206_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__120_carry__0_n_4\,
I1 => \g81__92_carry__2_n_6\,
O => \g81__206_carry__2_i_1_n_0\
);
\g81__206_carry__2_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry__2_n_7\,
I1 => \g81__120_carry__0_n_5\,
O => \g81__206_carry__2_i_2_n_0\
);
\g81__206_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"F110"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__120_carry__0_n_6\,
I3 => \g81__92_carry__1_n_4\,
O => \g81__206_carry__2_i_3_n_0\
);
\g81__206_carry__2_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_0\,
I1 => \g81__120_carry__0_n_7\,
I2 => \g81__92_carry__1_n_5\,
O => \g81__206_carry__2_i_4_n_0\
);
\g81__206_carry__2_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__206_carry__2_i_1_n_0\,
I1 => \g81__120_carry__1_n_7\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__92_carry__2_n_1\,
O => \g81__206_carry__2_i_5_n_0\
);
\g81__206_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9666"
)
port map (
I0 => \g81__120_carry__0_n_4\,
I1 => \g81__92_carry__2_n_6\,
I2 => \g81__92_carry__2_n_7\,
I3 => \g81__120_carry__0_n_5\,
O => \g81__206_carry__2_i_6_n_0\
);
\g81__206_carry__2_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"888E77717771888E"
)
port map (
I0 => \g81__92_carry__1_n_4\,
I1 => \g81__120_carry__0_n_6\,
I2 => g84,
I3 => \_carry__1_n_2\,
I4 => \g81__120_carry__0_n_5\,
I5 => \g81__92_carry__2_n_7\,
O => \g81__206_carry__2_i_7_n_0\
);
\g81__206_carry__2_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__206_carry__2_i_4_n_0\,
I1 => \g81__120_carry__0_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__92_carry__1_n_4\,
O => \g81__206_carry__2_i_8_n_0\
);
\g81__206_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__2_n_0\,
CO(3) => \g81__206_carry__3_n_0\,
CO(2) => \g81__206_carry__3_n_1\,
CO(1) => \g81__206_carry__3_n_2\,
CO(0) => \g81__206_carry__3_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__3_i_1_n_0\,
DI(2) => \g81__206_carry__3_i_2_n_0\,
DI(1) => \g81__206_carry__3_i_3_n_0\,
DI(0) => \g81__206_carry__3_i_4_n_0\,
O(3) => \g81__206_carry__3_n_4\,
O(2) => \g81__206_carry__3_n_5\,
O(1) => \g81__206_carry__3_n_6\,
O(0) => \g81__206_carry__3_n_7\,
S(3) => \g81__206_carry__3_i_5_n_0\,
S(2) => \g81__206_carry__3_i_6_n_0\,
S(1) => \g81__206_carry__3_i_7_n_0\,
S(0) => \g81__206_carry__3_i_8_n_0\
);
\g81__206_carry__3_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \g81__120_carry__1_n_4\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__206_carry__3_i_1_n_0\
);
\g81__206_carry__3_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__3_i_2_n_0\
);
\g81__206_carry__3_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \g81__120_carry__1_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__206_carry__3_i_3_n_0\
);
\g81__206_carry__3_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"F110"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__120_carry__1_n_7\,
I3 => \g81__92_carry__2_n_1\,
O => \g81__206_carry__3_i_4_n_0\
);
\g81__206_carry__3_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__206_carry__3_i_1_n_0\,
I1 => \g81__120_carry__2_n_7\,
O => \g81__206_carry__3_i_5_n_0\
);
\g81__206_carry__3_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__120_carry__1_n_4\,
O => \g81__206_carry__3_i_6_n_0\
);
\g81__206_carry__3_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__206_carry__3_i_3_n_0\,
I1 => \g81__120_carry__1_n_5\,
O => \g81__206_carry__3_i_7_n_0\
);
\g81__206_carry__3_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"56AAAAA9"
)
port map (
I0 => \g81__120_carry__1_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
I3 => \g81__92_carry__2_n_1\,
I4 => \g81__120_carry__1_n_7\,
O => \g81__206_carry__3_i_8_n_0\
);
\g81__206_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__3_n_0\,
CO(3) => \g81__206_carry__4_n_0\,
CO(2) => \NLW_g81__206_carry__4_CO_UNCONNECTED\(2),
CO(1) => \g81__206_carry__4_n_2\,
CO(0) => \g81__206_carry__4_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \g81__206_carry__4_i_1_n_0\,
DI(1) => \g81__206_carry__4_i_2_n_0\,
DI(0) => \g81__206_carry__4_i_3_n_0\,
O(3) => \NLW_g81__206_carry__4_O_UNCONNECTED\(3),
O(2) => \g81__206_carry__4_n_5\,
O(1) => \g81__206_carry__4_n_6\,
O(0) => \g81__206_carry__4_n_7\,
S(3) => '1',
S(2) => \g81__206_carry__4_i_4_n_0\,
S(1) => \g81__206_carry__4_i_5_n_0\,
S(0) => \g81__206_carry__4_i_6_n_0\
);
\g81__206_carry__4_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__4_i_1_n_0\
);
\g81__206_carry__4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \g81__120_carry__2_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__206_carry__4_i_2_n_0\
);
\g81__206_carry__4_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__4_i_3_n_0\
);
\g81__206_carry__4_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__4_i_4_n_0\
);
\g81__206_carry__4_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__206_carry__4_i_2_n_0\,
I1 => \g81__120_carry__2_n_1\,
O => \g81__206_carry__4_i_5_n_0\
);
\g81__206_carry__4_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__120_carry__2_n_6\,
O => \g81__206_carry__4_i_6_n_0\
);
\g81__206_carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry_n_6\,
I1 => \g81__149_carry__2_n_5\,
O => \g81__206_carry_i_1_n_0\
);
\g81__206_carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => g81_carry_n_7,
I1 => \g81__149_carry__2_n_6\,
O => \g81__206_carry_i_2_n_0\
);
\g81__206_carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__149_carry__2_n_7\,
O => \g81__206_carry_i_3_n_0\
);
\g81__206_carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81__92_carry_n_6\,
I1 => \g81__149_carry__2_n_5\,
I2 => \g81__149_carry__2_n_4\,
I3 => \g81__92_carry_n_5\,
O => \g81__206_carry_i_4_n_0\
);
\g81__206_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => g81_carry_n_7,
I1 => \g81__149_carry__2_n_6\,
I2 => \g81__149_carry__2_n_5\,
I3 => \g81__92_carry_n_6\,
O => \g81__206_carry_i_5_n_0\
);
\g81__206_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__149_carry__2_n_7\,
I2 => \g81__149_carry__2_n_6\,
I3 => g81_carry_n_7,
O => \g81__206_carry_i_6_n_0\
);
\g81__206_carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__149_carry__2_n_7\,
O => \g81__206_carry_i_7_n_0\
);
\g81__22_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__22_carry_n_0\,
CO(2) => \g81__22_carry_n_1\,
CO(1) => \g81__22_carry_n_2\,
CO(0) => \g81__22_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__22_carry_i_1_n_0\,
DI(1) => \g81__22_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__22_carry_n_4\,
O(2) => \g81__22_carry_n_5\,
O(1) => \g81__22_carry_n_6\,
O(0) => \NLW_g81__22_carry_O_UNCONNECTED\(0),
S(3) => \g81__22_carry_i_3_n_0\,
S(2) => \g81__22_carry_i_4_n_0\,
S(1) => \g81__22_carry_i_5_n_0\,
S(0) => \g81__22_carry_i_6_n_0\
);
\g81__22_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__22_carry_n_0\,
CO(3) => \g81__22_carry__0_n_0\,
CO(2) => \g81__22_carry__0_n_1\,
CO(1) => \g81__22_carry__0_n_2\,
CO(0) => \g81__22_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__22_carry__0_n_4\,
O(2) => \g81__22_carry__0_n_5\,
O(1) => \g81__22_carry__0_n_6\,
O(0) => \g81__22_carry__0_n_7\,
S(3) => \g81__22_carry__0_i_1_n_0\,
S(2) => \g81__22_carry__0_i_2_n_0\,
S(1) => \g81__22_carry__0_i_3_n_0\,
S(0) => \g81__22_carry__0_i_4_n_0\
);
\g81__22_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__22_carry__0_i_1_n_0\
);
\g81__22_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__22_carry__0_i_2_n_0\
);
\g81__22_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__22_carry__0_i_3_n_0\
);
\g81__22_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__22_carry__0_i_4_n_0\
);
\g81__22_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__22_carry__0_n_0\,
CO(3) => \g81__22_carry__1_n_0\,
CO(2) => \g81__22_carry__1_n_1\,
CO(1) => \g81__22_carry__1_n_2\,
CO(0) => \g81__22_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__22_carry__1_n_4\,
O(2) => \g81__22_carry__1_n_5\,
O(1) => \g81__22_carry__1_n_6\,
O(0) => \g81__22_carry__1_n_7\,
S(3) => \g81__22_carry__1_i_1_n_0\,
S(2) => \g81__22_carry__1_i_2_n_0\,
S(1) => \g81__22_carry__1_i_3_n_0\,
S(0) => \g81__22_carry__1_i_4_n_0\
);
\g81__22_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__22_carry__1_i_1_n_0\
);
\g81__22_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__22_carry__1_i_2_n_0\
);
\g81__22_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__22_carry__1_i_3_n_0\
);
\g81__22_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__22_carry__1_i_4_n_0\
);
\g81__22_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__22_carry__1_n_0\,
CO(3) => \NLW_g81__22_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__22_carry__2_n_1\,
CO(1) => \NLW_g81__22_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__22_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__22_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__22_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__22_carry__2_n_6\,
O(0) => \g81__22_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__22_carry__2_i_2_n_0\
);
\g81__22_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__22_carry__2_i_1_n_0\
);
\g81__22_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__22_carry__2_i_2_n_0\
);
\g81__22_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__22_carry_i_1_n_0\
);
\g81__22_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__22_carry_i_2_n_0\
);
\g81__22_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__22_carry_i_3_n_0\
);
\g81__22_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__22_carry_i_4_n_0\
);
\g81__22_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__22_carry_i_5_n_0\
);
\g81__22_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__22_carry_i_6_n_0\
);
\g81__261_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__261_carry_n_0\,
CO(2) => \g81__261_carry_n_1\,
CO(1) => \g81__261_carry_n_2\,
CO(0) => \g81__261_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__2_n_6\,
DI(2) => \g81__206_carry__2_n_7\,
DI(1 downto 0) => B"01",
O(3) => \g81__261_carry_n_4\,
O(2) => \g81__261_carry_n_5\,
O(1) => \g81__261_carry_n_6\,
O(0) => \g81__261_carry_n_7\,
S(3) => \g81__261_carry_i_1_n_0\,
S(2) => \g81__261_carry_i_2_n_0\,
S(1) => \g81__261_carry_i_3_n_0\,
S(0) => \g81__261_carry_i_4_n_0\
);
\g81__261_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__261_carry_n_0\,
CO(3) => \g81__261_carry__0_n_0\,
CO(2) => \g81__261_carry__0_n_1\,
CO(1) => \g81__261_carry__0_n_2\,
CO(0) => \g81__261_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__3_n_6\,
DI(2) => \g81__206_carry__3_n_7\,
DI(1) => \g81__206_carry__2_n_4\,
DI(0) => \g81__206_carry__2_n_5\,
O(3) => \g81__261_carry__0_n_4\,
O(2) => \g81__261_carry__0_n_5\,
O(1) => \g81__261_carry__0_n_6\,
O(0) => \g81__261_carry__0_n_7\,
S(3) => \g81__261_carry__0_i_1_n_0\,
S(2) => \g81__261_carry__0_i_2_n_0\,
S(1) => \g81__261_carry__0_i_3_n_0\,
S(0) => \g81__261_carry__0_i_4_n_0\
);
\g81__261_carry__0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_6\,
I1 => \g81__206_carry__3_n_4\,
O => \g81__261_carry__0_i_1_n_0\
);
\g81__261_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_7\,
I1 => \g81__206_carry__3_n_5\,
O => \g81__261_carry__0_i_2_n_0\
);
\g81__261_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_4\,
I1 => \g81__206_carry__3_n_6\,
O => \g81__261_carry__0_i_3_n_0\
);
\g81__261_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_5\,
I1 => \g81__206_carry__3_n_7\,
O => \g81__261_carry__0_i_4_n_0\
);
\g81__261_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__261_carry__0_n_0\,
CO(3) => \g81__261_carry__1_n_0\,
CO(2) => \g81__261_carry__1_n_1\,
CO(1) => \g81__261_carry__1_n_2\,
CO(0) => \g81__261_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__4_n_6\,
DI(2) => \g81__206_carry__4_n_7\,
DI(1) => \g81__206_carry__3_n_4\,
DI(0) => \g81__206_carry__3_n_5\,
O(3) => \g81__261_carry__1_n_4\,
O(2) => \g81__261_carry__1_n_5\,
O(1) => \g81__261_carry__1_n_6\,
O(0) => \g81__261_carry__1_n_7\,
S(3) => \g81__261_carry__1_i_1_n_0\,
S(2) => \g81__261_carry__1_i_2_n_0\,
S(1) => \g81__261_carry__1_i_3_n_0\,
S(0) => \g81__261_carry__1_i_4_n_0\
);
\g81__261_carry__1_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__4_n_6\,
I1 => \g81__206_carry__4_n_0\,
O => \g81__261_carry__1_i_1_n_0\
);
\g81__261_carry__1_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__4_n_7\,
I1 => \g81__206_carry__4_n_5\,
O => \g81__261_carry__1_i_2_n_0\
);
\g81__261_carry__1_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_4\,
I1 => \g81__206_carry__4_n_6\,
O => \g81__261_carry__1_i_3_n_0\
);
\g81__261_carry__1_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_5\,
I1 => \g81__206_carry__4_n_7\,
O => \g81__261_carry__1_i_4_n_0\
);
\g81__261_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__261_carry__1_n_0\,
CO(3) => \NLW_g81__261_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__261_carry__2_n_1\,
CO(1) => \NLW_g81__261_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__261_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__206_carry__4_n_0\,
DI(0) => \g81__206_carry__4_n_5\,
O(3 downto 2) => \NLW_g81__261_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__261_carry__2_n_6\,
O(0) => \g81__261_carry__2_n_7\,
S(3 downto 2) => B"01",
S(1) => \g81__261_carry__2_i_1_n_0\,
S(0) => \g81__261_carry__2_i_2_n_0\
);
\g81__261_carry__2_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"56"
)
port map (
I0 => \g81__206_carry__4_n_0\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__261_carry__2_i_1_n_0\
);
\g81__261_carry__2_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g81__206_carry__4_n_5\,
O => \g81__261_carry__2_i_2_n_0\
);
\g81__261_carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_6\,
I1 => \g81__206_carry__2_n_4\,
O => \g81__261_carry_i_1_n_0\
);
\g81__261_carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_7\,
I1 => \g81__206_carry__2_n_5\,
O => \g81__261_carry_i_2_n_0\
);
\g81__261_carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g81__206_carry__2_n_6\,
O => \g81__261_carry_i_3_n_0\
);
\g81__261_carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_7\,
O => \g81__261_carry_i_4_n_0\
);
\g81__301_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__301_carry_n_0\,
CO(2) => \g81__301_carry_n_1\,
CO(1) => \g81__301_carry_n_2\,
CO(0) => \g81__301_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry_i_1_n_0\,
DI(2) => \g81__301_carry_i_2_n_0\,
DI(1) => \g81__301_carry_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => \NLW_g81__301_carry_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry_i_4_n_0\,
S(2) => \g81__301_carry_i_5_n_0\,
S(1) => \g81__301_carry_i_6_n_0\,
S(0) => \g81__301_carry_i_7_n_0\
);
\g81__301_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry_n_0\,
CO(3) => \g81__301_carry__0_n_0\,
CO(2) => \g81__301_carry__0_n_1\,
CO(1) => \g81__301_carry__0_n_2\,
CO(0) => \g81__301_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__0_i_1_n_0\,
DI(2) => \g81__301_carry__0_i_2_n_0\,
DI(1) => \g81__301_carry__0_i_3_n_0\,
DI(0) => \g81__301_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__0_i_5_n_0\,
S(2) => \g81__301_carry__0_i_6_n_0\,
S(1) => \g81__301_carry__0_i_7_n_0\,
S(0) => \g81__301_carry__0_i_8_n_0\
);
\g81__301_carry__0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_5\,
I1 => g84,
I2 => g83(6),
I3 => \g83__0_carry__0_n_5\,
O => \g81__301_carry__0_i_1_n_0\
);
\g81__301_carry__0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_6\,
I1 => g84,
I2 => g83(5),
I3 => \g83__0_carry__0_n_6\,
O => \g81__301_carry__0_i_2_n_0\
);
\g81__301_carry__0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_7\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
O => \g81__301_carry__0_i_3_n_0\
);
\g81__301_carry__0_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry_n_4\,
I1 => g84,
I2 => g83(3),
I3 => \g83__0_carry_n_4\,
O => \g81__301_carry__0_i_4_n_0\
);
\g81__301_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => g83(6),
I2 => g84,
I3 => \g81__261_carry__0_n_5\,
I4 => \g81__261_carry__0_n_4\,
I5 => \g81_carry__1_i_9_n_0\,
O => \g81__301_carry__0_i_5_n_0\
);
\g81__301_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__0_n_6\,
I1 => g83(5),
I2 => g84,
I3 => \g81__261_carry__0_n_6\,
I4 => \g81__261_carry__0_n_5\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__301_carry__0_i_6_n_0\
);
\g81__301_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => g83(4),
I2 => g84,
I3 => \g81__261_carry__0_n_7\,
I4 => \g81__261_carry__0_n_6\,
I5 => \g81_carry__0_i_14_n_0\,
O => \g81__301_carry__0_i_7_n_0\
);
\g81__301_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"B44BB44BB4B44B4B"
)
port map (
I0 => \g81_carry__0_i_9_n_0\,
I1 => \g81__261_carry_n_4\,
I2 => \g81__261_carry__0_n_7\,
I3 => \g83__0_carry__0_n_7\,
I4 => g83(4),
I5 => g84,
O => \g81__301_carry__0_i_8_n_0\
);
\g81__301_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__0_n_0\,
CO(3) => \g81__301_carry__1_n_0\,
CO(2) => \g81__301_carry__1_n_1\,
CO(1) => \g81__301_carry__1_n_2\,
CO(0) => \g81__301_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__1_i_1_n_0\,
DI(2) => \g81__301_carry__1_i_2_n_0\,
DI(1) => \g81__301_carry__1_i_3_n_0\,
DI(0) => \g81__301_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__1_i_5_n_0\,
S(2) => \g81__301_carry__1_i_6_n_0\,
S(1) => \g81__301_carry__1_i_7_n_0\,
S(0) => \g81__301_carry__1_i_8_n_0\
);
\g81__301_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__1_n_5\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__1_i_1_n_0\
);
\g81__301_carry__1_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__1_n_6\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__301_carry__1_i_2_n_0\
);
\g81__301_carry__1_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__1_n_7\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__301_carry__1_i_3_n_0\
);
\g81__301_carry__1_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_4\,
I1 => g84,
I2 => g83(7),
I3 => \g83__0_carry__0_n_4\,
O => \g81__301_carry__1_i_4_n_0\
);
\g81__301_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"999C"
)
port map (
I0 => \g81__261_carry__1_n_5\,
I1 => \g81__261_carry__1_n_4\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__1_i_5_n_0\
);
\g81__301_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"50AF30CF50AFCF30"
)
port map (
I0 => \g83__0_carry__1_n_2\,
I1 => g83(9),
I2 => \g81__261_carry__1_n_6\,
I3 => \g81__261_carry__1_n_5\,
I4 => g84,
I5 => \_carry__1_n_2\,
O => \g81__301_carry__1_i_6_n_0\
);
\g81__301_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__1_n_7\,
I1 => g83(8),
I2 => g84,
I3 => \g81__261_carry__1_n_7\,
I4 => \g81__261_carry__1_n_6\,
I5 => \g81__301_carry__1_i_9_n_0\,
O => \g81__301_carry__1_i_7_n_0\
);
\g81__301_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"B44BB44BB4B44B4B"
)
port map (
I0 => \g81_carry__1_i_9_n_0\,
I1 => \g81__261_carry__0_n_4\,
I2 => \g81__261_carry__1_n_7\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__301_carry__1_i_8_n_0\
);
\g81__301_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__1_n_2\,
I1 => g83(9),
I2 => g84,
O => \g81__301_carry__1_i_9_n_0\
);
\g81__301_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__1_n_0\,
CO(3) => \g81__301_carry__2_n_0\,
CO(2) => \g81__301_carry__2_n_1\,
CO(1) => \g81__301_carry__2_n_2\,
CO(0) => \g81__301_carry__2_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__2_i_1_n_0\,
DI(2) => \g81__301_carry__2_i_2_n_0\,
DI(1) => \g81__301_carry__2_i_3_n_0\,
DI(0) => \g81__301_carry__2_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__2_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__2_i_5_n_0\,
S(2) => \g81__301_carry__2_i_6_n_0\,
S(1) => \g81__301_carry__2_i_7_n_0\,
S(0) => \g81__301_carry__2_i_8_n_0\
);
\g81__301_carry__2_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__2_i_1_n_0\
);
\g81__301_carry__2_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__2_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__2_i_2_n_0\
);
\g81__301_carry__2_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__2_n_7\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__2_i_3_n_0\
);
\g81__301_carry__2_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__1_n_4\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__2_i_4_n_0\
);
\g81__301_carry__2_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_5_n_0\
);
\g81__301_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6663"
)
port map (
I0 => \g81__261_carry__2_n_6\,
I1 => \g81__261_carry__2_n_1\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_6_n_0\
);
\g81__301_carry__2_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"999C"
)
port map (
I0 => \g81__261_carry__2_n_7\,
I1 => \g81__261_carry__2_n_6\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_7_n_0\
);
\g81__301_carry__2_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"999C"
)
port map (
I0 => \g81__261_carry__1_n_4\,
I1 => \g81__261_carry__2_n_7\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_8_n_0\
);
\g81__301_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__2_n_0\,
CO(3) => \g81__301_carry__3_n_0\,
CO(2) => \g81__301_carry__3_n_1\,
CO(1) => \g81__301_carry__3_n_2\,
CO(0) => \g81__301_carry__3_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__3_i_1_n_0\,
DI(2) => \g81__301_carry__3_i_2_n_0\,
DI(1) => \g81__301_carry__3_i_3_n_0\,
DI(0) => \g81__301_carry__3_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__3_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__3_i_5_n_0\,
S(2) => \g81__301_carry__3_i_6_n_0\,
S(1) => \g81__301_carry__3_i_7_n_0\,
S(0) => \g81__301_carry__3_i_8_n_0\
);
\g81__301_carry__3_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_1_n_0\
);
\g81__301_carry__3_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_2_n_0\
);
\g81__301_carry__3_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_3_n_0\
);
\g81__301_carry__3_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_4_n_0\
);
\g81__301_carry__3_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_5_n_0\
);
\g81__301_carry__3_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_6_n_0\
);
\g81__301_carry__3_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_7_n_0\
);
\g81__301_carry__3_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_8_n_0\
);
\g81__301_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__3_n_0\,
CO(3) => \g81__301_carry__4_n_0\,
CO(2) => \g81__301_carry__4_n_1\,
CO(1) => \g81__301_carry__4_n_2\,
CO(0) => \g81__301_carry__4_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__4_i_1_n_0\,
DI(2) => \g81__301_carry__4_i_2_n_0\,
DI(1) => \g81__301_carry__4_i_3_n_0\,
DI(0) => \g81__301_carry__4_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__4_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__4_i_5_n_0\,
S(2) => \g81__301_carry__4_i_6_n_0\,
S(1) => \g81__301_carry__4_i_7_n_0\,
S(0) => \g81__301_carry__4_i_8_n_0\
);
\g81__301_carry__4_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_1_n_0\
);
\g81__301_carry__4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_2_n_0\
);
\g81__301_carry__4_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_3_n_0\
);
\g81__301_carry__4_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_4_n_0\
);
\g81__301_carry__4_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_5_n_0\
);
\g81__301_carry__4_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_6_n_0\
);
\g81__301_carry__4_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_7_n_0\
);
\g81__301_carry__4_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_8_n_0\
);
\g81__301_carry__5\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__4_n_0\,
CO(3) => \g81__301_carry__5_n_0\,
CO(2) => \g81__301_carry__5_n_1\,
CO(1) => \g81__301_carry__5_n_2\,
CO(0) => \g81__301_carry__5_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__5_i_1_n_0\,
DI(2) => \g81__301_carry__5_i_2_n_0\,
DI(1) => \g81__301_carry__5_i_3_n_0\,
DI(0) => \g81__301_carry__5_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__5_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__5_i_5_n_0\,
S(2) => \g81__301_carry__5_i_6_n_0\,
S(1) => \g81__301_carry__5_i_7_n_0\,
S(0) => \g81__301_carry__5_i_8_n_0\
);
\g81__301_carry__5_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_1_n_0\
);
\g81__301_carry__5_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_2_n_0\
);
\g81__301_carry__5_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_3_n_0\
);
\g81__301_carry__5_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_4_n_0\
);
\g81__301_carry__5_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_5_n_0\
);
\g81__301_carry__5_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_6_n_0\
);
\g81__301_carry__5_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_7_n_0\
);
\g81__301_carry__5_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_8_n_0\
);
\g81__301_carry__6\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__5_n_0\,
CO(3) => \NLW_g81__301_carry__6_CO_UNCONNECTED\(3),
CO(2) => \g81__301_carry__6_n_1\,
CO(1) => \g81__301_carry__6_n_2\,
CO(0) => \g81__301_carry__6_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \g81__301_carry__6_i_1_n_0\,
DI(1) => \g81__301_carry__6_i_2_n_0\,
DI(0) => \g81__301_carry__6_i_3_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__6_O_UNCONNECTED\(3 downto 0),
S(3) => '0',
S(2) => \g81__301_carry__6_i_4_n_0\,
S(1) => \g81__301_carry__6_i_5_n_0\,
S(0) => \g81__301_carry__6_i_6_n_0\
);
\g81__301_carry__6_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__6_i_1_n_0\
);
\g81__301_carry__6_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__6_i_2_n_0\
);
\g81__301_carry__6_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__6_i_3_n_0\
);
\g81__301_carry__6_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__6_i_4_n_0\
);
\g81__301_carry__6_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__6_i_5_n_0\
);
\g81__301_carry__6_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__6_i_6_n_0\
);
\g81__301_carry_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry_n_5\,
I1 => g84,
I2 => g83(2),
I3 => \g83__0_carry_n_5\,
O => \g81__301_carry_i_1_n_0\
);
\g81__301_carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABEF"
)
port map (
I0 => \g81__261_carry_n_6\,
I1 => g84,
I2 => g83(1),
I3 => \g83__0_carry_n_6\,
O => \g81__301_carry_i_2_n_0\
);
\g81__301_carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \g81__261_carry_n_7\,
I1 => \g83__0_carry_n_7\,
O => \g81__301_carry_i_3_n_0\
);
\g81__301_carry_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
I3 => \g81__261_carry_n_5\,
I4 => \g81__261_carry_n_4\,
I5 => \g81_carry__0_i_9_n_0\,
O => \g81__301_carry_i_4_n_0\
);
\g81__301_carry_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"2DD22DD22D2DD2D2"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => \g81__261_carry_n_6\,
I2 => \g81__261_carry_n_5\,
I3 => \g83__0_carry_n_5\,
I4 => g83(2),
I5 => g84,
O => \g81__301_carry_i_5_n_0\
);
\g81__301_carry_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"D22DD22DD2D22D2D"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__261_carry_n_7\,
I2 => \g81__261_carry_n_6\,
I3 => \g83__0_carry_n_6\,
I4 => g83(1),
I5 => g84,
O => \g81__301_carry_i_6_n_0\
);
\g81__301_carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__261_carry_n_7\,
O => \g81__301_carry_i_7_n_0\
);
\g81__347_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__347_carry_n_0\,
CO(2) => \g81__347_carry_n_1\,
CO(1) => \g81__347_carry_n_2\,
CO(0) => \g81__347_carry_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0001",
O(3) => \g81__347_carry_n_4\,
O(2) => \g81__347_carry_n_5\,
O(1) => \g81__347_carry_n_6\,
O(0) => \g81__347_carry_n_7\,
S(3) => \g81__347_carry_i_1_n_0\,
S(2) => \g81__347_carry_i_2_n_0\,
S(1) => \g81__347_carry_i_3_n_0\,
S(0) => \g81__347_carry_i_4_n_0\
);
\g81__347_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__347_carry_n_0\,
CO(3) => \NLW_g81__347_carry__0_CO_UNCONNECTED\(3),
CO(2) => \g81__347_carry__0_n_1\,
CO(1) => \g81__347_carry__0_n_2\,
CO(0) => \g81__347_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \g81__347_carry__0_n_4\,
O(2) => \g81__347_carry__0_n_5\,
O(1) => \g81__347_carry__0_n_6\,
O(0) => \g81__347_carry__0_n_7\,
S(3) => \g81__347_carry__0_i_1_n_0\,
S(2) => \g81__347_carry__0_i_2_n_0\,
S(1) => \g81__347_carry__0_i_3_n_0\,
S(0) => \g81__347_carry__0_i_4_n_0\
);
\g81__347_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_4\,
O => \g81__347_carry__0_i_1_n_0\
);
\g81__347_carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_5\,
O => \g81__347_carry__0_i_2_n_0\
);
\g81__347_carry__0_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_6\,
O => \g81__347_carry__0_i_3_n_0\
);
\g81__347_carry__0_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_7\,
O => \g81__347_carry__0_i_4_n_0\
);
\g81__347_carry_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_4\,
O => \g81__347_carry_i_1_n_0\
);
\g81__347_carry_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_5\,
O => \g81__347_carry_i_2_n_0\
);
\g81__347_carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_6\,
O => \g81__347_carry_i_3_n_0\
);
\g81__347_carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g81__206_carry__2_n_7\,
O => \g81__347_carry_i_4_n_0\
);
\g81__53_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__53_carry_n_0\,
CO(2) => \g81__53_carry_n_1\,
CO(1) => \g81__53_carry_n_2\,
CO(0) => \g81__53_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__53_carry_i_1_n_0\,
DI(1) => \g81__53_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__53_carry_n_4\,
O(2) => \g81__53_carry_n_5\,
O(1) => \g81__53_carry_n_6\,
O(0) => \NLW_g81__53_carry_O_UNCONNECTED\(0),
S(3) => \g81__53_carry_i_3_n_0\,
S(2) => \g81__53_carry_i_4_n_0\,
S(1) => \g81__53_carry_i_5_n_0\,
S(0) => \g81__53_carry_i_6_n_0\
);
\g81__53_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__53_carry_n_0\,
CO(3) => \g81__53_carry__0_n_0\,
CO(2) => \g81__53_carry__0_n_1\,
CO(1) => \g81__53_carry__0_n_2\,
CO(0) => \g81__53_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__53_carry__0_n_4\,
O(2) => \g81__53_carry__0_n_5\,
O(1) => \g81__53_carry__0_n_6\,
O(0) => \g81__53_carry__0_n_7\,
S(3) => \g81__53_carry__0_i_1_n_0\,
S(2) => \g81__53_carry__0_i_2_n_0\,
S(1) => \g81__53_carry__0_i_3_n_0\,
S(0) => \g81__53_carry__0_i_4_n_0\
);
\g81__53_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__53_carry__0_i_1_n_0\
);
\g81__53_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__53_carry__0_i_2_n_0\
);
\g81__53_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__53_carry__0_i_3_n_0\
);
\g81__53_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__53_carry__0_i_4_n_0\
);
\g81__53_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__53_carry__0_n_0\,
CO(3) => \g81__53_carry__1_n_0\,
CO(2) => \g81__53_carry__1_n_1\,
CO(1) => \g81__53_carry__1_n_2\,
CO(0) => \g81__53_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__53_carry__1_n_4\,
O(2) => \g81__53_carry__1_n_5\,
O(1) => \g81__53_carry__1_n_6\,
O(0) => \g81__53_carry__1_n_7\,
S(3) => \g81__53_carry__1_i_1_n_0\,
S(2) => \g81__53_carry__1_i_2_n_0\,
S(1) => \g81__53_carry__1_i_3_n_0\,
S(0) => \g81__53_carry__1_i_4_n_0\
);
\g81__53_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__53_carry__1_i_1_n_0\
);
\g81__53_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__53_carry__1_i_2_n_0\
);
\g81__53_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__53_carry__1_i_3_n_0\
);
\g81__53_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__53_carry__1_i_4_n_0\
);
\g81__53_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__53_carry__1_n_0\,
CO(3) => \NLW_g81__53_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__53_carry__2_n_1\,
CO(1) => \NLW_g81__53_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__53_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__53_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__53_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__53_carry__2_n_6\,
O(0) => \g81__53_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__53_carry__2_i_2_n_0\
);
\g81__53_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__53_carry__2_i_1_n_0\
);
\g81__53_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__53_carry__2_i_2_n_0\
);
\g81__53_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__53_carry_i_1_n_0\
);
\g81__53_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__53_carry_i_2_n_0\
);
\g81__53_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__53_carry_i_3_n_0\
);
\g81__53_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__53_carry_i_4_n_0\
);
\g81__53_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__53_carry_i_5_n_0\
);
\g81__53_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__53_carry_i_6_n_0\
);
\g81__92_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__92_carry_n_0\,
CO(2) => \g81__92_carry_n_1\,
CO(1) => \g81__92_carry_n_2\,
CO(0) => \g81__92_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__92_carry_i_1_n_0\,
DI(1) => \g81__92_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__92_carry_n_4\,
O(2) => \g81__92_carry_n_5\,
O(1) => \g81__92_carry_n_6\,
O(0) => \NLW_g81__92_carry_O_UNCONNECTED\(0),
S(3) => \g81__92_carry_i_3_n_0\,
S(2) => \g81__92_carry_i_4_n_0\,
S(1) => \g81__92_carry_i_5_n_0\,
S(0) => \g81__92_carry_i_6_n_0\
);
\g81__92_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__92_carry_n_0\,
CO(3) => \g81__92_carry__0_n_0\,
CO(2) => \g81__92_carry__0_n_1\,
CO(1) => \g81__92_carry__0_n_2\,
CO(0) => \g81__92_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__92_carry__0_n_4\,
O(2) => \g81__92_carry__0_n_5\,
O(1) => \g81__92_carry__0_n_6\,
O(0) => \g81__92_carry__0_n_7\,
S(3) => \g81__92_carry__0_i_1_n_0\,
S(2) => \g81__92_carry__0_i_2_n_0\,
S(1) => \g81__92_carry__0_i_3_n_0\,
S(0) => \g81__92_carry__0_i_4_n_0\
);
\g81__92_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__92_carry__0_i_1_n_0\
);
\g81__92_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__92_carry__0_i_2_n_0\
);
\g81__92_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__92_carry__0_i_3_n_0\
);
\g81__92_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__92_carry__0_i_4_n_0\
);
\g81__92_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__92_carry__0_n_0\,
CO(3) => \g81__92_carry__1_n_0\,
CO(2) => \g81__92_carry__1_n_1\,
CO(1) => \g81__92_carry__1_n_2\,
CO(0) => \g81__92_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__92_carry__1_n_4\,
O(2) => \g81__92_carry__1_n_5\,
O(1) => \g81__92_carry__1_n_6\,
O(0) => \g81__92_carry__1_n_7\,
S(3) => \g81__92_carry__1_i_1_n_0\,
S(2) => \g81__92_carry__1_i_2_n_0\,
S(1) => \g81__92_carry__1_i_3_n_0\,
S(0) => \g81__92_carry__1_i_4_n_0\
);
\g81__92_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__92_carry__1_i_1_n_0\
);
\g81__92_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__92_carry__1_i_2_n_0\
);
\g81__92_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__92_carry__1_i_3_n_0\
);
\g81__92_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__92_carry__1_i_4_n_0\
);
\g81__92_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__92_carry__1_n_0\,
CO(3) => \NLW_g81__92_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__92_carry__2_n_1\,
CO(1) => \NLW_g81__92_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__92_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__92_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__92_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__92_carry__2_n_6\,
O(0) => \g81__92_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__92_carry__2_i_2_n_0\
);
\g81__92_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__92_carry__2_i_1_n_0\
);
\g81__92_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__92_carry__2_i_2_n_0\
);
\g81__92_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__92_carry_i_1_n_0\
);
\g81__92_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__92_carry_i_2_n_0\
);
\g81__92_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__92_carry_i_3_n_0\
);
\g81__92_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__92_carry_i_4_n_0\
);
\g81__92_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__92_carry_i_5_n_0\
);
\g81__92_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__92_carry_i_6_n_0\
);
g81_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => g81_carry_n_0,
CO(2) => g81_carry_n_1,
CO(1) => g81_carry_n_2,
CO(0) => g81_carry_n_3,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => g81_carry_i_2_n_0,
DI(1) => g81_carry_i_3_n_0,
DI(0) => '0',
O(3 downto 1) => NLW_g81_carry_O_UNCONNECTED(3 downto 1),
O(0) => g81_carry_n_7,
S(3) => g81_carry_i_4_n_0,
S(2) => g81_carry_i_5_n_0,
S(1) => g81_carry_i_6_n_0,
S(0) => g81_carry_i_7_n_0
);
\g81_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => g81_carry_n_0,
CO(3) => \g81_carry__0_n_0\,
CO(2) => \g81_carry__0_n_1\,
CO(1) => \g81_carry__0_n_2\,
CO(0) => \g81_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81_carry__0_n_4\,
O(2) => \g81_carry__0_n_5\,
O(1) => \g81_carry__0_n_6\,
O(0) => \NLW_g81_carry__0_O_UNCONNECTED\(0),
S(3) => \g81_carry__0_i_5_n_0\,
S(2) => \g81_carry__0_i_6_n_0\,
S(1) => \g81_carry__0_i_7_n_0\,
S(0) => \g81_carry__0_i_8_n_0\
);
\g81_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEBAECA8BA32A820"
)
port map (
I0 => \g81_carry__0_i_9_n_0\,
I1 => g84,
I2 => g83(5),
I3 => \g83__0_carry__0_n_6\,
I4 => g83(7),
I5 => \g83__0_carry__0_n_4\,
O => \g81_carry__0_i_1_n_0\
);
\g81_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81_carry__0_i_10_n_0\
);
\g81_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81_carry__0_i_11_n_0\
);
\g81_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => g83(6),
I2 => g84,
O => \g81_carry__0_i_12_n_0\
);
\g81_carry__0_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => g83(4),
I2 => g84,
O => \g81_carry__0_i_13_n_0\
);
\g81_carry__0_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_6\,
I1 => g83(5),
I2 => g84,
O => \g81_carry__0_i_14_n_0\
);
\g81_carry__0_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81_carry__0_i_15_n_0\
);
\g81_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEBAECA8BA32A820"
)
port map (
I0 => \g81_carry__0_i_10_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => g83(6),
I5 => \g83__0_carry__0_n_5\,
O => \g81_carry__0_i_2_n_0\
);
\g81_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEBAECA8BA32A820"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => g84,
I2 => g83(3),
I3 => \g83__0_carry_n_4\,
I4 => g83(5),
I5 => \g83__0_carry__0_n_6\,
O => \g81_carry__0_i_3_n_0\
);
\g81_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"C33CC33CA5A55A5A"
)
port map (
I0 => g83(5),
I1 => \g83__0_carry__0_n_6\,
I2 => \g81_carry__0_i_11_n_0\,
I3 => \g83__0_carry_n_4\,
I4 => g83(3),
I5 => g84,
O => \g81_carry__0_i_4_n_0\
);
\g81_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81_carry__0_i_5_n_0\
);
\g81_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81_carry__0_i_6_n_0\
);
\g81_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81_carry__0_i_7_n_0\
);
\g81_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81_carry__0_i_8_n_0\
);
\g81_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81_carry__0_i_9_n_0\
);
\g81_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81_carry__0_n_0\,
CO(3) => \g81_carry__1_n_0\,
CO(2) => \g81_carry__1_n_1\,
CO(1) => \g81_carry__1_n_2\,
CO(0) => \g81_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81_carry__1_n_4\,
O(2) => \g81_carry__1_n_5\,
O(1) => \g81_carry__1_n_6\,
O(0) => \g81_carry__1_n_7\,
S(3) => \g81_carry__1_i_5_n_0\,
S(2) => \g81_carry__1_i_6_n_0\,
S(1) => \g81_carry__1_i_7_n_0\,
S(0) => \g81_carry__1_i_8_n_0\
);
\g81_carry__1_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CAC00A00CFCA0F0A"
)
port map (
I0 => g83(7),
I1 => \g83__0_carry__0_n_4\,
I2 => g84,
I3 => g83(9),
I4 => \g83__0_carry__1_n_2\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_1_n_0\
);
\g81_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CAC00A00CFCA0F0A"
)
port map (
I0 => g83(6),
I1 => \g83__0_carry__0_n_5\,
I2 => g84,
I3 => g83(8),
I4 => \g83__0_carry__1_n_7\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_2_n_0\
);
\g81_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE4EEA0F544E400"
)
port map (
I0 => g84,
I1 => g83(5),
I2 => \g83__0_carry__0_n_6\,
I3 => \g81_carry__1_i_9_n_0\,
I4 => g83(9),
I5 => \g83__0_carry__1_n_2\,
O => \g81_carry__1_i_3_n_0\
);
\g81_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE4EEA0F544E400"
)
port map (
I0 => g84,
I1 => g83(4),
I2 => \g83__0_carry__0_n_7\,
I3 => \g81_carry__0_i_12_n_0\,
I4 => g83(8),
I5 => \g83__0_carry__1_n_7\,
O => \g81_carry__1_i_4_n_0\
);
\g81_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81_carry__1_i_5_n_0\
);
\g81_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_6_n_0\
);
\g81_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_7_n_0\
);
\g81_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81_carry__1_i_8_n_0\
);
\g81_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_4\,
I1 => g83(7),
I2 => g84,
O => \g81_carry__1_i_9_n_0\
);
\g81_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81_carry__1_n_0\,
CO(3) => \NLW_g81_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81_carry__2_n_1\,
CO(1) => \NLW_g81_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81_carry__2_n_6\,
O(0) => \g81_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81_carry__2_i_3_n_0\
);
\g81_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81_carry__2_i_1_n_0\
);
\g81_carry__2_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81_carry__2_i_2_n_0\
);
\g81_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81_carry__2_i_3_n_0\
);
g81_carry_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => g81_carry_i_1_n_0
);
g81_carry_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => g81_carry_i_2_n_0
);
g81_carry_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => g81_carry_i_3_n_0
);
g81_carry_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => g81_carry_i_4_n_0
);
g81_carry_i_5: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => g81_carry_i_5_n_0
);
g81_carry_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => g81_carry_i_6_n_0
);
g81_carry_i_7: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => g81_carry_i_7_n_0
);
\g83__0_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g83__0_carry_n_0\,
CO(2) => \g83__0_carry_n_1\,
CO(1) => \g83__0_carry_n_2\,
CO(0) => \g83__0_carry_n_3\,
CYINIT => '0',
DI(3) => \g83__0_carry_i_1_n_0\,
DI(2) => \g83__0_carry_i_2_n_0\,
DI(1) => \g83__0_carry_i_3_n_0\,
DI(0) => '0',
O(3) => \g83__0_carry_n_4\,
O(2) => \g83__0_carry_n_5\,
O(1) => \g83__0_carry_n_6\,
O(0) => \g83__0_carry_n_7\,
S(3) => \g83__0_carry_i_4_n_0\,
S(2) => \g83__0_carry_i_5_n_0\,
S(1) => \g83__0_carry_i_6_n_0\,
S(0) => \g83__0_carry_i_7_n_0\
);
\g83__0_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g83__0_carry_n_0\,
CO(3) => \g83__0_carry__0_n_0\,
CO(2) => \g83__0_carry__0_n_1\,
CO(1) => \g83__0_carry__0_n_2\,
CO(0) => \g83__0_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g83__0_carry__0_i_1_n_0\,
DI(2) => \g83__0_carry__0_i_2_n_0\,
DI(1) => \g83__0_carry__0_i_3_n_0\,
DI(0) => \g83__0_carry__0_i_4_n_0\,
O(3) => \g83__0_carry__0_n_4\,
O(2) => \g83__0_carry__0_n_5\,
O(1) => \g83__0_carry__0_n_6\,
O(0) => \g83__0_carry__0_n_7\,
S(3) => \g83__0_carry__0_i_5_n_0\,
S(2) => \g83__0_carry__0_i_6_n_0\,
S(1) => \g83__0_carry__0_i_7_n_0\,
S(0) => \g83__0_carry__0_i_8_n_0\
);
\g83__0_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(14),
I1 => rgb888(6),
I2 => rgb888(22),
O => \g83__0_carry__0_i_1_n_0\
);
\g83__0_carry__0_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(13),
I1 => rgb888(5),
I2 => rgb888(21),
O => \g83__0_carry__0_i_2_n_0\
);
\g83__0_carry__0_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(12),
I1 => rgb888(4),
I2 => rgb888(20),
O => \g83__0_carry__0_i_3_n_0\
);
\g83__0_carry__0_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(11),
I1 => rgb888(3),
I2 => rgb888(19),
O => \g83__0_carry__0_i_4_n_0\
);
\g83__0_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g83__0_carry__0_i_1_n_0\,
I1 => rgb888(7),
I2 => rgb888(15),
I3 => rgb888(23),
O => \g83__0_carry__0_i_5_n_0\
);
\g83__0_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(14),
I1 => rgb888(6),
I2 => rgb888(22),
I3 => \g83__0_carry__0_i_2_n_0\,
O => \g83__0_carry__0_i_6_n_0\
);
\g83__0_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(13),
I1 => rgb888(5),
I2 => rgb888(21),
I3 => \g83__0_carry__0_i_3_n_0\,
O => \g83__0_carry__0_i_7_n_0\
);
\g83__0_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(12),
I1 => rgb888(4),
I2 => rgb888(20),
I3 => \g83__0_carry__0_i_4_n_0\,
O => \g83__0_carry__0_i_8_n_0\
);
\g83__0_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g83__0_carry__0_n_0\,
CO(3 downto 2) => \NLW_g83__0_carry__1_CO_UNCONNECTED\(3 downto 2),
CO(1) => \g83__0_carry__1_n_2\,
CO(0) => \NLW_g83__0_carry__1_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_g83__0_carry__1_O_UNCONNECTED\(3 downto 1),
O(0) => \g83__0_carry__1_n_7\,
S(3 downto 1) => B"001",
S(0) => \g83__0_carry__1_i_1_n_0\
);
\g83__0_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(15),
I1 => rgb888(7),
I2 => rgb888(23),
O => \g83__0_carry__1_i_1_n_0\
);
\g83__0_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(10),
I1 => rgb888(2),
I2 => rgb888(18),
O => \g83__0_carry_i_1_n_0\
);
\g83__0_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(9),
I1 => rgb888(1),
I2 => rgb888(17),
O => \g83__0_carry_i_2_n_0\
);
\g83__0_carry_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(8),
I1 => rgb888(0),
I2 => rgb888(16),
O => \g83__0_carry_i_3_n_0\
);
\g83__0_carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(11),
I1 => rgb888(3),
I2 => rgb888(19),
I3 => \g83__0_carry_i_1_n_0\,
O => \g83__0_carry_i_4_n_0\
);
\g83__0_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(10),
I1 => rgb888(2),
I2 => rgb888(18),
I3 => \g83__0_carry_i_2_n_0\,
O => \g83__0_carry_i_5_n_0\
);
\g83__0_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(9),
I1 => rgb888(1),
I2 => rgb888(17),
I3 => \g83__0_carry_i_3_n_0\,
O => \g83__0_carry_i_6_n_0\
);
\g83__0_carry_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(8),
I1 => rgb888(0),
I2 => rgb888(16),
O => \g83__0_carry_i_7_n_0\
);
g84_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => g84_carry_n_0,
CO(2) => g84_carry_n_1,
CO(1) => g84_carry_n_2,
CO(0) => g84_carry_n_3,
CYINIT => '1',
DI(3) => g84_carry_i_1_n_0,
DI(2) => g84_carry_i_2_n_0,
DI(1) => g84_carry_i_3_n_0,
DI(0) => g84_carry_i_4_n_0,
O(3 downto 0) => NLW_g84_carry_O_UNCONNECTED(3 downto 0),
S(3) => g84_carry_i_5_n_0,
S(2) => g84_carry_i_6_n_0,
S(1) => g84_carry_i_7_n_0,
S(0) => g84_carry_i_8_n_0
);
\g84_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => g84_carry_n_0,
CO(3 downto 1) => \NLW_g84_carry__0_CO_UNCONNECTED\(3 downto 1),
CO(0) => g84,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \g84_carry__0_i_1_n_0\,
O(3 downto 0) => \NLW_g84_carry__0_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => B"000",
S(0) => \g84_carry__0_i_2_n_0\
);
\g84_carry__0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry__1_n_7\,
I1 => \g83__0_carry__1_n_2\,
O => \g84_carry__0_i_1_n_0\
);
\g84_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__1_n_7\,
I1 => \g83__0_carry__1_n_2\,
O => \g84_carry__0_i_2_n_0\
);
g84_carry_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => \g83__0_carry__0_n_4\,
O => g84_carry_i_1_n_0
);
g84_carry_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => \g83__0_carry__0_n_6\,
O => g84_carry_i_2_n_0
);
g84_carry_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => \g83__0_carry_n_4\,
O => g84_carry_i_3_n_0
);
g84_carry_i_4: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_6\,
O => g84_carry_i_4_n_0
);
g84_carry_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => \g83__0_carry__0_n_4\,
O => g84_carry_i_5_n_0
);
g84_carry_i_6: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => \g83__0_carry__0_n_6\,
O => g84_carry_i_6_n_0
);
g84_carry_i_7: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => \g83__0_carry_n_4\,
O => g84_carry_i_7_n_0
);
g84_carry_i_8: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_6\,
O => g84_carry_i_8_n_0
);
\g8[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_7\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_7\,
O => g810_in(0)
);
\g8[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_6\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_6\,
O => g810_in(1)
);
\g8[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_5\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_5\,
O => g810_in(2)
);
\g8[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_4\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_4\,
O => g810_in(3)
);
\g8[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_7\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_7\,
O => g810_in(4)
);
\g8[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_6\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_6\,
O => g810_in(5)
);
\g8[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_5\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_5\,
O => g810_in(6)
);
\g8[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_4\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_4\,
O => g810_in(7)
);
\g8_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(0),
Q => g8(0),
R => '0'
);
\g8_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(1),
Q => g8(1),
R => '0'
);
\g8_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(2),
Q => g8(2),
R => '0'
);
\g8_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(3),
Q => g8(3),
R => '0'
);
\g8_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(4),
Q => g8(4),
R => '0'
);
\g8_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(5),
Q => g8(5),
R => '0'
);
\g8_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(6),
Q => g8(6),
R => '0'
);
\g8_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(7),
Q => g8(7),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb888_to_g8_0_0 is
port (
clk : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 );
g8 : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_rgb888_to_g8_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_rgb888_to_g8_0_0 : entity is "system_rgb888_to_g8_0_0,rgb888_to_g8,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_rgb888_to_g8_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_rgb888_to_g8_0_0 : entity is "rgb888_to_g8,Vivado 2016.4";
end system_rgb888_to_g8_0_0;
architecture STRUCTURE of system_rgb888_to_g8_0_0 is
begin
U0: entity work.system_rgb888_to_g8_0_0_rgb888_to_g8
port map (
clk => clk,
g8(7 downto 0) => g8(7 downto 0),
rgb888(23 downto 0) => rgb888(23 downto 0)
);
end STRUCTURE;
| mit | ccb78f0dae6a49fff2089d7953b7b8c7 | 0.49156 | 2.24905 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_buffer_1_1/sim/system_vga_buffer_1_1.vhd | 1 | 4,004 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_buffer:1.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_buffer_1_1 IS
PORT (
clk_w : IN STD_LOGIC;
clk_r : IN STD_LOGIC;
wen : IN STD_LOGIC;
x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END system_vga_buffer_1_1;
ARCHITECTURE system_vga_buffer_1_1_arch OF system_vga_buffer_1_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_buffer_1_1_arch: ARCHITECTURE IS "yes";
COMPONENT vga_buffer IS
GENERIC (
SIZE_POW2 : INTEGER
);
PORT (
clk_w : IN STD_LOGIC;
clk_r : IN STD_LOGIC;
wen : IN STD_LOGIC;
x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END COMPONENT vga_buffer;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk_w: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
BEGIN
U0 : vga_buffer
GENERIC MAP (
SIZE_POW2 => 12
)
PORT MAP (
clk_w => clk_w,
clk_r => clk_r,
wen => wen,
x_addr_w => x_addr_w,
y_addr_w => y_addr_w,
x_addr_r => x_addr_r,
y_addr_r => y_addr_r,
data_w => data_w,
data_r => data_r
);
END system_vga_buffer_1_1_arch;
| mit | 5695b9e7dba273e108b6c35d3f60db85 | 0.697303 | 3.663312 | false | false | false | false |
loa-org/loa-hdl | modules/uart/hdl/uart.vhd | 1 | 2,621 | -------------------------------------------------------------------------------
-- Title : UART receiver/transmitter
-------------------------------------------------------------------------------
-- Standard : VHDL'x
-------------------------------------------------------------------------------
-- Description:
--
-------------------------------------------------------------------------------
-- Copyright (c) 2013 Fabian Greif
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.uart_pkg.all;
use work.reset_pkg.all;
-------------------------------------------------------------------------------
entity uart is
generic (
RESET_IMPL : reset_type := none
);
port (
txd_p : out std_logic;
rxd_p : in std_logic;
din_p : in std_logic_vector(7 downto 0);
empty_p : in std_logic;
re_p : out std_logic;
dout_p : out std_logic_vector(7 downto 0);
we_p : out std_logic;
error_p : out std_logic;
full_p : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
clk : in std_logic);
end uart;
-------------------------------------------------------------------------------
architecture behavioural of uart is
signal busy : std_logic := '0';
signal clk_tx_en : std_logic := '0';
begin
-- 1/5 clock divider for generating the transmission clock
divider : process (clk)
variable counter : integer range 0 to 5 := 0;
begin
if rising_edge(clk) then
if clk_en = '1' then
counter := counter + 1;
if counter = 5 then
counter := 0;
clk_tx_en <= '1';
end if;
else
clk_tx_en <= '0';
end if;
end if;
end process;
-- Receiver
rx : uart_rx
generic map ( RESET_IMPL => RESET_IMPL )
port map (
rxd_p => rxd_p,
disable_p => busy,
data_p => dout_p,
we_p => we_p,
error_p => error_p,
full_p => full_p,
clk_rx_en => clk_en,
reset => reset,
clk => clk);
-- Transmitter
tx : uart_tx
generic map ( RESET_IMPL => RESET_IMPL )
port map (
txd_p => txd_p,
busy_p => busy,
data_p => din_p,
empty_p => empty_p,
re_p => re_p,
clk_tx_en => clk_tx_en,
reset => reset,
clk => clk);
end behavioural;
| bsd-3-clause | 4b6b3eccfad7ad12f7bfff116608db2d | 0.38306 | 4.213826 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_sim_netlist.vhdl | 3 | 10,113 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Thu May 25 20:55:11 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_sim_netlist.vhdl
-- Design : system_ov7670_vga_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_vga_0_0_ov7670_vga is
port (
rgb : out STD_LOGIC_VECTOR ( 15 downto 0 );
active : in STD_LOGIC;
clk_x2 : in STD_LOGIC;
data : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_vga_0_0_ov7670_vga : entity is "ov7670_vga";
end system_ov7670_vga_0_0_ov7670_vga;
architecture STRUCTURE of system_ov7670_vga_0_0_ov7670_vga is
signal cycle : STD_LOGIC;
signal \data_pair[15]_i_1_n_0\ : STD_LOGIC;
signal \data_pair[7]_i_1_n_0\ : STD_LOGIC;
signal \data_pair_reg_n_0_[0]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[10]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[11]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[12]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[13]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[14]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[15]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[1]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[2]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[3]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[4]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[5]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[6]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[7]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[8]\ : STD_LOGIC;
signal \data_pair_reg_n_0_[9]\ : STD_LOGIC;
signal rgb_regn_0_0 : STD_LOGIC;
begin
cycle_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x2,
CE => '1',
D => \data_pair[7]_i_1_n_0\,
Q => cycle,
R => '0'
);
\data_pair[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => cycle,
I1 => active,
O => \data_pair[15]_i_1_n_0\
);
\data_pair[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => active,
I1 => cycle,
O => \data_pair[7]_i_1_n_0\
);
\data_pair_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(0),
Q => \data_pair_reg_n_0_[0]\,
R => '0'
);
\data_pair_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(2),
Q => \data_pair_reg_n_0_[10]\,
R => '0'
);
\data_pair_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(3),
Q => \data_pair_reg_n_0_[11]\,
R => '0'
);
\data_pair_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(4),
Q => \data_pair_reg_n_0_[12]\,
R => '0'
);
\data_pair_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(5),
Q => \data_pair_reg_n_0_[13]\,
R => '0'
);
\data_pair_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(6),
Q => \data_pair_reg_n_0_[14]\,
R => '0'
);
\data_pair_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(7),
Q => \data_pair_reg_n_0_[15]\,
R => '0'
);
\data_pair_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(1),
Q => \data_pair_reg_n_0_[1]\,
R => '0'
);
\data_pair_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(2),
Q => \data_pair_reg_n_0_[2]\,
R => '0'
);
\data_pair_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(3),
Q => \data_pair_reg_n_0_[3]\,
R => '0'
);
\data_pair_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(4),
Q => \data_pair_reg_n_0_[4]\,
R => '0'
);
\data_pair_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(5),
Q => \data_pair_reg_n_0_[5]\,
R => '0'
);
\data_pair_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(6),
Q => \data_pair_reg_n_0_[6]\,
R => '0'
);
\data_pair_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[7]_i_1_n_0\,
D => data(7),
Q => \data_pair_reg_n_0_[7]\,
R => '0'
);
\data_pair_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(0),
Q => \data_pair_reg_n_0_[8]\,
R => '0'
);
\data_pair_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \data_pair[15]_i_1_n_0\,
D => data(1),
Q => \data_pair_reg_n_0_[9]\,
R => '0'
);
\rgb_reg[0]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[0]\,
Q => rgb(0),
R => '0'
);
\rgb_reg[10]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[10]\,
Q => rgb(10),
R => '0'
);
\rgb_reg[11]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[11]\,
Q => rgb(11),
R => '0'
);
\rgb_reg[12]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[12]\,
Q => rgb(12),
R => '0'
);
\rgb_reg[13]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[13]\,
Q => rgb(13),
R => '0'
);
\rgb_reg[14]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[14]\,
Q => rgb(14),
R => '0'
);
\rgb_reg[15]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[15]\,
Q => rgb(15),
R => '0'
);
\rgb_reg[1]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[1]\,
Q => rgb(1),
R => '0'
);
\rgb_reg[2]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[2]\,
Q => rgb(2),
R => '0'
);
\rgb_reg[3]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[3]\,
Q => rgb(3),
R => '0'
);
\rgb_reg[4]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[4]\,
Q => rgb(4),
R => '0'
);
\rgb_reg[5]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[5]\,
Q => rgb(5),
R => '0'
);
\rgb_reg[6]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[6]\,
Q => rgb(6),
R => '0'
);
\rgb_reg[7]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[7]\,
Q => rgb(7),
R => '0'
);
\rgb_reg[8]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[8]\,
Q => rgb(8),
R => '0'
);
\rgb_reg[9]\: unisim.vcomponents.FDRE
port map (
C => rgb_regn_0_0,
CE => cycle,
D => \data_pair_reg_n_0_[9]\,
Q => rgb(9),
R => '0'
);
rgb_regi_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => clk_x2,
O => rgb_regn_0_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_vga_0_0 is
port (
clk_x2 : in STD_LOGIC;
active : in STD_LOGIC;
data : in STD_LOGIC_VECTOR ( 7 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_ov7670_vga_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_ov7670_vga_0_0 : entity is "system_ov7670_vga_0_0,ov7670_vga,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_ov7670_vga_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_ov7670_vga_0_0 : entity is "ov7670_vga,Vivado 2016.4";
end system_ov7670_vga_0_0;
architecture STRUCTURE of system_ov7670_vga_0_0 is
begin
U0: entity work.system_ov7670_vga_0_0_ov7670_vga
port map (
active => active,
clk_x2 => clk_x2,
data(7 downto 0) => data(7 downto 0),
rgb(15 downto 0) => rgb(15 downto 0)
);
end STRUCTURE;
| mit | 37a3cf7a2e5a5f4521d14893948bbf6a | 0.506279 | 2.78595 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_clk_wiz_0_0/system_clk_wiz_0_0_sim_netlist.vhdl | 1 | 7,616 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 27 15:47:58 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/ZyboIP/examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_clk_wiz_0_0/system_clk_wiz_0_0_sim_netlist.vhdl
-- Design : system_clk_wiz_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz is
port (
clk_out1 : out STD_LOGIC;
resetn : in STD_LOGIC;
locked : out STD_LOGIC;
clk_in1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz : entity is "system_clk_wiz_0_0_clk_wiz";
end system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz;
architecture STRUCTURE of system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz is
signal clk_in1_system_clk_wiz_0_0 : STD_LOGIC;
signal clk_out1_system_clk_wiz_0_0 : STD_LOGIC;
signal clkfbout_buf_system_clk_wiz_0_0 : STD_LOGIC;
signal clkfbout_system_clk_wiz_0_0 : STD_LOGIC;
signal reset_high : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_system_clk_wiz_0_0,
O => clkfbout_buf_system_clk_wiz_0_0
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1,
O => clk_in1_system_clk_wiz_0_0
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_out1_system_clk_wiz_0_0,
O => clk_out1
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 36.500000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 8.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 36.500000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 5,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.010000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_system_clk_wiz_0_0,
CLKFBOUT => clkfbout_system_clk_wiz_0_0,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_in1_system_clk_wiz_0_0,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_out1_system_clk_wiz_0_0,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6 downto 0) => B"0000000",
DCLK => '0',
DEN => '0',
DI(15 downto 0) => B"0000000000000000",
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => reset_high
);
mmcm_adv_inst_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => resetn,
O => reset_high
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_clk_wiz_0_0 is
port (
clk_out1 : out STD_LOGIC;
resetn : in STD_LOGIC;
locked : out STD_LOGIC;
clk_in1 : in STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_clk_wiz_0_0 : entity is true;
end system_clk_wiz_0_0;
architecture STRUCTURE of system_clk_wiz_0_0 is
begin
inst: entity work.system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz
port map (
clk_in1 => clk_in1,
clk_out1 => clk_out1,
locked => locked,
resetn => resetn
);
end STRUCTURE;
| mit | ed17a56b66d06f2d27c0e94bb987a7d0 | 0.637999 | 3.279931 | false | false | false | false |
loa-org/loa-hdl | modules/signalprocessing/hdl/goertzel_control_unit.vhd | 2 | 6,316 | -------------------------------------------------------------------------------
-- Title : Goertzel Pipelined Control Unit
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: Control Unit (a state machine) that controls the muxes and the
-- pipeline of the pipelined goertzel algorithm.
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.signalprocessing_pkg.all;
entity goertzel_control_unit is
generic (
SAMPLES : positive := 250;
FREQUENCIES : positive;
CHANNELS : positive := 12);
port (
start_p : in std_logic; -- start the FSM when new ADC values arrived
ready_p : out std_logic := '0'; -- inform STM about new data
bram_addr_p : out std_logic_vector(7 downto 0) := (others => '0'); -- address where to read/write
bram_we_p : out std_logic; -- write to the Block RAM
mux_delay1_p : out std_logic; -- select delay1 from BRAM
mux_delay2_p : out std_logic; -- select delay2 from BRAM
mux_coef_p : out natural range FREQUENCIES-1 downto 0;
mux_input_p : out natural range CHANNELS-1 downto 0;
clk : in std_logic);
end entity goertzel_control_unit;
architecture behavourial of goertzel_control_unit is
type cu_state_type is (
IDLE, -- do nothing, wait for start signal
READ1, -- reads data from BRAM
CALC1, -- first RTL
CALC2, -- second RTL
WRITE1 -- write the result back to BRAM
);
type cu_type is record
state : cu_state_type;
ready : std_logic;
mux_coef : natural range FREQUENCIES-1 downto 0;
mux_input : natural range CHANNELS-1 downto 0;
bram_addr : unsigned(7 downto 0);
bram_we : std_logic;
samples : natural range SAMPLES-1 downto 0;
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : cu_type := (state => IDLE,
ready => '0',
mux_coef => 0,
mux_input => 0,
bram_addr => (others => '0'),
bram_we => '0',
samples => 0);
----------------------------------------------------------------------------
-- Component declarations
----------------------------------------------------------------------------
-- None here, if any: in package
begin -- architecture behavourial
----------------------------------------------------------------------------
-- Connections between ports and signals
----------------------------------------------------------------------------
ready_p <= r.ready;
mux_coef_p <= r.mux_coef;
mux_input_p <= r.mux_input;
bram_we_p <= r.bram_we;
bram_addr_p <= std_logic_vector(r.bram_addr);
----------------------------------------------------------------------------
-- Sequential part of finite state machine (FSM)
----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
----------------------------------------------------------------------------
-- Combinatorial part of FSM
----------------------------------------------------------------------------
comb_proc : process(r, r.bram_addr, r.mux_coef, r.mux_input, r.state,
start_p)
variable v : cu_type;
begin
v := r;
case r.state is
when IDLE =>
v.ready := '0';
if (start_p = '1') then
v.state := READ1;
-- select coef and input
v.bram_addr := (others => '0');
v.mux_coef := 0;
v.mux_input := 0;
-- bram_addr
end if;
when READ1 =>
v.state := CALC1;
when CALC1 =>
v.state := CALC2;
when CALC2 =>
v.state := WRITE1;
v.bram_we := '1';
when WRITE1 =>
v.state := READ1;
v.bram_we := '0';
-- Three nested loops:
-- inner: channel
-- frequency
-- outer: sample
v.bram_addr := r.bram_addr + 1;
if r.mux_input = CHANNELS-1 then
v.mux_input := 0;
if r.mux_coef = FREQUENCIES-1 then
v.mux_coef := 0;
v.bram_addr := (others => '0');
v.state := IDLE;
if r.samples = SAMPLES-1 then
v.samples := 0;
v.ready := '1';
else
v.samples := r.samples + 1;
end if;
else
v.mux_coef := r.mux_coef + 1;
end if;
else
v.mux_input := r.mux_input + 1;
end if;
end case;
rin <= v;
end process comb_proc;
-- For the first sample ignore the value in the BRAM and overwrite it
-- with zero. No special erase cycle is needed. At the end of the first
-- sample the old data is overwritten in the BRAM.
mux_delay1_p <= '0' when (r.samples = 0) else '1';
mux_delay2_p <= '0' when (r.samples = 0) else '1';
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
-- none
end architecture behavourial;
| bsd-3-clause | a2aaca2fc2c71114e2b80579885f1fe9 | 0.382996 | 4.938233 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/vga_buffer/vga_buffer.srcs/sources_1/new/vga_buffer.vhd | 6 | 1,583 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity vga_buffer is
generic (
SIZE_POW2 : integer := 6
);
port (
clk_w : in std_logic;
clk_r : in std_logic;
wen : in std_logic;
x_addr_w : in std_logic_vector(9 downto 0);
y_addr_w : in std_logic_vector(9 downto 0);
x_addr_r : in std_logic_vector(9 downto 0);
y_addr_r : in std_logic_vector(9 downto 0);
data_w : in std_logic_vector(23 downto 0);
data_r : out std_logic_vector(23 downto 0)
);
end vga_buffer;
architecture Behavioral of vga_buffer is
type DATA_BUFFER is array (2**SIZE_POW2 - 1 downto 0) of std_logic_vector(23 downto 0);
signal data : DATA_BUFFER;
signal c_addr_w, c_addr_r : std_logic_vector(19 downto 0);
signal addr_w, addr_r : std_logic_vector(SIZE_POW2 - 1 downto 0);
begin
process(clk_w)
begin
if rising_edge(clk_w) then
if wen = '1' then
c_addr_w(9 downto 0) <= x_addr_w;
c_addr_w(19 downto 10) <= y_addr_w;
addr_w <= c_addr_w(SIZE_POW2 - 1 downto 0);
data(to_integer(unsigned(addr_w))) <= data_w;
end if;
end if;
end process;
process(clk_r)
begin
if rising_edge(clk_r) then
c_addr_r(9 downto 0) <= x_addr_r;
c_addr_r(19 downto 10) <= y_addr_r;
addr_r <= c_addr_r(SIZE_POW2 - 1 downto 0);
data_r <= data(to_integer(unsigned(addr_r)));
end if;
end process;
end Behavioral;
| mit | bc4afc4d0fbf408a6fcdb03aa4a6598a | 0.550221 | 3.122288 | false | false | false | false |
pgavin/carpe | hdl/tech/inferred/div_pipe-rtl.vhdl | 1 | 1,612 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
architecture rtl of div_pipe is
begin
div : entity work.div_pipe_inferred(rtl)
generic map (
stages => stages,
src1_bits => src1_bits,
src2_bits => src2_bits
)
port map (
clk => clk,
rstn => rstn,
unsgnd => unsgnd,
src1 => src1,
src2 => src2,
dbz => dbz,
overflow => overflow,
result => result
);
end;
| apache-2.0 | d188b0472dd971722523ee39544e8b9f | 0.476427 | 5.11746 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_hdmi_test/zed_hdmi_test.srcs/sources_1/bd/system/hdl/system.vhd | 1 | 5,732 | --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
--Date : Mon May 22 02:50:48 2017
--Host : GILAMONSTER running 64-bit major release (build 9200)
--Command : generate_target system.bd
--Design : system
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system is
port (
clk_100 : in STD_LOGIC;
hdmi_clk : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
resend : in STD_LOGIC
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of system : entity is "system,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=5,numReposBlks=5,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of system : entity is "system.hwdef";
end system;
architecture STRUCTURE of system is
component system_vga_color_test_0_0 is
port (
clk_25 : in STD_LOGIC;
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
end component system_vga_color_test_0_0;
component system_xlconstant_0_0 is
port (
dout : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component system_xlconstant_0_0;
component system_vga_pll_0_0 is
port (
clk_100 : in STD_LOGIC;
clk_50 : out STD_LOGIC;
clk_25 : out STD_LOGIC;
clk_12_5 : out STD_LOGIC;
clk_6_25 : out STD_LOGIC
);
end component system_vga_pll_0_0;
component system_vga_sync_0_0 is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
active : out STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end component system_vga_sync_0_0;
component system_zed_hdmi_0_0 is
port (
clk : in STD_LOGIC;
clk_x2 : in STD_LOGIC;
clk_100 : in STD_LOGIC;
active : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 );
hdmi_clk : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC
);
end component system_zed_hdmi_0_0;
signal Net : STD_LOGIC;
signal clk_100_1 : STD_LOGIC;
signal resend_1 : STD_LOGIC;
signal vdd_dout : STD_LOGIC_VECTOR ( 0 to 0 );
signal vga_color_test_0_rgb : STD_LOGIC_VECTOR ( 23 downto 0 );
signal vga_pll_0_clk_25 : STD_LOGIC;
signal vga_pll_0_clk_50 : STD_LOGIC;
signal vga_sync_0_active : STD_LOGIC;
signal vga_sync_0_hsync : STD_LOGIC;
signal vga_sync_0_vsync : STD_LOGIC;
signal vga_sync_0_xaddr : STD_LOGIC_VECTOR ( 9 downto 0 );
signal vga_sync_0_yaddr : STD_LOGIC_VECTOR ( 9 downto 0 );
signal zed_hdmi_0_hdmi_clk : STD_LOGIC;
signal zed_hdmi_0_hdmi_d : STD_LOGIC_VECTOR ( 15 downto 0 );
signal zed_hdmi_0_hdmi_de : STD_LOGIC;
signal zed_hdmi_0_hdmi_hsync : STD_LOGIC;
signal zed_hdmi_0_hdmi_scl : STD_LOGIC;
signal zed_hdmi_0_hdmi_vsync : STD_LOGIC;
signal NLW_vga_pll_0_clk_12_5_UNCONNECTED : STD_LOGIC;
signal NLW_vga_pll_0_clk_6_25_UNCONNECTED : STD_LOGIC;
begin
clk_100_1 <= clk_100;
hdmi_clk <= zed_hdmi_0_hdmi_clk;
hdmi_d(15 downto 0) <= zed_hdmi_0_hdmi_d(15 downto 0);
hdmi_de <= zed_hdmi_0_hdmi_de;
hdmi_hsync <= zed_hdmi_0_hdmi_hsync;
hdmi_scl <= zed_hdmi_0_hdmi_scl;
hdmi_vsync <= zed_hdmi_0_hdmi_vsync;
resend_1 <= resend;
vdd: component system_xlconstant_0_0
port map (
dout(0) => vdd_dout(0)
);
vga_color_test_0: component system_vga_color_test_0_0
port map (
clk_25 => vga_pll_0_clk_25,
rgb(23 downto 0) => vga_color_test_0_rgb(23 downto 0),
xaddr(9 downto 0) => vga_sync_0_xaddr(9 downto 0),
yaddr(9 downto 0) => vga_sync_0_yaddr(9 downto 0)
);
vga_pll_0: component system_vga_pll_0_0
port map (
clk_100 => clk_100_1,
clk_12_5 => NLW_vga_pll_0_clk_12_5_UNCONNECTED,
clk_25 => vga_pll_0_clk_25,
clk_50 => vga_pll_0_clk_50,
clk_6_25 => NLW_vga_pll_0_clk_6_25_UNCONNECTED
);
vga_sync_0: component system_vga_sync_0_0
port map (
active => vga_sync_0_active,
clk => vga_pll_0_clk_25,
hsync => vga_sync_0_hsync,
rst => vdd_dout(0),
vsync => vga_sync_0_vsync,
xaddr(9 downto 0) => vga_sync_0_xaddr(9 downto 0),
yaddr(9 downto 0) => vga_sync_0_yaddr(9 downto 0)
);
zed_hdmi_0: component system_zed_hdmi_0_0
port map (
active => vga_sync_0_active,
clk => vga_pll_0_clk_25,
clk_100 => clk_100_1,
clk_x2 => vga_pll_0_clk_50,
hdmi_clk => zed_hdmi_0_hdmi_clk,
hdmi_d(15 downto 0) => zed_hdmi_0_hdmi_d(15 downto 0),
hdmi_de => zed_hdmi_0_hdmi_de,
hdmi_hsync => zed_hdmi_0_hdmi_hsync,
hdmi_scl => zed_hdmi_0_hdmi_scl,
hdmi_sda => hdmi_sda,
hdmi_vsync => zed_hdmi_0_hdmi_vsync,
hsync => vga_sync_0_hsync,
rgb888(23 downto 0) => vga_color_test_0_rgb(23 downto 0),
vsync => vga_sync_0_vsync
);
end STRUCTURE;
| mit | 68de810cdd7431656ebf38928cd36656 | 0.620726 | 3.004193 | false | false | false | false |
loa-org/loa-hdl | modules/uart/tb/uart_tx_tb.vhd | 2 | 2,517 | -------------------------------------------------------------------------------
-- Title : Testbench for design "uart_tx"
-------------------------------------------------------------------------------
-- Author : Fabian Greif
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2013
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.uart_pkg.all;
-------------------------------------------------------------------------------
entity uart_tx_tb is
end entity uart_tx_tb;
-------------------------------------------------------------------------------
architecture behavourial of uart_tx_tb is
-- component ports
signal txd : std_logic;
signal busy : std_logic;
signal data : std_logic_vector(7 downto 0) := (others => '0');
signal empty : std_logic := '1';
signal re : std_logic;
signal clk_tx_en : std_logic := '0';
signal clk : std_logic := '0';
begin
-- component instantiation
dut : entity work.uart_tx
port map (
txd_p => txd,
busy_p => busy,
data_p => data,
empty_p => empty,
re_p => re,
clk_tx_en => clk_tx_en,
clk => clk);
-- clock generation
clk <= not clk after 10 ns;
-- Generate a bit clock
bitclock : process
begin
wait until rising_edge(clk);
clk_tx_en <= '1';
wait until rising_edge(clk);
clk_tx_en <= '0';
wait for 40 ns;
end process bitclock;
-- waveform generation
waveform : process
begin
wait until rising_edge(clk);
empty <= '0';
data <= "00000000"; -- partiy = 1
wait until falling_edge(re);
data <= "11001010"; -- partiy = 1
wait until falling_edge(re);
data <= "00001011"; -- partiy = 0
wait until falling_edge(re);
empty <= '1';
wait for 2 us;
empty <= '0';
data <= "11100101"; -- partiy = 0
wait until falling_edge(re);
data <= "11100100"; -- partiy = 1
wait until falling_edge(re);
empty <= '1';
wait;
end process waveform;
end architecture behavourial;
| bsd-3-clause | 1f9f635edce46bc0a645f42b7ac7fc4a | 0.397298 | 4.669759 | false | false | false | false |
loa-org/loa-hdl | modules/uss_tx/hdl/uss_tx_module.vhd | 1 | 6,529 | -------------------------------------------------------------------------------
-- Title : Transmitter for ultrasonic beacons
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
--
-- Register description
--
-- offset | Meaning
-- -------+---------
-- 0x00 | Fractional Clock Divider MUL value
-- 0x01 | Fractional Clock Divider DIV value
-- 0x02 | Bit pattern 0
-- 0x03 | Bit pattern 1
-- 0x04 | Bit pattern 2
-- 0x05 | Bit pattern 3
--
-------------------------------------------------------------------------------
-- Copyright (c) 2012, 2013 strongly-typed
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.bus_pkg.all;
use work.utils_pkg.all;
use work.motor_control_pkg.all; -- for half_bridge_type
use work.reg_file_pkg.all;
-------------------------------------------------------------------------------
entity uss_tx_module is
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF# -- Base address at the internal data bus
);
port (
-- Ports to the ultrasonic transmitters
uss_tx0_out_p : out half_bridge_type;
uss_tx1_out_p : out half_bridge_type;
uss_tx2_out_p : out half_bridge_type;
-- Output of the clock enable signal
clk_uss_enable_p : out std_logic;
-- signals to and from the internal parallel bus
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
clk : in std_logic
);
end uss_tx_module;
-------------------------------------------------------------------------------
architecture behavioral of uss_tx_module is
-- types for states
-- none
-- record for internal states
-- none
-----------------------------------------------------------------------------
-- internal signals
-----------------------------------------------------------------------------
-- access to the internal register
constant REG_ADDR_BIT : natural := 3; -- 2**3 = 8 registers for mul and div value
constant BITPATTERN_WIDTH : positive := 64; -- Width of the bit pattern to send
signal reg_o : reg_file_type(((2**REG_ADDR_BIT)-1) downto 0) := (others => (others => '0'));
signal reg_i : reg_file_type(((2**REG_ADDR_BIT)-1) downto 0) := (others => (others => '0'));
signal clk_mul : std_logic_vector(15 downto 0);
signal clk_div : std_logic_vector(15 downto 0);
signal pattern : std_logic_vector(BITPATTERN_WIDTH - 1 downto 0);
signal bitstream : std_logic;
signal clk_bit : std_logic;
signal modulation : std_logic_vector(2 downto 0); -- Modulation of the US carrier
signal clk_uss_enable : std_logic := '0';
signal clk_uss : std_logic := '0'; -- Clock signal with 50% duty cycle
signal clk_uss_n : std_logic;
signal uss_tx_high : std_logic; -- With deadtime, for H-bridges
signal uss_tx_low : std_logic; -- With deadtime, for H-bridges
begin -- behavioral
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
-- register for access to and from STM
reg_file_1 : reg_file
generic map (
BASE_ADDRESS => BASE_ADDRESS,
REG_ADDR_BIT => REG_ADDR_BIT
)
port map (
bus_o => bus_o,
bus_i => bus_i,
reg_o => reg_o,
reg_i => reg_i,
reset => '0',
clk => clk
);
-- Serialise the bit pattern to a bit stream
serialiser : entity work.serialiser
generic map (
BITPATTERN_WIDTH => BITPATTERN_WIDTH)
port map (
pattern_in_p => pattern,
bitstream_out_p => bitstream,
clk_bit => clk_bit,
clk => clk);
-- Bit clock (2000 bps)
-- 50 MHz / 25000 = 2000
clock_divider : entity work.clock_divider
generic map (
DIV => 25000)
port map (
clk_out_p => clk_bit,
clk => clk);
-- clock generation of clk_uss_tx (carrier)
fractional_clock_divider_variable_1 : fractional_clock_divider_variable
generic map (
WIDTH => 16)
port map (
div => clk_div,
mul => clk_mul,
clk_out_p => clk_uss_enable,
clk => clk);
-- generate a signal with a 50% duty-cycle from the enable signal
process (clk, clk_uss_enable)
begin
if rising_edge(clk) then
if clk_uss_enable = '1' then
clk_uss <= not clk_uss;
end if;
end if;
end process;
-- generate clocks with deadtime
clk_uss_n <= not clk_uss;
-- output to the H-bridges
deadtime_on : deadtime
generic map (
T_DEAD => 250) -- 5000ns
port map (
in_p => clk_uss_n,
out_p => uss_tx_low,
clk => clk);
deadtime_off : deadtime
generic map (
T_DEAD => 250) -- 5000ns
port map (
in_p => clk_uss,
out_p => uss_tx_high,
clk => clk);
-----------------------------------------------------------------------------
-- Mapping of signals between components and module ports
-----------------------------------------------------------------------------
clk_mul <= reg_o(0);
clk_div <= reg_o(1);
pattern(15 downto 0) <= reg_o(2);
pattern(31 downto 16) <= reg_o(3);
pattern(47 downto 32) <= reg_o(4);
pattern(63 downto 48) <= reg_o(5);
-- enable readback of configurations
reg_i <= reg_o;
clk_uss_enable_p <= clk_uss_enable;
-----------------------------------------------------------------------------
-- Drive Ultrasonic transmitters
-----------------------------------------------------------------------------
modulation(0) <= bitstream;
modulation(1) <= bitstream;
modulation(2) <= bitstream;
uss_tx0_out_p.high <= uss_tx_high and modulation(0);
uss_tx1_out_p.high <= uss_tx_high and modulation(1);
uss_tx2_out_p.high <= uss_tx_high and modulation(2);
uss_tx0_out_p.low <= uss_tx_low and modulation(0);
uss_tx1_out_p.low <= uss_tx_low and modulation(1);
uss_tx2_out_p.low <= uss_tx_low and modulation(2);
end behavioral;
| bsd-3-clause | d62d571b546dd5de3b46fc7717d974af | 0.470516 | 4.161249 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_sync_ref_0_0/system_vga_sync_ref_0_0_sim_netlist.vhdl | 1 | 70,096 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun Jun 04 00:42:44 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_sync_ref_0_0/system_vga_sync_ref_0_0_sim_netlist.vhdl
-- Design : system_vga_sync_ref_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_sync_ref_0_0_vga_sync_ref is
port (
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
start : out STD_LOGIC;
active : out STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
vsync : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_sync_ref_0_0_vga_sync_ref : entity is "vga_sync_ref";
end system_vga_sync_ref_0_0_vga_sync_ref;
architecture STRUCTURE of system_vga_sync_ref_0_0_vga_sync_ref is
signal \^active\ : STD_LOGIC;
signal active_i_1_n_0 : STD_LOGIC;
signal active_i_2_n_0 : STD_LOGIC;
signal counter : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \counter[12]_i_3_n_0\ : STD_LOGIC;
signal \counter[12]_i_4_n_0\ : STD_LOGIC;
signal \counter[12]_i_5_n_0\ : STD_LOGIC;
signal \counter[12]_i_6_n_0\ : STD_LOGIC;
signal \counter[16]_i_3_n_0\ : STD_LOGIC;
signal \counter[16]_i_4_n_0\ : STD_LOGIC;
signal \counter[16]_i_5_n_0\ : STD_LOGIC;
signal \counter[16]_i_6_n_0\ : STD_LOGIC;
signal \counter[20]_i_3_n_0\ : STD_LOGIC;
signal \counter[20]_i_4_n_0\ : STD_LOGIC;
signal \counter[20]_i_5_n_0\ : STD_LOGIC;
signal \counter[20]_i_6_n_0\ : STD_LOGIC;
signal \counter[24]_i_3_n_0\ : STD_LOGIC;
signal \counter[24]_i_4_n_0\ : STD_LOGIC;
signal \counter[24]_i_5_n_0\ : STD_LOGIC;
signal \counter[24]_i_6_n_0\ : STD_LOGIC;
signal \counter[28]_i_3_n_0\ : STD_LOGIC;
signal \counter[28]_i_4_n_0\ : STD_LOGIC;
signal \counter[28]_i_5_n_0\ : STD_LOGIC;
signal \counter[28]_i_6_n_0\ : STD_LOGIC;
signal \counter[31]_i_10_n_0\ : STD_LOGIC;
signal \counter[31]_i_11_n_0\ : STD_LOGIC;
signal \counter[31]_i_12_n_0\ : STD_LOGIC;
signal \counter[31]_i_13_n_0\ : STD_LOGIC;
signal \counter[31]_i_14_n_0\ : STD_LOGIC;
signal \counter[31]_i_15_n_0\ : STD_LOGIC;
signal \counter[31]_i_16_n_0\ : STD_LOGIC;
signal \counter[31]_i_17_n_0\ : STD_LOGIC;
signal \counter[31]_i_18_n_0\ : STD_LOGIC;
signal \counter[31]_i_19_n_0\ : STD_LOGIC;
signal \counter[31]_i_1_n_0\ : STD_LOGIC;
signal \counter[31]_i_2_n_0\ : STD_LOGIC;
signal \counter[31]_i_4_n_0\ : STD_LOGIC;
signal \counter[31]_i_6_n_0\ : STD_LOGIC;
signal \counter[31]_i_7_n_0\ : STD_LOGIC;
signal \counter[31]_i_8_n_0\ : STD_LOGIC;
signal \counter[31]_i_9_n_0\ : STD_LOGIC;
signal \counter[4]_i_3_n_0\ : STD_LOGIC;
signal \counter[4]_i_4_n_0\ : STD_LOGIC;
signal \counter[4]_i_5_n_0\ : STD_LOGIC;
signal \counter[4]_i_6_n_0\ : STD_LOGIC;
signal \counter[8]_i_3_n_0\ : STD_LOGIC;
signal \counter[8]_i_4_n_0\ : STD_LOGIC;
signal \counter[8]_i_5_n_0\ : STD_LOGIC;
signal \counter[8]_i_6_n_0\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[16]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[20]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[24]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[28]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[31]_i_5_n_2\ : STD_LOGIC;
signal \counter_reg[31]_i_5_n_3\ : STD_LOGIC;
signal \counter_reg[31]_i_5_n_5\ : STD_LOGIC;
signal \counter_reg[31]_i_5_n_6\ : STD_LOGIC;
signal \counter_reg[31]_i_5_n_7\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[4]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_7\ : STD_LOGIC;
signal \h_count_reg[9]_i_1_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_2_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_4_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_5_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_6_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_7_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_8_n_0\ : STD_LOGIC;
signal \h_count_reg_reg__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_2_in : STD_LOGIC_VECTOR ( 31 downto 0 );
signal plusOp : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \^start\ : STD_LOGIC;
signal start_i_1_n_0 : STD_LOGIC;
signal start_i_2_n_0 : STD_LOGIC;
signal start_i_3_n_0 : STD_LOGIC;
signal start_i_4_n_0 : STD_LOGIC;
signal start_i_5_n_0 : STD_LOGIC;
signal start_i_6_n_0 : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[1]_i_10_n_0\ : STD_LOGIC;
signal \state[1]_i_11_n_0\ : STD_LOGIC;
signal \state[1]_i_1_n_0\ : STD_LOGIC;
signal \state[1]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_3_n_0\ : STD_LOGIC;
signal \state[1]_i_4_n_0\ : STD_LOGIC;
signal \state[1]_i_5_n_0\ : STD_LOGIC;
signal \state[1]_i_6_n_0\ : STD_LOGIC;
signal \state[1]_i_7_n_0\ : STD_LOGIC;
signal \state[1]_i_8_n_0\ : STD_LOGIC;
signal \state[1]_i_9_n_0\ : STD_LOGIC;
signal \state_reg_n_0_[0]\ : STD_LOGIC;
signal \state_reg_n_0_[1]\ : STD_LOGIC;
signal \v_count_reg[9]_i_10_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_1_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_3_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_4_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_5_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_6_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_7_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_8_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_9_n_0\ : STD_LOGIC;
signal \v_count_reg_reg__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \NLW_counter_reg[31]_i_5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_counter_reg[31]_i_5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \counter[0]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \counter[31]_i_15\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \counter[31]_i_18\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \h_count_reg[0]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \h_count_reg[1]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \h_count_reg[2]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \h_count_reg[3]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \h_count_reg[4]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \h_count_reg[7]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \h_count_reg[8]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \h_count_reg[9]_i_7\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \h_count_reg[9]_i_8\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of start_i_3 : label is "soft_lutpair10";
attribute SOFT_HLUTNM of start_i_4 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of start_i_6 : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \state[1]_i_10\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \v_count_reg[0]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \v_count_reg[1]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \v_count_reg[2]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \v_count_reg[3]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \v_count_reg[4]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \v_count_reg[7]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \v_count_reg[8]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \v_count_reg[9]_i_5\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \v_count_reg[9]_i_6\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \v_count_reg[9]_i_7\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \v_count_reg[9]_i_8\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \v_count_reg[9]_i_9\ : label is "soft_lutpair8";
begin
active <= \^active\;
start <= \^start\;
active_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000002FFFE"
)
port map (
I0 => \^active\,
I1 => active_i_2_n_0,
I2 => \v_count_reg[9]_i_1_n_0\,
I3 => start_i_2_n_0,
I4 => \state_reg_n_0_[0]\,
I5 => \counter[31]_i_1_n_0\,
O => active_i_1_n_0
);
active_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => \v_count_reg[9]_i_6_n_0\,
I1 => counter(25),
I2 => counter(26),
I3 => counter(24),
I4 => \v_count_reg[9]_i_5_n_0\,
I5 => \counter[31]_i_7_n_0\,
O => active_i_2_n_0
);
active_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => active_i_1_n_0,
Q => \^active\,
R => '0'
);
\counter[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => counter(0),
O => p_2_in(0)
);
\counter[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[12]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(10)
);
\counter[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[12]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(11)
);
\counter[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[12]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(12)
);
\counter[12]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(12),
O => \counter[12]_i_3_n_0\
);
\counter[12]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(11),
O => \counter[12]_i_4_n_0\
);
\counter[12]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(10),
O => \counter[12]_i_5_n_0\
);
\counter[12]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(9),
O => \counter[12]_i_6_n_0\
);
\counter[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[16]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(13)
);
\counter[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[16]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(14)
);
\counter[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[16]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(15)
);
\counter[16]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[16]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(16)
);
\counter[16]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(16),
O => \counter[16]_i_3_n_0\
);
\counter[16]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(15),
O => \counter[16]_i_4_n_0\
);
\counter[16]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(14),
O => \counter[16]_i_5_n_0\
);
\counter[16]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(13),
O => \counter[16]_i_6_n_0\
);
\counter[17]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[20]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(17)
);
\counter[18]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[20]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(18)
);
\counter[19]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[20]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(19)
);
\counter[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[4]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(1)
);
\counter[20]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[20]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(20)
);
\counter[20]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(20),
O => \counter[20]_i_3_n_0\
);
\counter[20]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(19),
O => \counter[20]_i_4_n_0\
);
\counter[20]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(18),
O => \counter[20]_i_5_n_0\
);
\counter[20]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(17),
O => \counter[20]_i_6_n_0\
);
\counter[21]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[24]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(21)
);
\counter[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[24]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(22)
);
\counter[23]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[24]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(23)
);
\counter[24]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[24]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(24)
);
\counter[24]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(24),
O => \counter[24]_i_3_n_0\
);
\counter[24]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(23),
O => \counter[24]_i_4_n_0\
);
\counter[24]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(22),
O => \counter[24]_i_5_n_0\
);
\counter[24]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(21),
O => \counter[24]_i_6_n_0\
);
\counter[25]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[28]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(25)
);
\counter[26]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[28]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(26)
);
\counter[27]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[28]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(27)
);
\counter[28]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[28]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(28)
);
\counter[28]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(28),
O => \counter[28]_i_3_n_0\
);
\counter[28]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(27),
O => \counter[28]_i_4_n_0\
);
\counter[28]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(26),
O => \counter[28]_i_5_n_0\
);
\counter[28]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(25),
O => \counter[28]_i_6_n_0\
);
\counter[29]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[31]_i_5_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(29)
);
\counter[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[4]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(2)
);
\counter[30]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[31]_i_5_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(30)
);
\counter[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => vsync,
I1 => rst,
O => \counter[31]_i_1_n_0\
);
\counter[31]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => counter(24),
I1 => counter(26),
I2 => counter(25),
O => \counter[31]_i_10_n_0\
);
\counter[31]_i_11\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(31),
O => \counter[31]_i_11_n_0\
);
\counter[31]_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(30),
O => \counter[31]_i_12_n_0\
);
\counter[31]_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(29),
O => \counter[31]_i_13_n_0\
);
\counter[31]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => counter(17),
I1 => counter(16),
I2 => counter(19),
I3 => counter(18),
I4 => \v_count_reg[9]_i_10_n_0\,
I5 => \counter[31]_i_10_n_0\,
O => \counter[31]_i_14_n_0\
);
\counter[31]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => counter(31),
I1 => counter(30),
I2 => counter(29),
O => \counter[31]_i_15_n_0\
);
\counter[31]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF7FFFFFFFFFFF"
)
port map (
I0 => counter(2),
I1 => counter(1),
I2 => counter(0),
I3 => counter(3),
I4 => \state_reg_n_0_[1]\,
I5 => \state_reg_n_0_[0]\,
O => \counter[31]_i_16_n_0\
);
\counter[31]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"DFFF"
)
port map (
I0 => counter(4),
I1 => counter(8),
I2 => counter(6),
I3 => counter(5),
O => \counter[31]_i_17_n_0\
);
\counter[31]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => counter(10),
I1 => counter(11),
O => \counter[31]_i_18_n_0\
);
\counter[31]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => counter(15),
I1 => counter(14),
I2 => counter(13),
I3 => counter(12),
O => \counter[31]_i_19_n_0\
);
\counter[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \state_reg_n_0_[0]\,
I1 => \state_reg_n_0_[1]\,
O => \counter[31]_i_2_n_0\
);
\counter[31]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"4440404044404440"
)
port map (
I0 => \counter[31]_i_4_n_0\,
I1 => \counter_reg[31]_i_5_n_5\,
I2 => \counter[31]_i_6_n_0\,
I3 => \counter[31]_i_7_n_0\,
I4 => \counter[31]_i_8_n_0\,
I5 => \counter[31]_i_9_n_0\,
O => p_2_in(31)
);
\counter[31]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => \v_count_reg[9]_i_6_n_0\,
I1 => start_i_5_n_0,
I2 => start_i_4_n_0,
I3 => \v_count_reg[9]_i_5_n_0\,
I4 => start_i_3_n_0,
I5 => \counter[31]_i_10_n_0\,
O => \counter[31]_i_4_n_0\
);
\counter[31]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFEFEFEFF"
)
port map (
I0 => \counter[31]_i_14_n_0\,
I1 => counter(28),
I2 => counter(27),
I3 => \state_reg_n_0_[1]\,
I4 => \state_reg_n_0_[0]\,
I5 => \counter[31]_i_15_n_0\,
O => \counter[31]_i_6_n_0\
);
\counter[31]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFEFF"
)
port map (
I0 => \counter[31]_i_16_n_0\,
I1 => \counter[31]_i_17_n_0\,
I2 => counter(7),
I3 => counter(9),
I4 => \counter[31]_i_18_n_0\,
I5 => \counter[31]_i_19_n_0\,
O => \counter[31]_i_7_n_0\
);
\counter[31]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFBFFF"
)
port map (
I0 => \h_count_reg[9]_i_5_n_0\,
I1 => counter(3),
I2 => counter(0),
I3 => counter(7),
I4 => counter(6),
I5 => \h_count_reg[9]_i_2_n_0\,
O => \counter[31]_i_8_n_0\
);
\counter[31]_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \counter[31]_i_19_n_0\,
I1 => counter(10),
I2 => counter(11),
I3 => counter(8),
I4 => counter(9),
O => \counter[31]_i_9_n_0\
);
\counter[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[4]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(3)
);
\counter[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[4]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(4)
);
\counter[4]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(4),
O => \counter[4]_i_3_n_0\
);
\counter[4]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(3),
O => \counter[4]_i_4_n_0\
);
\counter[4]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(2),
O => \counter[4]_i_5_n_0\
);
\counter[4]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(1),
O => \counter[4]_i_6_n_0\
);
\counter[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[8]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(5)
);
\counter[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[8]_i_2_n_6\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(6)
);
\counter[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[8]_i_2_n_5\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(7)
);
\counter[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[8]_i_2_n_4\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(8)
);
\counter[8]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(8),
O => \counter[8]_i_3_n_0\
);
\counter[8]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(7),
O => \counter[8]_i_4_n_0\
);
\counter[8]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(6),
O => \counter[8]_i_5_n_0\
);
\counter[8]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter(5),
O => \counter[8]_i_6_n_0\
);
\counter[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EAEE0000"
)
port map (
I0 => \counter[31]_i_6_n_0\,
I1 => \counter[31]_i_7_n_0\,
I2 => \counter[31]_i_8_n_0\,
I3 => \counter[31]_i_9_n_0\,
I4 => \counter_reg[12]_i_2_n_7\,
I5 => \counter[31]_i_4_n_0\,
O => p_2_in(9)
);
\counter_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(0),
Q => counter(0),
R => \counter[31]_i_1_n_0\
);
\counter_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(10),
Q => counter(10),
R => \counter[31]_i_1_n_0\
);
\counter_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(11),
Q => counter(11),
R => \counter[31]_i_1_n_0\
);
\counter_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(12),
Q => counter(12),
R => \counter[31]_i_1_n_0\
);
\counter_reg[12]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[8]_i_2_n_0\,
CO(3) => \counter_reg[12]_i_2_n_0\,
CO(2) => \counter_reg[12]_i_2_n_1\,
CO(1) => \counter_reg[12]_i_2_n_2\,
CO(0) => \counter_reg[12]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[12]_i_2_n_4\,
O(2) => \counter_reg[12]_i_2_n_5\,
O(1) => \counter_reg[12]_i_2_n_6\,
O(0) => \counter_reg[12]_i_2_n_7\,
S(3) => \counter[12]_i_3_n_0\,
S(2) => \counter[12]_i_4_n_0\,
S(1) => \counter[12]_i_5_n_0\,
S(0) => \counter[12]_i_6_n_0\
);
\counter_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(13),
Q => counter(13),
R => \counter[31]_i_1_n_0\
);
\counter_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(14),
Q => counter(14),
R => \counter[31]_i_1_n_0\
);
\counter_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(15),
Q => counter(15),
R => \counter[31]_i_1_n_0\
);
\counter_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(16),
Q => counter(16),
R => \counter[31]_i_1_n_0\
);
\counter_reg[16]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[12]_i_2_n_0\,
CO(3) => \counter_reg[16]_i_2_n_0\,
CO(2) => \counter_reg[16]_i_2_n_1\,
CO(1) => \counter_reg[16]_i_2_n_2\,
CO(0) => \counter_reg[16]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[16]_i_2_n_4\,
O(2) => \counter_reg[16]_i_2_n_5\,
O(1) => \counter_reg[16]_i_2_n_6\,
O(0) => \counter_reg[16]_i_2_n_7\,
S(3) => \counter[16]_i_3_n_0\,
S(2) => \counter[16]_i_4_n_0\,
S(1) => \counter[16]_i_5_n_0\,
S(0) => \counter[16]_i_6_n_0\
);
\counter_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(17),
Q => counter(17),
R => \counter[31]_i_1_n_0\
);
\counter_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(18),
Q => counter(18),
R => \counter[31]_i_1_n_0\
);
\counter_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(19),
Q => counter(19),
R => \counter[31]_i_1_n_0\
);
\counter_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(1),
Q => counter(1),
R => \counter[31]_i_1_n_0\
);
\counter_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(20),
Q => counter(20),
R => \counter[31]_i_1_n_0\
);
\counter_reg[20]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[16]_i_2_n_0\,
CO(3) => \counter_reg[20]_i_2_n_0\,
CO(2) => \counter_reg[20]_i_2_n_1\,
CO(1) => \counter_reg[20]_i_2_n_2\,
CO(0) => \counter_reg[20]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[20]_i_2_n_4\,
O(2) => \counter_reg[20]_i_2_n_5\,
O(1) => \counter_reg[20]_i_2_n_6\,
O(0) => \counter_reg[20]_i_2_n_7\,
S(3) => \counter[20]_i_3_n_0\,
S(2) => \counter[20]_i_4_n_0\,
S(1) => \counter[20]_i_5_n_0\,
S(0) => \counter[20]_i_6_n_0\
);
\counter_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(21),
Q => counter(21),
R => \counter[31]_i_1_n_0\
);
\counter_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(22),
Q => counter(22),
R => \counter[31]_i_1_n_0\
);
\counter_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(23),
Q => counter(23),
R => \counter[31]_i_1_n_0\
);
\counter_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(24),
Q => counter(24),
R => \counter[31]_i_1_n_0\
);
\counter_reg[24]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[20]_i_2_n_0\,
CO(3) => \counter_reg[24]_i_2_n_0\,
CO(2) => \counter_reg[24]_i_2_n_1\,
CO(1) => \counter_reg[24]_i_2_n_2\,
CO(0) => \counter_reg[24]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[24]_i_2_n_4\,
O(2) => \counter_reg[24]_i_2_n_5\,
O(1) => \counter_reg[24]_i_2_n_6\,
O(0) => \counter_reg[24]_i_2_n_7\,
S(3) => \counter[24]_i_3_n_0\,
S(2) => \counter[24]_i_4_n_0\,
S(1) => \counter[24]_i_5_n_0\,
S(0) => \counter[24]_i_6_n_0\
);
\counter_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(25),
Q => counter(25),
R => \counter[31]_i_1_n_0\
);
\counter_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(26),
Q => counter(26),
R => \counter[31]_i_1_n_0\
);
\counter_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(27),
Q => counter(27),
R => \counter[31]_i_1_n_0\
);
\counter_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(28),
Q => counter(28),
R => \counter[31]_i_1_n_0\
);
\counter_reg[28]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[24]_i_2_n_0\,
CO(3) => \counter_reg[28]_i_2_n_0\,
CO(2) => \counter_reg[28]_i_2_n_1\,
CO(1) => \counter_reg[28]_i_2_n_2\,
CO(0) => \counter_reg[28]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[28]_i_2_n_4\,
O(2) => \counter_reg[28]_i_2_n_5\,
O(1) => \counter_reg[28]_i_2_n_6\,
O(0) => \counter_reg[28]_i_2_n_7\,
S(3) => \counter[28]_i_3_n_0\,
S(2) => \counter[28]_i_4_n_0\,
S(1) => \counter[28]_i_5_n_0\,
S(0) => \counter[28]_i_6_n_0\
);
\counter_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(29),
Q => counter(29),
R => \counter[31]_i_1_n_0\
);
\counter_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(2),
Q => counter(2),
R => \counter[31]_i_1_n_0\
);
\counter_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(30),
Q => counter(30),
R => \counter[31]_i_1_n_0\
);
\counter_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(31),
Q => counter(31),
R => \counter[31]_i_1_n_0\
);
\counter_reg[31]_i_5\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[28]_i_2_n_0\,
CO(3 downto 2) => \NLW_counter_reg[31]_i_5_CO_UNCONNECTED\(3 downto 2),
CO(1) => \counter_reg[31]_i_5_n_2\,
CO(0) => \counter_reg[31]_i_5_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \NLW_counter_reg[31]_i_5_O_UNCONNECTED\(3),
O(2) => \counter_reg[31]_i_5_n_5\,
O(1) => \counter_reg[31]_i_5_n_6\,
O(0) => \counter_reg[31]_i_5_n_7\,
S(3) => '0',
S(2) => \counter[31]_i_11_n_0\,
S(1) => \counter[31]_i_12_n_0\,
S(0) => \counter[31]_i_13_n_0\
);
\counter_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(3),
Q => counter(3),
R => \counter[31]_i_1_n_0\
);
\counter_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(4),
Q => counter(4),
R => \counter[31]_i_1_n_0\
);
\counter_reg[4]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \counter_reg[4]_i_2_n_0\,
CO(2) => \counter_reg[4]_i_2_n_1\,
CO(1) => \counter_reg[4]_i_2_n_2\,
CO(0) => \counter_reg[4]_i_2_n_3\,
CYINIT => counter(0),
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[4]_i_2_n_4\,
O(2) => \counter_reg[4]_i_2_n_5\,
O(1) => \counter_reg[4]_i_2_n_6\,
O(0) => \counter_reg[4]_i_2_n_7\,
S(3) => \counter[4]_i_3_n_0\,
S(2) => \counter[4]_i_4_n_0\,
S(1) => \counter[4]_i_5_n_0\,
S(0) => \counter[4]_i_6_n_0\
);
\counter_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(5),
Q => counter(5),
R => \counter[31]_i_1_n_0\
);
\counter_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(6),
Q => counter(6),
R => \counter[31]_i_1_n_0\
);
\counter_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(7),
Q => counter(7),
R => \counter[31]_i_1_n_0\
);
\counter_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(8),
Q => counter(8),
R => \counter[31]_i_1_n_0\
);
\counter_reg[8]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[4]_i_2_n_0\,
CO(3) => \counter_reg[8]_i_2_n_0\,
CO(2) => \counter_reg[8]_i_2_n_1\,
CO(1) => \counter_reg[8]_i_2_n_2\,
CO(0) => \counter_reg[8]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[8]_i_2_n_4\,
O(2) => \counter_reg[8]_i_2_n_5\,
O(1) => \counter_reg[8]_i_2_n_6\,
O(0) => \counter_reg[8]_i_2_n_7\,
S(3) => \counter[8]_i_3_n_0\,
S(2) => \counter[8]_i_4_n_0\,
S(1) => \counter[8]_i_5_n_0\,
S(0) => \counter[8]_i_6_n_0\
);
\counter_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \counter[31]_i_2_n_0\,
D => p_2_in(9),
Q => counter(9),
R => \counter[31]_i_1_n_0\
);
\h_count_reg[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \h_count_reg_reg__0\(0),
O => \plusOp__0\(0)
);
\h_count_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \h_count_reg_reg__0\(0),
I1 => \h_count_reg_reg__0\(1),
O => \plusOp__0\(1)
);
\h_count_reg[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \h_count_reg_reg__0\(2),
I1 => \h_count_reg_reg__0\(0),
I2 => \h_count_reg_reg__0\(1),
O => \plusOp__0\(2)
);
\h_count_reg[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \h_count_reg_reg__0\(3),
I1 => \h_count_reg_reg__0\(1),
I2 => \h_count_reg_reg__0\(0),
I3 => \h_count_reg_reg__0\(2),
O => \plusOp__0\(3)
);
\h_count_reg[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \h_count_reg_reg__0\(2),
I1 => \h_count_reg_reg__0\(0),
I2 => \h_count_reg_reg__0\(1),
I3 => \h_count_reg_reg__0\(3),
I4 => \h_count_reg_reg__0\(4),
O => \plusOp__0\(4)
);
\h_count_reg[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \h_count_reg_reg__0\(5),
I1 => \h_count_reg_reg__0\(2),
I2 => \h_count_reg_reg__0\(0),
I3 => \h_count_reg_reg__0\(1),
I4 => \h_count_reg_reg__0\(3),
I5 => \h_count_reg_reg__0\(4),
O => \plusOp__0\(5)
);
\h_count_reg[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \h_count_reg_reg__0\(6),
I1 => \h_count_reg[9]_i_7_n_0\,
I2 => \h_count_reg_reg__0\(5),
O => \plusOp__0\(6)
);
\h_count_reg[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \h_count_reg_reg__0\(7),
I1 => \h_count_reg_reg__0\(5),
I2 => \h_count_reg[9]_i_7_n_0\,
I3 => \h_count_reg_reg__0\(6),
O => \plusOp__0\(7)
);
\h_count_reg[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \h_count_reg_reg__0\(8),
I1 => \h_count_reg_reg__0\(6),
I2 => \h_count_reg[9]_i_7_n_0\,
I3 => \h_count_reg_reg__0\(5),
I4 => \h_count_reg_reg__0\(7),
O => \plusOp__0\(8)
);
\h_count_reg[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DDDDDDFDDDDDDDDD"
)
port map (
I0 => rst,
I1 => vsync,
I2 => \counter[31]_i_9_n_0\,
I3 => \h_count_reg[9]_i_4_n_0\,
I4 => \h_count_reg[9]_i_5_n_0\,
I5 => \h_count_reg[9]_i_6_n_0\,
O => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg[9]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \state_reg_n_0_[0]\,
I1 => \state_reg_n_0_[1]\,
O => \h_count_reg[9]_i_2_n_0\
);
\h_count_reg[9]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \h_count_reg_reg__0\(9),
I1 => \h_count_reg_reg__0\(7),
I2 => \h_count_reg_reg__0\(5),
I3 => \h_count_reg[9]_i_7_n_0\,
I4 => \h_count_reg_reg__0\(6),
I5 => \h_count_reg_reg__0\(8),
O => \plusOp__0\(9)
);
\h_count_reg[9]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FDFFFFFFFFFFFFFF"
)
port map (
I0 => \state_reg_n_0_[1]\,
I1 => \state_reg_n_0_[0]\,
I2 => counter(6),
I3 => counter(7),
I4 => counter(0),
I5 => counter(3),
O => \h_count_reg[9]_i_4_n_0\
);
\h_count_reg[9]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFF7"
)
port map (
I0 => counter(1),
I1 => counter(2),
I2 => counter(4),
I3 => counter(5),
O => \h_count_reg[9]_i_5_n_0\
);
\h_count_reg[9]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => \v_count_reg[9]_i_5_n_0\,
I1 => counter(24),
I2 => counter(26),
I3 => counter(25),
I4 => \v_count_reg[9]_i_10_n_0\,
I5 => \h_count_reg[9]_i_8_n_0\,
O => \h_count_reg[9]_i_6_n_0\
);
\h_count_reg[9]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => \h_count_reg_reg__0\(4),
I1 => \h_count_reg_reg__0\(3),
I2 => \h_count_reg_reg__0\(1),
I3 => \h_count_reg_reg__0\(0),
I4 => \h_count_reg_reg__0\(2),
O => \h_count_reg[9]_i_7_n_0\
);
\h_count_reg[9]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => counter(17),
I1 => counter(16),
I2 => counter(19),
I3 => counter(18),
O => \h_count_reg[9]_i_8_n_0\
);
\h_count_reg_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(0),
Q => \h_count_reg_reg__0\(0),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(1),
Q => \h_count_reg_reg__0\(1),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(2),
Q => \h_count_reg_reg__0\(2),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(3),
Q => \h_count_reg_reg__0\(3),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(4),
Q => \h_count_reg_reg__0\(4),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(5),
Q => \h_count_reg_reg__0\(5),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(6),
Q => \h_count_reg_reg__0\(6),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(7),
Q => \h_count_reg_reg__0\(7),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(8),
Q => \h_count_reg_reg__0\(8),
R => \h_count_reg[9]_i_1_n_0\
);
\h_count_reg_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \h_count_reg[9]_i_2_n_0\,
D => \plusOp__0\(9),
Q => \h_count_reg_reg__0\(9),
R => \h_count_reg[9]_i_1_n_0\
);
start_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000220E0000"
)
port map (
I0 => \^start\,
I1 => start_i_2_n_0,
I2 => \state_reg_n_0_[0]\,
I3 => \state_reg_n_0_[1]\,
I4 => rst,
I5 => vsync,
O => start_i_1_n_0
);
start_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \h_count_reg[9]_i_6_n_0\,
I1 => start_i_3_n_0,
I2 => start_i_4_n_0,
I3 => start_i_5_n_0,
O => start_i_2_n_0
);
start_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => counter(15),
I1 => counter(14),
I2 => counter(4),
I3 => counter(6),
O => start_i_3_n_0
);
start_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => counter(3),
I1 => counter(1),
I2 => counter(2),
I3 => counter(11),
I4 => start_i_6_n_0,
O => start_i_4_n_0
);
start_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFF7"
)
port map (
I0 => counter(5),
I1 => counter(13),
I2 => counter(8),
I3 => counter(9),
I4 => \state_reg_n_0_[1]\,
I5 => \state_reg_n_0_[0]\,
O => start_i_5_n_0
);
start_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => counter(7),
I1 => counter(0),
I2 => counter(10),
I3 => counter(12),
O => start_i_6_n_0
);
start_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => start_i_1_n_0,
Q => \^start\,
R => '0'
);
\state[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FE560000"
)
port map (
I0 => \state_reg_n_0_[0]\,
I1 => \state[1]_i_2_n_0\,
I2 => start_i_2_n_0,
I3 => \state_reg_n_0_[1]\,
I4 => rst,
I5 => vsync,
O => \state[0]_i_1_n_0\
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000E6E2"
)
port map (
I0 => \state_reg_n_0_[1]\,
I1 => \state[1]_i_2_n_0\,
I2 => \state[1]_i_3_n_0\,
I3 => \state_reg_n_0_[0]\,
I4 => \state[1]_i_4_n_0\,
O => \state[1]_i_1_n_0\
);
\state[1]_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => counter(2),
I1 => counter(1),
O => \state[1]_i_10_n_0\
);
\state[1]_i_11\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => counter(27),
I1 => counter(28),
O => \state[1]_i_11_n_0\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"4444444F44444444"
)
port map (
I0 => \counter[31]_i_7_n_0\,
I1 => \h_count_reg[9]_i_6_n_0\,
I2 => \state[1]_i_5_n_0\,
I3 => \state[1]_i_6_n_0\,
I4 => \v_count_reg[9]_i_4_n_0\,
I5 => \state[1]_i_7_n_0\,
O => \state[1]_i_2_n_0\
);
\state[1]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0010000000000000"
)
port map (
I0 => \v_count_reg[9]_i_7_n_0\,
I1 => \v_count_reg_reg__0\(9),
I2 => \v_count_reg_reg__0\(6),
I3 => \v_count_reg_reg__0\(5),
I4 => \v_count_reg_reg__0\(7),
I5 => \v_count_reg_reg__0\(8),
O => \state[1]_i_3_n_0\
);
\state[1]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAABAAAAAAAA"
)
port map (
I0 => \counter[31]_i_1_n_0\,
I1 => \state[1]_i_8_n_0\,
I2 => \state[1]_i_9_n_0\,
I3 => \state[1]_i_6_n_0\,
I4 => start_i_4_n_0,
I5 => \state[1]_i_7_n_0\,
O => \state[1]_i_4_n_0\
);
\state[1]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFB"
)
port map (
I0 => \state[1]_i_10_n_0\,
I1 => counter(7),
I2 => counter(5),
I3 => \h_count_reg[9]_i_2_n_0\,
I4 => \state[1]_i_9_n_0\,
I5 => \v_count_reg[9]_i_9_n_0\,
O => \state[1]_i_5_n_0\
);
\state[1]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => counter(25),
I1 => counter(26),
I2 => \state[1]_i_11_n_0\,
I3 => counter(16),
I4 => counter(31),
I5 => \v_count_reg[9]_i_8_n_0\,
O => \state[1]_i_6_n_0\
);
\state[1]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => counter(18),
I1 => counter(17),
I2 => counter(19),
I3 => \v_count_reg[9]_i_10_n_0\,
I4 => counter(24),
O => \state[1]_i_7_n_0\
);
\state[1]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFF7"
)
port map (
I0 => counter(13),
I1 => counter(5),
I2 => \state_reg_n_0_[0]\,
I3 => \state_reg_n_0_[1]\,
I4 => counter(9),
I5 => counter(14),
O => \state[1]_i_8_n_0\
);
\state[1]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => counter(30),
I1 => counter(29),
I2 => counter(4),
I3 => counter(8),
O => \state[1]_i_9_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \state_reg_n_0_[0]\,
R => '0'
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => \state[1]_i_1_n_0\,
Q => \state_reg_n_0_[1]\,
R => '0'
);
\v_count_reg[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \v_count_reg_reg__0\(0),
O => plusOp(0)
);
\v_count_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \v_count_reg_reg__0\(0),
I1 => \v_count_reg_reg__0\(1),
O => plusOp(1)
);
\v_count_reg[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \v_count_reg_reg__0\(2),
I1 => \v_count_reg_reg__0\(0),
I2 => \v_count_reg_reg__0\(1),
O => plusOp(2)
);
\v_count_reg[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \v_count_reg_reg__0\(3),
I1 => \v_count_reg_reg__0\(1),
I2 => \v_count_reg_reg__0\(0),
I3 => \v_count_reg_reg__0\(2),
O => plusOp(3)
);
\v_count_reg[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \v_count_reg_reg__0\(4),
I1 => \v_count_reg_reg__0\(2),
I2 => \v_count_reg_reg__0\(0),
I3 => \v_count_reg_reg__0\(1),
I4 => \v_count_reg_reg__0\(3),
O => plusOp(4)
);
\v_count_reg[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \v_count_reg_reg__0\(5),
I1 => \v_count_reg_reg__0\(3),
I2 => \v_count_reg_reg__0\(1),
I3 => \v_count_reg_reg__0\(0),
I4 => \v_count_reg_reg__0\(2),
I5 => \v_count_reg_reg__0\(4),
O => plusOp(5)
);
\v_count_reg[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \v_count_reg_reg__0\(6),
I1 => \v_count_reg[9]_i_7_n_0\,
I2 => \v_count_reg_reg__0\(5),
O => plusOp(6)
);
\v_count_reg[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"A6AA"
)
port map (
I0 => \v_count_reg_reg__0\(7),
I1 => \v_count_reg_reg__0\(5),
I2 => \v_count_reg[9]_i_7_n_0\,
I3 => \v_count_reg_reg__0\(6),
O => plusOp(7)
);
\v_count_reg[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A6AAAAAA"
)
port map (
I0 => \v_count_reg_reg__0\(8),
I1 => \v_count_reg_reg__0\(6),
I2 => \v_count_reg[9]_i_7_n_0\,
I3 => \v_count_reg_reg__0\(5),
I4 => \v_count_reg_reg__0\(7),
O => plusOp(8)
);
\v_count_reg[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \v_count_reg[9]_i_3_n_0\,
I1 => \v_count_reg[9]_i_4_n_0\,
I2 => \v_count_reg[9]_i_5_n_0\,
I3 => \v_count_reg[9]_i_6_n_0\,
I4 => \state[1]_i_3_n_0\,
O => \v_count_reg[9]_i_1_n_0\
);
\v_count_reg[9]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => counter(21),
I1 => counter(20),
I2 => counter(23),
I3 => counter(22),
O => \v_count_reg[9]_i_10_n_0\
);
\v_count_reg[9]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAA6AAAAAAAAAAA"
)
port map (
I0 => \v_count_reg_reg__0\(9),
I1 => \v_count_reg_reg__0\(7),
I2 => \v_count_reg_reg__0\(8),
I3 => \v_count_reg_reg__0\(6),
I4 => \v_count_reg[9]_i_7_n_0\,
I5 => \v_count_reg_reg__0\(5),
O => plusOp(9)
);
\v_count_reg[9]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFB"
)
port map (
I0 => \v_count_reg[9]_i_8_n_0\,
I1 => counter(7),
I2 => counter(8),
I3 => \h_count_reg[9]_i_5_n_0\,
I4 => \v_count_reg[9]_i_9_n_0\,
I5 => \counter[31]_i_10_n_0\,
O => \v_count_reg[9]_i_3_n_0\
);
\v_count_reg[9]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => counter(11),
I1 => counter(10),
I2 => counter(9),
I3 => counter(14),
I4 => counter(12),
I5 => counter(13),
O => \v_count_reg[9]_i_4_n_0\
);
\v_count_reg[9]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => counter(28),
I1 => counter(27),
I2 => counter(29),
I3 => counter(30),
I4 => counter(31),
O => \v_count_reg[9]_i_5_n_0\
);
\v_count_reg[9]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \v_count_reg[9]_i_10_n_0\,
I1 => counter(18),
I2 => counter(19),
I3 => counter(16),
I4 => counter(17),
O => \v_count_reg[9]_i_6_n_0\
);
\v_count_reg[9]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFFFFFF"
)
port map (
I0 => \v_count_reg_reg__0\(3),
I1 => \v_count_reg_reg__0\(1),
I2 => \v_count_reg_reg__0\(0),
I3 => \v_count_reg_reg__0\(2),
I4 => \v_count_reg_reg__0\(4),
O => \v_count_reg[9]_i_7_n_0\
);
\v_count_reg[9]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => counter(6),
I1 => counter(15),
O => \v_count_reg[9]_i_8_n_0\
);
\v_count_reg[9]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF7F"
)
port map (
I0 => counter(3),
I1 => counter(0),
I2 => \state_reg_n_0_[1]\,
I3 => \state_reg_n_0_[0]\,
O => \v_count_reg[9]_i_9_n_0\
);
\v_count_reg_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(0),
Q => \v_count_reg_reg__0\(0),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(1),
Q => \v_count_reg_reg__0\(1),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(2),
Q => \v_count_reg_reg__0\(2),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(3),
Q => \v_count_reg_reg__0\(3),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(4),
Q => \v_count_reg_reg__0\(4),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(5),
Q => \v_count_reg_reg__0\(5),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(6),
Q => \v_count_reg_reg__0\(6),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(7),
Q => \v_count_reg_reg__0\(7),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(8),
Q => \v_count_reg_reg__0\(8),
R => \counter[31]_i_1_n_0\
);
\v_count_reg_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => \v_count_reg[9]_i_1_n_0\,
D => plusOp(9),
Q => \v_count_reg_reg__0\(9),
R => \counter[31]_i_1_n_0\
);
\xaddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(0),
Q => xaddr(0),
R => '0'
);
\xaddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(1),
Q => xaddr(1),
R => '0'
);
\xaddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(2),
Q => xaddr(2),
R => '0'
);
\xaddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(3),
Q => xaddr(3),
R => '0'
);
\xaddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(4),
Q => xaddr(4),
R => '0'
);
\xaddr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(5),
Q => xaddr(5),
R => '0'
);
\xaddr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(6),
Q => xaddr(6),
R => '0'
);
\xaddr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(7),
Q => xaddr(7),
R => '0'
);
\xaddr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(8),
Q => xaddr(8),
R => '0'
);
\xaddr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \h_count_reg_reg__0\(9),
Q => xaddr(9),
R => '0'
);
\yaddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(0),
Q => yaddr(0),
R => '0'
);
\yaddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(1),
Q => yaddr(1),
R => '0'
);
\yaddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(2),
Q => yaddr(2),
R => '0'
);
\yaddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(3),
Q => yaddr(3),
R => '0'
);
\yaddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(4),
Q => yaddr(4),
R => '0'
);
\yaddr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(5),
Q => yaddr(5),
R => '0'
);
\yaddr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(6),
Q => yaddr(6),
R => '0'
);
\yaddr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(7),
Q => yaddr(7),
R => '0'
);
\yaddr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(8),
Q => yaddr(8),
R => '0'
);
\yaddr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \v_count_reg_reg__0\(9),
Q => yaddr(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_sync_ref_0_0 is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
start : out STD_LOGIC;
active : out STD_LOGIC;
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_vga_sync_ref_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_sync_ref_0_0 : entity is "system_vga_sync_ref_0_0,vga_sync_ref,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_sync_ref_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_sync_ref_0_0 : entity is "vga_sync_ref,Vivado 2016.4";
end system_vga_sync_ref_0_0;
architecture STRUCTURE of system_vga_sync_ref_0_0 is
begin
U0: entity work.system_vga_sync_ref_0_0_vga_sync_ref
port map (
active => active,
clk => clk,
rst => rst,
start => start,
vsync => vsync,
xaddr(9 downto 0) => xaddr(9 downto 0),
yaddr(9 downto 0) => yaddr(9 downto 0)
);
end STRUCTURE;
| mit | 9cdac5480815463bbf63bd6f75cec70e | 0.486148 | 2.524799 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/hdl/system_wrapper.vhd | 1 | 3,084 | --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
--Date : Tue Jun 06 02:54:53 2017
--Host : GILAMONSTER running 64-bit major release (build 9200)
--Command : generate_target system_wrapper.bd
--Design : system_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_wrapper is
port (
clk_100 : in STD_LOGIC;
data_0 : in STD_LOGIC_VECTOR ( 7 downto 0 );
data_1 : in STD_LOGIC_VECTOR ( 7 downto 0 );
hdmi_clk : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hsync_0 : in STD_LOGIC;
hsync_1 : in STD_LOGIC;
pclk_0 : in STD_LOGIC;
pclk_1 : in STD_LOGIC;
ready : out STD_LOGIC_VECTOR ( 0 to 0 );
reset : in STD_LOGIC;
sioc_0 : out STD_LOGIC;
sioc_1 : out STD_LOGIC;
siod_0 : inout STD_LOGIC;
siod_1 : inout STD_LOGIC;
vsync_0 : in STD_LOGIC;
vsync_1 : in STD_LOGIC;
xclk_0 : out STD_LOGIC;
xclk_1 : out STD_LOGIC
);
end system_wrapper;
architecture STRUCTURE of system_wrapper is
component system is
port (
hdmi_clk : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC;
ready : out STD_LOGIC_VECTOR ( 0 to 0 );
sioc_0 : out STD_LOGIC;
siod_0 : inout STD_LOGIC;
data_0 : in STD_LOGIC_VECTOR ( 7 downto 0 );
hsync_0 : in STD_LOGIC;
vsync_0 : in STD_LOGIC;
reset : in STD_LOGIC;
pclk_0 : in STD_LOGIC;
clk_100 : in STD_LOGIC;
sioc_1 : out STD_LOGIC;
siod_1 : inout STD_LOGIC;
vsync_1 : in STD_LOGIC;
pclk_1 : in STD_LOGIC;
data_1 : in STD_LOGIC_VECTOR ( 7 downto 0 );
hsync_1 : in STD_LOGIC;
xclk_1 : out STD_LOGIC;
xclk_0 : out STD_LOGIC
);
end component system;
begin
system_i: component system
port map (
clk_100 => clk_100,
data_0(7 downto 0) => data_0(7 downto 0),
data_1(7 downto 0) => data_1(7 downto 0),
hdmi_clk => hdmi_clk,
hdmi_d(15 downto 0) => hdmi_d(15 downto 0),
hdmi_de => hdmi_de,
hdmi_hsync => hdmi_hsync,
hdmi_scl => hdmi_scl,
hdmi_sda => hdmi_sda,
hdmi_vsync => hdmi_vsync,
hsync_0 => hsync_0,
hsync_1 => hsync_1,
pclk_0 => pclk_0,
pclk_1 => pclk_1,
ready(0) => ready(0),
reset => reset,
sioc_0 => sioc_0,
sioc_1 => sioc_1,
siod_0 => siod_0,
siod_1 => siod_1,
vsync_0 => vsync_0,
vsync_1 => vsync_1,
xclk_0 => xclk_0,
xclk_1 => xclk_1
);
end STRUCTURE;
| mit | 63e8eafcbf2dc9845039419b019ae30d | 0.558042 | 3.209157 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/hdl/affine_block.vhd | 2 | 7,875 | --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
--Date : Mon Feb 20 13:51:56 2017
--Host : GILAMONSTER running 64-bit major release (build 9200)
--Command : generate_target affine_block.bd
--Design : affine_block
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity affine_block is
port (
a00 : in STD_LOGIC_VECTOR ( 31 downto 0 );
a01 : in STD_LOGIC_VECTOR ( 31 downto 0 );
a10 : in STD_LOGIC_VECTOR ( 31 downto 0 );
a11 : in STD_LOGIC_VECTOR ( 31 downto 0 );
x_in : in STD_LOGIC_VECTOR ( 9 downto 0 );
x_out : out STD_LOGIC_VECTOR ( 9 downto 0 );
y_in : in STD_LOGIC_VECTOR ( 9 downto 0 );
y_out : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of affine_block : entity is "affine_block,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=affine_block,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=10,numReposBlks=10,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of affine_block : entity is "affine_block.hwdef";
end affine_block;
architecture STRUCTURE of affine_block is
component affine_block_uint_to_ieee754_fp_0_0 is
port (
x : in STD_LOGIC_VECTOR ( 9 downto 0 );
y : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_uint_to_ieee754_fp_0_0;
component affine_block_uint_to_ieee754_fp_0_1 is
port (
x : in STD_LOGIC_VECTOR ( 9 downto 0 );
y : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_uint_to_ieee754_fp_0_1;
component affine_block_ieee754_fp_multiplier_0_0 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_ieee754_fp_multiplier_0_0;
component affine_block_ieee754_fp_multiplier_1_0 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_ieee754_fp_multiplier_1_0;
component affine_block_ieee754_fp_multiplier_1_1 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_ieee754_fp_multiplier_1_1;
component affine_block_ieee754_fp_multiplier_1_2 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_ieee754_fp_multiplier_1_2;
component affine_block_ieee754_fp_adder_subtractor_0_0 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_ieee754_fp_adder_subtractor_0_0;
component affine_block_ieee754_fp_adder_subtractor_0_1 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component affine_block_ieee754_fp_adder_subtractor_0_1;
component affine_block_ieee754_fp_to_uint_0_0 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end component affine_block_ieee754_fp_to_uint_0_0;
component affine_block_ieee754_fp_to_uint_0_1 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end component affine_block_ieee754_fp_to_uint_0_1;
signal ieee754_fp_adder_subtractor_0_z : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ieee754_fp_adder_subtractor_1_z : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ieee754_fp_multiplier_0_z : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ieee754_fp_multiplier_1_z : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ieee754_fp_multiplier_2_z : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ieee754_fp_multiplier_3_z : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ieee754_fp_to_uint_0_y : STD_LOGIC_VECTOR ( 9 downto 0 );
signal ieee754_fp_to_uint_1_y : STD_LOGIC_VECTOR ( 9 downto 0 );
signal uint_to_ieee754_fp_0_y : STD_LOGIC_VECTOR ( 31 downto 0 );
signal uint_to_ieee754_fp_1_y : STD_LOGIC_VECTOR ( 31 downto 0 );
signal x_1 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal x_2 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal y_1 : STD_LOGIC_VECTOR ( 31 downto 0 );
signal y_2 : STD_LOGIC_VECTOR ( 31 downto 0 );
signal y_3 : STD_LOGIC_VECTOR ( 31 downto 0 );
signal y_4 : STD_LOGIC_VECTOR ( 31 downto 0 );
begin
x_1(9 downto 0) <= x_in(9 downto 0);
x_2(9 downto 0) <= y_in(9 downto 0);
x_out(9 downto 0) <= ieee754_fp_to_uint_0_y(9 downto 0);
y_1(31 downto 0) <= a00(31 downto 0);
y_2(31 downto 0) <= a01(31 downto 0);
y_3(31 downto 0) <= a10(31 downto 0);
y_4(31 downto 0) <= a11(31 downto 0);
y_out(9 downto 0) <= ieee754_fp_to_uint_1_y(9 downto 0);
ieee754_fp_adder_subtractor_0: component affine_block_ieee754_fp_adder_subtractor_0_0
port map (
x(31 downto 0) => ieee754_fp_multiplier_0_z(31 downto 0),
y(31 downto 0) => ieee754_fp_multiplier_1_z(31 downto 0),
z(31 downto 0) => ieee754_fp_adder_subtractor_0_z(31 downto 0)
);
ieee754_fp_adder_subtractor_1: component affine_block_ieee754_fp_adder_subtractor_0_1
port map (
x(31 downto 0) => ieee754_fp_multiplier_2_z(31 downto 0),
y(31 downto 0) => ieee754_fp_multiplier_3_z(31 downto 0),
z(31 downto 0) => ieee754_fp_adder_subtractor_1_z(31 downto 0)
);
ieee754_fp_multiplier_0: component affine_block_ieee754_fp_multiplier_0_0
port map (
x(31 downto 0) => uint_to_ieee754_fp_0_y(31 downto 0),
y(31 downto 0) => y_1(31 downto 0),
z(31 downto 0) => ieee754_fp_multiplier_0_z(31 downto 0)
);
ieee754_fp_multiplier_1: component affine_block_ieee754_fp_multiplier_1_0
port map (
x(31 downto 0) => uint_to_ieee754_fp_1_y(31 downto 0),
y(31 downto 0) => y_2(31 downto 0),
z(31 downto 0) => ieee754_fp_multiplier_1_z(31 downto 0)
);
ieee754_fp_multiplier_2: component affine_block_ieee754_fp_multiplier_1_1
port map (
x(31 downto 0) => uint_to_ieee754_fp_0_y(31 downto 0),
y(31 downto 0) => y_3(31 downto 0),
z(31 downto 0) => ieee754_fp_multiplier_2_z(31 downto 0)
);
ieee754_fp_multiplier_3: component affine_block_ieee754_fp_multiplier_1_2
port map (
x(31 downto 0) => uint_to_ieee754_fp_1_y(31 downto 0),
y(31 downto 0) => y_4(31 downto 0),
z(31 downto 0) => ieee754_fp_multiplier_3_z(31 downto 0)
);
ieee754_fp_to_uint_0: component affine_block_ieee754_fp_to_uint_0_0
port map (
x(31 downto 0) => ieee754_fp_adder_subtractor_0_z(31 downto 0),
y(9 downto 0) => ieee754_fp_to_uint_0_y(9 downto 0)
);
ieee754_fp_to_uint_1: component affine_block_ieee754_fp_to_uint_0_1
port map (
x(31 downto 0) => ieee754_fp_adder_subtractor_1_z(31 downto 0),
y(9 downto 0) => ieee754_fp_to_uint_1_y(9 downto 0)
);
uint_to_ieee754_fp_0: component affine_block_uint_to_ieee754_fp_0_0
port map (
x(9 downto 0) => x_1(9 downto 0),
y(31 downto 0) => uint_to_ieee754_fp_0_y(31 downto 0)
);
uint_to_ieee754_fp_1: component affine_block_uint_to_ieee754_fp_0_1
port map (
x(9 downto 0) => x_2(9 downto 0),
y(31 downto 0) => uint_to_ieee754_fp_1_y(31 downto 0)
);
end STRUCTURE;
| mit | 86277be9bb294e82a6f251d3209ef712 | 0.645206 | 2.93953 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_rgb888_mux_2_0_0/system_rgb888_mux_2_0_0_sim_netlist.vhdl | 1 | 13,017 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sat May 27 21:25:00 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_rgb888_mux_2_0_0 -prefix
-- system_rgb888_mux_2_0_0_ system_rgb888_mux_2_0_0_sim_netlist.vhdl
-- Design : system_rgb888_mux_2_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb888_mux_2_0_0_rgb888_mux_2 is
port (
rgb888 : out STD_LOGIC_VECTOR ( 23 downto 0 );
rgb888_1 : in STD_LOGIC_VECTOR ( 23 downto 0 );
rgb888_0 : in STD_LOGIC_VECTOR ( 23 downto 0 );
sel : in STD_LOGIC;
clk : in STD_LOGIC
);
end system_rgb888_mux_2_0_0_rgb888_mux_2;
architecture STRUCTURE of system_rgb888_mux_2_0_0_rgb888_mux_2 is
signal p_0_in : STD_LOGIC_VECTOR ( 23 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rgb888[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb888[10]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rgb888[11]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rgb888[12]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb888[13]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb888[14]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb888[15]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb888[16]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb888[17]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb888[18]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb888[19]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb888[1]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb888[20]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb888[21]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb888[22]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \rgb888[23]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \rgb888[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb888[3]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb888[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb888[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb888[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb888[7]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb888[8]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb888[9]_i_1\ : label is "soft_lutpair4";
begin
\rgb888[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(0),
I1 => rgb888_0(0),
I2 => sel,
O => p_0_in(0)
);
\rgb888[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(10),
I1 => rgb888_0(10),
I2 => sel,
O => p_0_in(10)
);
\rgb888[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(11),
I1 => rgb888_0(11),
I2 => sel,
O => p_0_in(11)
);
\rgb888[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(12),
I1 => rgb888_0(12),
I2 => sel,
O => p_0_in(12)
);
\rgb888[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(13),
I1 => rgb888_0(13),
I2 => sel,
O => p_0_in(13)
);
\rgb888[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(14),
I1 => rgb888_0(14),
I2 => sel,
O => p_0_in(14)
);
\rgb888[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(15),
I1 => rgb888_0(15),
I2 => sel,
O => p_0_in(15)
);
\rgb888[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(16),
I1 => rgb888_0(16),
I2 => sel,
O => p_0_in(16)
);
\rgb888[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(17),
I1 => rgb888_0(17),
I2 => sel,
O => p_0_in(17)
);
\rgb888[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(18),
I1 => rgb888_0(18),
I2 => sel,
O => p_0_in(18)
);
\rgb888[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(19),
I1 => rgb888_0(19),
I2 => sel,
O => p_0_in(19)
);
\rgb888[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(1),
I1 => rgb888_0(1),
I2 => sel,
O => p_0_in(1)
);
\rgb888[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(20),
I1 => rgb888_0(20),
I2 => sel,
O => p_0_in(20)
);
\rgb888[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(21),
I1 => rgb888_0(21),
I2 => sel,
O => p_0_in(21)
);
\rgb888[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(22),
I1 => rgb888_0(22),
I2 => sel,
O => p_0_in(22)
);
\rgb888[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(23),
I1 => rgb888_0(23),
I2 => sel,
O => p_0_in(23)
);
\rgb888[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(2),
I1 => rgb888_0(2),
I2 => sel,
O => p_0_in(2)
);
\rgb888[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(3),
I1 => rgb888_0(3),
I2 => sel,
O => p_0_in(3)
);
\rgb888[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(4),
I1 => rgb888_0(4),
I2 => sel,
O => p_0_in(4)
);
\rgb888[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(5),
I1 => rgb888_0(5),
I2 => sel,
O => p_0_in(5)
);
\rgb888[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(6),
I1 => rgb888_0(6),
I2 => sel,
O => p_0_in(6)
);
\rgb888[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(7),
I1 => rgb888_0(7),
I2 => sel,
O => p_0_in(7)
);
\rgb888[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(8),
I1 => rgb888_0(8),
I2 => sel,
O => p_0_in(8)
);
\rgb888[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rgb888_1(9),
I1 => rgb888_0(9),
I2 => sel,
O => p_0_in(9)
);
\rgb888_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(0),
Q => rgb888(0),
R => '0'
);
\rgb888_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(10),
Q => rgb888(10),
R => '0'
);
\rgb888_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(11),
Q => rgb888(11),
R => '0'
);
\rgb888_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(12),
Q => rgb888(12),
R => '0'
);
\rgb888_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(13),
Q => rgb888(13),
R => '0'
);
\rgb888_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(14),
Q => rgb888(14),
R => '0'
);
\rgb888_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(15),
Q => rgb888(15),
R => '0'
);
\rgb888_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(16),
Q => rgb888(16),
R => '0'
);
\rgb888_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(17),
Q => rgb888(17),
R => '0'
);
\rgb888_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(18),
Q => rgb888(18),
R => '0'
);
\rgb888_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(19),
Q => rgb888(19),
R => '0'
);
\rgb888_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(1),
Q => rgb888(1),
R => '0'
);
\rgb888_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(20),
Q => rgb888(20),
R => '0'
);
\rgb888_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(21),
Q => rgb888(21),
R => '0'
);
\rgb888_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(22),
Q => rgb888(22),
R => '0'
);
\rgb888_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(23),
Q => rgb888(23),
R => '0'
);
\rgb888_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(2),
Q => rgb888(2),
R => '0'
);
\rgb888_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(3),
Q => rgb888(3),
R => '0'
);
\rgb888_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(4),
Q => rgb888(4),
R => '0'
);
\rgb888_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(5),
Q => rgb888(5),
R => '0'
);
\rgb888_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(6),
Q => rgb888(6),
R => '0'
);
\rgb888_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(7),
Q => rgb888(7),
R => '0'
);
\rgb888_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(8),
Q => rgb888(8),
R => '0'
);
\rgb888_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => p_0_in(9),
Q => rgb888(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb888_mux_2_0_0 is
port (
clk : in STD_LOGIC;
sel : in STD_LOGIC;
rgb888_0 : in STD_LOGIC_VECTOR ( 23 downto 0 );
rgb888_1 : in STD_LOGIC_VECTOR ( 23 downto 0 );
rgb888 : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_rgb888_mux_2_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_rgb888_mux_2_0_0 : entity is "system_rgb888_mux_2_0_0,rgb888_mux_2,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_rgb888_mux_2_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_rgb888_mux_2_0_0 : entity is "rgb888_mux_2,Vivado 2016.4";
end system_rgb888_mux_2_0_0;
architecture STRUCTURE of system_rgb888_mux_2_0_0 is
begin
U0: entity work.system_rgb888_mux_2_0_0_rgb888_mux_2
port map (
clk => clk,
rgb888(23 downto 0) => rgb888(23 downto 0),
rgb888_0(23 downto 0) => rgb888_0(23 downto 0),
rgb888_1(23 downto 0) => rgb888_1(23 downto 0),
sel => sel
);
end STRUCTURE;
| mit | 2a4d36da0b4fdd05ff90cce67d7e1099 | 0.50242 | 2.854605 | false | false | false | false |
loa-org/loa-hdl | template/template_fsm.vhd | 1 | 2,635 | -------------------------------------------------------------------------------
-- Title : xyz
-- Project : LOA Project - HDL Part
-------------------------------------------------------------------------------
-- File : template_fsm.vhd
-- Author :
-- Company :
-- Created : 2015-mm-dd
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: This is a Template for FSMs in 2-process description style.
-------------------------------------------------------------------------------
-- Copyright (c) 2015
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
library work;
use work.reset_pkg.all;
entity xyz is
generic (
RESET_IMPL : reset_type := none);
port (
reset : in std_logic_1164;
clk : in std_logic);
end entity xyz;
-------------------------------------------------------------------------------
architecture behavioural of xyz is
type xyz_state_type is (IDLE, START);
type xyz_type is record
state : xyz_state_type;
somesignal : std_logic;
end record;
constant xyz_rx_type_initial : xyz_type := (
state => IDLE,
somesignal => '0');
signal r, rin : xyz_type := xyz_type_initial;
begin
bus_o.data <= r.dout;
-----------------------------------------------------------------------------
-- Combinatorial part of FSM
-----------------------------------------------------------------------------
comb_proc : process(r, reset)
variable v : xyz_rx_type;
begin
v := r;
case r.state is
when IDLE =>
end case;
-- sync reset
if RESET_IMPL = sync then
if reset = '1' then
v := xyz_type_initial;
end if;
end if;
rin <= v;
end process comb_proc;
----------------------------------------------------------------------------
-- Sequential part of finite state machine (FSM)
----------------------------------------------------------------------------
reset_async : if RESET_IMPL = async generate
seq_proc : process(clk, reset)
begin
if reset = '1' then
r <= xyz_type_initial; -- async reset
elsif rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
end generate reset_async;
reset_sync : if not (RESET_IMPL = async) generate
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
end generate reset_sync;
end architecture xyz;
| bsd-3-clause | de3cabdf51eaacfe7ed34c43e7e0f089 | 0.41556 | 4.69697 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_hdmi_test/zed_hdmi_test.srcs/sources_1/bd/system/ip/system_vga_pll_0_0/synth/system_vga_pll_0_0.vhd | 3 | 3,802 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_pll:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_pll_0_0 IS
PORT (
clk_100 : IN STD_LOGIC;
clk_50 : OUT STD_LOGIC;
clk_25 : OUT STD_LOGIC;
clk_12_5 : OUT STD_LOGIC;
clk_6_25 : OUT STD_LOGIC
);
END system_vga_pll_0_0;
ARCHITECTURE system_vga_pll_0_0_arch OF system_vga_pll_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_pll_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_pll IS
PORT (
clk_100 : IN STD_LOGIC;
clk_50 : OUT STD_LOGIC;
clk_25 : OUT STD_LOGIC;
clk_12_5 : OUT STD_LOGIC;
clk_6_25 : OUT STD_LOGIC
);
END COMPONENT vga_pll;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_vga_pll_0_0_arch: ARCHITECTURE IS "vga_pll,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_pll_0_0_arch : ARCHITECTURE IS "system_vga_pll_0_0,vga_pll,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_vga_pll_0_0_arch: ARCHITECTURE IS "system_vga_pll_0_0,vga_pll,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_pll,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
BEGIN
U0 : vga_pll
PORT MAP (
clk_100 => clk_100,
clk_50 => clk_50,
clk_25 => clk_25,
clk_12_5 => clk_12_5,
clk_6_25 => clk_6_25
);
END system_vga_pll_0_0_arch;
| mit | ccebaf8408dfd35427c1d109c5a70d1d | 0.725145 | 3.712891 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/sqrt/hdl/xbip_utils_v3_0_vh_rfs.vhd | 13 | 163,693 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EAn/CKC+U/pm42S3DpEFSVwzlTOXuRyNz4u2z5zKejEznW1x5QrUt8jy1xCYF7wPwcsp9IbEYQjw
Uh0w/eBguw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S+bnY/fSJNCyzmV2nC+yz0CGvgHtAi+wmxMKmzbqchVWqZndCdRcS+GF43CEbEgXUiivUdEwYWw9
qTs+cDgdH/cMKJnHMfTxq9baml2GY6HFuUQNaI7+ThKTjT6lv56k/0+ZEQryX2p5Q7aXTGwPuv2w
hFoWrooS/C+XX320Ze4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nQh0AgGZmFUbPyADCm/iUcix8kEGDLqqnf6loCkbhSLoY+RIDwdH5TCV2QtCCWwt6QBXOOEvUuWG
eDfnxMKJZjK5XpRdvuJI5FyDxi7Dj099Jj0az7cJ7KC57fT1tXw5pJULpi7u/whYsIX2rE/FZzH2
U6qE6uhIEi/aDNK1SI4=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HIjB6zmYBaO4N3qi7pHBp/e7FEqttwBmkIc+kAnKEjCrPAr9r5cFPMTQz8Yt3O2vS/d/hP5DtGI0
d5s7ch6t4/b2YNZeU0I3xsI6StHmysgfcujtnl7fkxDNNuLGdY0zkjBt1yvmUx+Wh421uHiHzV9J
Vkk+mmjVJmtonWhLUUICJOK4SXFGthAunNaHhCQZBx95U1oH5NbWHGer6sblNB7P0V2cWEbjKUX2
AtAbdzLs3Xo3RXbkaQScN19YgVZtBIP1sWpDy7X0eyN2al54D6ymAyO4I43xJY7ISfAIpnaZbwdG
E9P9597B2tAMv1WtYNWm8lCUJxrxajJ9tzocWQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F+VABPdOxl1BquRn6G+tIIKfeDyEnXksc4DNPkVCVmEWbMReDmZrpxdPdEVbVMaHjVtUtnS6qSQR
FOOdsTyalWKCmFMMnnyYkpQFth/LeuFYMTwvIPrIb3h7BtQUT7LswO2tItezeTEJmeNhxfneGCtw
O9L+/UBH2GNoy7sQ7ysopjsnNMBEZ0DKWjwLYm3W6UQEEPkKno5SPEZU7QR3w5+ZoeU78zmXECyO
KDzgCuo/T7H6GqPBfJEHp1cIlPWM8OWwheO7/1hRB5qwTn9pr2s8PUT/WYqkVpzEoWYVz9mFmfSR
bqoah0Z92xOvTXdKi5zzagwNB8AJo3dNooI8wg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lC3r2p2u53Pp/Zwl4BHCgiMHMbcE0jlB19nITLT7wEI/F6ifcWYrKaJY5bo3S1chjj2D5FF7tbJz
+27ziVReWvPUBIfwKhMzJckIvuBSs5lnHoVTw6GovITOZVLMDrun1tab2k+6eco7Budo+sr1nVk9
BvUttx2+p/UWGm4FgsYIJxmSVguFMw+NXj+t/54Qx0AWkt+P8mFramJ8yz95ulfYK9rPMTJ0AHG6
X9oRrS9+D/xFBwFfnE8LB8awWiS0QOXL5ARCaZeaKL011NjbLUpx9ZCXhr7CLRTcDk1s++5Ub8WD
uABkLpq2pB9HgQyCcmMtDYxJ2P1Khyka0ZM1VQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040)
`protect data_block
Vp0znxXrS+9IsJu7C2DKqGhdbtlVXk349WIpupQ/gVbpjk9R5LtWHsYdMLqXe/+/SXxeAyi9VDPo
uau3PebrMzkiRshfKcCRwqbVFudP1PV4azuIsSKyjQ+k3/qDW22i4E6/k2xhiqilf127p+hlyhBd
yn479d7yWPS9OaBWMk29hgMrzxIp/wn4KFMP1mJ4TBRwlNWM/amu5JupCtnVg9JMEPl7//63oAHr
W6QmMPISqIB35KHVKcmXWgxA+kJ870iqzeIe3pB/ub+Nkx0KweESstzCT01SY995ZzA5e5yg1n+U
BM6Ybu16mwMT6f3E0cqNxWhlQ4B8GLctJPPBzYSjh5TOzk23jerq2/Iyh7+B8zbFfNh7fdA1yw94
uGOiy7HIq1cShbY3OkMf0nnhws3/mmCT1GGm0yd6Hf9Rv01GynJUSKzvpklulQaCRLlZnSy1waYL
KEoVTD1xK9c8FaKGtinEqxFqnDSjNenbT0keOpl8HWU7gC4OQFjWK805rpiZFr9GGf2IZBIXirOB
ioqkk8o5ts+eY73b1XP+zBeWuDlDQrOSAO2C8p4zrJ4TY3bb2MiyxIZKus+ghJ58dAMOHUhNDXjl
gYWS7cwr8IWWfEOXQzIYxjkS18Si5a3vrSu4x9h3segft+FsAzLYMwOQo0lUtp2CF6r6vaLJBt6s
uYIr08MnTIbfBbz011Ew0Haeew2CoI+Ke1kPvcQau3ZvlkWoSkApavl8x+lMqMrg80gBCp/nqKV2
8iC4wa+wdtiwz6J+UNrlGvRSLcQRCXl/JIm5pjGAkP3wGA2m9mjFXIHv5IALQJzvxoxIQsGSnNmO
DG+fN1vUKg0Um2AlNlDWPdFdJ1OeLEei8RK5EgliBJf3amnUC1wpOikv0v5fgC5Eu3K4hxZt3/nr
WMayRcdkNfCFeNSE198Jlp8TKjJG8gu1m/tBst4S5FZyeOLngDwFUYy3a3iY/PV4AZgOTL7y4wQT
j0zCV4xIQGUYVHS7r5bMhaB2wLuZn7nxcGw719a2criStrNit3WFjp0juwro8/E1u8NCHU8EmGfu
j0Q9kCjNi8Yo4R6rnOg9/Yzr4SXLNhPvAaYtT5mVL+w/WNCa335y55mGOatbgGpxbksbcrhDANsI
75cLspHSALhtQ1foy1jB3CInMm/IzTYMUZTLuAFEWKgxuOq2h9pyXKw1hdR6tZ0GrMvnK0XAJmy1
sUKUpCMfQ2If3mgeyA8MTLDU59lusDdibHtYSN17vpn3ZsZBoNnQqrm2QCZnHY0Odz5DjoFQzu64
XrzgujouktWqDc8PQ5aqJnPZfJO2l9AKDFOxX6Ds3efpKJmw0K54AnEAQGB87Th+niOiY5J29IDp
Gjl144KgBqZ0JwN4s8Njji03ShTH2FwoFk7C6x6u/S3VC6l5ONQslQoWYqU98RsLQeEnqYwPS58X
SpRCm9BA96R+xTJ2CCPYt2sWCsR6IJpMxB1qjqItyVL+S44YS14zvF1n/JhCgoAW4lJH6R6nOr44
RJJPweh1UFgpYD3nA1+DnNLuHpsWhx46WstsPWOO5O1eG6nuztNtUirCmndh0xxzo2x55GG6Ddjw
wMy2CMzWxqpUFvxkLDMg5h2uzPyErG043ttDdu2QWW1irHevlwa2RoIQUDzajdQM2tVW/tToyiLX
u2lh9QiMPSvRHLvbU1kZ6KDkyONwDiL6yxhd06cIq423xYLjvq2R+510ZfadsxfHOIemosWivs4f
0T2muQMSXksWWuU+rJKK7e99I4MMhjDqfHJsONSt7AuIqVidPkqxWI68OyRcqdJItVPLLEbxw80i
Ph8J8eJPasHFRKRlMoJ2HWbVJ3Lljw+qkaCi4ZkRkY7uKEDdL3YL9NItYOvdVcXfAgPhj0uxYr2O
oUzbjzlFd/L2pD/OL9d259Y0yE0XCVvEL3uy8hTxSNhqqCv41m3qi2wMFasU2b57o0XkrAniRuMq
xQAlBCJ/4V4LTlk1pHZYgUQwPLtEArxpM2CnagCACJYApABd+9Pn+bsP/LOG3opwvVr/4O+DFqbm
wVTZfeCwOOaKXGEK/TsJ7nQSj1MxO3mNteoGwJKoX6qSLPFC5QXnSQCDqL58oofx7+ZnyaLl/qec
sFwLNFKuXIE9lIGdBToWpWwujUBe5hzUVP5fldm9HoJcvmH/I4F4at46iszVGB0KamWUSPGF3lcQ
hSLin3J+x9NiyryNjywaeyOy4XFO0I4R3ox3pAuMIsa9GpK94BlBgnhR/IvkcnLqBHIp4p4FDD2W
nuLcrSog2eXHxRphrvyEJiGjCeJunxA3lPFT4ZQAYCgA54OxSD/EubO1c/p4dWDG1UE/Bct+Qs63
LjEl0JuR8uwcJCMZ652XdiYFY9ZEc4mJuT6eET2Cs8u/XIjvqRrWNRYYI/+hzGHWgSZjVubKyThX
V8IOvrFUNnmB/VwQojZXqTcIHWrUlnLyawsvJNf9OWyv9zxMmYwcd22m5ybAsmu3+QbS46JJvLMj
ycYGYvX+oVww0dfMsyMahh8UZA38BVoHl4bI6Xn7Tb7WxSOHVRbPpEKUzmIkNehQHqAZGzdS8u+i
qLhvRxs6QCa5J8qve6bf54F5876nWkNavf2bw82jdqyBudgHtKX+zlGmVVrSnzkRm1jQ+WY7onPr
4IwChUQdZeF/tZIeU0WVmW2IjLbqjrNLIo006amz2Rpgr6LK2k3Zzz+4WPAGpzzpeGHDORrWgQhN
+eo5BkbMiR88+zlidbcxlh5TL8negKqxiBWDsUtmmTp8/IkonOc/tOIumD36OewIeslvoUVpw9CW
RgD8B0PcdmXCQXLFbG9yZa2SwzV6748mCTxb+yR1lcQF0kcxqGsACVIhZuLrk+yYqWNq4+5tBTko
+xj0VNjHvs/hTazMUVek2ZqLz1ATupeXifozAJyaOi2V+VQNQinp1ernyIgG7DUSqP3y5yzPueWv
gA8C7LuUCjvQSr8/hhy9HHf4Pk7rUTEOt+EYtfmcYEP4oLWAtNoaX+fMr/0jrSh2A94uIPofGSSZ
rIePp15voOCJg3QZYwnZzIJgjwuOAn74o/Zp4UmWzvSjbh3qEKPY6/4gN3CFDvVaSCrDredX5IxH
TDYT8ywNTmqMAEUBc+dXUTt6FCx2UW2H2/nJE/9Y8MEL3rZpfMusRDnWaXbXbnl6ZQgj3zdwFRI3
KU7F0YKBgwAYjFVE3U3djvpBV2dW+X12QiwLkf3j1zY0ic6D05mlL5ESntchWxjwzM3stKyjFNiT
7rgii9qN1p/n5M5bpq3/rSP/wx0JpGh9ODkA0P8WBjpoL94/LROkQAWOX6kEWDb7hmGQzEM+ukYc
HKm33/CM/u1M/FsRAzjq8VG0QZUw7XQ6lmq9nP0tYa8YoGyk7N5OuGBnOjkJw0CyrKzDz/UNCFIT
f1tQpF66HvBDpccuq8kqVq/H1X3iucWF3/2Qd8AYW7aJ1OII+iCZbpxJHNV6VKFvbma7DnJ4bNl5
7eBGsRv42oefyj57xpFEoAetMqTVH6gQfvPsNoKBNfG+ADP4qX0p8ONDyytMCI4/1FOe1J1FuwyP
Uo2TnOejH+tsSLZ+e3+HhFHMWfmh4T/Ahjk6uwIb7a7r2njZoDUjFIja7fsEcWwk/eg+SJZxplCY
qEuw8/9lafehiwVxpWbbNLJeAj2nxe8Y8i7Vb3hu1hnyyYkB+HFkj+GmJFbHTwxXYW7co4PiSghh
YSSHrjoRSlPPW/ERWOPTjKzELGpKlvohOYloDL7TUFvJAYBiUk8h/JHp1o3oJWMLem/sVQDXTDL2
rw2ELhkQB7DFS1PH6tx0Ure/rEe4MDWEgnMX7BGcKTBWYV5i4OUHgJC6yC/O+53d8TWZAb/55Dc4
vDhdqbdPtV1t8H1h83m0C99gwY6Z5aZZGFvSJRTTDz3KtnIEBUgSSEfoo3VppwU6rFwcjhcxKJYc
hyx/dIg2wGBH6iyadX7DollZO1DQhcKmMDdtPRLhcEKAsBd8ADPqyihuCXy6zpVd7C/90rGyu15F
owEYvPU9zTW3HgiEw6WyJZFDFKyagZJbJY1C+VzsCeKN/8k0csRaG8Jc6EiXdTETXtvggGyo9ld7
MhAxSSzAxa5yDj5YYFQ0YSlSI3Cg1EBputrKNESbxT6FfhF1lJljdvABh3tc95hutwENrIz/cRW/
jtvggs8TZvgqXk6BeE920hHCeYTh9KdXEGv2X6wm8bWcEKZfVS4j2lBYabx3W6soPHBBKyBoMn4V
15auUk8yWSAVJlFL8esZu3EHRMRpwUzAbRpbQPfL4Rb8UQJW8KJizfUu5zYHC0KYfGzu600ysuFR
kdRHIa8Zqpjx2S4njqAmHaP7wbIY8ZQBUawFu/HtlCiNB7qTkauU15oDWTfSpMveYvv6h53LojgM
8X7fFL7k0hVotCXLYrWoLH/k32kGRBH4woGTDT6I6GOn57OlKBGCQMU703P8lI/BlnCkXGz67dLM
7JVUhN5sO7xEZUpF/YscA/Xv3XTRgipwxBvbsFWECPN6uuAbOJlCEeJGrSOwX6YWW95UxFd0YRpt
0zCQpobZY25LUsFjb54q3Z7mLSf3k+gsNwZm/gowq94Q4PDv/7fm3m8XbsJUXMUf0eWLTOt5foYx
2sC6d3TzS2y+KNHJlBXtWVKewnLwiRxAze+6CaLld3p8Z+ETopwQwHFjB12BmFUPW8TaQtOAb5PQ
BxHJm7Uru1mBUfCBAD3lZ6DSVazqdHezYK91J20RdkJwg72mGMrQKBfsmMHdSPBvrbeE4iPd1f4c
rHTIdEEx0jHHOGAuXZ0zkrHm9uqp+DIjSsldvWGP9D38M9FR/7L+7DYy76YGUVbtNcUX/WujuWtp
t6xHobJArJF1VLAEkKXgNP24Jtcxqa1m/yK8Z9RrdUn8/DFPm1HXXi0o9mZFUhDOIb+3JcxxH5+4
sy5mhNFRiKutUpXMEQHC7e/t5Qdos0PLH1UyvJrqoEHuBS0jyL2OIXLoY0dZzhwl0X634eSOKWAC
r15RoKLrLIBJs9sw/yvxkqI5HHooZP1t1nQsfHxNhJ2eK42SFPon3mRUFpooBl1kOOlZpv+UbY+2
imPKO5u+Cu7RXeYoAQwVSrwO/iAwvNYddi7SBRaA9PkifnOVVetoPVLeoO+PEKJa4UA8EZ9DkWQY
bIlHx/uH1OBp90w3vZqA0jXNoWeneUU0Zj2qt6R4bxLwMHO8cCwPk0PND2SGoPQyqQOS8H6Gud6H
J+2dZ3RmGEHw/MHj8lL3QGFQtyThKGImR2wU0wKgs/H/bnWL8yt1XsTcC+Pi3gD99HXJjOCmebx4
rUrTr86EUPnlQu5FpMgJ+pvmptBTSXJIDjchcc/tZSoDhMjOX5pNuVKDllttm9pREaOYhT1PXcnQ
R+t2Y1a3rvNco+vZWvCmgA0iTKqoBaQb3jaeT6rjbpQtqHmE/GCxErisrnL7phc7lZriL9FurTvB
k4EPknkZJBVU1xfC+spkysKfPksRgf5z17mTeoNXhA49B/lFwxjAKnOuLl7PbxPhfRnIYVO8jQ2K
7/Sb/D1rgIuIWeZPTqw1PBGbHSiS6mn0tK6ydwjsKagIy9Txnhsb1zTjVX6XOBeyhFZ/ovZzCI4Z
EN30PR1oJh10PZ/SA6fvbJKAN/bk9MqaTGRVMYDM4EPTrd9atpyY4PPXz/JWfzCCD5T7c5YgsTL0
klwKHna6nDEraZwccqY1E0yhOMqdNwRA5KHkrmKq6+73WTLVPhK51BQjuCIFGo4czifYVG7YY+hL
yUTaidNPxn/GL/cwWcC5ytHpGVRt9GF8jXQzVDrr6o6qeD+QJDA0LxBsm7si1JpBpQJIGCHtTs/d
y2S+FRsFGEoM8VNxbq1gn7ACs0OjmVDyK5EdGvSdu/JCDnDIqEdk04aN3nrZYALwSZcKYEtOQ/Zc
EtGA13s8FRNt7g4uuBB3nax4Ev4N581/JwQ7bS0L5InxasNFRVJqJ43MNZAnCz5G8VD2DMiYMsVY
/21Yd0LSMVf8hzoroEND9oii7I1nQjblGN/kohKwa/l0XBK12S/o96ofbW54SfnLNAHKpza62CY/
YYtsncFaEkTQmbKnTCAqpY16epIfcoEuvJEu272xVwuP2ChQ7F8VjuFY4B0ymt1tYbMzb0ioCBxr
ce+hzewfZM3pAAMZSArTWdqFTFtjbYmdzqRwc1+2U8clIricZ/ukVrpizcg+dBo6HWP4wDk0TPda
lZY+vI3WyfcRKEhfwZlDQAXDpEl0wQFoAWSXab/aL7HSYJG76+vJvpbJFFayFh4dV7ZLYKkEc6lP
6a1QHWhmPXwaGakNkWaErsgcSBDBmtaWHj0C51L0ZfqubRKoPqYWTYA4ur+Qpoxf+uMecrff962i
u/aq3JbuJiqFHj5+1gvM/067qr5VJfh/3dlOmJlrJOcFNAB45psur6x8A+bJGv6VMOzCp4vPbehG
EQWnkHOCCSWHTC2xjjt7MNCxoaA0sZwlor/h488zwg5gsHirkHzXXJ8KvZnTvl1qOlHJ3Wpwh9xo
IlMgB4jc5obCIiKc4OEnxx9s+XgVxF76nsQItOfv6kqgfmFTD2zS7QPq14pfKwhDk+xp1YITnKvZ
n5pZFy8uoWbJbUd2QJCk02qWULv8GHo7LP9AhikX8mlCW7BAch9SwdDpHikUErTmxf09zM01++SZ
hQJx1uISad2Jnnxk/1Bt45FsQNCI2txyPjQGh3j+tgavVhE5gGs2c3pYv2eQqezHVV0WZ+9yKwNp
PtXm8zACDrtbhQ04VaPek60GRHsNP2nQylkF3njDJNhLQbFZ6sDmEEFbiJLVvoKeOu88pu4mfnbR
RIkmsE6/Z1L8QPi8d3VCvZBf84pow0q6JRJTuIRs3/0cwDo+Ni7hj621s+GapAiOokVMr03AxXy0
P5mnh0RKMnZkhPOfd4lGFETbXkr4Z5lyAIgG0DESolQEJGu1PCQuFRcCEeKqkFjZOX/JC1VTzGH7
z7z801NNS0TC/SwhwGpkRfhknb0fzyCNAtxd1A4Y9ogCnigpfNSEUG/iYHzaybx/vt0Cxu3TTq/b
ri72kBrc/N4IsC3erPjxdktmQiZPccrrx1P1S8ew4uPNQXzQ0+hDs1c80l7RhMIKxCrXDE0rq6oL
d8p+YJFuPljF6i/wp5Z+ZzzvdQ3LErj4HXiMCYkgkc0Z982A6NE11nbaJU7jzQUuENKeKuDqR+nW
MwKIUCgEW4wAM0IN9LrnekvnSah0tfdGHm758c6YbYQELZkXBt2BiPLZj3P6G6RYP/L8TvlXn9/l
2noA9wk1DLONNdeF75rFHgrFXppandNQbuDNJ/O3TZDRluw3H0U+Gl76jx6iZ2E1K9m75WKJpth7
x4q99RapcJUZ0cvi8ICN/PsGd4sasqpMNESCdEgle9yGX+PaGv92NtxglFqhLQuOYtRF4gDvUiWd
bNbj07R+vU902QxnQr2cwHYUDjB6izsak1bv4aCrEjh3/dWtF/RISM+QcV/xfhqU2udWNKMiD0Ul
AZdU/2GQkAIwdSckB1fo7n6bKbrra2S6SGScHZSb2+AEm6Xsbtm4ZI2fTSynRr8HNnvPrsxOxCln
W7j4S5WZPLgqZ0TZMBeXhBG4Ssx3ymsdMUZ+XonQxMLsWLKXtY9hK8aK/haLCWTjbP20+4EELVy5
uIy06fdcieB69zJGODAqPVnoYSi79V+egU6xjiueGPOYzy9FmUEsanHGzJ1xe4QO6MM+Sv5bmH2F
okpDkLoB0jomwn39ysaPLtazopfWxazgBdueCE18Hvy0ordjNt3GBhq4HVgZgxq6al1A0+WN91iF
J2tR5mGtovEw2c5tlQB3XMmOhXPWOXA/XfMkOJUTELBVdWxEJZnHQeKOaPuXgCGiZfjf1tS7gEiU
fLqSueC30qmZPuxRYu4Dmb5nnEg3oXEvVpnGiDrfa8a8DyrCt0ejAfEPlsEXCw+8RPfeA/HKE9Ux
IR+9aNPHCkLCOAKhfK3G55q3MEY7zSY/HK+JQOpmKMubiMieYKe0kmoJ9CiGL/QXHnFa9Ji41tAQ
fLSZSEM+Z8ejYoYMCzPuikejZOebb6VOjyVLSxRxZi687TseOE9ZowaRxtEIf7M6Y78ZBAp0yD15
KYKz0b5nTysr67bfbIERS0y3SxnZ671Cn1vMYEsrrteVOt7iTKxpTEO5sJAeYo46ADDT2duNK4vj
44CSjQ4oLEu/tgB9Qk94LBlkoaNO8J3IeZuuaZeAUa+y3Pu5rrgtSzX2unZq7DK554HUNgyFbuK0
eK4V975iegLPiAvSJvkUxbhMINhtAYCcVhpAZwFUuUKswz3ObDNL/9UvRGuspJ2ik8FubeuZrJld
W9m+IUkLF+Is/m18rzDBBMsWve4OO1ssISTY10pOyRhcKk7WNTFzzEM50ghj5ytfP+oxwLrUEUbp
eCHgF8aGf84aETI8xzQu+RKF7b6MF3GWgqzECdN1US6PlhQmzFK8T1/jXBxh40m3tHd20oguWJ4a
jln8GfarRRFjDdb679d6RliosGkirMVO9uTcm5gzLB6zSo/9ba9dM+S8/Ztiet7B6Zm6iCjm4s2A
gZXD7FnwefLm7p+DOwNFHZHwaTjjDwjcv1O4cSEOgW70/CrwiWSlBQYSHXWNxbBNH1G8tYqLjZsA
p9Hhw8P3I2b2fbQkoh+CK3gwAC3p38uQiC4rgIatKhzSrO3xyToStd+cS/lOcyzEU82qI/TGzzcF
2hACKxmaxQR8ECas9F5QQE18Sseil07Cy9dRyDLH2aDUyz7mWAXghfxObWIUAVTTERr1ArN591Xt
EkuFJohQuetIADa0BZgxxVes46JtTnkIb3oAR58xqmuxCW6I8f92rQDPJoUJpy0Odi69qahpW7Pu
fjO0c+b0e9lpWBfvhHmwfSrXEibamALSxI7YFCzP9mLV88/KzEUe5HYh3Q69EiHWzDhQEF7ok5hL
V0mbR6rFvPSah3iyeoLqhw1Ayn+q7pJev2XwqQfQ9KAhKvQNqdcCtM66g/Fo94OvPgpalhSkC4yJ
ohZBXTxs3iAGZmI5xoMHIrWOKAUNCGFAQFOsYbAfqTeIaAYAmgqZzNKrc+bAULRTjnbMJwfcrbRD
TOyo6lJur8aet3kO7TohOGNI2t1W+H5NBDMyEBIc9DXUfIGjlMdoY0qSFs+SWwh6aGq+NVRsHHGa
whUhIg5gtgifh7QNzdHdDdAOi2CwF4bqFX8B0PaWrxOSsdhWq/vSvmusTiYrtuK20LkJhiZve4aL
Oq0Ysn18Rm1+eGmJA70/8tbmWas+boYhdvDtKliTErUXVuX1Mlg1l1LcVlEcdss+Ekei4YjTsuPD
NPCKHQObwhxc8OVEx1BWYJpU6Sfgt4YI7TPSbKra7zrY6D5P1Lnx/0kgilK0AdeQnnB51KS0qabp
SeQJO2pUZxb1hWx3IeNXcbMxlm39KCuNcc9UKI6ZLnepxXlkx4ynmCyp0dWrs+KJo9KvGv3i9xnW
+7YxFHtwoOny6YsdoOuLcQ+prg/g4ZaAweYkn2ypzfjA9onyCZNQ/04C+n25QOlktPlQd22K2HC9
QGq1Pe6zltTmoMyhhLvEFJENwM6KWJfB3ZlfJJXF9TIyj5cmviPcs4vCN1rqMhsFJISTaeEUxZdH
s0SxBDJAkf0JiUojkmpy6NR2RoSU1qtAaADAnhuEOjuddGDF3NAy3+GeQZRAibyQ4MccFOo3yOah
X54fan5bIw610D8A36NdOeyxdshVz1hQUHbktooTGefKiHARQ1hC+SuFe+SCs6zMhOo/7c4W3W1f
bsu/dbg09Xf32ocLE88M9kHxUlDdpEB/Jlu/MlTCZSCftm28LYITeRNrfjaZpeeK/Qoo573+nJR1
pKpF5E3Fk8uD4vwX6Pde4HOlpyKDdRv3Xk/BC5jMasDiY3fpXKCP0PHBn6zTmtKGujiKgalPHaTA
mlWRNOF8kBJe42bOnPUeVgP9XM1MdFXASxxA7nt1BmLS+rlzXQ3e96erVw+cLwy/aZaIVEoDL9Yj
ZUMeHsr3ZWr7l+GrSYi/l3QylemGdMOLc3/B+rQmcxI8JzFKhZt+tK7bB+8iVX38JsOSEWjZY98a
MLOLWbS/OEQ/08Spa4cCfsEsqP0vmY4y/xecBxnc+L2YuQnBtmdADbCyIvXcrWxxQ2RWoemm6S52
Tx2mB2IarH5nBPWGtd6sTjenm5QlZkWUzuVdNItc3uSU3PLJv6wtXyNwOyZ6J6x9UugvvZjh30WL
fcD7DgC1GRLsBQk0IH/Ll1Y2NAhxxNepQXngs2gaLlI8P30lMASyISfE18qIbAjmnvwoSvmU5EiD
m97U8xQVo4MIN87tKBNcuy6WihjWaRptEtJD86cVrzblDRCtHaxSHZdo/oiz6vO9ETnjDZJDpWac
Q3ZFUXLV3/fM06qOLeFyrldEDSmcVm/JalLulbkDH0T5ANqMp6dJudMCim2+8io7WQSYdJhSvYdE
Y+2RJbYyXcT2zVRt5nTUZmbGRPhjor5K1odChJMoLhU06VkJFK6kskI3lDbvkb04EtUA3iL+ehwG
bb213l2D2es24XQ/gIRfK5qsu2pEQvCt5pBuwjWpZX9pPkQmHhUYvNtecmWkujuIOEAlCfj5zVxB
upXVuPqnDP2Ox8Y0WsuWKlo0OdoTPqfEly7MMxXk3Dl5Sooxv1CyFhecpDs19YuJehnzJFdz+FqA
fVrC6NeDmHbsbkTutuTJJYZC5oEB5JSef7Gbaa7xXKMg8PL0ElJ8xGNhahFAqKOBwU2ctb+itjUY
loERjTn9XMfl2SqNtuJAdPMjEVh/fNar4ILEhDzJKAqnEsfXqC/feS0bKpAYOQ5DRVekpXcx4o08
1kpgFkM453oUuZCfJ6fQyophbm322wGYDj9xzh5HrGq1fdyHjZf8CC+0tUzMlI/V2Hyhg2yMi8O8
W8AMPg34Y0bdh7iALVFq8BBALukc10IdDZh5dsq+A9xALsTFetVDgjSRs6QkhNoBE+w4D046OwyN
e7GHCVF/JFcliX5KABEA1J8rHkrFIQgNjeNNQFO7TrolcPbpBAGGdEGXAOK9ogv8nHS1s5f65aSI
GZyhiHyTGybks2kyqcj+OB1ZKvttIy8HCnoZ7W3knKxcmcaZ24qy/tjIDWGTHgzHCs2DHNzKHClI
yK5FebWR2lzw5h8yUeIHOW3uHSKIflrDT7E3PTGBphmhWfQUT/UzVdZIOchWGMGNoGMy/M1nmb/g
1FkFrdpY1qwkmlKkuxL6jw6eaTUvbVrRj0tfKbAArtr/FnMQxoY5VL6S8sWQGrKPJHQFCIcCZFSI
/9gQKjxvdu9bOFvpchoSTvZGJgAJjvZWyiH/+k1zfSHakquMlHtT5a8rozDmZCKGxG07zJ8p2qHE
OS9GSxRbHCh+V4dyDsVwYK+eHZWFacfCzpPJ6zJ08PLxLIA5mpNAFdkACaC3sGlEZ2Hhj/xqRPz8
QHZB3w4NQmwIun0pkXIFDYqXxuFZxmjXPT+/h+Z58dhFfnZSD2T/RRysv9ZtDy9nljt1tU49Bp6v
unsEs4GBRzrCC3GWrk88fmMU2uhZCgdMABeErZqCVCt76ZGMitzDsFuquRheTQmUzw3AxhP+XrIs
d+BRYarAVO+olNy0Lgagj4x9lR7OjHcS4PpbSIw51HwaO2OdM0/mPwnUEl6JnVHtQtMm+OMkdEOi
LMDG7Vfdt3eosTPtAf9Cu0LE++VZK0OcYsbUvSMiYNZKIHhqOwuyGPO1cAM0GZ+WefHHNU0wsIeK
19ZAaJ7cnTaGqLzaNZyDIEUrbMOa0cda/cFh+gEe3EhnM/mvtisR6DZZHKl2CNSWTd1vUha+ApaG
KpGOo+3siFuxIrEqNe8jRGeJVaSB9C4vIrjBNHQaHaf9TSBQUBbDFtoOVFEhDIZnjT77lAj7zAaQ
4eLQbHQ63E+k+3LDBwVin17o9qEa5SLfBJs8kSZIXVUYGaMz3rPv8RuK4XilZyUqEB3DI3JYOVSo
As23K7VEWDLjoWyuznlwACrsOEOeKT8F23iOJe8KAjq8OxgocKRh9YyfqKKz2y8CJXBWQ70hBYQ+
k1vFM80k/iEEjeuPO66GN/bmHC/HDZ+LpZSe9pkAIUSICWBq5abn6pSbdeuO2ew04suhsDyCNeMK
RzmvMgKT0TUe2nIZW6YLX+U9qHBq4eMTwRwDSlu0bYvw5yEUUwy52lLO8DmFyQmG02XKnxwkR7gS
KfCdX4q1uJraWQb3jFGRpTAJOEtoSYb7CX/lXPecMPd8HTkq5h4VLxokAatwERwkTP3P5f1WzzWw
3Ri8qbD8oqp+hesGMZUbQBkQIX0UaRkoZI/xPiP2BqIiEWfv7GpkqdHguVmF2xX2l6TLDeHb0Ws2
WExh5IvjF6Nm01JmxGOpoNDnDLEkcGTMzIO8Jqz/+zJvakqzwcLKl0UKucdwH9Wu6uywLL1dz6/G
01GciBnFmfl1zLP/7RH5PU1ZMt62Fb7J0HHM3hr1clMLagcpM2ZXkP49z2Av8NkCEa9zsBV5fSfW
rTWZPsFd6tlwTiEQgolcu84Y+WeTSGiDlMBdrFgZ7T5eySIn7qQpPIjDwu4U26FYKBX1Z3VQkoPl
DAHVJmDVabLUOOPtFWP6U5XnrBFw9m3d4+WsoMtyWm70Y57M3/Jb7H42qXdTlQNio5trtj1LQsPN
g9VDajhtzxBEy36T5SI4ZANWSQJ9wmyf91Yu9ZEUOzDld4LU+vpE4IN9whTV9uLEhBCksM9D3rGy
A1m3taxwBhrw1jh20saWW++UK9VqP9JI9Bfm8+hYM0GQmMa2W0VvThiVHe/vwDtQBbltH6DS5ZS3
m9tH1Jzd9OoxXOEQjLz7i5N60Bp1oh8HT20wqTCkPwlFsoXiRqo/AqaNNBcNCoMi+OboHPNs5jGV
KPJWszSohMAQjDD0HsLlBUGOIk0A7ntv9F4hq5lCWOySOfXG5ZXDX5489/Fie8GFh6cSf+/K5dp7
m2QNLxKDlo61HJFtnARQCbjw3NX4JrnGOTmjLqxcJIk1ASc9KD+Pp9ydchQsfLlSEzm+WxOnJRLz
s8K5z7y29dVyo26zLF+Y1In2ErFxQRK4xsKHdbFnSgT0psNTuOGqn8gu10r56pZAbJ05GMS6+FKy
CWk/sjAus2pRo4wM6pP22Udhos/jm/rEfzkXRLuDTlHZm4TPoKBSQtzy8mLnGjY5CPklMau/jhhb
f4YHq2v3K0TVLrYxbno3rR/E0sZOOCHuuLBx8NO4Blsjjbl3iACRdpTxglk4bqPG5C4WRLXAxxnX
UC8ai00zdjb3wssg7Jwab2INhlgghUMk/W9Vsghhi1zSxH/L3fyx7FrrOZAZkhab/bJT/0x7L6ZN
8R4181erq50SUSxT2ERfYRExBFvGjZ1cK09OLGikkQ2RL8aw4+mp/IXcGLlzClirzJs9m9ImQwrO
kivjiWT4ZKd1o3zF/nE1g3TZf7ac4AECFW+xIeh+FNiULZUj4G71Q2TW+zSNCOUTeuBTB1qV6wpq
QzHyfBt6p+hUUwNl1ZG7rkN14x5pOv4WW7w7i6pVXCBcrkP/rPrdxnMY17KrwCP+VQK1R7J3XqBG
uCQItkzBOhTGKCmmw8feNbSAGc07GnBXaSj/KzIWPZfhSYBVoQj+3X8vj6vSlKpqb0k00zBgPgPf
PVqhHHr0+gSQu78N2ecYhMEjUhIvI7i+NPnIv2DzjWL6eYr7b1lWe2G0AdQC4wj/xEvlDSQCmY81
llYlyRgMftxj4z4f0NN1rABsga+45IDkEoISi2hX0/lnSKqqVhxoJP87FX+jCo2auPTpTV9qXyYG
Ea/5/wz/QQnDgUo1GOJQvT/RGMuP1pvCr18PCRBFugIpd6G3gG/O2tRrWeYd9ijPhd8SRD0W9uBG
y68jwfECPuv/nZeHh7+pS3Du0yraMoQ/ygA/gCZ3+4vCFGRTq+X3OeDG1t2mfjTqkkj4+Tny5C7a
hlKzvik9Sik/Fv9+h2DYcMfiZmmAdP+ZyTjuNLZe6VFEuO7EhMRHiVlXphQ8qhEBE/EO9SOSqMEt
HW22KsykCiipWaAlB2pkDimoCLM5ohP28b2n6/WCgpeiNqTXqtxAeY+uup3WgrN+Mw7LTRqJ2j9Y
b9Yrnxhb0lwWs/Y+lMfD2k2ojR8XB9AHO5jktxhbGKZxlAnqEw00X8TDEMLoy6NxqSJKYBO5yqQE
pTdUEMmoEvQYGKhj57DTLshak2FL8P69cz+6gShPZBKl/lWRZ+J1Pj+evygNcIY1PQEe7Y7FYL4m
PRSP0HPz9tWcSeOnWISfF6inBEwCmU827b3aLUjZvUGmQsv93S97btCI7vnlOLEWHgF6f7rh2r8H
FHYLY+F4qoRM57Q25BOONWS2lLpxgeltBmy1iYw4b5qI+9Vs1CqkCLqep2Ma/RV//5Nn9iJZYnr8
tNaTTcQ1N6bE2zVbYcSA5WED4Za4h0SvS9Ws3jk0Jzoi/rpbKl9ImX1htxB2hgpuun8a9ckkhGPh
sfnQ0gkxDD88uu30g/mwAkhwBXkD0Wc+SqGtyL4PCP+aZQpQ+BdbeLr8TgEPnBknx9g5/ZsWZB3K
VicMlpkyCff1eX+WpVn0tWrIlPUpuT0a5YgO3rVoQC1C7AiAs0i1ubz/CUgx44+ATZ6KPwYM1axd
iVBtIMznJ3Oqo/yhkAF2lXloaPqAuF0RqNXCZuAZ7lC3d9FqZ8SV+qFUJhzP8QEn8TdjFD5vkNtT
vVJ2r9y0kOUkgsoNhfzUj8iv9qUfvlnBFVpBqiP7RHLMw5dX9Nzv4ORqda6sCUhDFeuYvzrVUonL
7t0lItK8YSPlJ5oq6AptMhPbrdK0LtoaVBzmp3g20mHkHQv6cmnI1PYx5Y6G2WVv7jXsKrPN8zBT
iskTWoe3ByAsbmv3HqUv3/Svx+Fdv6yqnd3tPJapS2kCDbQBUoHU4oIMlVK5wYa80mjOQuTYBogV
H4zV6uZrwz6cQ2ehMrKv0QXzzwYA8tyvLVzqVjrET/TJjTWLZ4qRT4740gkbcnDcSDwp2TCtHWWs
Ja8zLy7l3x26fybcMleLu4GJX+pfyFVp1ayNW3aRk/XxGYfOOzLRIe6oJLBL6eO3O7X95lPes5CD
hdPqyLrKCpiukIHdUbdQClBrt+pmQVLHaEnf7y3wO4t6wFoP+Pc/OZ0IDgTr6+yv2NdPHRF6n1d3
HAhCZHoZOj0gQ7hALOfIfybmss2rIM05F8F0xWEwIClI/seMs4S7sNI9g8w7Tz1B2+KKEtlL+Etu
NWRj6ZpnbQfyGyb4L1GcfF012WMclQNjLSSCNIKZeNSvpbTq1ihd2Opn+Z+4E+lBg3hOdLKtY9Bj
t42hj2N3JX6mjDacGUM2tYCg51RXwBkUjPwfGbQNliffaF/DTByMcAJOSGNVb4PulFaph00LLgMK
jYCCUGk27z2kZcSZjY95MfBnG52Gb1s6ShY5668c9aJSVUvsYLOsZ/JDd6rS62SSbULU5qlaeaqg
MM+dqzBdz2VBCGTU8VAMHG3rnQw+2DPgIahr/ObzkGNBegIQqAJ9e3q4zLH/T4mhVRxmefTcGAny
uNik081Y+FNvU8KinNIbTTphcpfOgliMdkQ66T5aBpQ4QWQAnvtfPqxpHIA0wOPsblwSk0MwPwnA
WeQNdxHHS6SyvVijvCg3sXOFEjVYT174c+N1TxXw1HZ8KtczIwbf9g1jdKh/FkMh4SEbJb0qXqed
oaNQFYABokph/RJaycu6JzBA+4NAluhUjSzPzuZLFz2HC22ocbL5vbjyS1l5aJ1wFxhMwZ/jRPMp
bbB9PyvT55wF7aiuxOyHmhZt8fKvMMBAGZFm5S1NMvZ6yeK7SbKF4Tyw6lhNaOsuliIwDDQNEdMj
tmVVN5NLcSELEY+ZZbB8QM0PJ7EHxWzDoupYFhm0D/SAgKTAWxsaWKliKv6QfDW1TxCRSutUrnq1
14pg6+oTej5X1GiO4WF5Ppn46vOFQy/PX7k/PG12Z7kjRN3yxMgpgLXxC9NbwsTA0bNG0Xl5DBD3
Wl7y6FltCJsjeuhdqT0qcfezeqb3Lq0yx3PEaLad7krjzLvvpCytVHGwS5NrNKlD9p0xYMBc5zlF
EiXkilyIuQE6l0jsrtH/aR35VlHD8QyBMnYCtgTocIjg2rc0vRQxP7o3IXc64kz7nH7mNf3p6rtJ
fJZax1vwNxnHKwV3TkCIGLjsrngCrrrINUgOTKyhhWaG6wQ8uhXEe5qh0SH8mXMFBiVBVodV2VyT
qR5FdWEEq46Qnig+1a4F/pnUe7O8RUudoWH5JvpPbLuYETK7vHnGgxJbWptnyfVy8VUN+vXsXtUE
naxBFY1r5HK4SkD7+VzOkXnK7xdmJINoaBUGphIGnJRKczc7jml+UQmG2PRLNCJuxJcN1Wnmnr4b
g9lql8Kxmpj7T27vVnGAUKHJqktM6NQM8//sWDGfsbNI8O/tqKrLnYryGhL3b8M59sTFvnRyTTa8
8iLNcDIEdHLmhRDF0hYf4WVEkf6OEre9HBP6A0Hav0YEvPWWLyeGp1wN1uD3Kwyj8sJiEMWschN5
kEvBaqsBVdlC4XNXdbelizIemGQRdfGBjqV3gn3JccshosVeYDhqdACyP12YS/OzVy2gSOtaD1/3
DoDmiwL8+//Z4hWZAUdi+N4XaoQa7MN+lQ0L4ElDtPGRwCdBFNL3F+JTJxMkk0yRM9QS6ToxnoJE
PoJR8TeQ7EKp1nLlg2c3N9iLbFx6mVqCUi11S29WVgbNjHYpqaA6dPj54MtuJP4ZdcmI58g6Ts6p
HNhXh/XMqFsn89J1nPyllPIMcVug4UuwjuPA9AbnF5iLJ3rp5UT2yWpiu2u/15eIFZo5RiALtRJx
6b2ukeJeK6aX8reh6nDhLU2VsCDYm7+X/rE+Ks7xLeQikCqeYwnS0HXem8V4cyErJeAlrMEGllHW
pmc/CyzVjr8TaV/ZxsG0D7blzZGh+uy7gnZvAPLlzt+UFRtGOSDEEFx1tlg0lMdj4C/jTQaT8c0A
wwT/UuCSJqx3oXmZe54tmUOUFP6fuWvF6ddxDhLeQl14n2EKBlW+zX8/L67UrePFOFn+y/egt2yY
qboT4z4SHDpN426+OyEcTfAH9j9GGanZL11FlJRkGuTedwwGFV2IIiBt6ECMmvGlcLZAUzdsSmAx
ksKBdlege9k6cZj3eGi4ebviNpSB6LMxZ8Eq2sZwA5dtZBcbwt1YPlFBkUZS/pX5Qa6Uga5mA1zI
Td2gpcMsO3aiLvPoPHMcsuiwFUlwV297bbe+zB3Vsnqq8yU/C0sF4UbgPQ4nFGkNijN3kivE6CAH
vvghBX8FkUW3CI7UTUnb3wkUXANG9IAN+30dZBR5PbDpJBv6CK1WcWL+OKAqhF6sxkzEmZgJVzEL
ks2a3QGmdnLMRAYE7toKkSA2434mHecGXmRq+EzrrYKVByvei3CLbOXjj8zMI4TNQiqU/YdpaBsl
dQ8RIpifDLarv37rcHzgxI5pp34j8m6sYEviMUofYDZPW4ctSArvbKbMV1gwtBBi1gYIZsZYq+YM
x4JxLTJ4LBNqiQUVEofvmJpoE8CJWRHKu3yp+anQeWU5lVrG4VK0GomuettmioeVDH5teoZmgmxS
fdQwKOkYEfXuf1yxfgmUmdYsFc4O2zrNGONc36EdQPFuZp2JIkcJM8yZ2yajxnfoN5upxoNJ6OXM
7Ft/+Dlw1Z50UgeW7sL0hipyC3d7hkDiVUe27+seb2hW4fsH6UKEO3rSUODMHcTs5ZuUOKI1G8kp
2v3fypY4WhlhZY6N35v1ukv0fjySZd4TsPElD10Q8ADm6qhrpPyPLawbNCK/SBXn1SnTPV8zcJ5W
GmoHsnvAYiYkCYAC0xL4HtUQ2QxsPfAGN4kQz411uVjjXD00sMHKlhVNl2N/CaMbcwJlzt2Z0pmx
XEpw8RFWJNjf2Yoj59dUOzHqq5KrngdUCvbYle36XGA3wpRPCkqIYkjYwLI+8lB0I/D4n0Nx/PVn
gNdGoXhbrfASuRxFBWNfUWMpVXXZynjMMmwBRV+zzh92ePenYyN/r3TYA3pQAvzGx5vUd4pmjxbt
IyF711DU7NWyOsyPQLTItW/yuEQmSUevzXQiPQjPs1shCwBwUcPoXdClpzT14D17g09x3tbPW8m0
hQFKffjcam4TvSSVp992JWzjFbagdLugm7R7Ceoyb17WLYtsVh0XdK+VxjaxWtFaNGdBtf07Muug
ycJGsk7KbT8hs7J3B6oHRCvK5lXBRzB6eE42ib0Isqx7VTGI8EDYfAkKKcqXW2/mJhdo3gp0x59v
wqqFfPKZgyObGs9RMZjpI8fJJoDJhW0tt7ufabOX3coqo1Rex9iN6ZwBvopPJQFbJAp09ON/6Yu3
3zYsOI1FQfEJDgMi8N+Z6Rx5EM8hOR4RnLl4ZrAk5XykMPaYLQKXPuGHs1iViMSwjOM08TLOOCmx
eoWM3KpWJZRJqgT8XxQIwp8hdBjXzyMg/9SJvMPQLSZTwhoW5rR04jMblznYBuW1WIgFNGvhZbfO
h/pO789IZV7ECs0jwX/XbLHBZC0gUu0QqWqAjrEGTE82wDO/hqpKEKPFV9EHTQBqXm+RF5Fk+GtI
u9Ss5Pmd/heCopY0oaOEMTPrfVYIlYrlOsESEdK8IWM8oNVe7tgCgNdxaEuO2kM1rQ8bJEZmX9aa
kKycQXm0b2Tz75OuRu+UXLOjBUd+1rDfH8ddvSVfzG9XkkVJmn34xjU70O6kzpQELux/jipKtlwL
G2hoObSiYCxiAMQsiBLheVfu5FTHN9m5f+ze21YQiTVTNXPS/yQ62Rs0BaGvZ5FJBh3HNc5Sl5vX
m1AbsqOVfOONkEuWg1oVbnSeiSMgqd3GeUtMsfytWVVeKfXbMHmR6HdVUx+QjpJyo7qCveUpBRUV
4MHwvCSQet5wsX/0cln80prKO4ll+mSnlBUr8vZHkjecClgEwX0O7erPDShObAz1+VvTeb5tt1Kr
M2dgrhifEKbEvNPVMxDtA53nd8PQT3ZS6XwsC8rh9syIkEkmIIFGp/HFAwgtkO17ahAUvxVy3Czx
Wpg1tz5acu4ltXvW6lRi+TSAoGpjAc4xSaxROKz7hwlAuqnJltarK1zJ1Kd78/bmniLGFnwdUkNM
zi1kzHyCpqUajWelAkUGSZ7yoPDyr6fW0O1rsZaJIC51qnRn6OpXTWNT77ErvrDfFVRwl4gcbcgV
rGlhMgywzzxy+iXCbiR3kaqDzLzYBjBHzrTrZ87Ko1lM88ydaec0bRTf7ECqKrWYxX+0BbCQCZ7u
nsx7lunW5KmLw6PB4vae0Nltnq5UWFJaEpT/z89vW4gh3KjHplHwPIgWjkCORVFafhKK4qVY17jr
x38K/lfdEznXUAyYkZHgbrWMDnxjKHmG8gQ6OBRHp7XWFG0D6TckhY5P9RRO/7mZxMiSc+XVWB0k
8o7Fq2K4maaowS0qeRDnoJM1/S1ELcJKwe12Jn+3uX8FmVQlrIGOIKGF3BG1DbpJ8vTpQ9XHgh5d
q6tnvLFbkBohksUECL4csFbTdlAxxN2iot4ZJKslSt1lTmWwgexqt4UkWocPSqaMQdrGC5Lw25uT
2dJ16msOvS6R5MRNMDknzPlbTQUMCnyoctbpl9Vr8SRC2//L2ZgFgcBhqWVMJYyFvdrrAl57fn4i
kG0yoj7kyGl6joYHVNAll536U8edK0AQUNOrYNi8WW25QJobXELYVEea4eNvqHRjJSqFo0qFg+0Y
dNGIxXQvMTEWH/ToPg6GjOKtxyEhF8mf5TG7GKUseOj5wRgo1L9mGgQXUOgLI4/9IkvGVfQNWDPH
0JoW9xquanQDL7m8bzaR7Cx0Dkxo3Eg+OO9bG42kC31kCmx+kfHP5bOgGAu4Nw0s8Emk0YGgyhbF
eij48thIdeFQheOh7cLkgny/1O5S7bjyT8hYjxSIFoXtnevbbklWzh92byRKr3QBvcaNMLCEAwXR
6BywelOskQ7xq5mO1JgRTftgTiYAIIPtZii6RjKrUTk3Hdu8OVyTwsfL2SmaKJVT/6c7v4Bsk98O
sMRX934BORokBiqmk+5nt9ocnFwg5x7IRmbsb1lb60vO/YWxdSo+T0OTwZ+IwhBDtSie68F5CPJx
bgtIf9AKf4OmYgggroNnAEnpc4GByGVWKSgm6OaYIXPm8j4XupjSHvmz/ZbUrA+lUEY02Pp5zdl1
EWegGHMJ17LQ/gAou0LyDrJLmCHoK+3qpFb5d8izFuB1VA0NXYhz+sz12qi7SqwBSW7Ts1vjLC5h
G50SaZnANjKcy3kUvrE/G3ZTecwRrThce5fu4uKaeLhvO/xUnnaD9yKLTNj8djc7PoCxkAGIDMt/
eJaisl9NXA+xQxti7nhsTo7Sqnridl4twbsgOr6wkgPMff6M92rzEjeArfNfTUFv91aEX3gIlOAz
IGgyB0ZoYvs9O/pZYjEPBvQZCt+SwNKwvNvzvUHNFjDytdQmNJTIsnSx2V1EQAMv9iImYyMS8VEl
kopNh3yY4v5fFvUHc83dqNv2XaopGW3gimzUKygQw+SJQ/j09QNtlRTCSjeAxW/KUkQEuxq8bhhh
6nYnRTaOhDHBbBk/e6ZBDPhaeVl9JCRUrWcnJ4Bs3YPJ4jb7Gx7v+v4Cbd4vGNaG8yU65TtIPhRM
swcS5FxD0F16Xg9NmV/S0+ZeHqemcXM6zn7OqIUtItu9ozqmMrlWT1Y+F3zkQbWOFYw62n2M4z2q
JrIea25mWnbDR4j7gKdHjjs/ZIj2TJylshRzI34mO2K8BpPCZdunXPhLlfYVeyBxtpvoVbqr/01B
h5MC7D9kofotwqotclQ1w8v7/aTXOBb/dIQdGU+CvYL7uET89de8YNz6wUXi11OfDjfTA1C+Ec/m
C3ClTAh8Pi6XkLU/0qCuUxcUg7/KSET5Db4XzpRpQMtwic52A9mjFaN0myGayN278jyyespMnYFM
rFfysDeoRgrQgXio2eDPGAKqzm8F2kJGszeDkk4jZ66gn+UPt+4tuVetxQgl1g9ZiErI7dNZFmUh
Dunx5c2GJK4N9ygkAdQDcbO7c+sGFqN+ivqMprCx+0diCT+dHwxPmobf0KfrcZQ5ZQp3Wz99H7St
5iUlRNojP0XJ996LzupdORpsZVNUy4sjK4AgETKBUV0SFMIH8EItMzhyyvA37vFdZj4eyQ8WVsfk
q85XdRAcavHOOzpsZPC/dogwawLViEb8IdUPvljonTWTNUrqWKdO/xmgIBqDg3u/ayCqGIjU8iDx
QRECajcLCg3D5cx6Af3wqjyF+2pSSH0WFdp6otTA5RJOQNzrwda1R4jk9ztWqB2Fd8MbpK5Wk513
qfd7Kv0T5OkcZiI3hiYwDCcxQX3gHZ3DXClOf/zHbtMQ8M7U2XK+Xd7YQCmWiI0WxiMtUsEK1yCg
7E0BW/2+UGI+3vPWY4x0X394LcmJcGFSk5TVeOytublV5GSKw0hJ+0bBozt92V7DTKgL+yU0kder
HXnQ9zIjVQY+Sl56OVXBCSxybhy+IEWS8Z8CiU57AR1yNqkS/etmVeHkd5dv3Quo4a+C7Vpo38ox
4gnjVAt1idFjh5p3I6RVaH24pc300U4iLmYJ2FVh/KDRpwdGaSoZXMAg8NWbAu1VdgDTOvV9Svye
1cXxaaB6xU3qACX9GVNlr3eyhi6Tc3a4e7jKlsCernRoNxFfEkXJjaI0MDP+3QVEwO0gp5HtppIi
LCeEiqPpD7XjAOHUHgKml1gEtp0efWiPDaKsQq+1S9ln9+/I3qHg9apJF7Clc0ClsBHVbD398Kh9
WG+QDN+J4YjleOpzITjW/eU6SUoVFt2EC8eWfxCKbSPRoWPpLapSrUd00IGMui77N6pwQ4VLY2R0
kB2AdmLcY/uS0Nkx2gJwMc+PbParVdE9zuTiwIHzekqDUBXUtLcwenkWqtqv99cigYMJTzRrLSui
Ro7Zu2/tw3UrCegTghFeqZZRgj7LRDbgKH4Tvy5NnQZw61/JMdRGPZZ5/1ET37XaudkJK7FrPiep
QwrK+n9qznzk3Sw/H2cmz3jUc5eLXktzdkVIQ/ja07zhsSjdfHFI37SK7uqCp+H3LPCdJFlelMQI
m9Iu8ZGJkE/YPWp/gffn3KTHvSCqO+TjEYYMyoiU55+z9uXnSQz+JIOd97Fq8geDx12hzcVdt+rP
4MXFn0aQQsz7cf4sIu/B1Ve9p5SQYIIx9TEMg4NMoD115DWR2ICPwvuTchVaO3D9Ol4OXSu34Fdr
BQ5GgLjePxO/ihbfd0BjpObHdSyA9hphEDpKs0hn9btGtCNjvcxdNHaPgTv5WehXEbnwl4xPPASJ
CJeGjTbE8JUgUKg78SGkCRIwlOsYPmhwoZtsozYcUD1WpvP7lFkc2GhPmKVsqp6x2n9sM2leaa4h
EykS3Ov3f46vyTwwONH8WBfRE5uPKP6usTiako6DWzWoDPOf3CdiUzO6hwMxpxZVx3x9DDmY2o0I
586NQEENWggVDTQwR5r76nzp0sq9suThOCEj+9Tfw5N1POj+7nM02CISZkSFjcTImVMi7TsEGY2x
G5Na59OBMSGs5/QXx/sQ9BwUhg8f1efx/ogAcWPhBf5sZDreCgb8Pysi3GAP7Y3JDfEwsSDMat7p
YfsPJUt4OIGlw/ooV+/Qmhx6BUOrBBGbYqeA6kaTRYedrPrAGyZUYPjm3lKM4ZJ4OAlDOfj8hpJW
2ILFve3ctWo88IMh9R7BlpqWXQ1J1ZxWKa+QlS+XCYgM+0Aonxdn1u6UNWSwOEegJ6+hlP7XBjRW
CKmjiop2eCfVeoZ4lAi3YXuyfc3V8NGPd3HPU/LtGBs5F4EBou4SmpCgGPddnIiQLmVCbS9Cf7gv
Itfw/d4iw6pz+F15FxzgoZEWuU5RQc/hN7keI9f9kXTGowriF6aBkVJ0BeqyHfzZWA+PePp+0mzZ
GcmWFbPci5XFp0ROTmuhQqflMj+D3z8IfNl7Booqd4eYA94213v4h3PTgsy4eRXQeWtNIpvwOBef
wM7OiA5q5oEk4q/EkWK2+pOn6zPWchzVBejFl/dh8SP32BT0ANvJblE2ToQxoeYjCeanzrW+LMDQ
NrutYZ73SdJG9Z3I0ImUonNO9MNE0DMd5G9j4iVJZt+GLpSG6rEK/bc77w5zwRXiUpbeXFqLnXW0
Z7U+UPcH5kquvjmZBF1GlpoF70eVqOrGl8LnM3/bhpG4UU8Mj98tEUUn/u0JPQSWxxwSaI1UM1Ya
ZTWvsSy4+M4YnwgQ6OPTTQhVs43tRlW8tmiIgYhFWeRGNCg6EeeeEa++6BX4NX+mWtbOsfvpFiR9
ucIpfIp2hCbotz2nodLSiFF32yGY74wxmnSqvZSQs3+mHMhOMr2BkRhWoA8MKGxp2WlNrqZ0RNjT
81yFfTWGUSBYJtt8jYem45ly9en5TrJA0DDQoJrMG8HSVal0PEp0FyFHeqRTuAuT5gZaFd/DF4gF
W74JbOroLxsbXUSZO7SBF80Vg9VvjY0voMzLKplMdTwqyweFDQbdkDTpASIMKZOjFpW1hiYS7/IX
n3/evykFQCnBMrmPGb1ForAkO4stNKg87l6SrzdrZxzOwWhXTlnhiw71RbHks5dcQEA0XUanQ4il
Yy/lSRmGEX/0djFopIZatoW7RcFI4e5ZsodVXqd73s1BLCl94rtZDuJUPz6it7sO/iyUqPjCK/+Y
oRGDuHoXaIF4m98KCl2FhCMHJEW4sRHybToQrZaFTmkatFTJUKXMKxRDy0wHz1FMqVPlSo/hFyfI
4yKOvx/gUWWmDyZx6q87BZUCvb2pHfKLJmUmt0kqYtvBIejo9raNes9ZoJJPbVx91hGjct7IO6yj
Trkl1iM266d0/5TAeEhsm2Usp7mcW72ebhQ5r2hvXWMLxk+2Iy0einFeEjDerzEi57bNNTdoeZ8o
FI93feIvBnwehRIm1ztMGCPTUuWhLHlStilpqm6oXaVpsiGbaRpKjQ5FvGp/wsY+4AV7wO10MXV9
MKk2UJawSYUlMG5T5T3D1vKQWZlVm00/euGnPw9ZpqKzetWu8K7bpEtkDr0EDeiZgKGmYh0hHGM7
P8Adz+UmGc6Juatb+rbPdh3vSDgKe8Uk8okyX39AJSlxBl6VnOKqXACyPOKtfGQ1pfX4HJVH+ysl
08+laNbS89f++qOFXTXKWotia1en5iNMx/DP4X86PfggqUqsDJfoezF8UXPS5ONjEYszKAOxCqSv
PbnuyqIHj8OAI64p3H1H3uNai7gyr/oUy2Exg3T9ZqAiKCFny/CB0Oenl/9Ha8rpoHlfRY62c8Pw
V3ia2gv1SZUNb+DDd5mX+jkZ32GpXS9gonggl3mNkkJAvCz1uLlH6Uxf/KbIUKIZkDA7Jd1N8QFm
X0I5PaZ+Z5VlsNWGLCQwfMu/LztS+lEZT+Nd8DikrusskVPFX2HqDKed6vqwxR5AE4D2Oj6rQga+
rFKYpBKseWF29hl82WKAbNZc83UdW9XLeh9qf8wASVK8r2ZtXzhB3dyhpczRnVAnUo+UumCMFMLX
gw0uA+uLi809W9zlowD2fGylnC1OUmi0ASq+yJQc+e1Kf19xwhZHOFnKXcvp5qxYqCeOrKHA/IKX
HcT/XZNOj2jeIBwbCCtGE3dUi7KnKKJzKyN2D3B6lrLjUUfZCPQjpVI8lz/gCadyVZmbK7Z6JAol
pmatiwVkn29s8hGQ/Bs7dOhYOGMSdv9S6s9exZ3i3DK5ZoTaDXlr79RpuzWCwpNGVqMRwVFmHCZV
qM0TiRyZ+qSyCLS4iKyAWq6a+FZbCfakCTHIHYc5/LFX0/W6SVD7Zijt1OOHD7cZaQnDLJqyMWz4
c8GRlck7/YAEjgvtmqlPIvQxP3Hsi7AdsTx7XhcosxxgfYgjSUKNeQeltWyWw6qA7KgmQyOsPUFl
y0SpAAk1qJZ9fL6CLA4zAYQm2iyraLKAFYUVcUkaS5jjvU0AKvqSnmD0iFqXXcVyqR+VOA7r5fhv
0HoLnODzBems3/hxKfIvAFv0M5rLBtxa5dtr4Bl6zYkNEdjBkmt1NjB2HeFk/JaEny1TfHXXXlkB
vOXxCjZIlwtpuU/m09Cg4LAFsa3bOIb+jWX0+uBUVdfQaK24XxtDfQkXaNxC4blkQ1NSwWHgHdyF
OAq6Cki6Bsz3B35n74T536S1QrIFCFNE40eaYKfIPgUlYWuAKswPFgNDV6GhjL3rZVXl9LMfxGKO
H+EnM8gPA1BFKeQEDVRoIt/z6heFN+Ltp/93wVaOpiW3oQgv2Jss5fX1ZKnwt7XdDzxOYQj9/Vel
eao5v6j7DZayLWeg2R6T978Xb4lzS+hvI/Ucunol3DZ5jYG5OsnOtkWZ1Pci9RUfxLUaGFtIp5xz
Wj90ln1iRwsBtVLihyXIABlwZS4uyKHEsGp/i3/lWercM+5U84enMHoVLnUtoB1h02IyzxgsTyJX
Xn4AmmxqM84/CUy/tb7A3EgjnGqUMxxrkfq5nYTO8r06/1wSXEWW3nGH8G7EAumRaMrRkslTtiEJ
gs8uBvkvW1p2gRdWLbAo51yAlP2Wqmet1zfjbUeMzjPCQxK+MJW+3UUaCdVX5ozbtF6LcvcfA0UL
0DRXqaX1UiOrH4sTPwJUAs0mnjRo9wLxh+L3d/sbFEdxQU/3FfD1O6WKu+vH6HzuOofG5ytaW4Bq
fHvFa7tfpzm3ZsyXURkyLdEuVNrikw+ynbp5gYKxhKA+bU39uvtpWeJSxadO4NIEGzi1zfWEyNm1
dpz3/nhUCG+8VrfTuis+4x02Y382M1xnI6dxCJPntq3QVIZWxhIuqH9k3IDf6j99wFB4S05HP+Ai
WwJNGD0WPYdDVpqGJhfHOjPRU4AjxSTUZn2s1aP+IxvP27Eul+UXiLxhAFujZ1M8xBtLnJiKQg+9
rORDls3M6HQzcqMaiH+fb/HEuJ1y/WqxzwIdxmvc9UXAl6LoTej8GqK2oXGv7LRh5CmKo4akFq45
2DlhYuZ3QxpTyrrjinssuDJdZhdqOmSzWYfnl4yEuHeQKuIkW6gIw2D8V2KKzYeUPOaH+EITk/qs
gKWTajGzMZUJQB/13Tvw8cQ92mMBVhpEiLQRyvG3qUhUpyzm+JGlCcpGhbk2dDuBJjItVejTQvxs
8hkjg6jbiJuBc1RCoMCSJKNk8NBwkqgvHnFcvU4cSLGQw4b+0qX/hy/wu6K/3p8mrmu7ktGeUJ4i
MgJWZ7/OQHHmO5GmFlz8DsDvZrziDhEY/f/Cdo/zVsl+SRiOr7C0qMg4MYve+cdysPinu2hf+Dwe
XGbjwWmIpJf8+u0IpZAxw3mjYyRjAM0gZ4sNwIBeVwvlbev7aKhv+eGUB+X6CO2Gd25sPKEQkZ3a
4Gl9ELUT7pz9G87YRPV3GW8v77KG9voL4f8gWfAHjufN93a1hLMP2tUy68uiOR12v1k60iZo6195
J/rfnA1AEA9UB6utl2UAUg+zwFzsz8JFCh9XbrvBrAk5LWoz40JVr3/P2Q4Kv/NOqmROZiYoHNuK
w0mfuMJ+285HpLxwqE1ZJMQBwDzbqKxxrW+hVsJPWCTWkco61g4g1g6dMxEdhY60Q9ZvJp1FhEgE
zxgDJlV9fJ6bk7sP+SDHeH4rL8fCZEVJWefjg7adZAmBFC15/n3VZYYYp/AOcPN7DWLnd3a65WX9
KzXsG3OCN9p7vlVenqDkv5ftVnIC5BXpj/rszRLeCv22jYbf2ujweDRAlJTyp6y/L2eeE55SV58e
1oonuP84aZfRx9WuuZzfZgl45i5yH70mEEuGyQvqh3XdRjr8y370Xw4EvS6AK1DRJYGOhRanRtp8
yU2AXJlym05O1pEJfaFNIoR2YUIFGT2PRzACBfqHZsApIWplAtEsD/BUlTq94iWVyFD3VEfpFo3/
tEAyGYDSngm56yTxuRYxVUtc9TKGP3I+0GpQ8qjhnDlf2dl7pzEo5CdooT7wDFwq75YFYGWuXezs
evMZoj2UqBMmc1q+j8/MD5p4eh+EFrrFqhiCmQRvYiWAFO9auw9VEfWnGW8C2pNrixhhVq/wWaym
ZcoymQ6WhyeU5fKbeYZQZTSShL6qavGXD3mTMRU5TebCdIuh7pmelcFBOa+jA0D9SHKNAOWaOS5H
7gLqdKdxn6X4T7FrjDJ7sUv1N1lBosHUMZWHxDBTxRt7+XLIflc0mpunAue4s86hemrr4pXds4cg
4AIYNDS9+D1+g5YTLhvAM6dQCwsB4vzErHrh4IiG36jBJAYVZL9AHU+R3dz0CjGy6/O0xAtY/qwo
8gqwl//TdupBPkyfxIu0en6+XJVhGfEgKdkI5Tsst2JJpgm+qiolQPVEuGumFE4HvBLvvYy+OH1A
/TcvkQoW4EGz24QgnFy8SRCYg0z5LUlvJiuEeT+bq07KTI3WkJEGSMVnrXisWLa8T7YRGCf000aN
HKUjp74j2ShvELvoUgtWjihiIKk9fJEW3Ufi+t/kpHQQrBuPjuDl+SXyWYE9q3p2d/d8RWwkZk4M
x9hfW63DCCnUH7eUfn/zUiA26457HKFPlugUOdT7skJ+S/fm+k0zhxEqyQdDggWPicz8A/fQY/Wf
J9Zt9idgactCKQQX/a2sFzYnkD5XoMSZY18ru6KVgIlNKVbV4M7iYiJDGpK54MhuxhaDgLlGZGiL
AZsdomUWjuiP1216Bhru+fUoMRSK5/QW4kNVQWQ7jXUPVjUTDgl6HyYPCTUSmDTKO5CgN2LCrL5o
NTxQ+18BENQSR14PgAy0YknLfflhR1acsW5ea4mOm0+HDhriejEyQ80KmNaCJENhaggSnRJExBP7
HMnq5uHhupqYpQYPA4G0K0ol51R2qU1uezhOZQ+dssdCxUxztirAFwQq1vHqGdfV1kiRSqcktOq3
mCMqaatuHX+cI5AEhAjoA4PZD6I+VgXg3nxKG0/Dowu0YASjgeSLk1C36j5NTfHQGvorjG0Ybqvy
DM+60uuWGnZih9s+onuKxyxz3mRYkqLk9OO2q/srRL8bJkz5c9JV+DFggPVl71C1awk/EE2C/PuH
ZaTvtUIFCpibiLb/C0MjzVHNC8Rz/szGKPOugCD9qsLLRFWO5bHpUG1TEGuL/7zC3WcJcCsEOAB4
2JiSFgwyt32ZUXdpM+yh6OL1DusvFLCHDbxvARE3cq9/qX9+L0/mAVr5SljoZForOoisIwIw7xwT
qckap6lWsAe3v0lAQrCWjyGo2WFlmyL7lKan8+PciU14Y/hYVN3wwobF37IYAVzYXbzsUpX1v5C6
6o7dkETao557YNB2yU7chfEGoInwko34QySXASFTDRhLZtfbb9dhglgsMxSQxQJoiziFIFpl+ZzK
gqtkagtkVEsKz/CSpo7ZMLY/noIVqEA6BQYo9qkf4zWXnIIYfZHIMHOd6BXnVY4Vol50V7IlVa8q
FJjhE/16t8SdxUvnihUbFM/hMEvwxGbKsh1u86UPb0OamEe7T+2XH5E6nWFDg6v0Tc3sK1Zq5OyW
DEVhlGFuRxZlaktz/5VNBN0D8G2aWkDS7Awjy/iV2MNI0K9/1bKjerHiGawhuHp1cP86l8EdRdS+
/8uc4hczdz6m3U70ubvzNTSmfDY10B5iJD6QBP5YczY0GPOF0Y2nZNnvPYxT1xit1+Yjj/ni0OBq
vXOqEAefTwrhY6EKazotqMjP4YW6taSC99rOnAmJPl9rWSt3874kLqE1pogaDMTgbwI3uhtHTy05
ELxPN6eBB2837j9lg0TmUn+jv1Ll+EglZun4mpy2DJI3+MED7soGbGDhLfqFWwM9JGq0rr2f0HQe
gtaa8tfZ9LdhtWIiPN8qeuGglQeJe8EEn3/eG34XdnYC1G+LaanbfE1jjkzHibgTsXZAx1ApV/bc
BL1of/actNKp2mlFpW9kL8bql8TlXYUhAp3Bm1g8jNNGXGoOcsXgJV5H7srx20uOdazMv1VT6UnO
KMmhYJWklEdxW7j2Z8ke1l2fpbFZB1dxkeK2IDqLOXrf/OPceiWL+zQMR/o9h4o7i711ccsk6csg
R10j/jIe2WrT2aYu3/gXvnPbKvfYri2kaC7U2HNqw+3SVVwO/DGAbkaVUZV5XgVKhPKa/2uBt6Ra
pILddxvY8vs6WAfxVAFnHyFNSQ1prSR/+snHsG/5pZEJd1u7+6kpOS969sayAA2kjWmsmDRkMP1U
i76eGmwweBJpbWT05IAtCmZbT2GcZ/fMqP8WfgzLJtlp5gmKik1RnRIb4YpGG6A5qTLZTsx/9TUS
Un0phDy4Wm8r42i4V+R1yGwkXcINNuqxGN4s7aUnsAaZldhBigvOOpvV/Uqo8nAPuFgOvzFMaQX7
0DPXOo0jojE0BQZzuzNHrCSnvurLeAeeguTo5idxkPZK8UOMKQAjNRnxkD1aZte/dn6aJ8raWdpj
95FVC9e4HJJsyUTyVQd4NypMvy37TawTlq2Qm7nUCxAGa3R3cmNcH3K2PAtb+lMUdOgVlvQC9yqa
CsrN9PciBMTv9KiSTUDKrQwx9QLArUj0wOd1XYtNFeSMTyjmAM/m4/OZ9TdYIpwjcBEpZ6HEVYQG
5aIRZ2VpuvgaSH6VM+suKVHIKSIpbP6W6xtj3y9jjGmjRzaVJdoMgMv9aDhPqxGAsoEsMG1QPeO8
cS+HI0XGnoI4zpq8n6hXngiuBHY1UKEXJHZQTuxQPUFul/7j0Crp/U9bCiW+rEa4ZEajlEJSqY6B
fUernSupHnVsGDajZwxmq53p9UgJuk92XG01CWRudNezTdn/56kh9bR8E+ZSydM6zR3yj607lM5N
G4zPTHd5l3xfYpJFOX4iSRnjGo3ybbfUyWYveddJa8qbWoOr6EUG0jktViileYfzGUbOg+4kM70O
J3+VZ4G4AYa9uC3VXzSC3AZzrCGJcKyT5YbGqA0He3rmK1JRrH8YDsO3vWjl0kJrrZtBIgoy9nmF
zHLRc3YgVf/Fa971+mu9CuXQFqYv1JFsQD0v1Yle2VFnRmTRordvNoni6D70w6cDUSqIkd4aVbKC
unXHgduWnDH9DXKxbL71zvqmuik8Csos/ASXPnb405xG6mf+alPKCkElohP/cSq5IpMeJuF5lbTx
mj5qnvTspcx2z8/ShAsZ/Z/x/vuFHvpJLJ0t6iCUexomtI3+KeYU8GFJxYFq+d9GGH1T3vw8x+U4
SSpqzbvXFL0z58jpM2PghBL3DeHePO8iZq39ZjSD+uSIY/acZtArKMBxMjd0cxdWI0WXcYNDFdTN
7hEpDL/bwnDG6HVtBOek1+aadFRnIp3J7VuiFwP0WC8SkAzWrEDnMtpq/srelxygiu7xp94hsC4w
gSVDtwOvlVvLNfI5PvENWCSBtm05IX10+2eO8k6pcrE+Isjlo/NFuHoqIlPLvUx/GRl7DEgwp0x8
2wysJfux3Mh25sWEiz98oSAY/T+5y2YRpgQHhj3ibAVPyXiGjdufPLq0ZVjL75E2arRLz79wARfh
jAdQ1rQRhxwyQhDyXJ2suoZDoGYOjwDEKgrL4XN/GJG2BD35XOysI9GQj/bvVOO7kBTTdUaIrHVC
4OCXu8Ij5KsmsZMXlS9kp6Zk5zIeTWE4WCd1hAxu5QWZEZPziUqNURguioHLl6/deNg3UX8r/BCY
Yi4GmGklcehJnwXykCvEOV/In8gPQRY3agbVlbNMl+Zl6jHTBOFLledhQVvheN6Avz79Zcad3rv7
Qyb2RUbPPJ+GM7W9FyAMLJ6x1mgVg6qcZ6JfzwrSKPGmpjWZkjsyVXrx/ZSjNg9HuhMdoUNWuMYp
iuNkGMw8SNWt9qGgjMIPR/fUzm/wfd3DLmsV2ijoKK5gyVAybu9arePiqzBpcNpKP6kdjSMddZEq
6HECcQrswbI7x/Q4Djua0ioVQmFcL59AzvFCkFTE76+YLJeo3V8mYuuDPjelaVfGGBX9ZkvQOjwd
6nUsx37V5rzgVPkXyvFNaZ7iM44UkOAHUQkst8Y/O337SXF/hYaixHJ3ucOXQPG5MfXrV1tK3tQO
UofH9//ocvEIKUvEQwWTQDbh74B/bCshPzqURiq7TskNoZjm7JtpvxRzLTAsXL8YLmmmYnGZzejb
7UFQ1H2qRCRQZOz7byNnePkD9Kj1zBhYECReL51+0K2PlGTSKoMM3/uOZf+w60WAEPcOSWFO0PGc
82nJvfPUQtihkwvBSAXKtmJLPatN4TjKpV98kDyjHtdmW/L2FKlcxUShmMXkJB+SmNC8S4pqKnJP
m3ikA6vM8J9tQufQOiSOOmErf1Y+9C0N+dc0rNDjZNUaeqrmFSBniOaTC/1hYlUMj+HLUyeKSLxn
aaVYgtv/dD7wQ/oEmprgx94pkBHlNnPRaXMJad89djpc+erNA6JGzQRBgx3g15hfVyWEk871i+Nm
vArX0sJzYnrblDIswE+358ngdG0fWRSr6LlFbzHj1Y5YfgMcA1lQWq/6KVNMXpTXKqZv68aWhbhP
P+X/B8w7O9pcIfKfNLFzKYQFviRfBpi0FIffUv3nJ5IewEmFlwwuN2GgZVfuSLR0KhaSAB7KnqER
EgDDI3zCAiQX4n7gmzrG9aSQi71T57N9pEcVlnIsKHl3GvGjf41XTk8392etKl/SDAy9TgH142T6
EN+uo45fiXEG9PKq0KWm3zbSVf/NsUrwl2k7y6WFc/aK8BqHLYX0onxOYXJZYineRFeFSiRqbHZu
gmi4knXGHzq2zyr/hWCQJ0yl5v+rhOBh/YClgZj1H+SkpKfEhkD4r7f8yjOWcuRY0qi6VCBCA7kG
8zjZ5AJkrlK7Zdfagcc+cKCFwk0xMm5oSoiHuXB6GW/MiGerMrLzz68nARgqPGk6/Z2cBXhCcObl
PIDTH4N+AjHj6g0KRp50zxBkyiSEk5VfZxBt3vpb+JByehj7/3nwgBjXlIzUQ+QIECkWCH+E1DLl
w9RyELJiGVEY5fURTpGVAhcdbK9DctmLQxLXLKrtdTvbEgqpqH6erFKGwhc+3iW5BH5AfhZ3FY9r
yYWrKwIy255S4f9KkzEOvhCf6ZiFWjUjKsRpv8lpXW/FF7lIevjm2wIY/JCZ2Y8tMEq4VXQQ0Vcj
1q57RHRfPnvB92ZWFnNEVgPgZje4v6Yv5jzw8Vcpj0iulV5LSakQS6mLpBj6aOAj9WCNPbrPkZVN
rXBWQD413+RTE86PFuDAOy99O8zAo00V/8C9+ePEmtd5pBVaiYyOrPhoFdCpZGo8GF/DQzqlW7/G
GY4ITv4NtXsoOHhdLkttxyF/7Ef7SWnt0lM9bwMvnGZyBDQVW8qZClA6wThbKAxET/xMTwBCmdZi
pcSDI6LB7KxbD7aSkchP8EZLyUpjKvWvog05cCeuS2b4j5n555hDLWjoqfkz52A9Q0C9LRvsBqMd
RnHjN/YXQ2d8EmCgKhN6ESQzsv3ZmkUl4boQfi34bAimm7DXxEyK3ad8CjU+aGWvs/sB5oTaoJGM
ZCR97UCy3vAOHLkpEZTx3xG9u6/hPOCHcpqLsaUwDS3pXoRVC1pKlOnXjoFVio3FgUAoW7KM+qsk
UwBuyNb+5pED7ln9Q2tp2dS8XWMRgUAHzBQrk1m208n4GRVW4l/0223q9WsuBL7jPp/jVA0Vk//s
9vxOqgIXpk5RddeQI6cpK2c3WpycHfb3G6esorfPa1dwCssrFVooipDCUQUqRFt4YK95Y/DEC0uz
68W3ZO67NkYZNrlFp/+MF9zDIciqNX/cSKy87gKez7rYPtXncEO+1BSz4Lr5Y83Y639P+0d107f3
LrJf2ZXRc2qU9DXD1NUGeSYaiA9JHktN31duOwiVbUllUvt5ogm9QLsgMZNIZXwXXK72Gsqqmdn+
aQz8ibthyK01W3pDOvkFGfsg37gwWM8SKf5et/Gfre3ty1dV5fOOcS1Wwh/4AqMZ9wTDTqNGiqik
pHavwmeGMXeISa2vJ4lqD5eUQCOQYA9X3N58g74+zIh3qm4JP0Cf/QOmX0t/NyzOav94XxQF4Fos
b69yb6ySRAq84CzwcmaSCZjOWuB2NmDTZmDjQXhFEMIi+82Q5YWN8vdQw/A6vh91a/ulQj8oDebD
Wz4eOHQYaCZtXJeD7aoCzbngvWkN1E2sPDM++Pfd2yVKeSOcD5UndafMkwIN1syD9L49huB1c8w8
mHQKNacQ27v5N0M9njPnoaYARVxEWSSETpEKmjW2BzBlwzRXhJY7biZGl7dP++pM28YQyNaOINRg
BRxv59w4L8bjRJ2CbYXe/ZKuERELMEz8RX63MHv4a7EQs/+tkYyDvPAcqH5J9Xl9chnfaEMNQ7kS
gL+r4U2aMBewbQZT2LYkVqoruzr5gLpLjOpB9GU6BWj2WStms0dPfNuTOoXrg00wDHzsf90vWc/z
mcgY5djhXhjPOi9P/cbQizrs0uynFuiv9ztVG3eeUOEDFkqvqmOfr+iWMX0Y4IuwCKjs5ymXww9U
PUkIbCg/29vK2TaJ4KKj3XiReBBUjpI8zaDaTOJhc5lCulR2HpPcpMldnEh8QeGOgBD2WcMLv6RL
KGObwye4hVilhP5rIhLPaeg2m66IR56lvOCWN079VxZEjyKhnXRaKmj6RqIIMSMjEj7ktpcMkbCq
N7Ho8e4OINQDacrqj3ZwUDaPrM3E0BsILeUCWp6l3SpghtRb7z/8mXI1m9d5dlaM3k9h7wKqYC5q
ss+LxmLRb9bf5tSCGP44e60S4RC/FVaY4cKTMuzz28KAP8kdH5IZOPhPWUwaXo+6/T6KSQ7O77N2
NtWVa9E0zDB3rDVayHUIgtEii733BO58CLlxr/GRW/f3/etvm511yYDCbQzfQG0J3LgCWPtyRlqb
ySaMuwXJ5WcOooSySkDC9no70QKVHZexSvpXX0UDMA0k6V/1zA9HdI8XkcSIA20NFiXZOU/Omg0i
InONvEsECTo5677UMcqaBqhL+esUNJ6NasZCq0394yPEaFWTa/yQaVJMFVJKw1Od+28432GXG+XE
B1pxlF8O/JN/bgyZ7yXSrOsKoUtt3C82okXMdtUWZPw1Ji2JGOt1uMTJaxyyIu/+Cag7GhFiyr38
QmeBbSZm0gxwhzQTcwaqmYpsGG6bOUdK9eHhF71xxazPCadYsTttVIH/70LSZGALrOkUsDW2PuWf
GY5YtBQ+bR5mdoOBxLte2exfQhlB2xS+KIhNaU0oUXQTeLQTgkc+chUKAwEvjV0H5AdOrI9jpdIE
fKWIG1xs32GMuqNJ95vGn7C1wlERD51jxvd4tTItnGD0Qe7hOrjKYQsRMeb+VMReanQkFEuPfqzM
HPGkwCQ6d6i4GdzzubtuJ6Y0FJlBfHbk3XMHBClXYzH73usoSbZiiGhLjKxdrWyB0kff7SUyPDwZ
ijs1PKd/J8bgXAz4/+idCOsFPynl0xBf2BbzLJ/hyGEN+ATxQOtqWs5KDEtta6Kb7B5JC/Urqlqm
SDM9WYAo71vat1NU5jar7ir6AdCKrv8Qwl9vlxPTF+Q2YZHRbeiGxIaiZQD1up0uFUizN+sh8+xh
iCqpIXOhPZWVmSMXGi5F4DFad7yHHts7bqOfCS0az5NR+jE2lNgjMikamcqdHTNDjP4SApoji8AL
+yBYEdYcTLMe7VXrBD4z99wjYPsVFXY+gVpk9sfa7eOJMLSw+kvZYF5xIXcnjVvXfUr8RiGfxzi+
GpZpuTHWdYPtgU85zBEmrp9KcB+Gz6rKG6BCimNoe8UCAbVcSS5RhRNMW+z5HG+bFkdlT3noaHlH
m1yoPwgGw25xWGJ/mXc5mjBctwLI8hOSCOFsy48xr3D/wiYeZUm1Esj4q7d5h25ITecnfijJApYU
1I7wV7UJj3nfPGi5oHsXlfK941qiJhLj9Ob/C/piY3jujmjWILoE0XGBiKjG1JptVNZ+e3RvaYOc
VIwmohgMCjAqtcrhZa2QtNTmnporWmDmTZpYtyZqZR64GXOiSTYissaM7s2HA6Izl76v/zY04lII
Fsv3eP5h4kbMTD7NAcp/9zC+uYxxNOn0pDjOjWXzJdbqyOM4kns5ErVwFQKxZzuo2HQ4dXSL8v9X
p7FkMF+Xv7Gf/ZuAnQsvNFipMs1AReu5EG9guk64s4FoPrMktyGi2RLra+Nm964cTHLRy4ebPxvw
m68S+Hj2pKxGPn2u74i8B3YPTJ5vcpc4PlauiscY5hW+8/CeGX+1bZNR7q6ca0EiKOdTZMvx75ap
fEh0OI/yCAX7o+l5/LuV43BeCMx6At5MEqts+G86at9Y0GgUx5DWtdXemilhe3rltOCeMD0ZzEKS
pgYkNJVrwkQA/DZsAw7uwIprKktyjYTdq7ebul+lgIdyYm9VBDDOFS5iened8kkMkCW4Dg1b7ZKV
yZ+hzLUkQJF1a4LKaIGdqhTC8CUKAEUYB+JzmYhalTtBVIrNxrymW/KGe4fSQl2ZnI6ZM/KGkjgw
MoeUhFwKlG8cVViTWedfOlSBQWVopdLbKrqXuUShbjB/MgZQ41dSOGjMv+R5ltjBH95X5pnRGcva
mS1Lpec+TPLKQEd35g5PSKjzVbWLabLQlJNrVioGdIwndg29ThrtPi19W2ZRbE43EXCC7KIlo2Qw
ZoxCPEvbvYUqH/9ad0YTF5CG4WEazNYF7unAkXBY7w/cP0Pp3VWTbzgEPD9I0iYuY9nJbcy1M756
vLQsEvYn0iM/K2eXHifO2kkqzo1S1glLtte145pkIE14ZcqtFeFnRBiBb0j71v+UXtqUaUpHTDtH
z/U4BiPah8kFTQTInAfjU9I7jDEZhrZ4msjnXHn4OY3AIqsTrUik7MAWHv7XHwurnNOTWldisVjK
ib1yvSJW/oaqT4UTT6fyRG0yuZqSEBGi9+bd6I4fcMr2PYjK/UcMWDJuet4qPh9Cr/5KFqyRZ3gh
YNKUiQLUKXk8lVOxSXVGz+MVK4w9YAkEcEFPTcqC1Dyxlln8I3DE2D/6VwLZwVbX0aLHdYTSLprV
7Jl0D69K0U7/KkjjR+0THl6dhNF9sPCGiVeHg1wSfI7/XgPSGVkye5N67YeijKB4gvwm/8RqCleB
CCdWIoeM35FwsG3cB3z4kb/6X8XMNxqvsPNYIWOHh3cTDDtHdjK+Ww0FXn9PQdafiS+Uz85M1Hse
Fsv0P2cqieb9pee+rSseo6U1J91Mtyd7+Sq2ggPy/Y+bbb7mY/n7clYWVdwaZYgKCFzsGarlhVuc
dyymj+1F8M1/SF5C/hZMEVA1gx0BgrhOhvuzHnFMRLaYWhhpCK0w6KHVTeiUTpVxEqwegLy4U0dz
LO+eGz4HtrHlqNT+gBlrs/uYvLHX0NKFxdE4ft5OkLjggS5hvcsRHhLN1o4ArbSFLSwtCGReyDfy
Bjw/xkkjXk85rAQlWP58bQz0Mg1HaMNDf946p09/wln5NX7H5RDQnQaoPWPYAI8XwifIV/aB+oN3
SaddMyntoIUbGtgyNWkHPJID5uwccpc75LLuHZD47vRQK8+xl3ltkiGtyWvu7xbBjwdCBlgUmn3O
sT1QJ2MKROSTmTsNwJdeesEV89SzKqYcCvS0wKUZ6eU3uF3bQxVk/DuGWawD2E89setp/1s0E03C
m/4KpaO48JEYJKrJjy6yBRJ6byMIThX6TlsrnyBzWO6IahDNvimNs62aOaW+XGd1BKWgahPbsgba
7SLMjbhX5b6BTIjXYtohPZIKzh3K55JaN9gZerGQvh4ZW63fKcJE+ZzvMzM+J4crRgEBpLW/6J+e
BcRehgSUDDCUbzSjion32wesLCgtUmTEuIJLTiJ5a6Vr4tqMkbBDek2SDapD93KnZF3X8mwDO5Pj
8jBKtgVhlZf/DZqURu6CwrKZERe2NaiQ2pISlc/ZxGiMdalw+wl+6d9JsmMidDTh7WSTTfeFWXNS
3MIQ+ppfztabYJ8M12qkkRW0Esn+0bJJqYj5RuEo5vkoSfJROVX79p71ZgoxT6R51KUkH5z4Mmvk
giJjy7RFmmwFBei0xwfDitqxSM8MSdoaVngjnnmKxyv5HFjPVTIzhJlojuIM3NrYD4iTUH3DEgVz
YQtaH2CqCHx8+6GL6jKPRn6TMP/wWZICyO6QzD/xCFNrbgyF4Pznva1PwevqjLVL+drZFnetBRmY
+KEbej/ucP+RSQXgcdqHfvRnKyi9xIIXfKg8VHW3Df85ODWP7ePRgWIm5hP7mBazad/MUd7pxuTZ
tDVAoC6kk4SQwPJjgfpFLztl596XznTexAOyfFWOuwRKpPtbLlFqhlwIhn5H1Z6AtZ2X3hVyojeu
itgTs26/AeC0fFqMIdDr5wNUg+uwVZrIaJ/3wzL314Z16KV3/BBqYQtVSzrNHXctBCGD0LJlb4Lm
iYYaVOZXdnPd9WKHFOelnXVR4IhpsejXehtQamtVYbdfJYvjZAJ0lsO74gF/LOF7qqVrjtruiKCa
2bW/LjcOTeNpLN6hSQQR6oCm3QW5L12RLtKsEZMODBNQYIUZifqZIc9TwasEtJ/gR6kNe49oznZR
IOl14rZAiq+nYdj7jju616virjY0qAKN9xr81NKM2RTaHGWIuhls7Q94aX1u19wd2L51QXDN8GbF
hsar69sda9u8OnqmUU9b0Gi0Us4n/9zRhJbFeibZJS61Pjb4h+0dLIE0brIDBnetCFHTTEpB9gPV
GpXLmW5TfD1FuUmp0BsKjY9XCkl+DEFCdUPP5ViL3PqKkP699haQ8E2k/E8qDho7HTXWkDskiyD6
TxyYfaPNltgaxnbEjvDaqp50SYroGG8OUSCm9LBgnyvxvC2kP7AB4xBwzMIe3uRzuDK4vBCxWi6H
QZk0P5wwCtcCObcSQyh2T86DTODY4518YRdC6J0wvmqh96zq4cMcXR0CNtiuqirz43TtpEsIYaWu
WdGiwwfqdE+SEErYF7kjgDv3ETkY7ERreYFkB1eEXDpwDaQP8+oZ0xNsJEmrHQaDEZl20r7CFMza
xX/h8JQ5PgPLSe1l+tqgIjQy8Tg2KAGCPSc2dM2qeqAYpTR+hrwohjkYjov9bVxS6Duk9RWZ/Pr4
xX77jv5U3TF4BGNEvdCcZYMVHdK14VibmbW8onBCHRVQdSoeL4KwpzzX3YfoTMZ6ra3d49qh79jY
Yw1ra+xFauknA9YyGUYAYgkezQFfHmfFR5chv+gzYLO7kQ0NaB2dc5DhU9UhlAIx9iJU0ccAitYK
pvCJcTylSU1314Z5OKWaNQTYoRtNmzaqB31DtsOxyRBu9mkLHpYOs+w0RNvOFsIM3eFXhq6HQpjf
dJw9+noTkyAKnGZ3vJVnHyEjxn1Cr6qqNUbzweLzHP4PIeskFVu+wyqikn5gIm5iYY6VhXP9rW4v
FZD4U4TthYkKmf9KMIzrMK8Xw0hYpd9bdwQV8b7Ges9HOUx/nZXLMbNccPcdnefZra9Pc9DnQxI0
FwhZ8jsvhfGQEYoYXTV/yY4T9rsSD1Zpz1YYRT82Rc4AM3t9OdP93CUsILdeizGrzXC49yoQHPlD
vN3dBOUlQD9cwK+QxG+EkmlTpAIUUlKNTgAwKoSJxfuOJp7va2bUMA28qUq2Q59Zf1a/OrTyD3CV
qeW5vzbdMjzO/YcJVfbw28vVPzXw/IJ6FbEGqZFloWAEpg/Nzhydj5/GUAjxBrDuiKK9pIXU9rsN
SMemlkEnsaiEQs9XxRxZoEAsL30fWivJUFABLzomhgcZIVd18IH9+l/0EjfHDQQ4jji/a0SYMUND
vcqlWNgT3n/srDfRv1CzB0ia9RRcx0T7y6AUF7hJ1WrvKOXIAGOGFCiz/GGREacEmnDest76RHfo
GRj5M7GtCwNJo1bkdoneXn1Ey9wfPBLhHWmaFjhqpMqiLS+opWsu6rMmGZXaCfOKCnCFrIdnzf9p
MwuL56wr3YlZc8N1J5CESPtIhVdKxosWPMcUnBzZInK8ECqRA77/PvO9zVEqjAtTyh78AANrJfMt
GOPZMzCI8ypDfj4+Iqqqpa9ZhwNj9FPMYy3WdM6zu1AMAiqONw8p7U5Od8DIuXPZ3m/pUvvYSnUP
5wBppbEOvKCLzsdRvVyI/6R+rE30MVnlUPkNp3gNnluaabJ8W7Wmdw1O2vtEX0PLLfpU3AKj4vVg
4bKVkesXJ6Putsw3H4b7KX4TCwQGGxwIBBYtCpxRPtDCppFUBLskZgoEtpl701x0WHdkcMrV7qZv
yly5nOJjAk36jR99ObgQcdXIyVhdi5LyjKkII4Vef54661YQ+I6Wlh8yj09t8HoWfThNHVUg6Nur
bZBFNsQUTQQtvCt+0kiV1ZfETJqovlBXS32UsWFFGQVHlrp1ZjzyTT0kniMsFPN2bya4pTPhJ6iQ
GPsFb0KoZgdeuTn9jNge/H0vvdk1iBBKLiicKkoJ2n0RtrNELkYRgp2jDjrCDXprbFM5c3o/jSD1
lsytGyRja17PIsqrl4s2utP3WUL4vndmUVOoW2o8VWV7fS4b7u0T6isRvEt90TgS8qGS+75Q7SGK
2UgUbe+1QHXSX7pmSx5AQ8kDNiBopbFa2gZmLnaUEOaa4K4srKfIGaTSEnH5h6O2E0ZH1ppPh7V1
OgDCG7h6Rv9rLtEasdedlgliNmP5LDpxZw0TXRXv4KoIkQBaS1pB/wZnXBEgu32S2hrABAVDvzJG
hbHMGKxL2TwYDHQeJqh9LM7U9a/gbOK72Jm0DOVzYfqljdMRoKOBHqmcNOQbCwkuXf8srgLmCpTM
yas+JqkXEnOd0jJsGtfg9cdPzpo35LGljbZwgq2M+jbufjgbytI0DrJ8JW77gTdUP43x74GbhZeg
B07jmta6zArvEhKkxGbfPAWnWq5KOuEl2H/g0Ocbc/dMPEDtQTw34Y/8a84CBHWMwSQ48z9/3m+d
l+jw+IZ1pzXnVUPtDEydx4Tm//5v/dFQggJebXLzdF6GKTdJYUi2VzbWZCps6PjsgkQ2sqbE4Ugp
P5+K+ckVTWVeGFIbwVNROXbmdXpYQxoSOGBFpNXcJqGyNO2pHA0XBJ1+WTxvV/D2QwNTpguVCw6J
nFKczBtqY4ilMZ0XwKKRJKTiuvNuLMWfxtO32QiEoy2YUCCp2OKOpPF6vOGQW0l3jC+k2KUzinpw
2TbFlQeF1zplM4wDwrsTg3mp+FkVpk5LYubez0uD7QI2Ru7ar5AKKLxEJkNgGh4nyN5y2iHdFryr
e0QbH5pVbcZ8vmfD8dQjtmCQshsoiWQ5B0YNc/XlhM7ZDcWr9kQn9bujONSsHM/Kb8bDrgUxnWLk
hr+o3y88NIR9sZjraYWhQqHihj3vBBNstCJjJkftHQpxYeudvPzQbllo0Ol+B3xrF4uuAtE59NRf
QnqK6QpLFSFZNxl7d/GUpq5wd+KL9J7igIYZaUIuLuw/XPhIK3l5AyKdCST5idqTz/O/vphM8RY/
ejH3jUcJnEV4msHps5BFvh7MA/LwTBlhE210hmZL56k8wabMchBRkRWiPcZqVsjauC3E5TceotFp
3khZj4Vz21K4LSfCItMsBUhmoQHKEruBmeDAgBmpJv5F5tuRXDlGHnmv81UBjAk43CUGHNHVNFp2
nOAjzITg3gNRQio/YSheJyfckpjYV8A6wWvnXn6H9ss/Q3BeAN5pHJaDm3w+WoB/PPwthqIitqU0
TEfU6SM6aC3bu56tRApJ0Qw5L4XqMnOes9p9APuq/VZB9LJby8+XBJ7PiMSY/s2fXcKBa8d7hCT/
NtyPR2xDCz7d/qppNfIQUajB00wtmboCDpR0vu4Ocmg2Np/zpIeOaDxGFnbFxMuJzrR+z5TnhDlG
bw7ePFtgw4aRfG6LOUoUAiwAoByGTtIJjFoua0EoRsD8HFt6nBDlf31W3O09DUyZpzno8UD0IZ89
KhMKx9lzwlo6DVj88NuN49GDzRlco1/NvSAhKQUbD5fYEYQ5JfXTJrhBX3a97jaQiy9adSlGG3aN
GlDF6mbHU25NIajZJOm9TZxloGyt7o5BQN2Js+NZllPp3Wf0Z+wz3r8gw/KSRYRRgviXR6PlNToT
SLKpNUZQ9rlT8wJLEoyZj16j0as40/Z5+yyLhLFJCVJJomQ9ryUU4wKbhhBC32o0tcYSWqP2a/RU
zunCFv9Duj272TgdAPSNWWym4L5/mEVs2/DNY98CHc7GK0xE7lXZ6n702qBxeDzABV/CN4c3R+LD
MHtNc/hA21/QuDBEt0T6scHOck5brJek1iaUBx0v97XaRkiDHoI6ZFPhFq9Bh6yfrWkLLK+mQWU3
sHngxKmsDzvuD/xH/VbgAbAc5uWEzMUA4xrojlYFMgV2IhzRROfdQGG1nTNXEXwYBWcW3dPosOkM
3lgDl0yldbDRbE+Yy2Ll6RrXN4nzMEpzkSFHk13bP9HHieRAl60k4/kHkCW9HGV/RaSIXl/dUiM3
cOxUlqqGWijrjsYVsASU8LsU/L8aJyTM35psKMiIujhzPuhoIRxUEM7MPr2SgSaJ+uPLEmccb8AZ
GFDdzJWY9FH07WrBHv2rgvyiUutvzTtahmUOOl87o6btvjTzl+x0TTdy6hFwlJCUeLY6NFuxIESu
LDYT3KkSkUJuR+nxDB+/CBFTjMafx3HJ4P5qH+ypdGA5yZoXHQ5AuaH7fpHJoEbpILgUG68UqlqL
RQ3MwiVZEdbDrFLTnauczIF3VihRObh7/3RlQRd9p1vX3pOT437gZObUNah3PBYupMaMX6vBKJN5
zLcpQy3cZ8QKq3FvZHs6ZIgQdqwN0nYARY9OJFXr0X9fHciL/3LmN1xIVYuW+8TsuHaGohuH8jnt
+llElhb1+xm0yAT8DjhQ/5MfEcM9GZR76+tjRLYGKi7wS9NbW1xwXfe8Ly1QiA4rsLhN6UWE78RK
/0KydwQquwciD47grKvGt24mty63Ld7VwKpK655yYiV+3bYvxmd7POLQ8CMZZvjcaIUd71IFoD5x
5pw46aoU8SbfEhJCFF1+36Bb2M56EMNKSFlU3ReNNKlr0m5ld9msgzZfBm+nmVo0jSGdms3FN44M
mGkTkRrmp5XUR0q7fvWjvtQyyaTDSHEBvTqmbTKFOweUrzIv4DcelWe1893kam/wE7bk/ydAnSXn
XdkI/AwWEia/Ob1Iw/AibmuYh1oYaIJlg3MUqfvACd2ZJs9j3m0Rn3FwrfMAxqVSWwJkWRW9xWmq
XY50szZxptb8wVbtGjQeRzxIxofA9Jiq0Pskr+VsNPXLa+v29064CKEjSd9C4+SRGV8oKpklijjy
KtHxuBG9VIeZdUWw+pNdS0GuDEAys9h5Syz8nopViO7WBawX+OGlfnDSdqMud0g2oN8P8Yfm/ddk
qLYo5gMzLlBVN1PZeVPS4ml5nfe4Yy2l8ZOHqY2NRuaxoqRI1BylSHVRa0czfLPuA/pranf00bfc
L4F/MuqY9SEpT1BzXghcNTByuZpQLH7lnkrIhjuXeDCrCYo/vVgDEN7oXJv/uFZ2BbeI1eFWOruh
YD9HaT5s96Jfyi2iZNp+ZG+ggkDpO6vZ3XukZDNK1C44BveM9qGvJUt3yz61dlEB2Imz5/q3zkZt
osL/IOc3Ul7voYPfK4xe4mFh1K84fK+lDl0QatCJlcQ4OsZh3daUnfqjdM5v8JxXY+q5NMrATi81
lJ62Lm9AS/kKCPF9cI/pMi1ibFo97cFIhanEOuOM7jneIDkfHn/1fyF+ZHVUZVVBCtcYg8Dq8Mwk
PU5pn2nPb9lBb9KlkLCC+swEbqpTDuVckxL6dD/c/ySNp1FVpBX4y1qo7N9d4tQ2gPlsHgGDJxMg
wk5JNYU+4FGwAY6LtTFQPnI0Z2Nmixa0Z/UAg4qe+msIGGAA8TwXu4lSBPoGymeN4w2CeP5HFvIS
7JIDizF/lTEG84p4lUQoxcnNxN3XNWyPG3EUxRDLDFyy07Obd/lvsHgQ1TfI3xuvbNTlMcEv8g4t
HxoOhG/b+Prsljfl3RlN1SBYfEz8QXuRnOr1QDcVvPNTMuJ31yO0EWFjwqE60GEcXXhBrZu3/CiW
tCu0Me74bIQG/e1MM2VXlBPKn96clMfAWJqD3lD5vW6T/3Lcfkn9Q0lDBEQrTLuT/2NEMnmk42wC
4QmOzF2cJQZ1an6SBY1dqZuNtuSpKcx2VAjBcJ77aFpoX5xM+odWiWMT041CNX/J+wOs973o+qyZ
IrUDWFYjhwETnqReN63Y0pHmUKb07Slfn7bd/AUjXNCWrZ745zm9w54oFp2EQPJWrU06TJSvpdTp
Ki1nb4jgaPdi0gJyu3A/k41SVKSorR9sbUVDTaUkJU8M5VmjTpudS8Zhi5RAqQbl8BFqI8BUUBR2
KwtSjnt9/NiixNmKSJWJmLU9gqbdkj6ufXYhRGHr+QjWx7zSMkaE4XrMMqwVLgZ/c8IM5VC9vXig
hmcW2fYT3xZ+6V1h2W8iEKhPZrCNzzBsVkqXDKLMKYPbweChA8oDPQ60AssvrMDxtNXt8NApKGgG
BkqseqwzWXRmpewY/gaymKRSZf/mi+ea0LvFHt3k+d0DQBohlVHM7DLZDVWITLo98R8XvWfTZop0
yzJL6oT7fgcIPlnN9V4Fpteyq1ceuXcSvABzB1e8QTy3IWj3J2zIz78BCMtZU99i89YTTVdKJQ5N
fqHQyeUntfmhxOhdAW1koKr1o3XO0Gt6I3w071hXO7xPoo5kI3X8H7acd09YgOoGuWcc2WwiJUW7
rGCQsb6A8f5Xa2lXO95y5UEoo5fopSwD5Yp5rs+AqJ876yuTovRkaClOQcSb0Rytiy94j/RtdymX
hTn067h/kGQP4Y5sAoYa68N8LO/UI9z1lW5OCwzlHoF81G7XBnx1htyTq6xSdl8L0FeLRp4DvsfA
ytpEWFC9nhHzcv/WXkAf1EkyaYd0gHhI7YXE2SVGchyb+EOzG88sSM2o4Snko5PdHL7i64cLzFwH
MllJzZRtCzwqXue8X0bhlsLOqoK+poQo6As2KwjcRoRLaATFaXLeq3ZE+SJ5sm7xtVp8QI5V+Lmn
otVSqA/R/Bd5in0gC59yZEGkEVJEsgZ49f+tjgo6AdIygfGrgAPCcYFRKndfvlOeillbeHIwkmgT
4ZbeBvEiIR8vhyMPosGz0Ye2RFKyXznbliSNYQNNOcgw8/cLTB9jSOwHE4Te16Vtvqi69jxPT1Lr
pOgY/aECjduLJJIXc8oy0PcCLZEj2gkl3mZfOAhIoDWVRbiQkjjxdqAN1Zjb5+/2QOvOeYcs6b05
5fbF8CPB4iDS2Y8gp7RLXr24pIgAbUBDPGyVoIhioGGuDTSHM77m9leXRHhta9qM7h3yFs2PRv1e
kKGK8C1lacAsk34ODf5aIZ9suATAb2+1l+qCnMT3Om0rYyoZzO8Xet/1SIkX28T7cQL5yVPNzoR2
vsjlgSrc4KXzgtpOax3owf671o5lvFbXS8LArI+R8K8Dx3x0tQxL0q5atX3K6EqersSFlpdI1rXh
zw8BTHB4u5UOnicmtWoqzG3Q7buNblh9h06SuKzoiGTNEcO0cwulHt9fFaExjKL8xgHS7W98HR4d
Rh2uOoPEr76tOYzNBKfiXWPh7eoYaKq8VDJTKNVTImvoltnoe627Rf3zCirZQGmGbJisRWCF2lZG
7OrLEj7g4+ttNzxkHtzYEAJiBW3C+6AnToPRb5vMmVwTv2x9MmaQhOeeIB5pMw6RNL5wpqdS50jt
/kBuZYpTBiEjqbc2QrojrULonclbxRTnbbe44XtizvSus/bbcVmv3hTMZVXtSX4SIfylk6kJtfM1
yn+rFoHMjbo8y8LwAw63v631FKO5rU2w0lkHQJLetZWqDtiGXVYPplYFcyybdTjwsUN0Rx3oobX2
yljH+1hY0XErsOwLxElCOh3iFT+lcImXqz2BHMRqruPH+9WmwDGEhJlJrlYXwanGXHfeOyL+LSH+
uKsKXvj7LJP5OLwYzVJkmBYZffoC/3NR7PK2LG5cAxQ3Icb3U4hntjfY5ezb9SJRcKoqxF7/QzaM
T7ohD6bJKs9ITIOiLO7YcOKhISLgQnNBgXrYdKD4SdswzYPVmcVY+2GNP3VQfIcMw44qQtkdkXNz
kIQS4env/CzbFnpVEbjgeG2tVyFAPfFFB7+9zS86J3VptbxS5/tqQRzxDWhUm9cFgrLzO72ceLnJ
E7EToaDpLwNyRNl00hpFeJsvbKytUye8+cKi7kfm8bN1i0y0UmfIBYlI5A2LYJvSYA0abd0qfya0
2V5cO7nm0WbLE5n56TH7MaIGfGrbE+LfeSxovrTAzIk+Trhhx2xk3xo/ezc5N/1jcjEQv+yR+Cga
hds9WKl734XnDCvE6ZBFA9y7JLrMeVgK6j1GGlrpddjfKjj9tzTGVBE8+XzhPomQrCw9TCnDyBAV
UDaFfGRM8os0FIPXR/ws7aWr0aXTpRI3I1KWvqB5oe64tnuBca3aLOTVHM4oMh9G/WV0LsURGqib
EQbpo8qBSOus9ihwt/y1ZSz8xLibZk6Ka9kAlqhntMOVuMvnpq8EP0NO879/YIVIfGRmy+H+be9u
57q1AvRyZFVTnGFfNUQuFnTwVk5ynyEn7qfUg8dP34uicy/RKVQQdrZXWF7qYmWlngRxwd+PMuW2
lmkLZRaGiKkn13IAuAnKVPxcYmF/vEWbSScX0rmR+1LEwoYdPZwC2BWxa8ON/KUbtQfJ1FHGaOa3
TZvuBQXn7B5Ywj5ByHRDaJlLOgwCEwGA9MepExj7frTlX0w3aJOBTgCpBBqKIHzqcvzBWFB4peDg
0zfRXWtrFnuxFkE4TTvGzYtdgXnYuCZPWsfHUh7ruMyXjOfu7YJ9caWmFsgsckpER6UPDfS97DDM
e7fsCulKQbwP3qaLaHA7nzmJ9m0viGtA+r22pu4k28lyPK8A/LgYN/lOzmCVE/+4HK8tYD5p3mB1
ChzI/CwIpOh2utu0mHtaBmSlDC9oiMQU//uuw1lHB80H+n+CIjl6qjtIowniRfLfUDLtx6p6QpxZ
AUruAPJ3q4FzQu8t6c8+XWTNPUciY+15hY3hIU22kkUR8jP1I00HH9OAB72CGoSldjL1D8ZkAqbl
CCtsU2xvcXlOYwg9KKvcSywJuWiXnL4fbXwQWwRTi5Pp/ox6vU7XO4H/Dt0A+gxGLpiTQKneiJgs
GqqASdvIbG5BEZI4jWGSN90F5RJUXRFMzddWiv5ZFi7ypzZi9RqIwgZ+tePoptE86322QqXM9UJz
h+uhOcnnNqmCRU/CEosgHaYryN6HRk9k871Aixb6oIwTvgua5SEc4OvGkiKOUZVuEWFyDkKntAJb
D4FecBK6DBi6fTnCGNEMmsHlgIDrvmHMPIAGLjjxF40Z37Mr8gj/DZPK+tsCQgpLDOARZ+DGKtfg
++Q8R/HVAvqJBKE79lDVjGKOhwPo9vKswVzHdMjzBlB0jBtIEzSkd/lPlDZeDevkKQzeaexTKaIK
9UD2JM40KJDZsHl3vY97Op0NrfvtXBOFIv2MPywdz9Oy4QI1gCdDEQ1DOXNpIXlhIgY2NUHv+biy
Keu6yCACp1Rdirvrc1q9XHZBLB7YEEtVu3aGYJrJJCWVWIZaiNsTQcoE74yX0Y0XDFZJB5zcB284
pDVh1mBzoiJHZ/xC5xZ7EoTpR9DhQSbxHo6bBJXG8HHvS1mv09Kkf+qsqSO4ApGrJkz3MWV2OXd3
zyJf2cKPkmd+kLPFfk/3VEUQcA2uYKu8GM3n7HsqRPv1+rKVRhcc4NJguMkGSmHibLZHoEsqzyHf
o7fgdwIHrtyBxELMr2qgizPR/nkAX98cVh+o/uz22Ha/URw96JZLpvd4TLbSlvfefPiccTLDb4Y9
HMgS98Y9HPZVKw14uFRSDhLHyXSREfGJJKdtQZVVB1dGfqD8EPS53XIQxqNe8vl3gdSLD4gyN1MB
PhTVUOLUj3zzQbqZxj79dFbN0Q1gatiq9vGDsdBEmyv+zVFj34nkqL0qDQrOh7azSrsetjXriEBC
VcSDGrMfbiZIkRVIdemn78SzEae7uOrAp//x1NkWofCwvqewV+zpFITH1wcuNjhwU/FzEnmkB/lC
JW/dSpEoUmuZFFP9gVBTh6cuO/s6s8C76FkwW6g2fBJmwUC5lwfJfK2LTvQroIFSMw4Hgzc+EQrZ
2rvxOcnUP3jQpF8YcxzVX3a9vQECLfy0Y2eSZP+SJodSNi+m2qqH+AqrpHxj8FEoYUOhZsLjj6AM
L8TMbAVAh24Zdzl/lVDH5SBUW0cDW6/OHSVZRP8XjqsE+M8TXt62qspRd1c8QhP1FsTqRmtdPBIH
iPXI6v4I9Zgay0bkvvYIyWWbc6lcHDeG5iHiD0VA5L4QKfwMlRGG1S26oRrEG4prSchhFTk6wUpi
BA6Y3+I4eBVElNKJYsFzcZvJLLaGHF1F4eb4OFT8p2X9nTD0L48/iuQcOYtBxbsjGeyKW1MNvZCj
2GTtkt+9bsATN6RvChqcxagUGjjsJVf4pSjuuGqy8tkY2lYKIlXIZMtSE6z/cXee54zNbbXuKpr9
bAq8eXQ0WO/ivJtKuZwEaivCqiFHt+7YNpJT9HQqpVeWksYV1BphsKETlfF+AxcD9y/Wp4elzTqJ
VaroH/03jA6w1fKXcExZYZ/5oDCt7qwaMVvTw+N6lIgSRe+dmkwfmhmEX0+GKtjpu/9tgUybkGyf
/WVhpwJ4s3jRvHk6HkKw0BTkFMWSj7fN7OJmWzlG8KJvRnWxejk/VZElkLUFEzsURdH6VG70QmHl
wSl86i1+Rc7D8F4i66En/XmWffdDrXnsSOSd4taATYNu56Lnta/3EhcPY5CWbbm2zrcbPJpqgx+Z
KScbCAVNgivh2TZoYJ7EsterC2ocNRNOgbbKyFSTwzrotGSpnNhUScVh6GdvujW8XjTHlBYp0SeQ
yV1oNTMfwbg42CIpICCNfePqaPVBUiq/JzNWO3f2Ve103tuEpbi0Ju3l5RzQp7far2zN6Ze6A8LL
zkXSFK7ILdOhL1PMOS/Wt4EAPdle36cOhT5ml5EZuxPAhN4XMYHVeJBhRfqPII++8eMxhVb6sDju
Ylut78eFBBs9UOM+yqw1IgPCSDa5edZVSsjT4V81cTSQyUHUSt4FYAhXwgYd+2d/z6cOzvJtPqBx
ZxAOk/T1S4+2R7g7bez9J1T9LVst5Q4q0P4oWV/gGtB81ArbjUnes4/W0p3FQwXvfqESU7i4cfE3
T3FhPeit2mY71/evBX9PAtfKIey5IICI9Ocs9TYdBi7yfzDPWiV8ImFc0lUt8ZxezfEEqYbCNzZm
9kPz/g76CAO2NY9I3/ytCmwaeagiMip7sdDlHPcClVeKUXCPW3NZPnDUqwLcrbRRLGx2WYYfr3kK
cJCJEyyiHKFcBEz+sKKnSkkUjBWP8lVxLnFQCePmzDKfx37LMaqMRsl1uyGCIxmY3evc2xlhCr8N
BYFqTwERGngl6znnJvj/CkJ5TsoHh26zaDkG4z2i/wAx63+JK/xWrbY7dCi79LZwASQSZ+7E98Qr
y4KYBZMf8Iu7SmvmLNjz88lkYvyXHinJZ+EDYDVPT86Hx2C8ScAcSZLO628wD8Zs5JduI85dLVWo
T34cWMrNcq5HfqhPQBeTnFX62STeqXFqPyYFclvcNtycO1JDUClCGJ5m1ZWcdjV0twVG+5zYez3l
ueVE0XOLbhPH281Z1I2YohVwXZC9Bb4aO7UIGZXj9sI1x1duAzcXiKGncrOKogTF3IyT4A4d5LG3
WJjzS2ZJOjtKsHkqaGtTyviFfhU+KgdAplLdonCA08q96hHscX6mG7WNIX650eDaN5t/0CZRr2iV
wW1kCBW1dCKgPQtcghjvmU+47cYfkz/Kgcg8m+cc5TW2Jnex5293ukslsja6d4JcEZMVFIuoU17M
WliY/gDtv0rId1a1O8+6dfyz8vQPYYNZnhEdGtc5/9TSGS9+2VWRHIdGn7yXqP9WekcPA5mor2HA
4hIBNJq2Czv+TLRDnl06OPaV1DAFXr70kXWSF/e0KjhuFcb+F9Jms5MtA+8V6F+wcfiMFj3PBkrj
ZBTorYoa0reV7Lr7IXXazt1KJMhT6RgDH1PXfdjPca+8k128v87Y+ubxRjvNI8QhfLkU7h4F9Gle
koQFnD6x/g0zOyhsYFz0ln4jQCdgLCq+2O/PkvNXmOlaroiD/O5VyV175/0FUoRBFk4RNBUnHPir
mbzK4J0GJsYz2giyq/jebdAbV8TZXAI4nx1PDmteOneL9NopfIVk9XOLKOkvk7TiktBuLOEQg8Ww
vC2I03TDl7LymMwYCq+WstEneGh0QVFpzQATZcttNPi8twbndxqeHxsk5bhLaGo974kpa63ptBPe
9ryEujbV0VpnNHV+yTlPyeBB+hqlM0ob7tkcIbbpwMaLpB7XJzQWDON0CFViddXBnd6bvx29Wi0u
JYWPoR4d2aa+4sU2+rOlEDU5QRBmbPHXncub0hHGkiYiTzEjvRElwXvaQKSMSpYRJUJ/5FCXxO/Z
BczoUMugvZvkWzWv4DxXNYyyLoEh7WZe1eeRunAUvl5HgaRDXBlk9W9EpW5hO4IzGOjk4AhsIGNY
rmDjDqGGvJrw4xLPEeGTsZHq/Nwux2RmoyYVrbdwAqr+AQ/bCO9pZQoRxkok0je1HjKxv4s0/S9v
tdc7myXvEwmMGk7FXABrgqqmBTe3jSnMM1kTtneglctxVNuORAhuWm/kFZe9/cAPDwwVtdPqFNOY
DMyIBMuaK0FX5zHFbDSDVrxBgkK97/02+w8+83/KYop6ipnAJkePO1T393TtlC1ol+sl4yoSaq2Y
gF4lhCabAA1GAVbnYimc+Gj1YNNPQ2bGJbpNigc73pum/PE0X3GS25SUnO18da5Une9X2CbNxFZv
e1fphchQIVsvW1vlkYJ68bxIRyGxKJbNX986Bvm/LOTtd7AtJtYEjAxag77aQ07JtjnmyI6ahGty
8tuN+e+B5k0S+CfgakpySYaRrJS1ikCJxRfUw0gZcgziPRVOORoBKoOnOgnBeDXBr9H4nuFcQPtt
VMinYzcT2EBFbLNt18d7UIO1Pc0UMG6E5AorYAEWRfytpCd7I8aCZk81N6E/yg34zRY7VuYOq07t
FJ0UizXXkF2L+S4AhiDGSoWvXgaLZb99PkP3G2bE55s7amc6wV9SAsEleiARooCOtSaFtg6Vuxp8
4Mf6sVmqjE5Wft986GKQ9mqT3u/jxezrn06y5AN5sk3Tk/eh+MuzvEaqf0wdsHQ1VvVJjUg9P0W9
vgPe9GNzMDFryXwRqkuAxWUjuCFBv+PiM5SuHip8KH5OPASTNY4ioiKxebZavhdzDAEReZwwL/ks
POgv3uLG02PsUmOmHmn7zkQHwGSV1wigre6A4usk6wTlMD9gnRXhjqOAoG0KFirt/AguQr7GEbLx
pPirWbitKeBeve5Kk3+5YpytKkj2gQB6j9FqdbPl7XM5AZU2UnEDHwjqJXeyH/owomqa/no4CECJ
Fb+1tLcdSuU644AABPTiR9CJ2ZUGqNRJiTHacuT4nfWN+f2uyTi0c27m1OcMcZ1R8PUd0cw+Y+fD
OFe/i0UER0P1sh31k96zb+M2xKc7sVVEmn9bsfRSb0g8tjW+pa5aeEgFQAjpIow2f23W+4GjfXsK
v3uOxgWYv8P8ptTMIKcQyKVzz8E3k+E5w93DKUyacZaDn0RbUaTz3d03qEmKphsnrJ0olvC1nvNB
7c6heIIKo21cObJscSc2A4ir9dWW0Rx4HPIAVfR0WV+H25zAqiykkcNbc1M022nBufUo6zPHoh+j
juGpwwiR4FZwENC6NoDTQ5PpG8c33YENApgiG/cqyriUkYACqDoURYXYlf0i0MrpiPtEhVQRKmZi
aC4/sbLfpTCWcnXJZYAEEVHo/6+5t4nEyx15MxU+cLLXTv8GQEjqlYkiBWelTBicHmzIAn0rg8Ja
1rn3HutUxO/8bQRsZuiXh6eHZdJWAS8XgkDwNtHqKjq8FWLARRQiurIi5bf/m7YMbfkF+lPbZ6yk
SV1oXHkPwQOUwRy0gI4MOpmrOSMo00TdprPzSr5Tb6nBo3gFu/Evr1uxSW99TI34o2xLNEQKlzji
gasrYA7HXK2BnX8UBiGYFj12YfhQK6/erJckgiA52pJfnLbEKlLsZ+JQ1y6sRnDY6E2q8qJSTyzy
rxZ/yf+oDG0wflhSFWjnuL5rK5xHWB0wG/vrmCBNXKeICnGPi6kEdwi4gw9jxTpN2/HDvzdSW/kH
//cA3oCiKz/XrMWOhw4sUbp2cj7CdYw4wOahaCrrgkX3c33/+Axvb4owNEXJztOrdxcPxuHJ4UBF
uaLmWpqVv/WywY0zPDImkTvoX7Gp+kY545CaWDTf5SidBMjsQFmGZUy9QoM6A81m4Rbo1m3qA/6K
cAK07GlIxzZOFryWz9NLRFUtfVUGO607Y1PTO0F41qjiPeXoQBjre/LooudjQUL9c6Fhaqc3yoBK
V2GHeZiuukgbMbeWvBBLJV+YynhSKcHXWpQ4HvI8w/6PJH0IBELdo+TXzIwItzweHT/hwT9dFTWj
j1fpgxCud0s9ZKMuTzenL2H/jRFSzBjcbRG+adesEDkXR63nB8OQYBslA40jixwcK8YpZEFbQ37s
tgQXaqOioq41mDqgsDZQgVTrgjr1ceqVDA+hXndIq45renyaatMN1kSLy1RlTUM3yT6sdsxxcTSR
XVSWhGjCGLsdagu8hrRcUPkeqgxLAjYwlJ/DVs8gytZTKCGg+CEwiLIUxRcMOFMr29blZrUEfYB0
tVFx6/uBsmb+bBoN+362mUhjPfSDtR/2PLzzaLdlvlb1eAsj2iTwTV7n3sHNskHXeoW4vyRqu1wZ
tcc6fT1ObZK2nWuxCQ9lT/rd7dftaw5ntX+WwgvTF9eiCzmlI/MtjKt13Yz6NVMYqgxVfn8r/62I
JpqSSCmAesWNtiDtUogx0f/CQT6aXJpFdlJXbr4frUYwjDH5qSvj/WoIizX+JKh0isXic0cNhN8Z
0njw+2w/pWk5GmZih49+aF9n+CX2ZdVJs/CCrqUCE2VNnhfvDuwKExVJt7KC8ztHxb/qRA92bUB9
c+uKEcTTyRj3bUJVXO519p/m3Qr1GYN9cONSFfklzGisPi9aEnXZlTYVwZ/26BseqA1MRRVXTH0Z
vu/x155kBwf/SdPV+Srzrnr8MCZ+viwmzEXLlFTUTRN3YGrNEEqxjBOf1zM/CTk4yexl8s1YTy8k
VxkmMD3i7GNLOyMvy7TfQ+sYn/m9fM1Bu8vyWu1ZOjZ2Ho9BWP4x5xl0myuW4G2CGByeCMEJAYjc
FNGGNNuAStY91IJV9WeHpfNypcqYERf4EFSmPxzhRTQKLuohqsiG6Daf759I9dIk5VHER+YHk7EN
UM1XKSRUhklmoayo3/Iqq81PWPiH5nQH2C0IqVojytT9K8g59Zhh+qnLeTQra1qz5//mUjTRy5W5
bhLof7HAWqNAdRKXV+3YvnwjwBP7wDEU8bFKW0WcCuRgB/BTTxRw8jdh+6fY1xKgZa1SZOZQ0qwk
MEI5NdbqgDSmQf80uSS5HbWrLls5RkxaspAz428nRK6kvSiafm7XOX1CPLo1Mp2ZcKaq/hUO9i/N
FZ/3wBlVO2a7VdHeElvxgn/YoIqa3RU9HS0KDPTmsHqgvc/1+PbZD9erkgedopT43H4CqqQ9BfnU
kvQZ+t9+1ACx650he8xr/qkQeGAyWwc89PCltfaYp1w1i78gpoNEw5kxbSAxhRBvWLjfcTI9b+SW
6n7i3Hhva4iK4gluV4M6fZHl32MRWKBTwL5fKTTUOltBcr2SA8cKS1dpD0RyqvfGb4NIprClpvdT
9alGjZVcbFz+SiZ7btpt00IoVP6T2b8HHN9fotkwehqvhDdwmKBiwoQzFq5rZLbqdoSqpfmF45iA
TTKWu8hhrjPnLfaMuHnVp0EVda8m+v9nWtPPBUU3HrfZpgiiL25MhnshamQ7c69EwwLiDppNMmhS
iVaksewo+Eku/c67uNVo+l8HBEm+NEETZhk12QN++B6SQ9AwpNEszerO+sl1sz3lf9DTaaZpbCWl
/g9E4/CXO2i+u0K2Ah2hTGGrS04ufTUnJ8qgJQfNRqVDsLj0qGMcIXtdLZ8cs/wrtL7WJUoNl6BL
y6+fYQ8d2xCifHykcrNa6hs1HnxI54BJH8Gnjc++p0OjqGwyIw61i8GrydbnzLjACwstOfiuCG9b
0AnziNXFKG67mSjLQMjDdlrEpZMfmFe3GQtmSCHoYXgI70M88EXKXQza/dBSIHZfB74GETgdkBu1
7jO68p3NWb5x/isLQEVIgGglHlJq2N0rzesNhpBZXvOwIopTAE3ITrT94yf1oT+sVDHlMHuY/9Mf
jAFPmGbNN0iF2jB8HgVbhLkPefS/eiQkgIXA2xB8uR0JeE17dGNWu3ccvVgDxtseG2QQF1oZrh2q
0CpfHaJZyGG0IfQ0nZNfq4gEdMnkbY1COp/NITDmnf7uZ/QHZAHXAQ+ikVesFIc0jCL4gAkcnRK2
CbClzLMzz3ktkTJImNxgZSNpUgqU2rhR9JtfGfcky1mOq0fEHvQ2R5JnNF28iUknwep3Fi12DfEe
/ze+pF2vVSz44tg7cC91JrSCJ5OIq1sm0d68cNZYoDYTNC1tsIagLaYz6fZYm7boDPhtudibWbTq
ZKVoUCWgYhPiucOZziod8O1SU9IRMJ6GuP29yCqe+dguRuxx16t7HCiz3vmXNvE0bmhIdkyV6A/n
p5vFyyxM1zX733FOCMv6+KkJPvZ2FxtCg6vhkvE+utu+O+fNNWShfn/eZrykzZUDkJi9Ozi61hwt
8gVSxpRtJQaqNmFP8lQIKmxZobEoG5eubZis7G9QvE398OPNt5A8/2ClRWV0DJPX74YBARXsaWET
/CXpNfHm+GVvV42tL8EBGup51j5KeLWQyL5RfQWiICuRbhjT1v86wcniYToUk4i9ktX00wwEcLpE
ysxGlxHhOu2zkNGGaDvrkJLBpvU7ASUTGeHRZ8++jKtY1iCA5NfDoDh4+V/R3jFqbj+of15lgdsr
NLa/dzZ8as/Q+gKOGTqK/DgBMEHlf1wkB5d3jdbYkBjvyjRn6ttCip/g8r8UWacba0W8ubxIVpQw
Yck2s7IhOUfX6WRxCI+dcLUEy8ipzX407mp2iN7OxVPPUYXEP1kYcsTn0LH9FHH2ovUmVnb7HS9j
W7oNN1T+L3sE5Kz/EvAzM6nTbWm91TXY5YrRXgStk9PsWN1eWXe+mZLNFJu+aOb+umHQR2f1zgwi
Cvll2U3nISofsXUwBNzZRYXM6XTHN3T0KcTtvMzcKOk5QRq9ZSfMwSQkXPRIg173v0sJndKbP5x0
QSBmIZLSqU/LBLGvOYGv9glkXnCZce8/otPM7nTfJpNiqTkYU4T9dmP+YdUDDOvia4NPxPmlT1Ik
vN58/LkFSsPGzbjYtGt2Hic7QP72tsuup9q++8U0oUUbDfEedX4WcEYqU2aMtrveFKwddXBQsCGR
/dddhOPNkMA8s7zEPjEWQwnFGx+wnqRMk3lHokXXm3FDVnnJWQAgyq8bbDjxyBETowAdMvuYabTp
NBTYTuJOADgWO0r8CKNF/QUlNTg9xPuQgDlDO+mYbcKOqD5eC01NgeLYRXU37eooje7t0LVFiNnN
Y8GQK+6pi1B+xNqyU4Cc7nz5BYcTENlD6syuGR34MRmRJ5QeV/+4JyUAe7KQ7lf0f4C9V8ed7XkT
c87DmG1WWJWsY3j82a4shRmFzuRZXMl+fF1+PQs5hEynyUcnwZIPjGGfGKfz/w42DO6ge3lzFdfk
IbPhCO7ZFCo4oZlhJbvG63lKaVt0lOIEP79pPYny1Chl1pA7V/zGL3Y+fKBosfg4rKQGq0W6XkET
p+KqmPWVedf4CPZqEjHa9I1vaQDFqrriw5F0Xz76BzKOkK1muRb5mtS6aT5PUqwJKOTgDZgUAofI
x7jSoVInfkH1HxUnulGc1AtQdLUMN3eXs/MJOhKF7XsL3Rx2BL9GvQ+0Imikuk5IUYQxLPvlYh+j
LTrMqT9VN/y4LpmzymDLCqhBGoISunnBtmC5/IO/VKC4QUHWMwMxmV2lu69zrxKzbHC+9DfVE1lu
xN0KzAYpT0sdePfixVXYYtoMw1gv97yTMsUkOsKEJpIZJfwF5uX9/UBFmOVhGzWOoQinS1I7FpuH
tCHOsyRWkzDQ7sYe7ois4w2ZC+xztV2Gy9XJaGyiLU4Gu3sT/aLHe3GnqkUbXe9GZjcT73uiT92O
/Y5LjvyGEFm0sM/fiZZSH+zExTo3H/SuyKBhU6MsVJ1jCv9AQ7b/M1sDdN/jtDwULwXaQvDl/Ukl
KOEL1W0vmt8mERbkpSOjFI2LyznnwtoQ5nwlTfKcDJegEYlmEiQzgDDMx9bf3Yg2h8evlEyp5nyW
SwVkwQQQXNbg6q5qysMcxnNfU5l1LR14ZaRE5MbU+JtALlYkA9rvrql++L83K0X1kHq3O9XsK6w9
rftlMWv+3wuuLhFaZZqcIGPz8E2NaZowTRx6j7dsnw0gqfhe87JlOXDO3MLoIkOTKQwsDygmE3P+
iLLuXN01y5U0Qm0sNzL/B9GITu6nWJhP++GZcuUBCvLE/4877dgIrVvAuHVBpHLQamnq9ur5R6BG
rz8fTzsABzPL0fTH0Qq9iGOapBq6NaVum5PQaVly6OKtUTYCMrcSIS4NqHs8IThIa1adgGiJrgO/
50iX02VNQXIK85bcjmmEYTcvrkm0ukgvhXKaEmoTJsA5DYWo4Z6uJARJjliqy6vgEqQDtejjoKyj
8Zow8R8hvwyH0U1JnhB6iqLZiLF2qDlbnURf8PI1IlYSkHIz//mwJyR+Nnc+Z0mfiogXgVcbcAr9
PV/easU5rwrmLbVkuFh/4T0f5ICBt97WHA8CeHwAdGgR6807En2a1keoj7GpQY4u3tp6HD+85cKb
tYFNsHeSB+hAwBPdaLF3LDs+8iHncSa301nZ8PcJam4DkRPeg6ypIg4IjjqCvrtXtjud60n2Slxd
kGIb2TlGq51vDbvwE5hvaKDrI3LtAYxoSdvsyzmuWFnn8ZW+6mKNsVP2rcJwG1x0Zm170cO4PyOE
D1Cp14s/BhXw5qICNts3GSHQW4XAyAa8n0Bz6r8UJnqoDidEY1ECdaVZlyYaWLzhlflWbz2TVkTA
TiYaUaSf+LzLOIo4PZK+uPsh42PNj5jiN2MRg6fwiOU8jJvA2yzSB6pq0RW/3pJlRDI6nq8rukC4
wvKJc61Su/gkGqHDKyzmLc3wELSDF9doSl6I6dfEK65Hh6O4bDMAlvPGEkxPRoQTxwnIPV55fGHs
oYSlQDsCEXLXkpST2saitog1buNVgXzcRwQ3HMSBvlJMi69XXGo48bWWtsd7DrITRFv7zYBwNqLg
jDI1nTWdUJdMUGOy+wfZy7jAy6CvUzBi0gjjbtwbWLSPL4KgucrfUQPVyW1OaYRFa1ksFReQP6BT
mAYKTnCDlZyCK7VOuATDR8iqZxknaO2wrcbI8xVt/k8Bi99nMay4niluMO91geCV8mm3iMswp+G2
7EAAaA8lTuO63AYnjKwltfUZHPhs46reXD2tUNLw30h+wkXL0RLHHvSCH+4pEAvoVk9yoSwXYMYI
0u+Nls8RNuh8APIAZi64aU41uwa4OAV2J4rUu3wmwx1BMKLE8ETrlVgJeg3ncOgQYNajg502s4aR
zpSbF/7d2D1IcAyOiZVoc8AqlTIE/BDtCTmmix4xH0SrVsbBd+SdrpJ+uB7TpotDb6Mh9/kOjd5c
D4lHsdM2tNTVkjmE61PSfzmuoj/3eiMFhB/INVugPkrCArJ9FA78eppMJoQ/0H0KjrkwVSCM1227
seHs+pd4/EYJt4UEQ1XIZe+/he1k711J0muM5rMQqtSo4xavOfQSys1tRJ26VILcX5VJ/bqa6o5T
f1CfJM1LWMFLyYuTUKUfM1DP9RxLHYqRsKJXnGaLweoyqP60wX1Gl9CX1/DckkN0nl9xUfiSItO5
rOKtvnbWzcKuhxarySzQizhzDRnb0e7029g9a5jXinxoZGcG6ot0U+4h3ifZvHJsH31r8fG/8Xm0
1OlzKgPAGULefyJAzJGVkrNK/ugX2ATZ/TttaLzxRhSw/KfnmNGuPuQJvrtJEu3sTGY/1g20j8cT
7MYbqlxqCLG5NhqgE/UaOTbmIZq4Krt3463gN/4OupBdJ//IhSOzPF8+zhh2XWsSU98MlhUigrmh
dCdkrduyEis0dIz2qMLlsS2MpVzRK8aNCwTph0WmnY1BGXLTBxYboZf0/fdnH06HsFF/62K6cSpt
vpWiC75uVzw2vWWLSe/h8M8zJ6oCSSAQmGEKHzNLzeK6bQGhgaK6XRRmCE02zAOr2RIbt2KMvHy/
3CjCj64X5dmztzoF9kIlz+8Lunq6PxMf/KmtDoehWGC1o4DeA+zPGGd92vQYnArLCGsBFhlvo5/Y
/2rt4jxOG8jq9dhMT1Ux7Gy27iG66t7H4aUaJwkwjGHzJMoCW6PkOHWTZl1wEf9v2FBirGt6n215
Wobeu50srU3ESVsUj5WWvwa26v0UY6hv0vLV+iWMV1BThMRf9tnMzh4qtSG5tS3woYWyowVjkBqq
aWmVGZucFulmQ/p8wJ/6NSrcMCerghpLGalGPyaJYze4UC+jr8Ag/TVh0/V61Rs44wSto6LWaVrF
Ah43eoHEYXlud6c14/ljvqepHgUzgPfEV/Z/fOJKQMNL9PYdHBtdPY8TsgfVyr8aIsCNjJUgLNf+
eYhTonA6w5BohjKe3egM97Z+wTz1C3PjHClsNaCjXm5Om3dcqbzfcDlOF9nYKx9kIArYkBCX3WRf
vIIBqd1VnTXFelKw4KYWuucC0p4ZRqg6k2aHOxBRsfP/hdInv2Gf3rZ/QSJnAFwzBUizvIGP2pzv
9/XDqr9bl6YDylYIsj15YIrXbjUHL8Qu/PIyPmjZV1dMLgt3f47WUSlPcgnqMMRQ00hd6NOsjbQ3
gmlDiOnPeDU7oKucXfwtPRzg+6L/EhbYJOAJIM4wqDc1l9MyLOGeHIdwS8e6dehsZLcGEHcbMtf5
SXYRzkF3HiypKksFVlW0zWW70WOqsdTP411dqKUaCg1azAf8/fvH12FwOaE+WqBmwA+APn9PdOC4
HXDPdTqVnB+jWEz4Y5ROthzMr/duIPevq5qgowGtakaAk0FyBujs7Yn9TvTHTo3TyZgahJleCu5s
mssEJNOs3hY1RD8th9MJEc4Tc19UszHdOca7njO7SKt0KpvD2y/e5+S8d6cQJs8qAwn1szDs0Ipu
sBAwfydrRyZFSxqSHhK2abpBy3AtrfUGi+Miobwx+cNry5EtXuV93jGQEEsLDUguRnPVHU64pkMN
Y/HvOTIfKwl8ghupYahP07FTWIgQGnKXkWHc3RJW/fAOkT/MtLPls0b2ZvxsEQNWrWK48N86mdF8
URpOXhdZHww2j+tNTaSb7HZwj6FAkqAmeYH3e475o7NbLt5jiihmWR9N/vpPlXKsl7CCq//gmmSi
thdinxVkcdRthsHqK4+OQ0jG5vKBr89juvT01AgzPyA1WC3IqsmVDfFGjcqN5uyo31EWCA7XzVR0
V7vENCjiJHFtumxcQ3kTK5gNDfxIOOvhCVk92NHT70SP818uq3aWJdzUNmramOx6e7gkaWOTxjqu
SOlQV632LbwaiQOHyMtHtGUg7Z/fsNxztg7hLCZuzccdEZhz/dJ/PpG5Y+KZtikPGNbuxm7oIFLQ
0Zt9WeN5ufC5sgMAebeEYS/ZD3gCk/UBQ8oRFsNrswEERYyogd5nn/I+OhoE/HuGiPxvJ32o/PL0
0xbBevCOR/aPyg8GMu9pqUzdnNBeun1BAZz8axnNI1q8YY5QFA/gISUCMmsdfMAAEZCvvzLjK974
h6ojpW1Vwxgg1cmPJH94jejLrGH8ltUAefXjIOGomGvenPvjGGBDZbwfXI5eJGlTvfhYsoHwcb8p
47Amu6GyhJsgK5SFynjUGxgJZCP1LoP+BA0CduOpD1AsEeCjozAtivPfz+plkrS5nJ4y4EpsQcH6
QKf98QWIinSsDg6b8O82M7blqIrc4JedIZ+KA0PZDjDtSycQoZiGZO2pf64uSRcUCVawEu0oMs/4
IZS1tYsujMshzoKhXf0ubVfHDKPo30825sreLWixR1HjdsSj5PVCPrAlj2KHcTZPwruOv0+ILNTG
JeB+ZrdFeGsKOia5MPr0wxD/EZ9nepA7Nct5iOl4bW7mMN3zyBHYjejFpCqns0x0d32H30fo6uOV
9GwuMDbqHLKeXtJz7wtUS7SPrTduYdoGcBwzGzr6cMDVb7m237YsvORerRYnDO3ydEySOENtkYFv
YssZccw3G5qVHvKmbq4r/5lK1/9lAhgOkLfEm+DGxxPli6b9/q6EdIfQnLyiSRz9cE2bivKjFOUc
ZULu7SM8Z/sHvcwa2JFmzv/DzeWpS7vhwgA4oic/ZiM1/qS2+/d+4WI/IZm01i6mPbQozH7vup1Q
8Pu7gMVrz+BZrVQmcGCA+LTCTLY4LgzpBLIuh3m3Oqawy54/hfSG2XVtjyyjvpUAzydqGxzIOAos
Fc7Gt7Z1iXWhqNuPDH9+0XclSns+/HMSq3ENcbZF2UQ1E2tx/wY8LHz64lLqJ8rQTKZm/LhWDBSH
xLOvAeNRxc2YRa5RJK7n17YZOfAeevI4yRfUvn2b3/nk+AD6lHCoq4XCiWVSitLfDQroHCjFiWFP
NLW2/GmWWyAaGWTtt7qeXx/IIlf/LKAFIIitVFJoV1fjRmaRu07BZvpA7pwnRSDO2NmM0RmyCk0q
pL7g61CVD36DPdKR/t81fOFBsOVxje823Sb4OtHa8S6M/DsA1FHaT8NMcD9mk9T0UGKFHb7Co5J0
wc2Ot4pbEG/VtWfUYO5k//KSmaP0lPt0ZANm9zjHTjBDL1yph0Tlw0SrpP0z6JBLmCJ2L+llnQH0
x58bQTNc83qWKtdhy7O+6DAtzk209IPpnvr7VO4OMyQ3rQKJEhNu/L6ElkuS6XegJi7sJO/N/cMa
wmCMdTU47y6YZRBKC+ZBTkam5agpXMy3SOa5j48aiHy7fssZP6m1dgI2N6zI62DTheZgJSQEZSAR
8UVNwgxddc+Gqx8DRghjwEcLkhjn2CGTk43lktveG+zemsdlfGng6TWiBSlOOYEacdR8pXbfJYNj
CK2Fz+4OC49JjX3hQsKC7vUFK1U3NOkKXYXy1yiw9z/ET62zDnPQhfgf41H75SDERWwHhUCYxeqF
qoiJNL/BXnMcrQSgirM8nnPexuQozGVeZ9PvfgeD5MgJoLMkxVWqMZuLYzXesN3p01QNTjV0J2sO
KyiNKayxoYFHUwEPszSNmJo7gOzB1IJEHV+t5cQitbO46KadZPoC266RXXVh6L4534UdbZK3ZRa/
XZIprVa2T4jyd3PTaW6RhVkMjirGPpwbzEAXZTFndvsZRgeToI9yp1mi3YJ2PFJAWESNyJQvVUHC
VkUoZfQHmQst2KHf6naNZmomH8foUDwSnN9Z4O6Zwr/o5yhzJCNqn3eDPPgg8ZYifQvY6T7tbPhu
FEsNHk5DjJTMFWsy40rV5Cv/RwW9KX3SD4awLvhTAcdpPtd2bgzXVHwzZijVzK/5Y0V+rRYk4TDR
zxmpICH+gAMeeuVuGBBQM48k9kv2TZMR/L76xI1UCZTvnxnyPFf0UHu80GfuNhq786cHvPyboESh
QdOO9yCGs0ozXmmIQqfTazYhRNnALgzVpWXeepqU/mXhat9etHX6ULxrAapRZMMstLlRg9aytagW
t/Nd5UelP4VHQsrV5+YPEWBnvrXC2B30eFwRHIbPql5SWLDLUrjENMrrWPbdkxjvf4UvLyxBB4wS
z8be1zNMj/IG8vm973XOSk6H6efflnMe8KvYWsBuPUTCAhgSMuQZU942ao+okI48XBf5u2cHszvl
E5LthG2jJFV0fEW7SweSiTf8mS7vNSFduXJiw6MiU05BABmB4wU6bQEnua2tL1vtum6+9n6UTwWa
Ng4QT/XJ8G2Ziaum7wiYEqpQEbiP8b3RLKNcvo7zEiVXJdVK60zH/MWhdxMmkcM8bjia4zW/vuw5
UAaYtVZZwuReuFQ2jqGIvR023Scb7ImOeEJPYHNVmfBWYlnWcC9WqBSJGMhvyg80kzznE4SH+I9x
uBPu4l1m5qMS+PEUE838e6AXUBXvtsUiv1eMY+pSiZvOifsDevCtLdqTcFrCr7yzZoh+DDbKn6g6
ZIfwHAOl/ZnhdNwss4MQFOJ1P9OhVtMb5QJL7TkGA6j7kdNHbRUo8+F/TOztC5psjplalbBv2BQo
buo15oPvJ/yKEV8SU3iG9ZCgLoQPMnwflS6FXuTSpxldqEsV8KDQB4Ii3tmMXAQ+/o+OpcoOlkLG
jkZArYch664aFqO8mPftqC1IlGiBpyxioC5LATzh5OlQle9x9TQt9xiDhsenABEnH/Wwcp4k/OyS
srwjonsZk9FKhxi10IiIkhZN/auliGD8FMVtIk2G6gsfIA8a70N5IB1KjwsHDZpK5qJeXQA1n7Vs
GmsxYd8yz7dYvo7cXeJ8DhIpYg/rkTN9cGC2CeyF2x4o5Hv1i37MekuuYALk2IdaL8Ku0Y9Yfc2W
GvcHIIdXyZWDPq+UL5iepfAoeAxrQTuB4lO0a5hHhzSgELA9tk79cduHbOEqvRhXq1Rn4HGhUefL
2Nte17PODdBOTFTO6AhWrRb/chkStl3kH4JgSlhD71m06zWdwmaGIZ+NxzuWLimCciOfjyJYKx/w
2SfbpES1/ssmiDBOqWUS09GuP4OGvPfYHA60k4UEgeQkWsskf5wlH83mtrVcgu6dyuGWqhoabwZn
hdWpzE4cwCJ6fvV2SFs2xOF8RzXWneVMhtx5XH93B/qD1eMy9I5eQtAkrs3ELoXYZ6w12JRlG4Gy
lcB9Zf6GQ/Nl5Sw2Gdc5pdG4KJZKqi76VBdLAIwh4WMCWLIbkHCATzUy8NhSwaV/PRr0QmjzrSx7
FGWRPfdQ2gaUbvqYwGMIA5uoPkZasGYEu4MFqCMAwXY3aabXNqNOD2BSZNd78dm2xvbLKBuM3nPr
TkuDkzSj9T9Sp3WKcAx2RyIzKqHx9fzUuh5ZEXwqNAPWcAHNBDbxwHjxeDncN/qbf4P/ky6E+eD4
hUB6zbtQW4a6rXIWXo7TCVXUrr2fHlor9s3i3gKRR7nT2m+fn1I8l6WasFQP9o7eMRu/k6jFE2Cq
Zuvf/ZmatDyzRojS40r1KeylcgAq7Rv+OQVrqiqyvcvzDA9zXstfgrcTKyzsw36VZ16Od06gmm29
mCFQHTWxSF8914p4d4Sgn5Y0c9wFgzv4tPw51jbnw46Dp2Ljzftra899B1BNZXHBODN2mdtvTlgB
zW9DnpM/sLblCpbeLTHEwY+GGWtp3Y+TOFVbNl4deZ5COAYWpL+ifOMKvwCIOWJIzksS9hbIjcwO
fVWRJta/gt5txtgMNoVpJpMYyBuqgZVTYMbUug//38i9RoZBXEZa87VjLkAsjyiH6menOQTfMbji
c1GZQ0hqkmRe7CrOdDJTukaIfPJKTwnmgbVBEHYKFoHvhbCSy/plYNWjW3HVjqRIIHtM9awGLuSC
fjJd4JlWMMC97PYnFG9Vx/Wz0hiOfdXL+UfsTLIOcrXpNSpuJocTvLQpP50gl4ReytcKCpH1SnS9
o1nED8KtTa93yd3bu1XnztstvmANGR+Wt7aYlZKbv3rM8gQazaDU0f2v5vO9OBgBFoFs+aW3Fhx4
zmiDkjkviCQ6iQWtAs4XeWxWf4E16wAIGBDFkCR+TekWgfbXcLBTU1EZGw6Zk9f9S/5TKIMx9/3+
tkpBWe2dAZbbShzSjbkMP1sxuiaWQad6aEApMvUD19X+WzF1xWVJKTFy25YQsKz9PZ+GURfcZpG1
WHZ/eJJG/Z5kQAiRCEldj/D0Al4uTTBm1AP5durmzI5KmBOtWKxdk/3NMBEYmUP/5fdnVm05JPMo
l3Tu5tJnsjQepisLU+BWqhjhgBJtBSJMnrNq+EgFBt8HaQpFJkd/GIg3bpoYWW4UmzuEidtFmH24
4KRs/aLJM5aq5KyvhFbLffoL6dRAAHjRAQ0RoYwYGcwd07eDlc6lUalm6pt1QKueGNUkiupxLi5a
i/YCa9yzNndsQmzUPJL96opJTOkZO10A1t+rJg2xfGvK9ZfVU9rupK7KoQkCXVpoQGOX6inSiQF9
sAqhg6ydw8fxV5OkNBsrzJy7bH4YuPUIveZ10sPyTVvt79HV0HtFqHZNQYVUnvQbrSAXB4ZgKcbV
3SsaZ/8Dpm+ltUpZu33kI6yz2esB2wqasD35Wreo/1LSVDdurtVn5i/XbfjYuozqO/SW1r+J9jUu
1W1+RqZvzAlVM8hWu0VhiyzC49XIFRPcevRj/SAKofbs+AwtjYXQ27my57rKSMGcXWGtLeQgZAMi
ObssVQppgtpueG0fdxBKwzsQBGLvEHK3EKzbOxwJqgpJJl88B5d0SHGeUjDhrmtjOTAlWZEQFYGH
LfRWd6Rb3ZLb1sRZ4m1Hu7j/LpsqOcugOKLgfoZolKezMfgEidHqUH0+N2DwItucYlnKfMibdJTf
1RS3649sBpbEQyGoDLmA6lVucfRhntAMZ2fyZXUKid0lEj7e0z2DC59tccspmGDy5XUsZsMQYxb8
FVX/Vs8/aJzCNtnkYEuEgYhohOMLB+Hc3Cb+zxyDuA8/vgzkI2lfBSFiXjGCLwaysOj8KCzkUDXb
QvYyjmFM4Vh8WA/0szUaezjdF624ZstA3Ehu/oLg9XXSsFj8p1Y5/WaUret9BKaU2oGoGC6WM+eM
GKY6SqH+FTee+bkLSjLuNLZ1lnZIRq6Lisf9ew7aC/t0TVcXzjKt1UVpPmrLWWdh+R5vAo+dx0Pf
Qr1Q5Iyfknaxn0rB4+e9tQLGK57o4bDaQhW+udFNd4EvnW6vhnFTFwNNXqK7EJX6CWBYb7V9PKmp
FqlkbsDdgDNecvkbgmiiysKa4qNK+JTD7loS5Ot02CHzeBmWfXipYx8SAmxDUm3MBdxWUHjEvGu/
dFHOTpcNUlA34Vz6OdxGRAIr5IKxSTY+n79sgUvU3jl4IM/ahgusDpp6od8lqHilWrY3dwjBBnex
pDpBoC0Vm+u2NytBlc2f2tZjB+XTWK7dzaST6/MUOEh+xhEkrMrkNX6PK2eM4CD8aUgWt5odTiHs
HHx1e+Q+rZABI8L1ISmJ3I+OLQF5Abwkz0+RSdB+G9wRlsENwO+YmRYWtFSPrmmFfFGnXMADHZs4
UuPjSOh9yDX7mN5iLOGFInVdYkHDGjRqpB16uz7GJPk2Kokr+f+BsWG+sAnKDLdRURGK/UfhxNWI
TiDwYSqW0q5vy9PHdvguwPEFJ8YoBfg3kT1Wua+5mT1AgYe3K5GTRjCN7+uFk78/Al1Ea9FfKAZb
Kb6s3BuNQKY12/4ZFptioLoxyAyN/tl+j9j1rhRbEhpPgAzO29TRgwR1/pWhjFG02XGSOVlDAiNz
oP7Hgl1qeIG8teV8H5f6jkbQQKI2zYoD7jmTA9QTiv9z2c6lbFWgXed27hkWHsXAUpV6uPV8eBu8
7Wyca7soKbZ8sQZVQWHsr0Ifa50cVI166V2aymaHa+4UWF7C9BimrT/8H6gpvxUqXWSslfh+PAmH
V4yRnYDqfRu45F9WkPze49+E9rYSFwfDohHMHHf1pVys2Di+SboXzPg2uoUF9MFow1kcP7oTN0/x
Vvrwk7cRObpuBAxIMWOLxSHUEk5oLtVTJft1UXVE2YBAapM6Az1qq66ChUUSn4LA8xKzeitIS3/i
wL13H7uUG29u0oO/zxXKU6ZRC7u1aBx9ayhrv/k90GhkL/lDAkdf43RiLdYoYT6CPnKqIQaickwr
TvjCesw3/J3Q08/K7pWFLnAU2mn19yQmqYR61efbXKUbwsUdXJqCd5JsivktneVGJ9/PUCm73UEh
DMDOQzaSTEmb0JaLlXTS+bPzq6hoYOErE5/dyY7TOIQeYrsdTuNN0WtuTB8jD7hx1zJXhjlk9MVQ
79PjQbqs0jqGQCTnE6ksEkcSDQB7OB+XBQcFVbSdSxrrkCBffm3qiXhzTx+xTTDa3XSf/zwblZFB
pQEAlbvoldsTybrcEokxt3gWEdL0ZEq6W7G6a7l7bu9LVDvOJEdv96frGQw5lEc3BpGeZMG9rlA1
XRZGX8osOe1l1+ll4KVwq7bl3Ix50Kfe38dx7NJ5K4/0lyxaRQ3Ghwyz6453aaxYlla33SUPlCyq
xTOuS0GPhBPyN+wzOO0tRmMyH9mVliBVhBcsYGQ+SZSKIDObArM0T52VNy8Fzxf78NqR3990RtU8
wRLoi5ci6/3/05MFnGU5V62lTsVDEYizxt+wVby5nBJmcYCBfdpKftf3D2C3cSFGuDCtFZmIKN1Z
pT76qfdaA+eCj5nd3GViy7UKtRR3JjGjoQoeXE+EuaB15dFH1el2O5Qb/Vo1oALcTmL/xEQ5Xqq5
yklmX2HVhzRXpHY9yo14HJ9CUHljjyUgI+8/REcBCa9X9VmekGhxIo9ry3+mUPLC2x4Cgu18ld2w
0GZ7+fOLSm+3Lf6T/uAu6tBlrglPNqNWqtO/ZiVLaapY+B/EupYL2jxqbhHj30wndKeu/2sDntex
iZoTgtSjBbxiR9Q+rG7ZRVFcVLyKM1NEocOmWF47UI/reVpNxSzu/q1ha69G9UH+RFrN8DbXLHBG
qIvRnxzOhfklpc/EhRK5TfjH54pN9SnH2qNfIEaih/6bUEa/gkmCtNfpLll2Fij7KYFGrcMR/uTi
TFUV2cS0rApbV8s7l5meT6HBXIrxQaC+7r+sMEmvIg0j5FEdfhMXHO/Cc8eeDnlbLMaNBZ4Oktoi
UCGtuVs6T51CndnDG/0q348JSGvwMkeU/xlIRTot3bGs8fO65ViKeYy4h7jnOBa4T40XV2NzBkaU
LNPSoKKqBYcVT4ZNQSHuWwYiu7sa4gHQKAyXnjnRCKmIFZ4FfSkGhBnVmDw4Tbn/FYZR+PI2Ozi4
WU/yST4zpXLKgOD0yrHcAQUjlKMCcdp/6zPJKf+XKEiSVVVDwjUhB7zc9g/dXVOytMFudA+hBPip
zLDG84sTnHNrzJxsPuGeaVrhCQ75y5CAMSN+YaRmkxSEXhV+xnKHU9MumdmiWjIrP5soMccGhlCs
tHmvl4AQemypLgMA0FW9s3cgwJUWtykKYoZnbvVemMj/gFrsgsziLXzwqrl8jha6IzTHyLh5NhAG
pM79tJK5L/XfaOukLDuHDeBO3ocFonkIdP4o6uh/+HG3TdEB+N3AIVBob3GNOPoveuZINL4Dc7hi
oVooK3b+WwStliD0DmMLQ7Jw+4OvQfbtvc2pd2NdQ/hZWCe51tN+aAGFnOidSUtfG1Vi6JG9gLS8
fTjvDdmhXqgxFEO1blAUhJ2BToD9QpjNMQoPGP0HpSdbDWoGsDnrLo8ZS+r4D1LgL2A3Sa7ixOSp
VTSHtckBJSZ6JC+aqWPrkopp4gOAa3fWrUTNh1ziGdrR1glw+1NMEUW9dl0u1Le+k/f8jpiIUvQG
I3chdT6cRis2RttPHJoibjAIoMDMCtj+EUrLnEQwjpqH2RvGCSjVvfEskls8Lk90Hlh9FMZvoCcZ
f3l/g4ws5rA9FbY7ttxRL8Xcq5gwr+ylBJ4bTIn9DeW7ztS/9Uxe1fdoNPEw4IEooPAC/61qw1qC
XTVpvfQz0W1NHu0CZquXpqqajqXNoY+Scp6A3wFbNF0+KrW+Im1c8OSrn56iQ7tnYufJJT9bGNiS
jRbsG8UNAeg2FxRj5sNgvkA1s8pijPMaXZv4+Vjk5QbnfzWr4WggWNtbWVznK6E+GVVT3OyaWP8n
TmZSbvAI1XdYIOlcmdS8WFtPjPOCgjjVEktm1lvLfj/p0NNkqT/R3yBxwOpn03XnFpkeVKQdW2UN
Cm5YBVpfxJu9LDYpHTlqARPtjfsEfABBcaCYwQr5EindJzQlR6Of63NNoNHbDB3PJmGq+N3uCq5m
5azxlUzpIofT9Y5OpmEMpUgUFV2UzqI1UjvpyBi/O63LVu986tJXR0f22GHu/8usb4TKIDxHc3Ar
bWuudtM1q+4YIb56mCuwUafIXYHlCNOsBJJGUR0KjjHblSIkF4UcsZ1CaMckh21kkB3LG1i6HEDj
GG2DlX+7UlaHrbFLkGZ3cqFS3YcYJjr22rcwreGI1Ai7693dcw2LwB3pWO2GPgmXd90cWz0gyJDT
+DUOpreATMBPUl8NJfYsQAJq0jCZB0eCzhjw0BgKR3XjmcYCv6ZXbsqFDHXk23vangvVe4QZpUpC
C3bqioTbGKb7mbPeRnoMoDv4Nwxz0HwrGRSfJUgnqHIvJ8UOs4Hevp7ajpDXW38680zIAgHtA8nl
eYt2s+eLdi6VBPo+woE8OcsjmIKKA0qEMwUnBwAEg+AnS1zAwyzZBOBPBeLV0gKIM22fsA6iKzKr
aySSJHOhikYS5pk+PnAxIvjuGTs7pUwhGhtdWmJ5NaTwcRSlwVZP/C9QYz8MSjkIngvCWn8NXjna
6BCn12zZVMd8aBCQa4Tve8wo87z/kcUKAckn9fQSw8YY8Jj1kGZNm/DmJwz3nvrTVzCyUkoQ9O9w
kn/CxoxbkYAxPieqUN8+tEcoIVJC4ldp01bKBJsqYt1z6Pqt+O7ZDEJGo6HyLFt/5DjWbYm0YEbw
VI8WXIpdWnWgwBKHuvjQHJQ9I1IG8hHEawj2wjDwczr+nkWbRXbOGUgklajBp4mo/U2jpWLKBswE
X1kl/+PBSOpa1TGR4aZ9kAyfS2uZSQsg+eeejZILI/qXU7Xe5jj2t0L2Tk/E0NiGfE8U53G/2xLl
wzC8ND7/wdiWX+F2slY8D3Xx8ZqBHu5YxDiQdsAWDf1JprQ8wOG4smR1rWTUzTKXJeXb4oRiO9pW
fPa8fHicVK8DGazBgFuVPmjLlpDI95pYEp59QHqDbqpTmvz0I1uFWyJuk5VbyybSpKMacFdennQY
PzwS5QzhAOXV52sDtK0Ww7oHJIlAv7M5OgKRulQoZNnxq78BlvSrC10pIWf4f/KFd1Op3kM5OBGN
kAkTlLdvPZ3PXB6oBgGIqvDSkQyOUqkQ850ct3Zse7YYTK+FMltARXCesuIPdjuiFbje1pzjJcV8
W3bp/AGJvkHwQkBb1LrshewWZS9C4qQTbPWICZ5PYwTB92sSgKL07Tws6eswNjYR/yCy2NuvgG7i
i92/VFl8LDaSKuv1no/TYtaL5IqfP4MwkugVm2XNUrR9vj0+SiJZk+KoXWm0bT6ijU2FhSFvgOfL
h4YMbOOS3bRsBwexoI/5VZDXPp21h79PcqJZs6xeGjdphhodeYuF0VBT6kwi2OPfJTwYVTUWNTDg
TORzVeD8aKoj+h0iUhndVhbYJzhg8m5vWnNB/Pur4t25ISpMCIy+JIQA0Xc8SO3lgZfuasu47G2U
dK1w2awAkvsbqA6Nf7Pz8zZBd97yPihJAtknp2PN/7M2Xb4Joidsq/dUT2CM+d7Wx+7XifJfBJWg
EmsAOEOiOF/ojDQyIz+8GcbsQmWsg3Sp6tSP9bf7YHvHmF7hMcNJCGPWGza4dt+I1fFGx7wqK7jl
An8lhXupn+aULX4d9/IqvXnOMwIcKwG8Z4E+BYhmU5Vxsgs7RTZfJcHHfXO21GDC+yMY56xl9MDv
AP6noVcNa2JnSXo2ySwg2Yv/MrKqyNoi5jMe2pj1sHfGGiLAxMxWRWYwyKucFy8GkHBa6z+uVeqX
rRBgH0rIhHdpW28yneTlYg5ImSC36qkSEWU/nKMZstbHZDEBQ8pQloiQjRrQPhiOxVBBDrzuake+
hUGJJtm82gWYegUiH463iHXRfXQQikwtwCvK+FihVVXBhfc6GQEbrRn4tWHizfOJHFGM0sfx/1N3
i7XtfDLV7qotLAMNq/ioOaRLSHL64tqIJ9Jfm3XU2Cp2TnIgWyjis4ryxOQEAtPoiUFU9CNJmldG
4Hd8MwntU0TcgfsaKLCF3i9M9iJX24OppuFSvNPfIlJsk+gbLG8p2XicSrRm2edZLVMV7euvF3Cm
4hZtOEr3sYjsH1CxkEe70xg1i/E5Bvj0qPx97hhMRNepXxpSQ98FZe2wm6U3KxB5khyFkcYeJew+
mrGtybPRK2oLb8Z8gb2kpm1PjcAv0JK5S+hkSLopdzwAy2o79rjXY/EtUa33aBu8HdOxOreoZGwE
bb5Y1ptmZ9CxoSZuZmvqg9kIdSCmEeQMdaK0jUFRjjsDb/WPVa4ZbBcqiTFmjkESrDwIicEmsLKG
yRQT+qwS05uQn5LusxBWLBb0mSny7uJeEheOl9YtuA3XduirFQpE3uKFcOi229cP+qEM3vMtzXYZ
ghpkeiZL4XPNNMNivIZnKco3hvHypxC0nzHxvX4CJlFuozpnusdIaGSIgLOwKaRhKIasM7OjeE+J
X428XCeycn7PPARlN7Q5oxK1+cKLEHb9ctT1ya9iumX4Xp5AIhTdy6KCe3vGjuxx4sxvIFQ9KZfZ
hkabZIR9zkTWxYkAUN/eKPyT24q+Aji0J3WxNqIXgUVpZ+/FXxVAK6N99UWxXIcnQ6R4bJjzDlRT
LSP7HAwQW6FeZvBBWjjXoM5Ix/5433H25TQJ/3kEX7EnpAYhyclUrNFa7IVGP1DE1qIdfFDDwyFJ
4im4QHXoTWrviUt1MFXsTEzdoCOfWusrLuJAnbIcGAJipNm1htKLqyuDLY/CcpYrab2WGkECCYkk
PZYDL3MfMLuHQefTp5CH4qhcEbyiBOms8lBvquRZ8R1Rcq6J1VkGcLElQkfKQg9Gn/g9evr7T8bL
AImfZ0R99c4P4LNPFL0cAWUh3DXMyJzvOUt9po246Sn7pSZRr24CZuVsHZyZQzGfzrTHtMUxoXOV
eV86mjXxZv14aawgB7qrHie7OW5Dgo28hAmSaNmeerjmnd8b/M4g1R7YKOA3MjPM9JhooSS+/WY7
Jo3WtkY/Z1vG0BhFEW0x1nkI6qE4QLLvDXFPlA6Kl8zryP0RmspGydo5YvaA7YRjM7M4lxOAsVqm
DE0Uo/716lnyL3WXEOFPHCekD1L6VgHZmkOUroWRksYOd6VLySW1H+Ez3qIwzpJQlEGLRs/BJbW1
U/Y+1wk/xmgjT+aR70vnS+YhLvIu3k+gQIjUaR9RpTXfoGySxo0dUMyW23ABKyKT2KaY9Ci2hzqL
rwNZyyDGQcr5sdTjv68ZBq3Bt06SziqcqG0q815dmIeS9QURoxFAan7yFdBjCCgnIp7Cv1DxAswI
VnkCddwzsHewmLcJ0HIqV60T+7WBRw+jy1ALWCPgPfSOi7+BAnnIMawzyh7pYLz/HZCGFMvZsgFI
2QNjrcuNompx5plCGKVSYIarshBuNCzTPSTk+YwkEGqRlcJ4/8mn7tWgUKJneQQHcq+isKVFd5eR
Fhcxc6NBveGNvl7aEeBajVDPOmAAexkigqEUrv3Caw1reSHouGl0V3J8xPctS/bA7qYjcebvPbDv
GYNKeG+JtsskYGNeQZxJrJ8e46+R8wm8j35NRePuEO6VjiQffVHkHDwhFJDEefbq2c0Ja/tXzT9O
yi2pFEI/dAkq78Rji7r10ErVcB1JgzEw63/+n0XLIzTcYORgeJ9TxNxOAHJq+yBBaAj1TNJrplLI
DeybXekGc6y55WpBX+1vzaYgirQanK1iKjAS8oI24WscNAqASGUXe1752w3kE/1dnFqk0ui4Uv/w
hQMpbo/BJXbMX6UOlE/Xz2SNNWzaT6y+ZhsTwu0mgIb/bMZOh2E6Cgp0X3QkCxynTeu1lJpII3nt
oUM7CMh0vH4BgPDE5BNEVAa1Pes4nVojTLNRJIlFmsXgcibyqbWwqQK0os++OPkhAbtPyZ8A7BVD
NZ2mWdCdugTtK3dKfL1XPnWzsb6O248K/DEof5wf8bbcCUy0h42NHpmrCx8ml7ANJQ2ISlkoH9Ty
w7mLffCYecl4H8xkJ2SyVi8QPU4glmH3ly7RVI/0SPq02Duuesn9cEOiUURLrpiWFd3/YyiiCbkO
O+NUi4HWDaFeo0QL+63vVHIfxBbTCD7GFWf0UAmqYK2A/zxzui0D78qr5y+IDUIEzKrk1z8gBTgx
fTQIheIYgj5m9supxWnZ8W9PMNb0qhiCgWTb3IEJOKcnlCmm7BYHhk/z80XiFIBISSkAmEGytI4I
g7vnA/3T5fFU6sGJyDQ5G33hQh6qREgRMCOq2YMhuaClLRXzyJUre6s6pha7dOk+BAtMioqQCHRu
u0wT9QsNA8gD3eI7qESPSAe0ZF3v5JohV5SBkqIT4sTTkyw1qrrEl/jyTQEwcJGzon2W5LrRT15W
fzHtutMX3iTwoBl7BEHFWDDCkq9i3bZDSrWJBur3n2Yu7i+lkUppSYJdhprVKdNsQOtaYnQPqGP4
LC7/sF+dzyIX6AdMR+lKj3J0Ianq1vkSr2+FWtxy20Vctvdz9dO2CNVQw1X5el7kp2YEJ4iJmtpW
Peq6w8B3D7flENmWgABNDN8Rgxj6bsfCYobDb/NdCieRd2I5tSve/YnyRxgPsOtAUV18HCQl3TWK
mUDyuNwg+Pyi8heK0w/1AgZ/IAH8pRqne6SPNgvnGZfysoN2NDLvx2l42cHw7szvu2ModffgrgbH
ZUwkhuaf/U9B+Vbydkp4iBY00Qx53mkhsOl2kvIakuJWQIkv8xxq8R7bDkRcZXnKchpnd5V/Nfe8
MhF439DE/8eSKZkYEaA1I4ibtVedC306/0PfgMIu7Ts1CDjYf3Ee6hYK+MSzR+deD3DjULUZnhu+
YwSkqjxdcrWh1C4SoTwuwy6LRVM67XdUaed57fmWvsh6rHyoICgetE8RW3CDjr+gWrRbKDKE4lZt
Mi8+AEDkI7QWrTX5rHKujpcx2aGjkIefdQ9h7YAHfQuhNGKEz/stmdMKmpss4wm0vNActUg1S02o
jKJeuK1Ns8l0AaPrTwule3ac/B6KF0AZstc8iQWDzYRtIUdxpMSD1uTDt0xCxZhLH56nky3gkbSJ
i0a3KSQXTrA/X5ypxu9B2ZS5chBIC2jcjj+KnhFYGZEkb17/j2EM92zlhpxg4UDQEV7wn0U6vqPx
rdXVrsfuz1d0E6O2h+vV1PuaKZn1tcg/O+7sSmBIUOWB4+E8kc6LtLQS9pSmbCKIDF0oxugudJws
4q9S0ZY3KTgJhEpQmp07OY+Sh4GHIfByICl84VbZxpy5DehV13Ztfh/oB+V/YKX8REqv/2+5fxip
BnpACqmoLw4UnJWQS+hA7AY6+tXYTL0lTixTczjRAqgfz198NhuS9WDzJxh+G0aAy/HHcV2mVN7x
MQYrOssnZAMI6V1pI90iCqzC30uZJe3otbEXtMJR4u6vH+I3KfUDDk1hqN2EbslkQjM0qtVpKCBY
AsO9xxFzJF1L8fzdtqNkSS4ujn6jjp2bnQSTjycC8MXvwQY5IliZ1e06BFiHGT2n/AYaolPoy2Tk
JUH16SH4BZQ37uPwyni2orgHwhSzneTAHgRZl++8g46mTa0hXHSSh6d9jbile+VqOs3cgQSB6t7w
fr2K4uR4Saf7h9m9pLnu1z733n/O3olGZZ4+eRSfwUr8M4/25LH5NQO9XgfzyYLmPQ0F8rF3rNhK
MR7T5fgPs/h/TwkWhUq9zbSGyDJGMrPJbdxMyQRsu9MfBxNeGnEjks95HnmE+WCTXa8zI1olDME4
mEHo8pDwFkJA4fJcHL51t/CgVgpxe9VMZ3nFhiaofSkR//3zAHQHHc+TWhGM+AJL8FY5tNnu4rxS
Z0nFuImnUKVNkmFW+oEQnTwKuWPtEKWV0TAo39eFyizHURSsI8TEV4Y0aB8maNcjCRHyMvz5tm4B
ZCc1qHeMx4ujnAbUPRljqe+6L+HhzZY7uEJzjlWkgG2elPb4LrsG5hZzfxwu9+JekUmVnAg3mam6
hGOnPcu7lda6otSfE8J+OhFauPkfYlQAXqOT4co3V9Un4dpb7/HLsjoMKMbe6JsRgn4MBezB/Bxp
DWuGGWVonYB3lEU1xvxDA/ffAYOTEajJVJxU0NAy5s+cE8/vUe0RdLf78qIkr1Somg4T7L/pqjdm
lGuY8BfwpXC4vZqUKhILaYeXQKqkP1fQdNy5ElCFlONgp1fNM8b3bWq+WAGWtA4+bakxMMivFi8+
Mb+6dvi4dO8YWsnl9SFYkHOHoqpZQVLCyySybGM0/qdkpEHYY120sfBzn/gJllXuEjKjUEMUlqlL
bKKI5V7lKMGGhBIpBLsti2+MQCmUkL55k0c+v+kJKP0mtmMVAu5pyz64ONzoesP6Rm8waxr9nptU
9cA1aEmDjQGea4lHVMwKZ4cJ0eo9JbvTS2k/iJzEz0VPkoFoEhMMm5VkCpEKV9/cDk3EZBkl2z6p
UzCZ92k64xeDp6t4WuRpIr0YTurRLGsTDQl5DmKsQFmvqO8cMBikd2akQBpbQXvv2WrRxhut3Ust
PZIJ0jNZJKeUrhXhKM16Vza6NPOLMOWmp1FkSjiyQdonGU7xhrwdXsq9S41eU39Yv0KFOtWyOrNu
R6qbHwlrgEaJBgAMIe7ISH04a4RAnGIraeGT56MYDOq6+++RJ92WbnBh+Cs/6fOstWyFsAF2B5sf
4Ycbf65IU4yRVCVQPFoESFQrNjvQwGLe4seL1olt1zzXdZQRUvM7og8hwhwuaqnpH0tgSYrYD3cT
gm7lxRZSndFjkJb9V5/YYDpkLf6oxyPRS4CxsW0aFs528MyG768WIAwFgJIDlhGGjA0rjmxvKeI5
FbHhHI6ti91mJQra5v4tjXUjdWhJ3GhFS6WRHWvkgPl7X+GZxfsHt1ksF4dy6FOIY3LfnYg9IWd7
7SOcKFgMRCqC3y9L6HGfGVdSTiqu7qW0PjhWJqxE8fKEUamtx4gmFHLdPDapvVzY1fATpCnWta5G
5T4wC3vZA1CRLzh79siFi5sHvcF4i7dZ08njntJzVMNK1AmIWGgasq+4qxy/KQkyAzKSnaftdnpQ
pJSF3ZX/gew8PXbXUUgwWsVmdwlSOwgfhNd5wkyf9a+grw1Qao+mqMkK0ww7ofKHed4WCgmne5bn
vfoEAdf77HeG0bTMC1V0DPlQfKr0YCynkjLGmoVeffS1UJpurBQydqqLU5cIJpEVqYbpxNv5gGb3
wtji5WK48zomA0qvfEWPXHmfviw80KCtmzR8jywbx+VJAhQweJrBnuVU4m21HilJCIR4R52QZmj/
z8b/YL1GFwON7S3dCvDK9RffhqbeMCPzRg4Ogfh0VFDzDxyVNy/jnZigPsqL5UDNBrlN+fTYeMw4
ehPINClN4OAjjMyXQB5nCHtacsO+d2ISk+GT0V3Z+atlL7zRVfTo4NzhGff8xIG8htzuwAwwbb+8
aYb/0Kl4u0C6huevcIS9cCReTP7+J+uYvMikYlqC8/5dc/HnMOCU2hHOVwN5R3ng9hBuSbDrS/CP
3gK7K+DBf3ax/bRdL/cOQM5/kXW387uax0nefTzrZXI7+U5ON3K8+hn/QAs6hExaI9kqmrlWJ/qo
LX7h4gIIz+y/jnn8u62ViNjvrY3yB7oEG/QT7RBq1onARBCAQi7a+gBqWqgD5eTu+Fwol5JlsJlv
S+AaEnNkfEUL6Svfsb68iV1V2hyL4ti6NwgCqOJpTAc+GUZQ84fORXJClw8EEYJo5TxSD/nglQ75
AG68zXfpiQIMXCD9A+bHOUs5Zv5W3CS7ymTnAHmlSEPjbPaHsA6kazE2LR8I7SINuoFxr3sHEiYL
z8WMWJuxXM0+Z+ZlW8Xo3qKxtc1PUzlQ1/zjajyxzYlsSw0Ty1Vjc5ClfQ+SIZQsRcKALtwxyWs+
q9SWQbu+YBLix973tUOXO+rAjLUGRzvc7vRvfj2Lmpl1B5+QC9UAiAiIouUqkENHW3TuCt6ickiC
5zTxOGvfm0UnOQNHrvczHsZPi2AuO9iJUfFGCYdNRxuhyU0OvWNJdnUTSzBmqknXI2OD3QHYF98h
5hw9P8LTBNx4redeGjZL+AIKE82ehWLXCoztfmD0oMqDcVezd99kvQtF0AzQ/BQ5gWSz2DNf3+Sa
U7E2MMOgAA4dWCrmpAHDyKfg5fWmKIVgBQa7soNQKcBkp1VWJWW2CjQ0S4fnEMWH5hho4qURfv3A
8ORQA6Ja3LiSp18OiiFbOMCbLEon2UeJ3XboFk3ANkDj9Jl1UsyyZ5IBQgEWpQ+2F9puv7GDdNi0
SlE+pRR/kvkZX+keCx4JkM+pDJNmklZeAeIcs/tVbd+y1FH10vZoW/2b13ZiOqZbptf6kY8T/oLw
rcFtm6xVBwlCFaDFvHo4hLU7tHvjN89pFwnnHsQsZQA+9C/VpB2YlOoz9KYW061aHb7tyYLP1aOF
4bvGj4E27Cb/TruzU3NHDCEDbCUsgAs4QPo1l/7t6bwCtBZETSrCmMWiz7oJJSoSGEhEBBvwF3CB
D2R4b2PG2ta2YtIH2jL2JzDpgWqino6851MYwH0FrAUV1BardNM2y99BA8kLop/vmHSQoIfyFyxg
UcUsaeLt3+ggRfE6nq1lX97utMS3+4pfQ7GDEGCh8qsw+pTV5zlcHmFoqlynrS0V4wJwUK//o6+V
rCuMBjXXEZnljP/gUinJCW78r6kITRuCauykEm0eQXqlTpCs/ZcB5UUZSrVQTwE+OOjcRovRttMp
cY/o32HCgIcODvKrvwNjJxD0nrSzOXJZpQARHe/M0GfQjkjFcXl6QVc1ysXqE8lmqk4efYEXtYn7
UA4+sFzuRI51j2P4ogbaHHTblu0nmRMJGFmH7yNqfYIsc6Lod07zTU5h55X2bsukFIkVfQi1LOtY
/H9Nlb3d7EbDUB6e+CSY/1UdZbjHghrtQNHYwq2r8xXjbI5exCYOrqNBE1zzoz3R6E2hHc8nX7Mx
eHsAbD0loxXUlv3vvR1ilG+okgJslkxYiSNOva3z95/Os7CnlmEt56W10DM7whrlOfhNo7xssYjI
R2efo7PT1K3YQQVDHs4fHvtPWJ2JV6vGdb/gTtag00mCpnz9jAiBBFvdPh9s6zL0PZ1rx8Fhbd/r
kWxsrGFuSVg+XM3rJ0RdM6i+j9TvpNkHk3BOEIXAE5qcWwbf8IliohVtEOTm1fufYTV1hjv0Z/fC
yh3sFqm4IhkgRTf0XnSSx6q8loyNDoVeFgU+0uZln0YxlALwGo1M3LEDF3lQOZ0zvS5o1a4X8ktW
bx0KIBxj8Jnrzd8VkTLCkeVhpTmazD11ZV36Pq2Nnmc+gzaHo+2LdUaOeMZmt6GMA58RSlwg6rEi
vinSuEegrnUTZP781rYHW7vswHGRy5/Z0FtMehqOc+0x5z10rRxH/q9KJb3mnYqJRbXfl5ZzAxs+
NIlhgQeyTjzGnYYKgfmaRFEs+JSpWuW20qwgmDrvm456QUathxD7GefIU1QOxdbtHY8UlczgMWyk
alvgsl0hv8VhYsDr5xSG1z7ayn/sKxNOl3u5y2AeH7nZ6Q1MCjG4ovqgtcB667HHevfxwN8BpxZo
LVczlUcpznK0fsbu8OahNwbKgKvRRMrI7Tfp13SxalVJXtA9IQyY/rezdAVW3B6BWNMn+Cwa0zED
wbzdKU7D5QfddwpfnmK9uB9D4avgDs/WyWKrfWTEmitzXEeS0MMLtqDC3AXb1iyg+LAIpW6YeRuu
O6FuzZeWu7dpfxUokuymeKZookvWVR6wJMHO19AQ63aV85ZB7NDPquJ/urc7ieoSyjGziD5OULoV
8Xck2yef0xnhpp7sfTNZohMgAM11HFhOwz7Jka3nckr4c8OO+pIY66+kTnqQnpcDA/2Nz2xmNw2a
6CPTqzvR0S/4Qz0BoTdT/rJJEcznxelvQhq9DJP+sadpNageIBQE0c21sZQQbhEKLuPZZOfm1BqT
06Y1OZtcnBN0GW24z4AFhiDf3FYlGGMo2GqDrzmodSzhIPTG/CAegYI8X3YjcFcQlU2nxZUl8wod
YHCo3nw+n3ZGcK+t1fcej7LacCSw8DmtG7Rpg1kjOBxJU+Ktqwrf6RDLPsbeY+aINUm6QpfyVNi8
sMLJXHZCsof5HiTymz04crsguBGht9VmFEaMcqtXkJON+rh9FqbQmdWx2qM7//wfcv3VfFNydHHF
0D0Sm/+iXejcu5QkDQSWSFZyN2G9fdrLVBdcFz8dtLW7qatv89MQ1AJRtVSJzHVVF+VUl8Ua8zzG
kHqzy48YzqCy8rBgYd6yX6+GnNvoTePdmnac5SA8rItch1Unn9Bz/5knR8mno7EmGXD7mZBcCKw4
69Bofhz2MGNUjI0W95cW7FQ0hk2LwVkaqjP8jdsVeROD4KEOhS9kZpAeqQA+4QTPIYQF5lLflmzx
nZsvQAqHkH2krAafs0jIKF/0WCweuOxINrOtkXAzFMY5XLZABfbxQxmjVBDWVBwzV/CcykcazpCy
RTrt4fboMUE1AcKQN06RQcpI1Z3or6TG7ku80yOsjecwxj1DuGSnRom0Pi9E7I9dcTGQyox30rPW
q90DSoC2UJONhdgCPvuSr6xAW5G/xyAWthd96OnO49+pCq8yGqFjO3u6Q+XCDikQ+JNDkp9d7PdC
t7tGnLGtZ7E05PMpOUe5R8OD/1GsfOspNkMZr4dXgLk5qRvbAyK0pGMcYJxwSsjs3vY6z4r/KSyQ
gOplnixP1Io+38mSjLZTDJc5U7XdBPNlg21dMlpR8fxoqodMpXQQWNKkXfRX4ZVfllrrjW6/ba2u
KhVo1jBjnNKacJAm9qffu3apTMK/aFBCLjRfZ84OluEWq5GMsA2x3cwuO+u2KSr730920hU3yB2K
ih/Iiy+XJwepLjjqoqpv/dCBuXftrZkTOyjYE08V7URscjSlfQzeJ+j8VvgbZ4Upt4DoN67FeI/H
hJVaDBjs18Qk34Uiu7O18lvMbjL6ergULeWYRhR9/kRrHuaGhMBx82SIvlJcfGO4YG6Q5Be6/Isr
o+qm4LoJdi+TjcAsWk291PwhScldJxckay5VvHaFU+fCRLt8PVJfh0ldJQd8tdTwiK/d8XDC+IRj
NEWnxFQCgvOZgYZcm6mUZXFKi6KVZOy7JYKDpkKStrNDqwF6hbOHbVDvgLsdstiz8Hdw5T9dfiFp
+zIzaPSIUrHF+UprIQNIabpDKq422fyGbO8OeAz9bvnIHrNH5j354yTPLilwF2eOLGI/ldK+D9oL
3VONLS6BNP8no88u6MTNwyPTmEByV0Dk3EzWYxXXJvamLJEAJjrZHjWelWaYOSwovv6uiEhiga3f
9gOzxlw/R8/wx313XsnQuqmeDiqcLWfoBH+SZNzVFEfKPo2aHg7zZPdjNfoI9wYxuWE+oALgpDK/
OwKOpb/IKlW9FdYycBRG9mOvypU5s3INGvzbLpSxA+DRWIHDotB3SebR4s4dHCpMqT0m2xe2Y/58
9IRoBca6cY2ab4Aq5ZDw6D2JVcUcfJZjOh23zdXzk9ysG9XHXkYUmKyDFbXAzYjMV5U70Q6W1wTY
deFpKvi2QIJYT+U28sA5cF8ZClUeo7XzWJ4bh8zQtlQQ8oHPOM8ZLM2k+7MDcj846xsdMzwrcN0L
iOY1+bw8v0hHUnUomCsWKrZkDN2lYtDX3WtbJY63xz41xFO1yOiCRTt3xIC/t22HwuIk4fOCfiqW
TfEF2w/AuBqHSPu1Vnk265Dqbu6CbQNgr1y4zteCGg2u5/yesnI+157/TtWhwz5hiDyihtSTlUXE
u9L0wgMb3nP/8ff4CBR9MUjVTGgXkCU33foMur6uyCT0DRquST5dVLTUVa2gsFV8k9qdxV6wglcj
Bica/cFI22vL526LyjLAjTfxJqLsqPNI+cFZbI7/vtqLRHC0pUzYjsPu5vwRkQM5TYsfUS+do8vE
+WPaMKrCr26Hj1+ICONJbm8MSJuzznHn28CGO9rX+/teWe3De/Jheclf1DLstKNqf6S5rNSs481V
hEP25KdAuRi5+U3HS6No+QpzGxg++aLJObOfZnC/vyolOMSz77fUeFtRC07Ih3uJcoZQhiCMv39g
jZ2RS5Y66Og50N5fw3V1lluYu4cqyQcF8bplnbq/2CQ6Ys95Hesym+vKPBrkG+jTouDVZFlvgkgF
l1tqxy6M2cZJIL+XH9kIMr0iye7Q/NVGVXPTw3MzuarfnDa2uDdkV/kjBk7SUCoGCOcxI0VgAQYG
LxugkXgRew6ElWxgiGl7iwLcnNycjtgkgHYFLAjWky5mcuw7abyezZyaN/2eaxhOgWcmDhDMmLIh
DfH5ll4yI+0jMSQBsUCBxsZO9AqhsB7NrI39wE6z0c3316lMvEEKZpYkKcJp/sFkF+H9sgBpnz1P
EwsZbR/EX+LjXkhf1Op4eJaoKYn5E/pWfBWqxl1NwYVeaUipWmdXZ137viIuh+07fkA1tZOtty3I
1PeI7Zs5+p/t+izcnqpR9dV23m/535Uerb1ZwCBi4Az4pw0BhXR+awPYylYZKbPsHnJxxcACncbY
iXDd9gJaDXPqOBbZMMihsSdbRM2F4pKeHvSbkINX0TJa60Y6QMKW5EZzyAeNjyJmRB+t9xM1q4Ky
BIud+Jj/dNLcbNYb0nhprq0TogIDjCzKo7BpnmWTzLJdZPPb46dSyGn9x0aukF7OPIlP02qd9aTI
g8EFSmqwwYzXW8K6j3J3VWg2TTfbv+MildixcgAZ/tgXl9OS1+tk/mie9BLnHVIUUrjJnonOzD/l
nd50HRdpGnaYtsJNKhu4YegDwhZQ/6MWbN96ixJxB+DXdTKqIgi73wl/Iinkg07ubYYO1YfILzHU
hM4mhKF/BB+3p1FcwAiBReEs/hkAmD4iIIf1kZ2dTH3l6FJQEXciagWjJ9CDEEFKorfklRNjRe2x
luCmH9pLpwBMGkW8Hh3f5cEphQrV/gGdqGAsMl5YbV0jvvAtkZO/OYeheoMcfsbGG4e7dd4+ZoQm
JqGxolecvDNvPJkxAvqrPQZFSC0RIZ5ldxJ3D7fq6mUgeZdustOBbCSo/yuzQvsRRLsttI2h2x1m
nFKtDVPKSBoN9RZLLOqa/qOp2QsoD4s0lDczJkz1EGrArelWqfdvcGcwXqrryCzun5b32xeC6C6j
wt5nFvelXCyFLj5wleOJEHnAIWFdX+0kwy6P7c0MSBGCZOs2BVGHeys89LNIu2u2zhb3aYG27GBA
RnLh5Q7h5+DOiu5mdXF5Y9wc6RYF3teq+RdUK3mnyn7PmN1e16G7Zs9rJ9QXjeDORQzdocaKBkoO
A9DIppDB6mwjB9rO+RcuKxvfgKa4wg7TSaS+B1tz4EvjuERlTVCVyONfLEdgTZ0eQb0UODG8cPTO
CKptYNOV/NXvJ5MB/aRoWg61dyBwNdubl38ASl3LtyEES7rkLcczocpqwL7EUeW+gvTA8gCISbML
xPj97+QwNURkHHyu+ycYhgLS3lXmD2wWF/7qCkUDmVy0NyUuKA0Z/XpBCoUHsuMBREyIv/07c6e2
IBjeGTLSSpGJw1dUmdlRz+NMU5DiFa8CRIN9fK4n+Ao5swF6Fftbl1587sgGu3t+TdEbMoxFGYPc
QRqjHcnxC1VbfQ1YbDzizgww+/E21SEuKLEOtARdov8li4zCNsweK4eArMwQvz03/hg0+xABqyX4
q13jGtjRS9jF2PCrcWb9/cD2sYJmcApfi8uxRFBtm1IKvtbpV9Z1dTjlg3322rl9DDe9cODIE+uk
Rn+YMqsmJYclp7CApkgn1K6B0bU0zMza2K80Qo2z+gxNmi0kdA0TVeNBRTo5NSzp/e8JMPCnzf47
gq+9Vmz+7bXU4cmTRcrAoONxGiCMEZlqhO6Yr6urT4oieTh5FEdektNRHpJO7MxDW4TwJTtrGdpG
3hMt56mYXfOxuQBOpm0zBp1Z8l90+JVHDEU/+xRpJeZaykQVeKZMrQBRU0bgDaZimBSavoKpmu1m
rfmFLDWFufy34f3cnPUTwiFNHkg0YeJxLqMcpFsnLk7Uksi6z9A0inIwo8uIh3EyK624ZibDNi8i
iZaBoDyM4ZEabKOKSsalNro8If7iDUylVdinXlaiggebmrlohcywHI9ao9aPd5daRBLuCbesqIY0
9dF4pxypxTMniryHpl4mo26AUO4ErJUEgtmksrDE0LcpneHvZWRCfLCabBxk2nuSMXZCg3RRDngd
kxGG2b1vWQCH9kwauja8APbZtJxX8Nhs7t7E54NyzU8BBy64WyxGFcLBKFjS0/onygL9hOW2x3fP
IOLE6XeA/RvEeJ7UaPRvLGLoKGLqj06xM3nynXG3+DjJcaTTaK22ScrpwwocBYlmhaVRFAfnh5cj
thg0qQ0L3Ckcu+lewn2JT7IT1Li+HsbRzEYpfG6UBbMUwD9RbMCYUdyTxeDAEmt2pEPo7Fb7xLnH
KBUUGsjf1y3MUkZehkbHcVQHnhksFLDQmfSG0PPqTGL4R8LDsGz2JD0G6bGA9Y5kCLUaIK1qDBvS
cZcnSmnszspq1CwsRqlxWZJisjiYtgPvs8cMtq3TVivqAYLasZ58Ha5dIPH65dh8xxxa44D8Xwux
onQXeI50QIc5/Fy4wwX3otC6E9O+x+a7CBwYL9l9clLfew/oQEPfqTMaX8hetrjqmsp+BZLPOJE9
6c+X91eP+Xor8fpyiiIxKlnPzM19xbO+SO3ZJ5RTDZWkvK5XQ0t8c/H7uxnR8RSnbLzltekYSRdF
0JCSVn0x3w00/H5KvqUupkYp8RY7QtBiR8y1ASwksuKp2azJJF0AjatnhB8gdnzGCfp48JLH8QbW
32CF1fMHS8FFqk2DUDI8ntbNug6e23LyRMEpgPIbRndDu06rcsr/dUu9AdAEvOrL4vdsM0PQtYor
YZ74Hrb54yIzxUZvSMtjtF/h1Ii574bDPoaD0BiTMePuK/k9n5UGoF5A2b7Km79ghP+B1AaYatC2
0jmB3+KRvXZyL9/a2hOuBXGADYMc8+vR0hdHuTAJt1B1vWyv66XuZyLDGH8GmcQGWwQYNshb2g/S
62lNrB5TvTTy9+ZDWESRquBKc4Mo+vyIC47R10HFvQhq5il7a/N+bBhoPQeud1pWBmgOGYaAV4hl
/082BomdrhlN1QBqiV9slXK2lEgCEUIy2HEnoSc5a2NLLklkSEKYWniZ4S0y5RCl1XbQ+gPKMUew
9FbD7iosSQmXAi+NzkU4EdgD+yNOc0v4sH2OVSPz9fTBuMrzMYu6PKZimzDatuih8QtFOFzZpuhk
kERSwi+FrWrHOGuSubaCg1dJN0Xcpe++04LRoNvvisS9hJxGEztptFt3CYqYFJwd3E1FyjVQeFIW
ucsDgh9huiogISG+t7h55kvVsqr0zTjeuqJf1mLN3/3zSzw8zpRucnBC5mC7IuMk7QdZpTYk0ykT
D3kIY7e4K5yFg9yLH/OpgMEDUU1SyRCK4Ps4DCX2Lah+RVY6QrG8fDrfgyo4QlZBughEwd9EIsS+
IjIuSJX+DdrlkBr3qMqIFG6LlS8YqPFMDic0C1w+wjahB52w+VreJCrllaArbcbVofQue8IYGKmc
d63Y7NBY0daxCC78khxd9wT+1iHm0WXpViQSA/XSSFI8TAZdPRsnTC+sq6DMxyF5Y8YVF3j/jOOK
Uj1PeHqkQNk5J2mVjTJArWZzWc5roG+vl6uwnnC/bWMHEwRDXGIJgpfHEUwcSdZ4M8Rm/TazXWj2
sSmPXt1SeG6FrkUBRphcCMRWooc4apL1yUpDtRYEVc7OFSX3YQbEX5vyCFX+loxmEWzmAakMcxCj
zMyhEXVGb58taqFCAw/3cLorHzfLjAgSqY6t5NE8hiq+dn5k7II/iFt0Tu5lkT0FdyEpL0J73bZA
EUgzcYqo4L0SQ8nvwPXunwHb7g4sYn9PRj1R5Dw0aGfXwnnTpzyMgArQ6A5mpQe57twzJA91kRSI
A7n+IiqnzW2Nhh4J3LAz6Z6d92h9DyO8q3h5dHWgNaOa+7nWGlWbE0n0SlCIdwsebhQ6LlpBrTZF
b5hirHS7E0/O0mwGvBOBhAtmECgpo1q84e5zSi3i1w54KKk4irGDnRawC35RHez7ZJo8rayKsErH
YsNTgtbF9YcAbJtdNUqCEf0GhKhyVUIQygiEVBxIMXuF0Y7jkj7mSXP1snnPfy/HyYaFAyvn6MLa
OVF74/ANSF9YWawlTvA3cpJ6GBSSmfFDBYQ5QmU/BB3QKhGvwg+gv+7tAc45B+iFzwogk3Vd5oTT
h8nQwe/dtLXu/mALj3sdvWim9JR11OA4WhOi4YXvX7t+iDNdbFgbfG25+9DH2TuVKDRk/HPKCayG
rC4uVi6gUA8zxX/dvA8Sv++0sIMzI4plHccjno0v91xRUQHxuP/s+vw8hbxZfJlRQqH/Nww6oye1
5Y+uyWiHS6Z9FIvB5lavdQkwHIM0klzkpnE01DlXe7NtfjG0S3XrZ7Ot1o7eZ+qiBZTG33HnJKhP
S860iIIFGlldB7dR0cpUyH8rtPF5TzVkcqBIjP5z03p9xb8LKml3vwILBHIYcgXW+MwGzcNF1v7l
UrHCMX+zJocC7F9gS1Gu0+9g7A5IGu19IdoNNVgENqxNIft3I+r41tDGn6FKK8xcPzmBc/WcLsp0
Rz/WKzInP4V+GLOXTlSETGjqx0VeuT596VVWx29Tbm7GtdZ6Jh3HeJnLvLEhdNvo48j7aiBh3bdK
SNAOij+aqOQhjelLMbKFvHlUTV3pukfm/+EkXBROHr4QsVeATOTRyKDR/2ChpZu5QXvv+CSGvkfT
/sfCPMi3UHJY+jedkUbsxnl1yZYzoO6diH+bj6mFoKqLwVI23MjFMa1yxLkyys/KwPDwGUxdULak
RIhY7l1wxg6WK6xmVPHUAGJYf7geX1x6G/H4d9t//UtOZGAhQ+BEWzRA47zUX89IdRFEtIzHGnmv
jbqRO2ojRxSrNEyNJHyTrBCQqxjNrBlySExTu0fG3xC8J8jgzcEvKE3uF7IirMaNvXqduBwd+phl
C1AYkcYGl6s90CbPJ/NRkONb7IAZba8f2fSWbHUPiEcPPQbslcclh27xSZPng9iT07wOEXtSUo17
eCHjsOfKVUVegecjnewu8izfL+TBQPA57BfSVZ8AGgDAzCVUNxEywY8KDU6HTxwQEYC0GusyqPAg
64368bmAWgYSPUj37jwomlvZBMxzmET8mqJUjR3iaOrTeg8tIzPXcU2/7fbAJ554a1NkFWEr9gLD
zjlgscPXzEKjvleSNxfF+Dv9aZELaexDZ+5qiQl3s0Nc38XyYBKjQ2wVZea9xuAZNUb5yRWWQlPJ
J3IUITAf8h0x2lAKNZJ4zEwSTu6gWQyYP+ssQuJPgNReuMyk6IhLry7PBLCktNaxuU72RqBVjS2h
z7AEinKZkEDjAiwwrMMqUZYLly5k2LsyCffudqnRgupmB8z7lu/GvW81sHn6gZkoaNu/ru+ndJbO
Kd7wusHGzZkneNZFeml6AFSHKfAIabMqVqH+Fv9PCPfV1/C8E2gMYF+bo1wPkLKwN90TF5IasHW2
9jhu5zKMG9nIbtaH3IxTxzm28VwRivVWI0M/KZdon0+pTDx7Vdxo+z9NZjXv5zOYPrr8O2zjjzAx
f/lpNNWQKjzHWdRiAL4Jg3he+AhIlWFbArvaKTRi8DxVLzp69a2Wan7P86wl7autrOGRCNsMoyyG
B0+eYw9BS72BrbqbhhQee3C54tIXxpr863ywutItlqtk08ZvjnTdSNo36oQe92zmHbeWsIaYRNsD
tm8wDjgIVA+kzPMEum1CAFSH+bh6qfEPf06PCpLGjyzk63lLtsd5sJEiZTP2XR+eVNfk+uPvaBKl
rzCifA0J6e1qCopbdxqZtO7ko0oAMErp91JGBawXvnfC73Y12USrxv7Sea1+yKED7ge4taiQwMI7
njL2L4fmBr6phFyIsVRD6H+V4sDzztEZCpm/R6g/SU/GIsd8cKbF9nvltTnTHHPE/qtUzc/kuvF+
j6ELtlaiz7URWckT3vUpYPak3d4D1Fj9kcFanWhYechKgPQm3DmGa1pp2yYDRaKnBNanm94jERn1
P0eVeg/+esXbiwsTkUeXHZyQBfbZSamqPdGwKlIN94dJjBs5wqjuie7+LyFeyanbYyfnB8mfKAKK
y00aX8n8nOZJezTtJg1QhiLWfS75Rek8Ebh32jJzO6yGRGgjfB51qZalA+0P9oTj6JzVGgHEYyKE
hbCkwoB3nOPOJ7HtYgr/2C04ORLJryPM5t2XOOfy/aWE2bHjMrnaQLlgNFXlILuiMXo9gorm2T5v
ZbUNHG7/dg8gYzB3zSVHYQhOgDVnMyVHLFH5+eo+fDY2796J4kWYgY1SwbNJqJBRe35aUR9zmodR
ZyMlQ0XDB5prjDJ1P7fMs4EOVWKaAc7/Clu1TYVvqGG9MlGhK6L+0Fyf2svl0DKtT6bxn+J17Sqq
mJ6cbjxsBBZZ9zbYHW08p1IEqaWE2YrfGKNqp3Ya3Z7nASGSYlEMtIUJ9eEuvnkPdir/1KJZTBaX
H3BAkD8eb3vqfIXIPsv0hCLDT5fSnLA4V84DY/H1c9ml4PL7BJ7uonYJzpT2TRdlfYNheJusjR33
2txialE6eevsz+2+B5KHVjUqp8Y3Ogn7QLFcDSwwYn7s5b1kUUZ6NDVh2G0qlpgFdEeTDiMii6QJ
F+y6wTeCsQE72uJYzb4Pz+gB4Tf9z3WYxc6ixepxJpT5znYF9c4t1kzH+v5NKnM3gKTSoERg2ClG
YkkRgdsa/IdR6Ui/B3UuuN9keI1tyUaLayq700Sgg+2hf9zdOwjf/3webTp6H9jUVDCHr0eYBaCv
6TcCB8svvJIdYdRlLjfi2IhkLXfOym5q6LD7nEdBU6nZbIxjio9sdCj7dvKUKWLq1MlkzxChnh2G
Ns6tMNTwgEZGYcZ1MZ34R1b4DfjfBNH6Iv82DKdDe5R25Hp0uE1WzNPB5za5uWbKDBnfx50eK+A+
QAu/xeIh3x89xVYWcXSdghPiZpUczaEzqExAvoRIlYfL7HNzCtoNzHCUXsJFziG66qxjzALyhhou
EpjTf1Ls/vPnUCRUL+YCzy/UCcJzSRtk+gQmtLfZ3v2k15yyGuF/v7kW5Ta3d50kDJiEnD1DgKyl
AFaVbERxBTwxLAuOO7MwYiULT5jj8Ab3yqfmfcy6Cd/B3nJ1MUpdAtV/S5KNx0u7/RoHW0GsySxA
N3nL29yyDG/tlUhJ/uJmGtovS7Y9zYJ/gGigXx4ORjkaSAsssgwxrviC7aC2kJzFppleZRc87AC9
mUm9I4vmxRMlQqo2YjG0/ZG8BTb9Hrnm6YUIeIQFrA5JUeJp5p7D6oVaXCAj+8OxbKntiUwNw3d/
rtjoiQAvrLvSmV4Kgeyd1LVNcw8LITdSU34xFMG/NkeFaghR8iGSh6Xf9enTXk+9fNC6lcgTDYlz
wFWpMnkr+dpNE6sHVZgOk1BxCVr8ES0zHlz1JjDC7fPmc5YmqnMKZpB7l1z9q0cOr2EFa21BmXoa
teralqzaLZQHtZzmEiuar6Pzf2i+4qfAB9/2jyO49YCBX1uBLw5BV4p9Riu1NEeqOM2sDQa67i9I
Ao0QKmBde7llm0SycKTC2hZoS9Ecksm66c9YMmKiIIrq2TmhxTMicnAHuXNrF854KJzryMYokKON
UhF4f8qV5D+vJfWhRIB0OvhNR7giFR8dzdFERn+NhkZqWHfoZNN/vGgGWLtLRixncukpd2rPovuw
yO5FsR4+/Y/CDvJnuhYmI3AddDxcdQmERmzqeQcooeS2N/qoY28IYjtZ5lp1Fm613R/Vy7wTc184
tqY5tPlQdNTXl8Qx+MzPpTpbG0Bmv5dHeGBWhJd3lRpliKo917/8OojjYZueXRJxegJ6gZ66LiGN
uSyl8lTivFDYUvHLsOEoyJYiB0x8sMFgwfbk6K5MmuiQI/BMra4a6HmlQQZpJhQMyt5xeFkdKwub
ALmhbn9eBZQpxGGC4HsPWExlrpewu5CC7oOAadRpOMKKYB19Wq4EdUBuJRqUUCQIQ7PeTxnefWq9
/PQgrzqxKCmLAG4T7X4LVkMuKPsRUm+nCUCnQQW5Cusj69E4DxyeCNJoyW6bdnIXWBP1Qgw0f9WF
0Xt4vlExE3kz2mFTIrp3mhKO135nmQ3+cyvAxsnwMGrQFfm1wnLCdK1Ir+bT/DjPWyOH72tVo4Vn
t3Cmxr7DQsNgTTUvmc+kIQ9JR6ZKGApoF/4VzPjDSCd0f8zmxghX8UL4WxQW+VsrSYZ3MTUfybRq
Db3eOcC2Kunw1mIipPSovTW9Z6bL4odJo8388kxAEPuHmt1GWNg6YtO5AA1l2SiwUdc0CVNbAw73
gAgbvOtsIUPmpKEwVyjoC9B0r7EXrxK9bYFE3HSKqTSVn53oRU5DpgbcMo1sapZ9R022GbEba8uJ
JrVZtVVbJiSzUyaOm+oA1iRbRy8mRu1uy1xJef35nA9+dC7Oth8+ylaScSNEmAPNwgjU2TI44lch
xt7E1vYKB3rD/DDXYBnPKzJkBgGgXA+JdeC74d7OhPp6WCxk8lHh/uEW0bkfP3B7g8cgwi2zv7ol
uyFQPx6bMsw/plc9G5cFmX3lR5GwT5bY5yUrRr4T+/AUy0DDJd4Z7rg/btj10gZsIXOJsb7InlHj
/ezCLFithspVa+OthcjbmyOZcAR7LqyRWkdLlSVgKZZzaJV8Q77zirCxZYtXNIoLMEQtRonH9fIs
/mulpEGcqWnOT+k8S7ixk04ANbJ1Z/gUJaSQZZ7M+hOryAE+LTDwz3ZBm4YEXwOXEBxGTUzKCURz
hj4yv/yut7ABrap6jEKCXo2iz7I3+PvbSUmPT/4O7XcKOPJxc9VEa95XY0D8F0KF4dq71+rv1o9l
3CJgE1fOiIkkZtRjRszC5/Lk4QAQCy4mSPBVdjUF4CsAjYT7IGM0jVen1JwSg+9oeeZvRf6/W6GT
pF4pRUfCU0Tcu7qmlzU0eaB0vn/0JFw/54tbEYX158elINLaTHt3dsa2rAQz72RxAXWoTc228qCl
hM9BgsJOxidGAfXkFmxgh7T834mvw08EbKHzi6R26iBrplFC2F42FWqE1Giiuq0mgRSiSLnKjP4v
MupmKps6Cy0UlpyZI8pjzToc5hx5tysMzuwL5cCqY7EFCo601zUP1FcAuFDkMKyFeNrqxS61mWSh
NuQ1/lkRbW6iOsH5F4xGryZ/dDy6X3DxX610wV96GXDJ0sUGqYpyn/B4O5qP3PZVbheRSxlFY05M
Vjd9hlui6+EC+5TyMDSfPBJjw0tHgRQ9B2DNMJNwXJnInGed/9kSYOk5eFa32Rs80oQghrQEV6nf
y1+C+CRz6YDFBuO/kdCCdMh9O3vdwDFkhWezkCWn9+52mXriYuyPX+Ye//2CNUqkzeuSF5pobq34
EKO6l9LOtiTxNIYUUmcQEDgjgGRAtB1uK6dGdhIb8S+q79KsR96yN6d0BWtl1q7qLmDDub+Uwiiq
kUthHWjDKUUjP12IXnJT5TwlFnr/W0XISyu0ZtyguBqn9Sf2Fr+giPEjLzoXT4YM8PFFNrP9zrBS
LsXV3zHYV92wNOznIq6fcWoIW40Q0wpNePUeLDV1gTCU3uhQaDe9h/7yWkcygRiGRWLQ1z226hx3
AosHV17F7btHm79QGSFOYgtNJauTyJy72EcOW/wO0ZxmpNNXIjtv/PdSreo6KfLnSRAbKsxKJSV+
MKfOxhWiHDz2To/cCFFRsw/oVfBoaldbWZS0YkSzfU3PKfpBMINfnmy3efzsI97ePJ+Xn9+z7nsM
yYx+Dyw5b/Bko+QlCBZp5JC7tQM/P1jfPEj+d4ptI8mVDR2tABDFPnHXq39d8Rar88RZIP2xikbf
1HMGcJejfeluig7RiWzJHqbWwE6FxKGlJrPPKcFSe1NAH+X9hQsbEeV/hlHgmT8heY6Q1vP0KR3a
zb2XejMVT6RDvzin/1ylcIJF9e7zPhph+8SHCKxdozWd5sK6VelMn65TIESAXuKV+93Nlu5bIMfC
uysNbb/qogElPcxAWDrb8nnIWX+F3W0UB7gkIKi6LEWBhFEMMdWrm51CHTWC3KUhoiJFlxvn3hye
iD8YlgAL9P9eKfru1rY9utnVY2XS/dG6oLZwUoBozG/vCUl/z2iRK95B8Ic8IKBx+K6ejXxaDIvD
1UdVs8QyrJs/ZN8oJsT0//V2+H1hIfTfZTPxlt3u67gUSUm5+IrBUPauRKccMaoJ5m5movkXNVCO
+4+7pTZRQDbuEAKLzkjQANNWGXwexBUJOW51FdQRps7mtstlGGSVJhgSZB8mmckuLP76VPkx1QE6
MUKW2LqjMOCyhIwNmzVekGKHuEHP9OgXDCbUV0/ZUfQFaqnf+Uw+JcPYNnFnDpC9vG8QMMJbL0ky
pL/TrH5fByNAtZH7KSHJiazu98OaSSc+yUvH1JcVO/gYDlf8Su8oIWfC9zxmgBhgIERqxtjtGXom
2n6JpVVHXa76MQVVP9W8z4SQOF3XJ22FlbNBRHktAMD8eAVSgH+LyP42d5MedZT2uZIb3x4C0jkE
h75VmVoRHCZYCuC0+RlLRQZYPzYy/GrZmLA62roXe2Q5IHsvoBqwOVv2gENX80zmUc3Y48+hF+xj
PD3ifE/vunHXnu8VeCKyOLWp1vz8ZiR8m6zAHsWUrVwcgnSeasGeK9RSC6yNNcC7DIu/CLOAmJQl
RYwOh1CccYPddi/YZ+D85rabeFraI98dzBUdnic5eHqRU/0t0MRN8UbsTLeitSpVImlgAyPR6XWK
4/qF56Gs1j/IFbqquSps3OlTlfoA4gjHG/cCzelvkPe/k/pZJ1hdZyjObYwFte9F5OD/bBtul2db
mw4kC34PC3TcccPOa6ZJwFOAR7iBbv/Fy4NU6ruNVubVuVH2A/+IOQlOMjUWyoYO7t/3PrIzkRVE
HxaO6Mbq0cKynlxXZe+Fpz+MIN04wzMr0dRdEWxW3QlKt70P/WQJzaicgEF/XR1dhGCrd8BDWPpn
q9dTLTcwQ44JSwl1pUT5RPV793HUKx2zSjuZ+Yk9/mqATrJpKe+HBaPOyw/PjQ1ANFL3pjhw2n1z
Wfow1DGOuPAHRVcP7/mJ5or/kgDV3x5W2bPJ+v7y/1fx8hp8cpdb2aYmP9Tf8EopPrp/LF8hzwNT
bfi+KC9NGOw1C1Fh/e6DYzTyuDtUBAFwf9pe9s6xYlfq/Wka9rNha7bT9OsVMC4WwO3mjob8e94h
heF95R4ukK1WdD7PcFDlqgWjbGbZWud+JOyemU4XZndlFlEOcAkkAy7Z3sUNKDD0HLvoQCp203tA
hBk9y/MXrNpMlIE9qWJUa14LPHV41EIs0remPhtBSFAYg0JyNvWspgDx58UBn6cA5k/14EKFa7y/
+T2CtN7moSz71p1ELkOHo3QV5yJ6+13rXCxXpahdbwZUdzFf185ynO3OpEqR3aieqB19Eowmh68m
0mhu47V0cAUZqXIULocg+p8VcT+unUC6tkaFIprQwe2741gNq+X0WKywL2iKIPxhDIhgmtgTL/1U
AUIj00M/Me5uQxIa4oT0ODcUisTN0cjyoWn7P7dYal3Gc1DXqnHPvaD7m6ZB42JQOOXnGsmtPuzJ
yiTzbqCIOUW8lcodsDvcUtiAV3dCzw6Sr962ScpqlW9u7qwOKhxtxDnSu7ukBuaO+utyzgYL3fSN
faH/BeMnx5gpOOvb+OjOXZzpe0qkH0/jKJVNiWdYE5ZUK1ebsS77LJsux5F9+Pd3WgRFtb9WtyK3
+iK15v5CRPQjTdwM6NCjqHDKTbodu+8EKQLT2cznLVIr/d2k4qk4fhT2kdbOUk8ScKcicspJf76U
IfgoFJ7xj+U3ofnJnNsytyoE6sPawm2+tuR808EBTN4HZRM1jjcZ/OshPmPmdQGMo66FkC3BlOFE
H4kDHAUSSnLs6ELjuovDZTwUwj1oT4wlt0+79U23J4ACmnNzol8FBImHl1rYK5wclwuE5B26buWw
fo3SEcBdyOr+bfVDIS57pUYMozdpRl0acz6RzDn/rtBT3ruD5ZyANPSzY82AS6zx8TIe0c3RFon3
LegK8jlJKVsbGvdT5ts0n9cLHHVYR3QkGXlUbqscdyk+5PkXBGS7by8FOM5DNe07puSn4SgrKv53
++NIwm5TAnkyV7n2AHe5sU2D7hxOkQmQFAgO1ZSb+4ydhenfCLZb5Wbg5QVGNHCE/hmVdEqOTnv3
+qccTClittxGdwAqILxxFrHCBtIwQXarUgQnrHtXYZC+OzH2P0jLveXlVWAD1GAHZgHvzslMGli5
VISvfhDtKxqy2wE1r+rpc/j66orGnmBqaRnX54Q0i19BYUXMiGTtHqHW4NAFggRr5K+orTmgL6Vm
CGxLzVYE1EjBE2VdT0hVqW+VwbPwretk0P0hxUZv7YT/7jdBor0Sl/aOinhqi/iInHNhtgRVIimM
0X+QZ0Btxc7zYq7g4tjIk7Ra/qXbT7kkk8Bb6OGEnp4P7V4i6Dvp9S/+xKzWmwbJp+xVAaREPqok
fCYCEtCm4RIIvoskiM3DxNxzjrB1uJyQzt0zwJXpv1DWkTkiVWT9KWIhyqIDsQ/1lpwBGAkKK6UT
SGF+bdomju+tx8+/xXTGkXKHyaHfEAtkFFGkj2JA+dSbKLs7MYKGa/JJ8w+81oSg13LkfuGGqHyG
0rQ6qyJy/cvX0RuFWZ9GfLAixgbXVZys7zpSNPgKyTbGbB9lGaSNDYvZtzP/L7I7hjpky3Dr3fST
9Gli4kH/6g4DJ4OJuklPIpxo2dM97UUw8jVRw88x0VeLbsi6tOOGk95aV3vfM+QY65FTWSK9YpbK
xxicVlot1S33kECyP5hT7102zx0804Sv9YZtluChNn1A7ZMyAV0MxjM8+nvhI2NyAml/ZC6HH6zf
/92zsB+DKn9SKGpP+kO/ynL0FIdQg/OU2s6Yozd5LkHwqQUDjbzIu1poF47wOCEAanwXfUNmMKjA
F5jkPZmYwz0aA30DwjRoea6Ur0KyUpKCXny96wxOPUChvIHpSXEcb6DyneHC53fmFfghIEOQx9LI
qJ/knciU3vhmFujKsikOa1QTcqpbQbOBekC8VCEDClOsjiC1upDWLSpZVzp5EBti7THomYnaPOCq
lsywiOsz41N1A+nI2aeYbPF6pk1+CtpumGfPu+vA3jzMA/2s47b3bdHIipA5BbHz7HVu0IiemJq/
Z0QXVK1TML9Z4j2i5qbu9r+8AIFlh96LDrJPn23Xk1BIgqvWXTlaYOu2dM7lzGhThTmcw7cA2X4W
klRJNzZ6sc7XzCTsdW+UU9lcGCPc5PTgL8v4nosfwMHWkWLPLe/OhEI4zQwQVszA+nhUgUKFNyBT
o/efCD2y3yXLlOEYnn9pzsKWfxEhsUrD2V/Q7R890I/sw0LdtbCtORUQq9Lnxr2Gta9ki62ngU/F
7Y3xQhJns8aXgqZ3falToHuMebg9nDAWpHKM+v3zM9L9WMchfo2icXy8QkiWVWty9X30H8NjtX3Q
uXLAVMZY5AFA8GvY+RE8XhnglrmCdztGYU2abun9al3Jd7P6zX9S1UzXBrRQc29HBrBIhb0dh1ug
r5E/iJORn3S2iwdKSXzHb8QsGtLhgClAefz19BXAoh8Cmd07daYo1KMIu8buUvjO9FME+F37+xGE
DA/sKXgfDJ9O+cceXktyI4kTwj4O/gBmBJU4U2GKo9n7de11klsXa+VaRakwqdhjfHiXJpHD23k+
U21CcawjBLCzyVmehqKhozaMsCY1kG71PG0pW6ISedsVzFve663p+m2TPV64OsagLOdDvOvx0OO1
BXwAsPzdixGGC0SJ3sciurM3wX4ImjbVqBd+XgVgoJWm9lmdSf73WI8UXhyJPAIsJp+IxQ/dmpqC
K4pVxbN8ijPO+xW/l/Aq1RiOwY0DDsDoWZTquV4gj41DeJyILfNJMN/yYibyfm4QqQ0GhGqKGt9n
DKMZgrAHfl/1Fdfm/I4E1E1Up47xKV6pW5O7H4mPjel/c7kKfoonEpkrP8oraX0XCOtn58VTJXxe
zJQjb679LBs7VCar48E707YB9DvFtzlfU/2ehkCvAXL4+ViNHyiKRqzMFvV3f9eMl/CZVrsclJcg
qpuODIQ/bmXsFy1uZLlcR+A4/Pcba7bm0RUmqEEOeBHhaiN78iTieVZ8oq3L6Ju9cj6Yvd1kWq43
RShkczHC46Fb8wBbXlKmjOpAMXF+6LdTZzmQNI+lD6AfA7BPSNJ8FzY4kusjyZ2tLaPUWSZIpa4X
ycn400LrvBnBlR662o//0tlAdv7u01E++jPryI42mOA1994V5Ca9rcCcbOfJ25RsGDnNiGCVtqqY
wsYgrbRec/UWeY5OW9XAIv8Pr3yALIl0ff9VT4YYLel34e33zCMwFoPihNMACtVJs+4hWwtWu49b
JOwxt9lw9WeQ35yJgrq92D9W9c9sfxvGAo0P1Lm5ps8Y/5IoxtC+pMVrSgA0xtpaWvWYX66DfRh8
vbzGxeLJihy0Naao98cgipeFSyjR6XrGytS10bLXBs+XxaXmDy8wzidTKRHGt93wXSaDjEpvJsuT
Z70pt2269Sfx9JcpOOKtNoO+LgxNKLq9rZfyV0vSA/EzjSLI026GrNWlBWrdOpxNQQmEYnAyDoA/
mj8MJoTgCSR0VwHET1pqtGpKNWBFdtIQRvtEMyIROvR4xUl72D4pGiFi8xRknFo3kUa5HfKEtjZ0
bSdlWxJXBx69MshzHOWxQDvgFh909fCop1qquq+vnYLj3aGSlQ+A/X1nZdttgIKgdgYxE6f4UXVb
d1rRY9dXs+sYmjEBwg0wBFIvFyFDkUuO+hJW727f7opg2N0xIAyNAcpM6Zdw8wVW5X/1W8eqF1KF
6nGi42nhVhdracx7OBGbK5f6GLMkyEoDQCW+8d3PfzXucEZ/HX14ZGo5Cz5TpxXfKCDbHBDjnbVD
+yMHv8sLvh1CPigafksyzBwXU7zclY794O0Bu1d3qWmZ62x/avHBUqX9zUAKeiiXAdESUg7WNm9Q
TI1cwl/2NxpLSyprdggzakFgKneKDsDoM6faaGsQ+uz0qoEiSPeyH80xnAJS0m3Wc4M654BTDJ9W
yT1tQaCDOT85Yi0ihtvG7v1o5+gE15n1Xu0TeoM+ekUkcJwqF2hz710r/MmzhJPPvXuugqdFhiyk
b4wojXj5whzHuQ3RsJAYd89ZCWvP7bzYB3xdr7CPbprndmGmpteLcgvrP2BKLs1EvQpSnHAAwREe
HpalmpXl0KEidWvzy8GzwffFKc2mVlEqKj6l0gELkFyIMJNMzbjLxIjRSduwFf5YlBRtmvWBvAzP
FTXyoQMd40d7m/4d4rYEsy9BEwM9/Ppcbc+aubCsreTHPdcnlpBV/wVReqKkcIogKX7+o/sOTvfy
caQnqa8R9PUsqJKmRFEJUF4g7468ZEYqceuHt8agPus6GwCl5l6L9Avj87khYqwUcHABRMpW2tA3
eK2Vtb+XmsZDFLnPF5LiEAmnC3i+cP2CHh8d3AfXG2KR32m18Eg2OZgibJMsBtQJ6Jn5M0XpTNH/
X65LQ9I8XS096e4Donja4jwHKUMmE8ABgFLqCIqJf/m10AI8JrGLjUysxdgjDuXvbVf5TBL7PRAp
fAS3eWrL/85PK9pdpuhr6IFI7ruP5USeUstz13shEutxb2UiNuQOs0NHdNt9By+9WP5Fy23ak8Al
aipS2bZXfAPCBm7s72Ep8uHnqEmWkvnQS1Ignu/pQ5AvH1zfoW1vI27Az1MC/w55TfiCFxwbvGUB
qyG43Y86ojpLDpsGxLaZj8aU2Xhmk1VVHRQVHQ9acbSx8JKo7yFl6ZG7BjcZcNuOX1/A1s2bstrC
s/8Om04aBNBBAv5PmtgKM1JA4B/fqXtyOSUXYHN5PRVHTauWTwl0GuX4WLK66AdnbqJcASseGUOK
1DF5Zj5Iz99PK9vQTdP6gORNqfBL63uiZRgoWBBXc2CIdxUUxFshGg0PCvqfchDcK3Tc6FXOnGfF
opx8vn16jnIQnKdDvKbsBXjWdswoTxSMgSQvZj6Kjesgn9PFcbH3LfyIKZcuQ8ykj9xwzZE4D93d
OmiRApE/cI0sfA7+WwOwIMarsMrSHiH6LCeMegplxnA+OEBzQYI/JgjEiN+J8cE03HXpQJl5J7rL
cL/QwLP4dO0PJJHxD4znPXT/5yJBCi2ux1LlWud5a7Him+Q7wfBG6FlToMQzHbFX8YRSeRIjMKz4
SYmoOpm8nzL19tLDNeSMYWU0tk0Opzhjx9QRa4rShQfEX/+Kv/YIhXjV8FmQo3OtuZx48V96MQkS
Qm72Kila3qIMB+KobatxvOpf2DmgPsfAx482H0yPmzilj3lLKHGdX43lLkqe/15tppbotRx57wvm
rNjr+k+NM6fX53PuP49wFsdG/OweTSQZzpNYzOOuP0XRhX9N6+PeO3juLW4N88GMrJnLT4m2x1kZ
uEQ8wt1d3NeX/GULaxCXwFRC98n+wb97nlPGtQhss1OJmYeWaQucFWkEicCzHyTi4/bmijMPWk9Q
GtM+5BuY+nYpsfyf2A8Rav/ZMERPxS+wtLz0Yx5G1Avv/4M9ICXlFQJp2LzZXHNxdIdOtUFAMSpD
gk4stjlGaaJt0WMsRyAIjnDj4k2Sxy2MPZ4LSaFXQ5ggeanLTkBDbGqKv/TnnnJDEP5ECSn6IHnl
Yy24bb82ykOMLDYQjfQ+DiyZRE13imwHs/bgxnlK0daOFnlVSnwTNK4wP5lN/4TYz0EADWbkTE80
TjpxlPgkTK2Hx/fIJP0vY9jxVm//MEVKe8/kCe2R99MKSRkemQPaf0y9ItSO9vOR/QmbInTBNb6C
+MwdOrWvWdGHoiJ/hX3y0ZFOs538A1YJN4OJMCT/MvhXkrl5ZkLTWF23QVgjIXyefJbV3MHrDYPc
KBu0MT6r0nZw5lUXQHOxy+8DUIyzsmAKnYVgjP9fvFcWQXSqBJE2sRXfbiTT8BrzhuXtv1ezIbEd
mU+utEoG6W7XR1qC+LqU1UCFMd/P3F7J4Cz+wcKTiCWoGplbvQ+2YoGQ6LlHNY4n+6M3cNJD2GWu
/zrOeQ0//e9Bb4KVldlcql6c6v2Srel60RyxWCqiWgEbxQnvYy1biHtoeanAQ2i5iyF49I/Ap83V
2uRgqNy0I4I/+TWXET/5PjVZubWLUvsbYloTH/Lixvf6jEkL1UDgpn4BsEcfDvLDcnuhgV38dLN0
mdNSLxwx6Xz0BxpkFvj9E0gdTjRnUkIoD+Z4h8Na5iQH+MSRoa8vVREcsnMvhJl/KMjJgUJJpMYw
r30HwGcAMhKFkP0tzbnoTRCl8iobrmNBiwVUBkmvOK84Cr1r0kJ3AVLysW1iEYKzjg14HwlunRAd
AdAQBAJjqo/TQaPmKdT9PbLaYsdou8qo9vDuP7Xr4jMVRYo8VCDnoluREyjLusdKkqtVFka6F0zz
QaNb3dL4hnx+mWnmpXv0MZ/j+iM5BqLNsdk97142V1ZB2/T/qrmkEAnaVJoom1Dq88buqntNawo3
6WzgbFlVpKsPolD23DS8sWUxayEoYmhf33egMgviDIBW6IEavjlxKYPtxSsY5W1XsRsM8NHcQ2F/
OFdOqioYifPZrdeYvmHv9zq6taEj2oHEQpjcPZSZJdvgayI03MGDUQha9Tl4QdQNm2ogmbG7K0SS
mdXD9+n3Grw/i67StkVm+FtmEreAw+/grsAhdJPc4MIYoJQeBhAVY6fUg+IkaGeGMZEn5uD8mgnR
unSabHVPOGxnc4ND7rpaouAlny9fhcy5pXydL3UfquX5+u3WN34NCSKyALXL9e42kcVEpwkDrXeP
rjbSGlyN5hI4H34bIfqdzOXhKMXj/Z7YrfJCdG/AqOgUL1NWTAn0MpEh5GZFACOfQMCvuebc5fpG
SiKRtxuSdsPkxv0Wt7faOI92r1GvJI/mp+ca2odYb/7szkSI+7Vv+8YxbUpkIxs2qNzkZbXCRE6f
JPqNjx79aKaJFVq+ifFkOrad613PxvHySgElTv1rcjTDIBX1RqJN4Xdjur0h01ZuXI0A6ep8hSqp
S9C++ejRPAS0OQ8rKr627soVjt9T+4licMV35TW1NezTbmzlIv8ReX1i+NneAmodEzZLY31VCVeU
cvq/GkUcq9nBCa++yB6Kn+YQq+V8THg9kQmlOLbCksOnaIE/rkTuV31y3ZEk5Ox6dY857fVQT18P
QPUertHglZc2xyiEPpt5oLyZZefZffnnJOirvTxsuQpdxPdfiZjunaKjC7hHmPk35j7LnNIy39WQ
4VMAMlAkIg2MVDNY0QUr+NgS34mGkOpG5iJHc963VQbfSIhqytgZ0vo+nVdnm6Yp4evgfN+PvTN2
lueIauxbZWIeSo0MGqxzZHV8CUQfgpKKTX6OsbvESJ5s8dcwI97jeF5cFcFBWu+MSSWHraMBanfO
Vgc9AeyD7ghsmsuG/nYWGoS3pdyI/WnAk8eQppPqBZ1zPJgXSy2cPoKbVXKgaJeTZCBDYHa3iy0q
Cb+Y5s3s5Vu3DWrbf0pGzP2a43ezuTQxSsUCnia56STIlxSFeP6twrmlQLuOjzUaH1svg1/oB63k
KMTOlz6dPZCU3h3inG7CsT7BpE0q4vlC3s9gWK8pI1nafqB6OSUs2HeODQzUIJVqsaxM9fq1jAVq
7TffThVgQv8lv4r6IAr5svIpfkJrvKMPVsU+9ZYshH8WVdvfppdALZvDYVq/V+GKGBx3yGjWI5sa
pb68WKvxKMt8NP1qVCIwxkT0rh8uUHvj9c8z3SFeDXgJIIvKNeaY3WeGci6wERA/o/XUK3Ck9lU2
Zfmxt/2t7Kec9m4trOznN2QrPtxN+/2g4bY1v8CS8NAekBLjmax81BEQfUwFLx/JtTuJBXWIVRNF
5eJusgrUw3JoV+INO0GKi0BwC9NoQuWegci0C6JDsgzDeptmO2casXlXJDUcesz/0vMZhzrtzhM4
YyDPAfJyFfaE2jpAL+nP7sBdwE7PP/4P9ltu3TYMltBLhjrGIrxXoqLvs42QYG4iMhqSMH38hNmN
fN9M9YmQl02dlX0oTcXxbfujr8VkXwwTNc73Kds4FhJHaR1xQ16+Be0K001j6TQvFYi++27Srsjq
kLhq9TahGQPS4DrLNK0zj6WB/cl/APCoGkaT9BzOsMtLtO6RS9VjLHyP3MIEel0fakE6blZFBLO7
HfdDDE5Mt3CHuNJsV0L/Ngcl8Pg4ZTKXnTFjGITB6dSujy+Cirfrydekns1/42qsRStvfL1zd+0f
HTA1FrDVJ3Syi9e9x03+3+9AoJDa93SYZNBYqjlixCEqUYGg8q80K5nA7/ZUplJouLukUhLODavK
DCb5qXr0VtQfSBS5Q27VdvHbxSO8pYROAB/KiBxsopcwWad4A2XN/v+LZEdB8ECv4PzhL2uuJKwe
sCWwy2rbnL9JGQYLKbyCz7yTuDqJ+RRgwrllWgBbd+nP3FNtwWeLkqN4rsFtDJE2Rz4nIVh+ceBt
var2h2VU3k641WZSqnq1F+6ODE2gqXJKonZjL8Tgsuf0W0PkOJSQ8/Gw5my6y0po+7IPPg+wvnz9
4hbThZoY/McBoI2mNT6gKCSBbAFPaeHvtExVkb7aHG/l+CNhYjYIfLwWKeEhhtPd0U2EVFoNSR/F
6quUSPvnTgG8dnvGAP9wZHHnlSU6CTj0CQh7yqRM7c93EPBOLayE1fpDkGNTJHO+of7ivfRdA7E7
pBOKaexIQNfOmcg4q3CcaHfW6nkH/j8u+IUsbwoEJiGnh4iZgJmyf+JDiPL1rwhZ4KfV+3NiCpeK
Jjg0lnEf9EXAp8D6/eKZ9KUE+QwzriV8LY0C777FF6E0szXgHi2Bm/exhze0YWEexoLscwALtVJi
h+cUImYFYCIqFZwo2n4/iq4ap54KecJTo/YOjHrBlBeQ+wDoqToeSbXjAG+UM8p2KhQRlHynj6H1
2be7iRF2wIxZZuiMTy1IOCDE55NEg0PkcCM4k1voHBQV3cTjSnnjmanT4+zKDQ0Qftwp1hkLQkKP
c7Mlk4Vk/DzWiJayf1lBxFpiSKw0i6pbVPRVrN1M2zrbi4kglFubM94lbg25rljTNrN/uqca/+KI
VGE6czjfliQRiHHfxdS3K7yaujK5o+ePS5HfiASmIz2lLJoYo4HZw/0Q4ZfSb+dZQAGO2lozR3yD
gq7nQ4BFKvE5e/+vljhdGBnrAHmyMayahK1yvDUyIwFJHszqc+y+FeIezDfUw1CYce+DMVZaaIiy
MW2IEO46QheHppmEry21Ypzci6vtkV5Eoymhi2KEFFng50NqCMXKYk9We9riT2LAIposwIef4D9w
zqsEoPgckHsPd+SG9vuqoLH3z9FOxmSlRmAdGDUlezNauTcCEtDGshMRCgWj/pOffOkJWcKQonoT
GL/syVADI0SaOlOc1xzy8oNs6jVQUns7ETj9bw3t66Jc93s4NupiB3fLBjq2frMonFVGragvaEXt
VAcbl2cgDL9gV40qH7AAh2kS//QL+9JRO9n8Ix+5tAeFN+7bkipWgph4dT88kICOX2G+3zH2ims1
V8gIXXr89wq31IA9GR5K0SWEOqwP3w+aWlNK2k0WF5r58RouRrZlhHROY/dTyLg7ZsAAPPz+2dzM
fuq5q3QWEJ0j3t1ROcnbHMU4kx6osT8JaCccjuZZf7MkEO+A8+QQ3HMWQtFqZ1zWLFHwu+DLLm4C
9ZaoNQGII0IZy7PJVJYBSmM5vZDn6qJWvI3/rk6gaS1i/TsAxaU/y3HYwHcO0k+VnbYIeNrPn5jB
7mHlz4CroEtGuz/nEtpP7dkdWM//qh4Wo+51t6eHbCVLI5DhjUINglH5VfBZ9lU/AmNuUcY6VVDB
CwcUdrkuK/zX1bWjjEG0s9mEqPEbw2PymQHT//m3aMm/KUqgoolyVOkrKI2gI+GrTl+F3/bdPpWZ
EblF33QmySKGzg2sdKjRBSBy2sKt7e5B9v/QElj6kAeN+jRj6in/p5P3Jwhtl2TMw3oIKnpvv1mi
1t2J2skw7AMOg3zEUOL+s1KMY6Yvuzq4pjVhI8bVTKPGrHkDZgrzmtBM/Yvd2x8Bl0M93w1YQBp/
O6Dj3spofJPc+JHsWmUzXx0HsYotnc6ItbBG1Bo/OuyEM4pBE0SH9kXqnY9ud5kz/Mq1f8hha6VC
5DVnHVVQbHTme+XlgJdVH/xd0+4x+5sm77ccZoLw5J0Dlotzl8vkllXkzWtaYsji5rPMjWhJtIT8
ADKjssYFY/JqdWZr2gHk1bknCU+dFebI3YLktGlEl/6dsQhzEhaX4qPHOEoi24pK2xO3dMJtA9Ro
nVhb7B0m8iYF0WiobYx8lz1utKCfneeYnbgvZWUk17XoZJ3f4RcGnkvxLLaSd2IiuW18nYPCviMJ
VF0cT5Ed2EBiAaVUer/LFghU5m2+917DJ3qSiHaXAVp57TBxYlJev0UAM8ZRbGxR4mjIgDxcgYLj
IsmB5D3LqivQByubLl32ZUiLHKBzJlcpGLGFflESfDL38jtDbm4Zb5Az/oFFeETIS+v6uQP6uO0o
IIE5vvIeEKaN2ujNbFtLQWtVG7UD743BI1nD00MBWzrZ8MmOLAoEJQKtyBNcmU7SquXiWwEv83lU
gwkPCWG4GHhBhLjqPfEVcFaex9f2y7WSlenDneWF4E7ouhoeHDHHfCJk7+DyhqUTX2fqJSO27lAg
k0woZKWxuBmi1/I3Uc3PTV7PkxyGPbrDCkFYcfqZsBz3kZRgK3OPAvcU7IPoHyloEm560RApzEAN
N3ukl/hxuHv1EGimT8ROVcnI2wV5CEN5ijiYuGC+hyCSHpxfwpQGfYktwAlq1W7IHDZNUlTFCPu1
OLKZqyqnzYRtLprrAwYDcAgLVp/yx/GAdwt92i00+aHCBdbU48/ewx99tiJQQ/EONBHqADPFUEY1
x7JOwtMWS1r1Bj5J8d0KCExu/G7njJd6+53xtCeqqwAyMTPFaEBMv9HfkKflGwz3qRPPhF4s+at3
10XIV25w5uqjSsliqISdhV4dvWyYC0dIWULl0KIAYEtB1dR3bxYEEq2zvOGshtzDp61pdYuO/Oqb
SEHMDgxuY8ZHtgFN2PNUPvt3V7ci8tG2QOajutGNeSqSjvgJayIUKjYPdmek1PxMbJ7pAv0x5eGd
GDq9IRtWFtdEvcv94RyizIstYMy7mrZ/J2gexuWpVNXpv8HBArucE9HyESo4tOaxE2n0H029ssUh
zRodyCv7jyjTakyD2MTi8VGTEfkxrd08jc7jg1aOI1lcky/v7PvQvH9H0Rx5eRnx2kg1+9yLRifp
UR0kuWzUjnQgB62pep+ztOwBq4Tu8muqxEEf8ppbUOulIftVzhQaLZXS4maZRYCH5yt9drjv9GyU
58nNnJ7lsXxbivc46pS8D3DDYu8BsEXPAFRbj8ED1svvQmauIKjg6oL0GjWZVu4Agp1iCTZ59sQi
vnu0ZSvXjufq96LkklIdjzGPzntvEYXKPRjhvd22P8sKQYjX3bOQCoMpsB30fHHsGe2vJNJJQYgf
nEljEEBn2A3uYcnSFKTKVDVRPjOypMz9V3LUxsQsx5BDBI1exHdRCrw7bGvOnWEDblLb/fLrgTar
p0aaRTcObTw4a59KIsMJno5ETSG3ioMEppDu5IX21ZxkS0/j/7G+bU455m3F5LwlyqWYu6fMOyy8
gN5kzsVbZj9g3kPXLdAzLlOvdpBTbQEInzPkc0aS4/A/iK3W9eKCJyXO3k2nb/JbsBRyFFIY9sqo
Ze/9v9utbjuWnFDP+Jqp8B/+dJME33kVbbf4aYi5fxPwj1KlyHq5pQnYFTeVTkBlHL1JBqA3XKVQ
taYDpuzduxaE85XfNCgKUV0SvmL6KCgDjw7BOd7mSlUvBHXtrxCedSoeAKUobIMvI26YGFQ3uD4g
HrmRvR5L3I7k3T18amt8otjT/t8gp55N7+AeG1Yck/77N4cDzs9c7dFqaquT8PsoaHVwIhNpU7sp
D+VmyVCfUo7Z1qw8NUAMruiB9vZqAAhY0zvW1yp8pjbRK2YcbpZ2OsEfTEG2NU+rDSXP5VZAAaFZ
nM7QrWijUi8M5xGJCuiwm3I4WfiIsjO6OcifZZ0SnroahHTZ1utVWbD9diNHHlTE9f6yUaofV4m7
QTxfClVM2BZ9k808/H091t2WgnoHqKd5GCwiu0/f9IzQG6QZpbCiX3YHVl8aqVbqgJadkiV0GFaK
HVEA5n8EVLcMH2U7X1W/qwIwuwxrEp3K56oanaGhCHMTLvZELhpahIQ9w8mC7dNxzNrsb1lVq0Q4
lWa3TKRq/bH57i8ZYYdGQ5Z2fw/jS73tGuMRpdaaCZTC00FiyK7DHBiLdNjVF1pH1T6dBT6cI+0T
N1fgYNbtTY+S8UKeFTnl+X5teXFX0Vq9SlPoLaGzIMERIpbOA3B+wfdhWxidcIhQJGTERwSmajG+
vY1xVAuEZ+LlAHs5QyR2e2ZizYA5HF/t6GJCTswnuf7M3Z7ZJooJF/SBQbdDWDJk0cAF2TCP4v9e
rISeHQGQrxVYh5zX/CbMuGBPkzTcX3E3DDKQhvpeAYpz7jxEziH4OrusmA5wNFZ+FLPXhGVORRVM
gNkCfMrRMLdrStRTFd2ZUkYr5bHb3+rP0FFAQ1QSZV6ZX1ieSvVJw9W9cKmV1yeVTvW7XkBzHfzH
Zs9GifWqTKwDtE76TETm1aEvXwCUkRkzKH8tszhzX25HBnL9ohU6Vy+0ZNsE8uHKnLAow8KLdzEB
7u094u7BIC5HpAEUdw4Si0rljN9QVFekZ8wb7MNBODGRNplPJkMMFW0a59o3FjePiHI+vAluTKKu
2feGdVAoExaC3qPe+afxG7yuen5YMjHjCWvUFeMPllSJlHmPZb0VHyB3PHFJerHhTnvSqmvJC8sO
MSZMd6GuN8P5AtS9qfdyKovD9+7k8FkF/TE8Ee9MWChSkZZ6AvaVT++Ec9GbVsrcRsjSK9UyZOdM
fJghRdIlYcxwOzRJUR/QbE+j25vxsRMt0U5wxf2Ta5MRGAutf2F23s0h6d1WOSdX5R+bbRz9YpEF
FGjNNzFYa2ywkLdPyCAs2YmZi+p2DKIe/GxMH/doPutSN4N+u9gGK1QXGKxdS38311S5qD/hl2y9
DGke+YxqinzuLnY+DECakm8JoNWKUlcQM0+LUhqTo8su3fuDaCGUYd4rgQplcdxRK7qCsINfimdO
B4GTDP3vtgAvODOBj3Lr5ZfMAzaNI39m6EqKsfgpKJ9w7QNVsshPdVyu/53mvvY6Znfy6yjPEI3+
6wqKUd1u6/4FThEzSlOvtd6PIwNIGwDUmqr5F5dvOp1uxeOTJHDwg5UAeT4LO7GcX+uGUhVFvEHE
Wery7gqYOYifqhLM0u51ynqOtIdoFFttoYAwNBN2KAh4W5ivp2bJOpSXg1qaj+D2nPNeihKLf4xW
VbtMLPEyopmCkhcalUcz7b0O4MheoCEgk/XRa5Mfdb4oIZvrWbJGRBthd609cuJ2PKxwA0HgOVam
yAhEGj/ehrEjYlgm72jFRI7K241kyh/AA4Jgv6qgbjIiTqrtX6Rl+PjHnX3WnyD6i7ECmEUGgsUj
GYzu86M01VA9ftb11yu4ngsQRJuojWEG0xmaO/xbVmR6rCSrUnehmQlg+EA5sRQTo54ZlaTyP9rN
KLDJ4BYZ+883eYWjjqsS4/FiUh5hOJBQGTSZj5bYOfypRWi3KdafGU0nKwN1gJZigz4B17y2Nh8z
0uFvQwoc36X5MwnMCTnXL7YZalH9VCWwV3ENIoY3T1XikmTPlouKRhLvsl+9hoQBvQULpYzH1MMn
J9UyczEPTjJPrMs0cMuGZkWHTZMGzZ3KWnQ0+9Q4O5LVp9vorxXiDeQf+W5L3c3ruJbSruXibaKr
R7WItdFZJ4uqxWHAtf5+tyt9mvKJvTIQ0eT8mJb/BOWC1KHiX6AlSG6Mkn9U8Wm0rD4mnEyJXa2t
BwXJ8D0FTJjOM+5oe/WnCYS/w7I7nSi12FUQEVWLbWb2qsJcyM2IavTXUsvsXz3yJgDVxSFJN7Di
RCgObi44ofLCJlKwJBCivyqhkoqE1Rl53JbIJR8hBzIUfLzI8RtRivL6tau3TI5eABF5OX4CoEB+
27LLrflME/rndz6aJw1JREWVXfrnfg38sUF67EkB7Fp2J+WV0jAYC+W1n1VnKhnj+6Lpmj+dQiSh
Ifowh2pwyeTD50y8DTkXh0luVhpXlmTCtKTF5DXdtAqHb+OetQC3pU0u/gFt4xbvSxSk3W+nL5vL
N/fvFhJkNNSzKD5VpE5Ff9dTY5376Eg/nU2hNZ+r4Tr/JS5VgqzSAlnU+Ulu7dYzHdabR4UYdqL7
mJwSYaPKQieAiloqRf3+EoL8SdC03VUdFDCSBU4kYWkyF20uCjwdeDlPgikmNAEFoXucYCpiG4bx
t0t/3bov1VNpqM0qfP5DtiP0dqJXNbGX+7GIbS+GElCa9fS+fHdgs2u1mZS6UhRSSTNUkG9AfKgg
SdQu3zoefZgvDBqZQAnVuV4NTnN251HmGpWQHMbMLtPukklBmzndWm5rO4BCTJh7cJhg8wEsPtPw
l9Kl1hSFT03X2Tk+yttEDxg9KrwNmYkK61r+iOivwMF1qzDuLqS3zv6xD10EnbuFwb5rliiadpPM
/cLwZxDDWGcE9ETYdFsCwaG+M+nlX2CmYQrcmZTzysSnmdWKbHt/lDRHh724pAnephNH0C8iDjQ8
3ftH5O1ykJ/HJFXUockWwde+ORtHLgy8T68rv+Ysr1Rj0jLZwjq16i6o6yWtMS7BtNl4Ct8DWfOh
hLI6kzdJt3S+m91VMK9taOsvacJ7rYu242gojpNUyOUKfZnkDJtd7hAvEAbaJGsvmMSclSB4cnUx
GPp1PVAOjgGzn7Cf9Prfj5FaN74uoofEE6LIW25p/yAi1bgisG7BQRzwYRHYXVFY4jx8WKTBUhPc
I7kouN9EdDrHAOqMBILtWNmxPeW4e5g43f0RX8KpHdg4D8Q5RSdN1OFBKUfjm33FtVykgzzeoEUv
TRrdj8B5RXnbC2Fu9KyA8SMXQhwEUQEBorDqSHEUkiHQX4h2r09CI/z4WiPoc5/USN4lMOrsXgHT
hvU+ybwVyqMoZyf5GdZIukQgYf2gynNMtPpVUa4ijear5a40MchmByzeFziY5M+Zz+uSHhWkmL60
FcJX50wDkY9B+08QPB44LAJ74A4YQfaDSce8h/6IJB5xLWaKB2upGuUCaKDTVbxhxRPBTDNBBswL
iGBxi4JLO5oREtWnmGDO0DfU6HHIQhX4i1o/PFhM9HeyaMIEQ+TU7CVz5FrKSEcQsCDJzpCS13iT
mf2oasLlP/UWgz9mZOQvWQvWYISo0KrkrcMufZOQi3+lj78dYYqHwYDax1N8zaG/6KTAZMjaaq1U
AuG3FBSqOo2D/503bqfnouqn17Mcyj/u3jMR2hdEBNAaIOycHq4Lvgl1Vo8LEqlbgcrqvDe6OkPb
BLmwHQpSOYEAln7LeP+2NO/gXGsrgk/8maVX8UcyMzkq53zSwdI5aPtfHIcK+fFuLIw2uXu9nJVm
iO0IB2aM3FnVju+y4bWXIFAdchpqW5menLe2U3GbZg2QuIVa9DilunBaN9QR1ADFTv2tQYROCDwg
Bc+6YVbjztV1krR+hdj+7vpBk8SnyqoBoLXWDIssDZ9Q6DJCX82fL7ViUOK06oaG0LqLWoYcYoO8
ZRko+RiKXbbZY+1C+upTCO9ga929Eorfi3oooO6KGb7Bs53koD+sUjN4FjrINkdeJ/R/gr+c2rr0
OAEi4bIZx8CCUtmIZ2iVaUeAiCg84h0V0N4gYwZT7UeEtZ4mtserjwSgyC6RYuRLYstukKFbth0s
vAmVz2gBwMjJoRdi2xDO8oNgeEWU3R7Mlx7mE7UHYc+rHj7IjmhDhjKgfsDH66BYwLixbJ4nTZa1
5gD+jiZZIfczrQyfVIdZaY3iKtJg3X82+3EM4WXrmkumlNrpRhEyUtlH4rIiH7kjyc1tnNSOvZYy
4oBRUqQ7dg5blCKyu1SMAg5jpcoCeOYfQsrNFXt+TI3XqA7CzVA/fs8kNBSVx/oWe8MLOyRy5S/F
a4pqX0gZKCNCGmJS/zWH7D0xpTlKlXhMA4NwbW7amZokweBodylQnE/Vrrz/tz2LPeOsHK+KfAVt
PNZZXTuzmreZwZNPNeenE0vG6vSmbdfgbwohkVN3jPQocsq091h7mNnvqcDzDQHdtFl5VKqvnoNI
x9itTpwRYYrY5YavGjYVfwdYtzbA0cEfeY0WhyN8qI5KNJv+BQE9+udDF/HJVHbRO6dU5UuDh9Q6
iYsiPYEWncAP/ltisjiFfqhHs2vp9oi9gGSFqbOjwap8VtgsBZvWpm8l+uCwvtjMLXquHv0kxYuB
ixUP2hQP8zRFioiPqp8i4mfoFQeJLpgNS0WdrPQA6sJQMdFKyvBb/iTVx71Oo6SvDq8dB1F9Fync
+hAIFnK+eMeafyERaRYiaHLko86S2LADvUf9mSMdI/N4+De6/pOmaTjsfJC0YJ86uOSmT+LcWbjA
2DecwO4lUpIDwmhy1jhQ8kWUpgNUFK6XRhbef/OY0r4/VZY6F7LEsFX+ZYsmWEEJf9L8b7xXcEYi
KEezQstuj2Du9Nr5FXwmBT8p7BN0BYVHbeBkwUEV9a9dMm2zxwUseuKvstNMkY9F3aJieT3d1Rew
a1dG2kIRSx/w3RavDRUXt/gep3keQ+ugfe7YsTRGozt6Bu1ySuscwmNYppcWOD1Fkdna4PiAep9U
2Ott7snW7BOaUHHkbYrPb7ROqkzZMRSLpcJkNcY9bb/yLYL8KJKONcD3wa4nBJ035ZMdx2dHlCxq
GuFZ1KCzwcHDo0l8QAyf+wG6HEYFCAqEHWiqwPyF63lbMwFy0OHCgXbBWs9RZiAS6dI01G6UoRj7
gaLwk3LrAfdREnrTxqp97kfRTHYDUkfU03j5LtljVtaGoAsV6YgPEqelIw7QrVZ2IF49rw0ERuKQ
5N/j7wXxN+3mMEuCAn4lg5n0/EHBqZrHP7VY7XYt8jaqnAcBmIQTOJ6BUq18akx72dCK+lxBGBPW
ZskQ8gQzT0ZS2irLmrWuy7uM/b6Va1nw5ZU/g8yTzs39+Zd974rMcpj985JcHapcwudl/jmrWAQt
N20O6jD/54fVInMQxouu0YH/sWV1T2rCUAWPMaiVRlrm4kqcvKxHWW5NFXEpEJm2bbWCfkn8Rmji
hFXBwaqLJ0mfgYyobCuay4x6ZdabUBC0wss9fwlgdB56hMEPiclPr9cMLnD0C83EvFxV4jCIFRTX
LNxFOj9fPmcsPsIyLiqaBB2wqBM95+k0Nya9Kq6AnnEOv/xsemMD2I576i2FUc8jTO4QmFJ4VeOE
0C5H81UMssdAztQCX9H4lsqg8kgsAjElsBm3eQq9/OrkYVK9BZ3FKdA05ELjveNbJr2Af5iB/taC
20Opi7q7DOiSSVt7SH3eZ5dETFH+7RHcF+eTQ5V9VqNsKKPTc9L/QRqWG3SP9GP3kDbY8dBNwzSV
5wVVEpawNpM21lOHUz8VPVyNNJuFCcohRrWMCvL2RmRenT4xVYupXjIq8w/GByI1BWQARty31exn
SEeJkpW7bqNFf3TnGo6kDdol/16EW9+Zy436Q7KRtYvBTAlo4YX7ILYyIA16CXBcnQQVXssVVYCf
9Bq2qKMRJAww772wl14nRhfUzNRZwHg7e+hzI+3U+iUGPdoUPJuj4QccfITPpoQwNeIPwaIpVMNn
u2d/I4aYaKtojSj6gvBK5oz5/D8KJGvxa6HSWaTkgT/GOBUgiJ9N2smTRRcJFwcMH4xc7eTSHf6k
ldx83ih7Q3jjyUFPoFixKO0kaOo4/JbYVteQx2ZftpNQp1gGZOuwmSyMqquq96Ltkv/3No2tdPuc
KKqcntFGVsGVvXlPjcrWvjcKozSeQJgzi4NTCDhwC59YmNfAMf/iMdCz5nZ+EnQlCVfWtFKW7oWr
dAqoMZNIDX1EPKfSRv/7kNou8fX93UuyS6EL3RQd3btwsQpT1MBbNPwLMtuIsof1Jg5GFbiCX6Tm
CnvjFC5Jb1Fuvsz12b1SM+13y46UqrRLvUw7eBTMOxGYt5eny+uB1KoykW5NNVQpRbqF+GCpmxgr
hiK1fSLbY+s8f21E05cfkBs7PpawGZXbAUbntcMP6ubgvO+0YsBiXX9NC7Vi5JVkjI6WU3Ai8io0
c5Vg/xGFzGw0rx03UHPQ+4vU5JMWACsd6i27PHJ/SeXbeqv56SNdiEBKcy45y787fjY3iDzHy0qb
RJU8WbHw2OKs97XuGsB5MPeXIp9bk0yYdkjEhxSrgixfpCuQSX61ygQgFHdQN8rep+3gKCP813SP
vSZPIisJWcnXG4Til4GbuzrEgDTiRxbVouFU+gEY5knz3B1WRdP8tQZ8BGpPaAUmRwd+NHnqJ8eU
knHKNzIIfUED+Gd0p8z4ZINfH76ZZbrtu59ypg867JKdl/K9X9skkeF7R4uRxbQAULKm4E5vhtHG
XOjQYTNHqN0c2zOgbsCaft8tnTHNxAlsmr6Djb5iWcreE1M/flV4uRj4XCa6pk1nD+Ohvxny4Zuc
eUVJDBk/+bjuMK84CvTtcVJYK69JKoizfS5/Ss36f85aA0tRUY/pnoBn4SyVXrlcbAPhMy6GrZLz
0ZcE9nq5z89SL2e2u9maDq+ds4uqEryUUVAsVf9j4LQ71D49t4Fp1K/ClUEvo+TTDp5tC0XiScV1
2EpcUsb2ScmkVMa1ZHlJy5JOIEv+IpntrvzNBmV+A0JvXfaMPrNdP/xYXrwk3RCDrfljCMDa6ybg
ZrwVaRfqUef/NJgQbF9C+dCQShf/hrOWsT0RzfuUtUu1+2kcDl37JGAcCIcmYo7guUk73+u1FsP9
Z2QcT1YVjXC3xmUTEoPKJsNxZhp8Rjss3H647FEfaj0ZyRUZaljnQF0RdK+Ntynw/hdnqoPIkDiM
ULUC/RYsHVBB3UnSEp3oQCMn4Fg349wcD5FSTnASFTtG56RiE9b9r2cp/csw+25tSAohsfwCzZ6X
yNitaK1heQnwza3bX2DTbcu+ruXA3ohaf70Naa7+lR/ki0DTpxTkAwZIp5T7LX+QVrxCsnswx/jD
+aZwkOfDKxfxr3qyMTHZGvaxZF1clQfoZe85m75VWEQO36krEgXxnayw6HQ4q2MRCNgs2q08GYgU
gtlDhq7RKX7bkUyeUIS8iVvvltge44keWLFnbMLrjOMPlp1uMbOraFjkbw32KMAwwGNzMhL71nI3
svNf4oAOmEKj/23pqFMuddB+n5b3yWvUPHtt52Q9DZdiZjrbhx0W1NGKJ/TRII0QgVanyA6iNvxM
eRvngtMW0zcMD7X8w2A/XRrAImrEWO9g1T68Yx/7xIRfeMUrleb8U8euD7kZ0O4L86/qPLhDVaWA
tVJ6zPSR4PaqYuz2VsDHp+Z5f9rAbGcMOYenySMGC/4ggThsMGb20MF9H4XVbz3Zn+NZGZgEf43s
hFJYgsRhbRUhlHv/iRG4gAS+KnSjVVQ+GLH/3U8I3c5kqknCeJWT6Vo98NlUAqdqwBW8+J2yChEE
OVnEl1mnry8cCPPEMVTSwoydgRZB5AdgAU1iiuFMqdPEA0xG+aK2/g4/NMu+sfa/k+wJ2Oz8OUmr
UrPsO+YFN+RSSUSa4r+CNFD3gqU6ad3GQGGm3PTzyN4Xw3dG3IQtwKhBPyHzOwJ4kP4RmPABLQCf
mctBg+6L3b8XaQIniJMDvIW2P8V+Og2dRWk27ArBW7kppfBZFKb+//maOHugGfdpobZcDtCKm86B
PaNuCT0Dfb/vAl+prwKp6M/KnuyqPW+cRqiNDX7PLUVqnyTTsIYYvvvNmuWWj5RvgYi2csgcKcqs
Jjq2uemdnPcwG4qmGa9XC6iOzVO34k9nebCniKm86okZQbYZfEm5S4Oi7cj303zfJ54FA6bZyY9z
SLCZrYombuXJ0V5JoBBktpsqxEvLgp8b94kaguwnGtKfBOfxX8GNfIGW6zs0092rfXInoGQBKpwV
ptnFle8SslXA3Pftjh0McoJzzS215jDNaBibAq7FO/C//5zbPFTpGAUCpzjuykF7aBVWiFhIukrO
R/evyrR6Hra037dBkhI3Dsur3817PXkKbPGbSvPaRNS4HMDE196MvfUsW5mpl8PmunzIdQA12e/J
IIOYCunTMpQgto+FKtcYQQFgtNptK8SiMYziX11lcnA/sCPXxATavz3ZUmYYGiSFL0LO4bM4bVIc
4uUfilmBVLzawu3MPSC7glL8DUDHpjIUSw4ltPZcJM7XI0i4o4FIBzq0ucUh1iUkfh48HNaYSXFO
9Y0pZDSXus8oYQdaY7jT2vriBTcmsk7ziTOxgiwDpVM8oUCmcPyj+EJaN1yV/+ARlmBV/0wBdW4l
1Saq8z3bN6PH4n9oEOq/evRGg61kSLqphgC0+9jBPSMTJqqxSZTMeECrRz9lHnL+bXxi5XvUAppj
ApVgMKaNhvn4Ox4fEIXLZvipO4GmdekWRe6pyJVNbAFRIsZvNIGWn9vhrEj6isuPvkMfsXIOXHl3
RVWgiphzTqSbaVPVqWsQ57a2yiyrHpczeBTqKFSO3eVOaJKGO6nlNmfxEcRTjEvEHuAZPMwG5xyb
/O4t8vb6u4vbePbKdjbEwc/HBymfolTj2+IEJZ3ZiKdCMzqgxlmw9kf4FOYPbAFy+3/aTtOO0LSx
3NHBn7ML9N9ZBnoBriVc7N8i6XRsjXg93JIiE8bwQwdolwB9Y8H+o638iASEfJRl8LIuZodQ+Xur
S9BptBu5FkurFh61ph9lXJqb8EjHVpeOYfqLluAnS087v4JiNK5AkNLscLJZ71+79H5r0Zu6+Tux
SQ//ian7WTrJDSUUfHpF5FlaDECZpuWppqfG/BnZ6Z58m64C/zbpoJLYq9OErZRrQ+jKZLirEkE/
7qy0HFtHQxF4q+H9s2cGia4+PWMTMmCp3oZiLqSlcZyFrkoXbKo0eid2gLZLc3seO4VUtUaWgBR/
F02yxdRki5bs/5re7I83Xipi+zKY0gclJudrjLCkIN+oZLcQW9XP0o+Z+flHZdKU3iRp//ALp9rr
jTB0otqguVxBli6zbwtaSUBR5YSUp2s6OmNTAEHseO7lWlbigsVLiAvEC6yAj0M1shO1XxWLEQV4
RBQtz8ZkF6eR/d9gjZrFczTfvACs8QnHOe6//rXK9ND5JaFIS29E5tLhMqqv9ySRWY8IWeBZGqKp
wHSHbs+NThQpYrjjrKkSXjQBYt7d6GHQHdMnehQK7St4jvz3Oz8yB9JfHtEkrOhCFLZuztQzVGI/
jvZ7ZL1LubLcSXPeLixrtA9KqIcdwSKYcB4B2iyqVwof3RwJj60/sbJqIeBugUeSCACqtbak8fIh
sMxjuOTJMAR2BYfgtXX4ywGHLUdICxYtl7C0e1+ymVy8r52SaZtmQYsj2aaJaMzDIsLg7+cpoE7+
im5qykc0T2TFqrUWgUmH2k6iN4zlTzbDlS1LTpajSMfht2TTyTSWLvfIbwiGYOSefLBlw03d8rtU
NL4scnt4lSOVPhBeMMlTGMyHEqhc7daTqGwvknj/q27txA/s2fTfZWzIW6plmk7OMCHFVArEbzT6
T3Yhrd075Atd2OUodlACKkptVHi6cR6GvpT5gn15+hO9DwQUhuR64DNx+XgVRV6mzJpNGsRftyPt
P1ROs4mSYkXemHQD08QdXCT+hannAFM8qKEs9xj9u7Wd5T4Ud6m6meAaO2VA3nFC2NEU74b01sl5
kP4bsGEXoqYvlvZQYFr9EaHJikwS1bAMswkBJlXa3G1RDxwD7CyOAW7uz549JJo7Fs3G4Qpt1S5r
yo65hByAvdiA+jZZ305Vd+LoLcCP0hIEqwtiLY79OP/9UJI1UHzosqz7WFuHzkspSMjJFCkI6a52
AcUOSnMJvj2pkeaEH6+LVTEX2jmwKt5iHZ2RZ/Na6/nZnipTFnC9RBm31rtXFqbWJGEP5zf3CzMP
b8DvnrqOh9UdEdkpLfgJYkOv883j32ewgbx1i45joWTVzw0FFFnCTDVxjnVJ7sn0FdhW/Ns1tm4C
qrb/nhaHxA4fGlB7VOn5PE/HtZ+GM1Sct03vfvdrrSJ60jFeNhiTS/5RzaayvySxChf2RM/TJ6Mr
u6F7hvW28RhIrU4foZV/FdHcAiYnCJS4ac6BTFLwEew4zcyzy9r8DE0cxrksUlzfc6f4AMgy9grv
Dxa4yvWIHf1RDJPxAguDex5KtKCJF3OFVKxlkOhvxwwXxpulNGEoDhqDZnFxXy6YWma3LAHOtme0
rf2P/GOwApLLUhYLKZFWLVL6ycE4de9rGzsq69E4AcVXzai8YHvcwrNREG6kart7dLAN0Rj6BJzI
W5unQrbiwhHl4Ur2nrAptsX0hMRyzLBjoe6ZOBPYcW3eaz19pQgyq/Id+b1/HKZirxr+CMbSA4xw
iSBvirzQdZA8fe6UGCDF8eiCV5stvEpxvEuEmJWT09dHzeM4+eNxQhKCqWjF35uA4By/YWaD7PaU
mTWG+YQYZAw0OsXYOI3rsHeaO/9eTBUy9DhhGBmKPYgCF6NR1+b1Uw5F9/JoJSen8Wf0umM+IH1m
Qa7Hqx57BrO7zqBUCADsvqTWe93LYLmVFYh5IMD+we8f7oxgfWyfxiMhIpsS49eU6oGcsnDAWNPo
FXW3cRDOXkg06eDAOlC6yw/GW13h7diCg5/yegOxeemFii+uCB5ypJlA488q6ieLdrkkoTMHNV/q
4uzg/6yMcv/rdYUd3OawiT50Vy02pPmbMzYjU+I/qpkLKxkihXfQJVyKpYSvnSGNJi4owZBRQYSU
q1sCbTGB5PNPYk4P/VG376CmkqWb2SBfoZeRQ/J95utXtI2UV+z4L9fkkkBLLjYNdKPhJVBOUvBP
f7YbyU7PLl+uxQakcLnTyyFS1noM2Vbg36zpdChtR10ee5mP37tEn57FGZgMXFfD7l+TsXXJSXL8
LDtGtYXlK06rZGCwyjW7IOyEhFiZgphhT3pcnPjcllkoMHrX75nTk9//NFx86tqlRoENaAY0UqYT
LajsQc+SDGlMBhk3o0mzryNgORPvlFKsVwj4hsGL0D217P3oWfSawHF7kuTkkIvsLd2fzcwoq43U
NpTXj9ESR8W9oyMYhu5KsVhmWE1RjWmOKXJux3sh6Q3k4mTz9wfNpFm5mpEIUyfGVIfTO4IYtvBm
hgU+LfZTpWoPZhFhmwVPdyCx7Q/gZ2EJSWzPGc3KQ9mFfQBL1CSIJYt8p0WUokS5F45hDoDQdG1o
KzRleMX/l557En2D/S0pEvOHwYO8QjxlSWA6GRMtnwr/yCJk+VoKZH3KjbiboJ17TQHJfCBjSSw+
CyZBfW6egODKjjf55QfVBoyGGsdDWFNvm115lCgbBkwZEgD7P+mi1gjC96+3RM2csQagSVaMNCkk
RnLTHTQV3ANECvB0uPmEUSiQgQd7MeP89anjKtXBhOKNejRBfqgiZajbOyqt3uYVVJLQdDvHMffc
G1rjbQ8AekwcECOHthqx7XIDcCqMkntLMpENfzvEdldKmNymYwwNG8TWTqRTh+t/EaW7b0Q735US
uihX0KtBxoSVWoRxJHLuA2q3WcqLyvujJ4lJxxRarmWq6BnrnqAV1BQUUY83HO0CNPY/V2bhYvhx
HcqgJPxukEn/nwvpX7FdZz2EfNJp61WggkRkYyUqFqJcosvNSJVrVuvGo/zDhFvvgXvRRvrg7bNE
cwnsmieMyVCWVLJlxnHrfTSSkDGJGKui3RlTAbzqP7pHjRyGHW7RvZdpQAfnWGpNslYrUqMJvEsk
Ctw/swrOKAhTOki6ffHXjZYpeASOW+Zx2tTD7xSpeqg5R8iDh60Lxqf/ySBQCztqyobo139YsxEH
SbYtyM5sGhT3pm65AXBdkO8Y7oJ2ks1/+TesFz9oxgqMK3r841x0krYf1nhjQLxeh+WgRMRGp583
QSpjEuyN1u4G2lxd/glratVz8j0wKm/dH7oQiuCkPS/kEH1HpRvuJF0dfl2Q5uxapbxRvmTSdIiX
mBa2nFXkWQ616pvcIFRWKyCpc66G5UgRyc4aa15UhSAsZPD7o6ejvNFJ58TS6f/v1TNqEJa1KPQn
qWY9bZGAdkSOZktjvrK58cqLmN+yOh1O327lhpaQ3zd//Vuj5X7Jv+TwlnkZkptI8MuIFtEVbqdb
MGf2dk7l5I9JyDrQ+Kj/LQYpCX9xjj+7J6gBnSPcJk7yTLaf5tjiRkdpuw6t9fPc6O/32BblDfqW
R5hvRnNSLDIxUfOzxY79aU6bevSmd819MKTKy/oWdHHuiLsLS+OqcqTB41hrushpvW3zGxq/SwY9
VvPGOkZxPq3//1rkfb9ejMXSChUU2T9vYfz/H+sV0S5U5k+S8+KD3PkmsSMlBJf8H4YO6gCwuZ52
51rhW6vvWjumHilM42oAhFh8LKGqZgCzBCHHPiQ/rNbStXKP7K9zGZa9d9OlT3Nn3Y78nc7xRkoJ
bCvOdbKudTzhiRVf4eFk5fr+ISroegLeOy7FgwKyPJzeolALnHht5IJLYqUCgYfGsqNVuRLJN9NF
eqTwcgGkoH6t34xg9NlZirYyQj0BlB0LB0ANWeHqrABtFW9sCepxaIHz0ImZFAVHmzVslBZwCo0A
2MPR2Ga6qnIZ1ZCWUdPRtvyO/brEQwfKFvq7PgGlJ+Mbwj2gDvuMGz+XY7Iu3pvV2yu4kwjVNd2D
OWA5ulDGrsOjZhDqedyR20QiMq2V4OMXhDJUNHUm6bs9vuHHMT6c+iKt+X1Qysgvg35n0PjH33Ye
FsJWfL6iTQb1hrBdFpZ28yZgQUvBON0lbADGAURCnSa5MywSDrMWYvo2X8FaVbM5Wh70zRlvQmuK
YFq2dHzX7FvnWTo8AQWjyf0id27BAlIkujcmUoz2apbfjZDx8f4i36SLpUGBglXBxoua8pinFG/B
HcWxy4R3ChujcIFjRWUY6OzNUm9ATgetz81pkR9QCPfMpIrb1ywue4tRS4yvlY+EadXRWTKZxwJ+
00EGfQeobKnErvNT9451/zjf7zVFuk73blZAfKIxlogPKvt9rt7dx5+ocacwTvzZjQ+JNTHITJXV
iWuztwwYSBKtyVn23S11uPZHT+YwnqcTDW5u07uD0XAqwROqfAX/dDNM4dFvyNlbdr2Kmyd1Btb+
YnJCdPldHQNmZreOU6sg0CsSN7LUP2unhh5Q+mZPACFOfmEXR1z6Jku6JsRR+5zs6eKPxhe3lAvl
8vx0/cPcNkun8+QZAJ38OeVVxgTWV0Wvwas6hE88RuKmABT0MCJFuZ3mDj0H/VoMFMe9pt/hCN7M
POnFTnDBjq2t+mew9UdPZ9dGa2bCFXXzFAd/fQNxNOr6msvU1g4bRMXIsO99mtoBQSJRh7bRF35g
NkLDyjdKrHKz+PdwzHEoZyMJYPcXAKmEKBh3n5LbDbVn4TlA+Y4jVgUiXP1rNqpth4eAxzomKPXq
L6PD0J59ioKT+k6AiClMX3JOIraqxQ8ptsspLhTrc32CanQHuuXG4cSiF2t5kLZowlSpxquBp4ww
wHla4GeIAY0KCd5kCMW+RrtZGR0qz0EL3r7S3Xgm/mwQeTXT+WkErvndzXoiALefHFaMLwfUzGGc
E+kwLNkLpyQbdmZBeuClz4B+ROY/+XLklI0QAviRWcoS67ujCOu/MbKrYi5GTyrlIfbZEoKBDg7D
hgOxZ2AuiWITZSwiTIOUoI0u2AaaWOfvU34Ia5yvagLvfjIy7rhxIt1IKP6dea17FavytBRE5Oht
oWEQWj/Cdwbh4WYyBaalpI6RkIk/gck81K7nJXnWubVdJk0T6W2uj5qbRGkRVPbhmgNrfNuk4Y/m
TdIH/pKv8Dmh70G+zzj6OFW6lmAHbhRnmgUJk6ZQuPcJGEUiQl+8zXS0RNckH98gx9joTVFiEH2D
A2V5Jfczm/B9xNiJ3Y1wRxeaLNm3H3x/uwjDVpNtHBXM+MaVXJ0yAZxMw1ZaBsvi9skJTI2Bx4kE
FbSJWRYygPHDUt6MlT7besgqz0I1buRduSTE23PguSlZLn9vqjQ6n0hqA8oQ0DnsWefBUm3Ha+95
66PF6uucji34F5+AZL9fVjoE+chu/8qJIlCFy3aXreA//a5+C/tFnHEQVgyDARTZGp4s4b/iLPA2
jSAmR8FJzyaCcLK7xoy+B8YeAgxycAFidCtZShfDANZTuUeFWsCUiBPzwdaAkMFKWCBP6JADNjsZ
YXt/TB09BWlu8wnaMtskvr0aOmIC8jOh86lcpbsTf3L2zGi2xHpQhD5W79AWPaaoElOe4wXHccYi
z8B7crPz6l2dJ4jm15gLFkFLS/H5+vkqxkQbrXs9gYiJ6C6SonAAJLSd1eCwnOjZDhAHiVEUXucb
LSfMoX0MoxT1MzmadfTlbvfb4g88F9IWHGXrlE/HwJIawTf72Nk2uMEPCJLYl6zLXijfqSXh2iA4
b3/kXodJb/vjbeKqz+aQll6M/RvdaH6iD6P4afTZ4BGlKsYXqGnyCMlM/q440vJLRDofPIA+wt2r
aMcljb2gYRsR2q6Bgm0h/yyIiKh77gFqEHwSBbTk+mDWI4buBzarwbujUtbCLZnITK8zttkOnSfP
53yFd0cTVP3+e4C/GCm5JfUGgu8YX3VOLEGoFKpG20Fg6FfOqvjDbSuTVpfq9w9+tYaTKRvjiwbQ
vSFdJKze6zgsxM9VK4ntGah9iGPgjv6LuXSxtGcP432GovqHbbXEXKZZQqAKNuBAPa5PCb8OIboC
rOs8XYo4xBNQYIaUAIXl3llvfcstgAxhc+x3NQKtEmL+ZFNAgvR04I1IEWB3E9gQ/Kt5tkC3qcZK
GAsBi2EdK1uuEz6IpXydHD3HDNRTwWTdqKSlqZK1vD7PsS7tjh8/SLXOE8mNaDEd5fscYgevfISC
HOMWht9q4btGZJlc4YcOsxEMa4EGBJMouV/08M+I7A6t/vfRiHgdeq/0oPa7bM7xzo6iqLCimzXu
l9X+jHAOCMKifUiHud/3B57T6aKMkIsKRj4uz9xoCg09pmbwH3/2lXXmc2nFL+NZh8dkmN9LOUYp
0qjmfQAnKOlVOHUDJlOVVgTpv52pzxwhrn9AUpx+76Y+v5rEhlI9zQhQcMsg0d8i82X3Qr+kP9Xm
/aGEkoj4T4rp2znEEeEUXr74OLCAOvbPH88ThFRPzYWCY2OjPPEWLlsBe6aTWBazt2XbwK0GBXBd
jCMFROj1MpMCJhimCNww4eOU47ewBx4V0QOJhVU1tekFxFphayX9PGoWE57bEWEMYNX+S0gquoPi
y1h0xii4JLB9iVl1vBaWklL2TVDgrQA39DfAQnni5LtnsG+G2+wffD8o4+5TsWuGQj8NGwq9Ltmq
7ZSkpZpPa40QGPaYs3tWtppIiDMfxNrEE9A9Ash0fwyd9eaHSIntpnnXCmWHuEpN1H67LZyUIR/n
4VtM7SWWqsdpbi4ysxLlpmlKVsCT8BmX/62imEEvpRMFa1T4P5CDWKRw0kn8igMwAiYivBjvymIR
R1D4FMl6JE2e1i2QQygJefNP3Msp7V8TtirdB7FcA8TL8Lquy9OSDm6rdZRiSedmF9Co4NXjrR+I
X7Zgto653HY3KsVsjFdqkOLtMK15qULyyGteVbTpAzUGjq8Ejju55gSL3KJ9MieGobn0tjdSFk+j
Y5pgI8wXN3gvlB3zzoMAU4NbEoFM4OcKAKgjJfbMLWSb0hXB31jO5HT2wRKOZzO6JWNh9tNDNTHX
jzucvZBJs3CJHtC64DQ5bihssSCtWqJP7mfFvakRZDv1zOxrA+fZitoDHrbEH6d63tXArdxJMOPk
8bC9k0OOFr90E8cHbAC1zcKIkY+2EI6TH9LsP3c2L0nTKqyeRs/GgZaZ/3YU8qdu/jPL4crkS+kO
G849xoPIq1d3jePaH+WYk91+t764WXUxQ18PuqB0MndISUvD0Zu8yPqyvbD60kpSLeYkqFK+3igO
MP93VuVFRXSckB5Cph0riR5kwQFHe2U3BdIlDpNk/W2bwwZXIjHNwhf9lb/i4l8EbnVCyzTboFQG
a7I1jPeZoUvRogQ+yTrs7oQBQmXWe/g+JO7LivTo/aPAgTdBV+IrJBU/GS/8Wea3nmsSC8TSiVoK
n6sX9d6x4qvoWtvgj9ghqB5BtiWsLfRy/NgbfEZrfuRGXMRp7McvSTsnc0JiUxRbvqHIn7FRYXZj
PKDKrrtFDBqKZzEWlZXRJ9P0nSnbrmrzzAZqV7z7yZrZlxJWZwk8mTEYzNSYZIvmyZZx3zSoqCgv
C27wRQW7yMPpB0KH+k3z8EWr3mN3RDtFvrLFyKrRN3vSloZG9p2uaRcOAoYiZgoMoFSvroNNc5mM
cZe9uQKY+iOiITj5HtyFp/JJ1eWnaYqPDFOIHPsEMIuP/K8u+fh+3ypMt93sqPPq2y8yCzIdoCz4
HxeNM+5TsqxegFGFodO/PJxD5igM+VjWd3oDDKNxMR92QoR44ZhnLgne/zACM4htwg2pnJvCexqh
Mrmuo1brG6ozaGGzuObLAlmZ+q3Ky7EJOJ4MS4SEkNZtSFlkYAhyBd+Eqe2jJE1U1fjGZGUc6Bq1
6T5EZWJeZQgtW6K+yYL/h0jjGwv1sqZtZCsY7sijuxKpJpeBmpEDezv9Wi/q0lxa2NwVjhdE5hL5
nG4mhbmUJmKu717D1Zc2p8ifZ9nVRk8aotWh/rGYWyiTxY17rL+3PF87sb4Dahqrqcijqsw1j/eb
Evw9GMmusilHCb2uU/apEg8EofJUqQ3YCOTJHE4r/YFhd3RPDZZtKzgH2n0iP3fbN4+R1/BBt0cc
MDoyQshtW4BB0t5XCSKYIagBcqL05K6R940BrcVpC/JvgjG9H1wGsbAPGOfQQbHoV6QZu1pFI55B
P0o8ePGjI0RHJZLSUYxSsI3jDk5ixVn0jk6H/t66qcpTe0CF5AVRGDw7II91Iuc5pp8f8AIckTvh
jwepJwBHwEp3ETmimZ9I045ZeTI7nEmJThzlHH9ybiDJRYZZZeXhBe3NudkVvXv2mmtX5XpC0tTn
0KHUHbSl2dY82qkckQKgwKdiKsLW5042Z8aBJ/wVT4FqiJCzPFwIGp+IF2016QHkPp7EfQ2+Ish2
J28vmcrLjlJ4gYO3DhTlv0G7eiTB4fGbqzYH/9NjrX+S5ynGzBr5hykoEScqnO4Z1sN2NpVdFTmX
krX6mAj6FjSl3fHUl+6koKj/GkLyXhfAzCbolfWMpBh9n2CdVfAPmgm05Q9sX9038OA8gBKLrkKv
S/EqACuwoPBKd+tPJmE8SMXoQMAaJhgcYhZ1e5OEFLdDLYroWwPYKncbZ08K5HxzS3baaBq9Efrl
SVS561Qef1s0E5j/5c9eLYHw4H+iP4tbPWt2mrc7FM/vClBEHH1m3qhsdlK4+k0Sq6a5wdlfWIPU
KgKVZEjxx7hXFwtILQH6LwDzVuTvq2yzcBHn9j1lGgi8afjR5BU6FpaovYhwjPpI0l5zM0/v1xT8
GcDZzSAriVsy6be06q5/KRdwBLRU2lVYREX/TIsRykl0fipBEJ+D8XMT/e8/i90gZxrFTif2vFFC
sFBYboHFZKgJR5J4QBt5Uyt8BQWrLTtfVnwiRrYJC7lCEGJYCG7u1WuphlIB0md+vQxaJ57CqLot
cW++w0zKYu90jcjPY3cHxbR73j55ugbny3NDNWPALjevGRmoQyjdc15HUhCnuS+1LVCtCQjQsqbB
TlaSOm6OrWoDboRXiE7+I6JQKbz/DcXAu016sYAsAhg/+N8DVmhKBWAG0fvQh0ND1Sm4tspTMsQk
DltAA+G1CZuVIC7FkfcUbEfhH6Ocdb0OdGQNnllmdp2YqSunZv9AfNDhac18tYgtQCV1Oow4PIuU
P77krbaP5Lh6DbYgW1kFNwYd9FPM/bE/pqN5/WarUQiT2WLUIUfktUfVoxm07nT6MmpRnWh/9D9z
1PFtlj+03CQCB8UZBlNIDFyHBlFAHqWhHclDBazH6njKdc+mYLtzz0DZotYcJWkzNophCJKJ/uDF
E6MQyghfrileEtho1gQjEED5AzJg6LOo05l95fqtH3PJ0WPoi4SDIxAnUZmW0H8OIDP0Hgy0D/jk
/mJyTtupPcO6KEPVzdYdmIpp9vVBfx8Wsxt3AlKeX4bakZMjcDdvBmB1rGncuSN60p0UGO/OB/ys
Em3NbEifu7XjGUxgjONbIQls+cDbrwS5yCaCCDidTdssGgeqpe94j5hFha8K91pyonTlUTwA/K9F
QizZlqjM4MwrNpxzjPQ7LT1a9WEltpq+QbuqzJfIxpK954XAAiLKZZ90iicMsr58OCjhTZ01uNP+
UY7EKejyS1XfEFvBo1ParQkAvHVYaHyntl0mvR/86uMqW557nj/VVWjBWftpmNML2x1o/4KtZw4T
hItDSq8gD1jqgafnxMwghw/4ENA+SvWFNhyc+YhMwQYOkPX5jgJBe43hkDq1OjllPS8EjCvnl5AO
4MFCFMY3Gm8Ifo8gk5die8hm8ftD8FMFCftUpRJB8He0lPnGqYhFuo4HEIHNCF1djFPq/eO+t9me
adTrXCV1p7MJxrgzFSli0pz56JB2Zys72jRjwi2Hwbk234WgBFiJK1vnvMj//WTAdnCCMyQjuLF2
OMpV5ZDi/X6ngpV42V9xZforGuXtu72FJbXGDLyPsrBwfifE3RKKD+R72hMwUatS1Nim16ZSX6Tr
5JDLPZxwIcZvYmKzEPDmcbg8J8Hragwwh8y9ygYe7sDbX8RHS091+xzgIR8PmyrUHPoVUxvp/qyg
mRHRC/+G8PJYcdDVGORGcsWHIfrsVGVJ4y/JSPBbTSKfdc6Wqv469OhkuKTi5rAGLR+bp/Ss1prU
53cjGAsykMwoX0iVegRdBFpr+jEzvmgkhV4RwEj0Ce+KqEXKlUeU1mhOP73AD2YPvoWoun6LoA79
qjWVQfJ/IhFeJksJoWZ4KLDTIGPH0gtlwXY0wP0ZerPaIbdGN5m+aQ1xqsUlE/7Igdhj4k32uts9
6bMwfKSfADhl6VJm6+KeJH9QB+wQmUC75QKUxxaRIyC6BxiGTOqN1FsleM5SRc4HrRlZBv0mkhaA
+HPcI+inFIyD7rvE133PxNVET7vxAcJCvJer0zw3+GNzplz5jIZtPW83ANTA/9B1oMtL5MOyTL5t
mhPFR3GIQ+L5I/v7MBy/p9J5TeDSJagfe8HvkAFL09HoDI+nrGvwFxslKC1B3A7+O/hdZzjSNRwy
dmNXXJutrozOGrFHxklQMYOTTb2Wm6kDcRyjDeUwR879tCIUE7X+PI05r4we2cLXYU3v8EYEUb1b
qv29lG9YokxJvn6rjs2vKDsQLFb83M3lqUBmaRriaC4CgS7W4SeULJEjNciZNajaRt9k5+ftdpic
Zn/2cNt8tSSAE3myjiFIc7lzLQt99seTOZ5F3AkpxKfm/fTlbWizwrESeSgGWbEvPWB0Fnjr024a
eHf0H4/G9IjgToqaeJMFeSbc4KAUgmaIyvuI3xMwM1yDXo2h1kWl5HP5feNLSMtHN9nvI9F7pZdW
mrYzsVPgoi91bsaNGYjmXqQSayiE/5w4n4tZc66N7PCZWyT88wteeRksgBAsIYPZeZM6xLZYdMQg
EpYVaHUz5A3xJ3psL9j0apKZNq0DjMlDcWupE74zX3K1gqJjuHs8WcUqTVHOORLJKgNRWgfdxKLO
yJT/O6Pe5Ze7kvxeilU23AgzXyoF6Q7XSrZfEA6ZFzldXMgCv2ZJhdb7jZsBrh+o1680DqGnvA3T
WgrKczb58rue8Mqj508Ew1+V/IBFEikMj4DNDrxiaftOytxs9dncdbNcuibo+MdAImw6Bijjoikm
jmbd99NfMHBwveS4FJOm0+e12IMqcWF8KKWpC+2xtwLvk5akwX2WRa2N5nWw3CjQjPx7yuDc94B+
VrKZClCKNnNM1T1BfxJiYbzauJVv3gTmJQHA7w3rx6PidFJxIh7sT78v6VQilfT93R0AstVmIQAM
XYKVuSr6yYbsuVaMY/IPfITfVx14NOBMjkcqWEKPzsiQYNeqqcNLN2oyXnt4I0G3BnRg0YR2QpVP
8HMq3XzrR3CsOUBXunN9HOOyUp76cnDn49+ShJah5TMfPO4tuuwM6NFr/czl3mENZAfUrmhBbDJC
S0ibckC01ce1IzdF/jnX3yVxv3CUciZXpubiJCqrwfiaRyBIv6PaAe3mVo3BWW38Dj5Nm4n3rizk
eYeP7b1E3EKcJYAMwTtQD0NJXiXxKgUYb5uSJdloJekKJnA5FLTAKZl4jFW5BgJ3Xn8e/mT8Uj/z
6HKcLKWSJrIV9GmayZsmhrFW1G2ol5hkQ734DUigC/EsDNI3LUdqdFzM74Hm2qqpFrcq0z07+TVb
J3hKyM07xy/81CoJm83/VVNij5HDVZDYivTrp4isLMNIohPOXISrZZ8o0hZwOSKatmDWIy2er6es
uZBHMmfBqRO7H83e6AbxEMOP2d3o5DIgK1cNCs/nyqRvl79v61QM7b6Pb3A4Emz9FA8QGdDb3ioI
Y2DPLRvFYERf25pkcEH7HxTJ3284MFlSC2D11FkMVirPcJuwWvkYLshRjqox2Ta9W53G8o/2juNF
sDEMIzESPIUJ0kcJ7XhMcU72wu9TuZ7ZMa9P5VUbD/YOlbsQveXRIOjdGDk//FYJsRB9zP/VZTXS
ENcaAD+M0EUkPDvJbTvlgHRgfb1wEQNXLsZ/TRr/bJIiEkic4uJcfCZfWiQmKveF7rqmDwu0Iu6v
gNfN07DokJzswYKKcoZZbNJZyyklvBVxd5UmVmQb/NhooGZsOI/Blw/E/7wWkQYwFc70mifxbpoV
oKca5D9Bf8Ejc5Hunq9ptbVXbiKstwOUpvuse8G7S4zQSI4BASJHaOgjwst+NnOJrL0HC4kGcIHc
nVgrochelnODqjqNC8dCb52zs3+BlS1KGsiRsuz8r4/DPlzRxwc5hJz403zTR5FWFV+4L3EQFDYy
ZKOUV6l2WkBlCI7U3rciUc5XHjCrQUX7+l3Lffif7mFFWCWIRvRlOKJtdgFvAX+/vHiXAr4LtnPz
ZC4KO7AIfClbxRtGBkW7HKA8G0xT9rbyCSBVSK43FmR+J7FPEKVynkvHaZmzmruCOA0xq1Nkugow
uzt/SQM58eQe/OnxCImQAAVvkx37aDgOYyie0I3pbQ+8si3CO70J1SskQOIdhky9lAkXyjnWjEH6
f4qXfMqtv94JbK65E2Cymjo89npeul0XA64jlSFj6YdeIuvJxWyPVwFTTM4MyeW+awSVOyqLXqfT
sVLYa70ILS6H5TWjlWoRJ882jpkzEIO1TEIYXEC6574I+rjBFfqMT+pO8ghP+OCJwD9llueBi9/w
G5IieUngF4E/I8xTlYvhcFhZCRMYGlAXjR/XsDakPRX9HD7q5SQ+JmNfk8uZmcJvduXg7Nu+p6eL
GKbOAiUnksTfvml8UiKkq7rowHW2vjeslpGYoAYdIdC6mk9uV463xIH1oO9PUUCivgDm3NfKRqzH
0EBt7q1r7EhqaZqjKUtCusO/gvsICPcu6wXfQbjicJ0f0dRERcgqYzDg4/nSIY0Wz4DnY6DRSSdv
2lIMJboojNM40DUMTdS7ZeXyPkufZKdVz6Qjt8/pS/qeIFrFgh7SGwBpInZEG3tqq2DPJTBr5uct
mFMHU2axxhelC4ZYFgldCfCujnsrA03DzD4JRv+85d0EzdulMa+PLZX4sn/hgfte6w87QkQaz0Vc
JDNnfdiIG/eQIQOOEDtYnIX/ZcztXLh3CU4aN/zCzeNRBEeyth0vnUDWK8uXRdori0LLXcRg3H3p
J0rkCTSTqpjOHdo+ulgyNrFrgKkW7ds6wcAc2lnmzxGNjrsOotkW0f5e7Zb4XPxZKNoMZPTLMjC1
ZhBWlVtaT6PwSfb7yMbuLp1+LDjvpMaEiTItaiTyA3LAerUQmVRFX0zk+DWi3MCCKyKQhofEJRCl
vSQfrXVQNckVLDuA5gM2dqmUwBDWzlmvz6fV6n+7jFe2G3SD0Vc4+09VNlhV7L81r0bGtH6f+x0v
nX+ModFrhzlDwrzHabBvrTboZ4L9X6re2oGmvGfcV1a3aWxBLQfsCeNTDB/ne70DXUTMUY+7kE5+
MRx6xFJ80T95xZd+R/MhIIRGaumHkl5WtobNm049zfT3+M92Dx1K4A+Ce2M+81miYUl51jO3l7JX
n/nq71JogcgUFv9NDS5qe7qXRyjQ6FZGDWGQDkDxzKAO+Q8hOTMIyPuETRoYPC4VLgoA1/k2ArVq
sH6/YFOEU2zdXhKdOwPAy5CMbgmHZ3qsPVsHOcATOHpu5FsdxBnvfsiGeHiF2pJ+S1SerMjdFiHG
Ia6yrueMWxsUU+pAJK5sQfZ8AJffOk5L+ukAUbOtPYkpRQpKLdiiKrt9kswTmbUlA5Di2UfHpQ/o
iIQFyTa7QKgRNuQpLxTf/x5H7YuIpttDUcoSuWmHPACnDOvdleYrVzat5urkbeGJJzUaIrj+0R/k
ypStVnN9s3JsxUOJO03xVjNyGI4bnwqjFD0TrEGcoJqpIzYAhs5Y59h7LRh0UP3q5V7s4ftQdFQO
Hhe+8RvJI5IOlielmA6A+xK5boJNXtiDoI77eIN+Mf0T74akKMo1abbSHPhglPCXZk27vde8pSpe
ZmnlyM1n+KpNKYB9oqU5QGem6qpsb6QP/skJvH7o95NdIrk8giXLBQhgtNvSMkR8jw/mJ+AbhPHJ
ugpS+5t5nf48b+zc0nZ90zhUIR0x3ZER+8TAeDuMH6N/CWyNN+Cc9hKHuHGQkk5G1eaawLwbrgPU
HoVPYd8JPpqOzOJg3ISSH+X9cpK1Oh7UPS0cmUHrcky8vcGCW4rq0Bx/NZr3tikeu8fKxk6TCHfb
Zr7DAL+RVaaW14ku93gsbANXMTlHK/KifFxnTc3MIk4A8oQNODNy/KiYr5qk3IesUE/gOBzNpxuj
p0b/f2kB99raF25eKPEkhk9EytJXDxI36y8er/1CNOqoxtrgzDp05N2r8xENiiQ1KJ5k7UxO+IA6
Kd6170wpC9Ilikvgu7WwaIZtbkb8l37i5leJlt7x4EMTgaP5cdSTmBHYyfWT9MkwSp1Zc0zusrGM
mjEagZoifI8kfIzgEKMokmDeY1mac/RckrPGZ7APZ0iKr7jL0CGNbB+NgdtTFvRnfoN4bsji3JXo
xQgLH/tzB/TbttEj21Ox24mczKP5q8KaDD24+Ej8Hbi9VIK38Yb3pTvb9R+BIRTVEJMB7HlEov1h
Zr2cpz/yRRkt+DLsvW7XFTzpAjGKcI6Ad12wKL8mp8Msb9bwGIBQyM7JmO1IFyE8z35tyCQoe36K
49CssCjbMw//o7uOAPoeNIGfS7/cLQLE8hF9DmHaOc+aXLSmMKQZ3aO7InnQMeDXn1Y8u6ooBcie
IVIMAecqml2zYfJCZ9fUb1fx22v4EIE/lR3Gv4P8rwmiwIFwsuiY8WTCw+QdannvgST038hEZNjI
0qYVMKlX+SLF3RPT8mlj6PtCV4j5M076slvAWyouXNaK3XtZZUigKv9hBh1Jlvq7YdVRO2WnTpwU
PjfFGs/xBf8+wq+sL6Eh7l41vNUNTnjc9BDsg0SSBpjMks0ofHBUSgi9nD+bVMTOBUOSnL0D5duL
ljKQ1p2L5m4KY70iSiwzBoZ7KdU9YRWdlF8KJPpE8gXSPIWvPRQTlWsKOg4DZy50OJ74SSfW8ChR
3KF2DDrebgPuA1GNR6XJpxGVd0FPLOj2TmNVhJQ+NJgHyKKwdITMV1sN2VGYUdF4ZkWgbWVjx1xG
niE1w50SMJqV+PJqyvM+DMi69QBThC8hVLDljlKYPB/n7tW3gbcgmGvfaidoe/QhggkhFx31Zoha
+VtqRnGe1AgWH0X1qVmE5hjSK6LdqbQ3Hl3XWNcd3ZuwHJtv8Bzzp5NWlYGT1Dab/LB5NQsqzn0t
+3lLOT/HxAJUNWg/ETuEaGmt6Xp14hthI0LXaxmM78zNsHGM3gvfCKilOo4peIZBatRqsQGaRaIo
ItFuH30t8DyIjpHfiJ1amZCZnZqtaLnw2EJTb4E2zgFT2uwifievgNOluB2dA2q3xlqqMsWDLDYV
LJtmI9d1E6JQql/lheYXj1lE/DDbCpdlmemFguRgBkBOdQjYtVq0Q5+/76QT8/1o84p2194neh6u
ZIrTiPd+tg5vr0ABTU9kXtqhEPyk6ip0P1LL3+huEJxQ1LJ9cUUHl5G5n10ERfNKhaO5ZpPZZsnG
zwYMlSjtc9YG453s4uc+aPrp8og/bnk+798CgmeOVmj9kxIpg6i9PzGyBuRJvSPg+/KCKn+3CYcO
Xe9Ghy/5R68mA2tNkJbuFGDC/TsXqA2lthq2wVkOUAspXCbhden49fgQfGNScc1vSPy3s4iENdcu
q8s938Y2Z53NzeBBT1Gzk+SSr5XDaFCXlOXX25jNc5wSCkLGFp8R5VsUvjXcKRLgUx9tOnKErKAn
ABq1FW1UL02yCZkROsM9SKBrcp8y8fKa7/XY4TRzCAInHv/YC4pm96I53ooaEYRfSBBRi4pLYRfr
cu4e/iFPZfe/4vY97GKenlOOgp5YfWVYjja1wqM5PyzvCu1Tk+sJgu//VW9Dbl0ajpna5W2kT5hI
XttEmeQf8ULbTG93vc5kMHwABDEnyLJobB/Jq6eo3ibIlWQlTQCqzNq47Rxckb7BPLOv0X7A+Ezx
gzj8GKJq/qnoj0x/6dUbPewP4agM2tQ2QlQ4VV7XzGtJhGzJNhI6+3FQQi0R/BWninOHeLO1i8Uv
mHrg33Kp5erZxx2Y8ghuwxkSOqVNHLURvrzJGZqqAkpMRIyFNZakr3P7Uuvleo7IHchJXEp8D2cg
Deqm+QOgX1+AERQNvZBg1KpfD4EfU4TJsuVlsZEA3xsf0+zmVpD4pQswnWxvgB1/TYRX53Dy3Hvf
EBLAxlkq2FYDBYRNkSCfBLqEcxSJ8q/NO80s/hrxyzUsbG1uLw0EFsXwA1MA5B3c06W5+v3N+6av
p7iKo0hUrnEvQx++4iyGFyv900xqZD2XWL4jvWrZE7fgjGwpv4QSiKm+/FlX1UAExWEvAQbWR0lC
YvXMl6o2TDpOL/z+uLbeYDDDgHfws0nAiJyV+IunwQKb/6yzaheUGyszDWq3PDbqW640ClVMCulA
zDr7PykHYbWgXNJeTRAYZlqZFstGFcilVMhe90dWofMuNfRAfdqNFceYtAP3iHKJH45FKLvqu0t4
LjXdSBSYU4P3T8dhGiPhx4At7aIstadM2/eJjxe1FyG8wU41Ax/cxWSOFiD3cvG10+0Ktn2V+bCm
S2IIPM55hiYkcG0eEmWwMfx7fAmQdyyzhQcnvKbl6DfEZf+2fCBo1bEmOG/7OPyfrkYC9ZQm2sH7
r4EGUEpLoXdZzYN/djg5N/Zj5R17pDXdcUFi/ieq+O+Anq+4z13A2ExUR3CGbqFF1tfk0sbglKz2
ehDrFQCXsGqGUZUMffn5HFXTavcN4XUpnmmwRafIMqdLiAnX8ePc4q8KinHJCWU1BFHshuXzyTiZ
L2jVC2JEGB4bzkrCr1Ax5Rxiohc6L4/syVorUtNkiLr+7xF0Badp70+UqQky/nay9mPghlteh3Ja
lxg2PnqPpWHKqN+U/dOu8/7loTWEyAw2othoH6a5Kjz3D1UbCdH/zmunrQ3DdbexglFYbv911mOw
uVfMk7qw7ckoOQqQcatJskcypPdqq0XHUUJJc1ERFuRlzO7ceFhurP2W9OTnZJjijJy2gEqiAtwY
EAdw3WrPrXDXghQLSOWhHgKdszQ88xZmv8nD++fdW10sIKeDPo0qfHsnm3Hl15uGLdoCcbrVfrOo
LCQDfktu0E7dPtqMSgbCBFNR2X7Uawg2IgeR3J1bSDCjQwyUXjCDQ9+FNRjsTxengbZzTwmsIsmd
hEzdjKCRVHaJghHsdJSrf9qka1zcsWzlZ2IyvkT27y4LSRBD5zos/7TlzeU1aJvhIoATle23K3lj
Wk7TugyvDJyj3plZ0GQRuj1T+sctxo6Zbad+3f1bO6l4D7IoE9bbl0J7ALEO0JpBLH4fCAYUDkx3
Fpjwyu32I/Mz2Ml8AAOCnCIxUK3rBQ5p/tupZILqAL6Jp2BxoWDWIHohSDZluMCAUUIoYwasJmfX
+nYmcLEL57Kry0rANqjRHrlqhi3YfZiVBxLszwisdujnct4fuuilYaOvYGAtnzh7Tala2zyaBtz9
Pz+40mEiwHs8ygi46LyNUncWV4wgXUleJd22KtOylSJbgm/d0bWzCTxlzN0Uvgw8XZW8xbbTTgdm
p318Wpm3WNwRF/lnoEpbkqyINF0R0J0OwkaECy8+vmwqTFTN1p/H17bi+W0QMhiYp7wGGiXHXR6Q
w63qSFG27ZoYPERjpz8eh8VnZRstqcoBhFTwIL8kfvEVPc+h65zBQTAAaBfeOmubjpy9zcNKm1AF
5KBt4tAMsqB2CBdkKd83VFYx2bfwAGuAQK3gJr7ImpuW7rYx9clm2M41ndhuJ+PcP4tvtRfnNUvG
WftBNeKh51sbMJfZPcvWdKMX1mSQkrPvfFkbeNW638KPFffT3QBI5x6eo/ETlFUT8VRymR60zj3y
Ro2e7rnsabxXYCvYL5FVxxbYxMisTm/TRYWK3fAZQiZ2biel020v69cL1cffDnP67hYtmj6ii4SE
YDZDzVdzOrlD/F3d1Neyge3AEfvXmjgpqo5PbjI9aXKcjs0XYrZ9QYbRjqUphCv3B/zS/Z7fdrwc
jXYqgceEGpC4e52dQXNl08yjSFlBL7tNXADOawvCCjinH7hZLbsPYfNRlOAGYWYpJBj3xyz2h6Kf
a/6l9mn6OhlJJoqRwH74fVCpVwmn38J0qzIl4255grU+XxgwrvcR8H5LJMf7hDtVhyBEDaH4Dydg
8fxTWuQK1PAutKteYQZhfSIuX4R51GPS9brZzITdsKlNLUEmN+mB9pRVcwxhwQ1r1HcT+0TJ2tiP
+uNObdt2k0+TP2hI1mlB+KKJYLoUTL8y7FokAP4GMhHhAkckKa7P+VIzZ3EcoGZUr2mqwIV6DZix
DVRua5YZvWB/1ato5iyR+HgvLUCXuMT2a2So85Tkm/Cb9I+VZQOnU0dCF4oVIvEQ9UzjmS87ECF6
43vq7pBezcZP07OU+3fGNBWgZABes1HiUga3n0HgKrSe2XfL6x5J4bRojx4b24WFkVVkEJnXJb+J
3uW+83t0ZMcfhNRO9E7HzmCZs/CdOAxZBb1pDvFM1rBaW0ulfVdwsTb39lamXD8i+mOErzX0YZ17
ZUKH9Nhs7E6vn8QUvwlNkT8QOHvPJHQZqeyGlHWqfLuZUswQsqORcV34ROFWZsOZilBzVLQd6g3x
W6Q6e1HLnPW/jMYeWnigsM2bnFFu69Xein1N/3rNvt52pgpoywTCv8DKVsr8ntgNIwcOdLEiVJ38
r+wBKkyxaU4BgTpljXf6PGYz7X8OUUy9dHkxtHkQNEY3rqgXLZcKBPXu3itNpBvd8uMFoVfmCE1T
mwDwNtLIbHYMgwz8IDBMPds6a50/AQZXiKDUXecLFVveXS9lPGXWv0gWLE0rfXRRaOo7IxXZq1Ef
78vHlsO2A8V/YjOQhFo9oJdCUi2PYpAYCzaRsjzaC9jIwgyqnIMzB+zZNfWvU7vW/oGpzocDUvZ+
7uT2W6UKKnv6WHcrh9tMEgCaobCmw/lhYmbt6xVlq8BVls5JIFONMbIO3c42iXl64BOEXAM+DNAJ
wKTTv+bfgxBF3xhulnGPxt/BWTDXHkiOPPYt6/5aH4IYQ5jAkkfw2HIeaIXEQvH3TlHgWwQXSDm8
Hh1/uXQIn7vXpfzS1L+189W+EcwGbRIGguPYxalbzd1edK/crdr/4YekzPPk7Y2CXc5R6V7i/R+r
VVaUaoglXXuFNbzZQnk77Zz2TSiRsZVueyPPB9MPSocqCASkmMIq0NHhjCm9hGMaAnvDIuORDnRG
CrmP7/o2mSqOh369ORHY585o4zDxjAQPDQ4hzKgtndyhRH43TEPaDeW9ZyDtjcPPMVEYdumhkDMX
NIvR1Q0Z3mu6v9FjqXUKShVAk0wNPeXpO8D/AhrEg2CycHdotPVsrN7ZhhkrR8SDSjwdWRs/PcUK
kZWAG/XTQ8gWajaoTo1CVvj+r2quekSk6GIJnU76I53eMAnFpaokJ8pUSOkYLNyRobG5u9xHZNrg
DOMoGFERysQZOeStgLyIkWRepD0iYAbBjp3uiYNIsFOgGP2rm6la/SEKX0XF9CdjCT1S3key37Se
bARa5w4t8sR1oaA7vY/K5k7dpMdbWFOyjzjwnq1ZUEBStxyAYjUInD/wsACrZ3kHEjvHiVuGFt4t
7h6zHtdkl9C3hvKiuZPFxBpKURnVcSHiAUanz87sYor8B6PojJA9+VsEoBGFDOycjrpVGZN2NQjc
mE0ZLfS3hWYRocA2Isb4//gg7tZOwsOtEHldh++RePE+RuyGhHrMDbG7clXVwEaFmG8XHebxzznF
JQxKLqsGvyOI57ldwCLpHta5DexRuYK4lMSBSivh98obBsKO1IIc/95gxpHTH+2dMa6A7vZAGeSW
wTThVjVOPhmXAFkSJuyj9q2Me7YAWV+73gUtL9Jc0maiuy8K0hH0rHHrnv2mKVzWHhmEpxh1DwZG
zLob/9078TVywdJk6OxxhrZjnv53kHPjOXfRC5YIGA/Xdog5MEmtSaMqa8ZHinPO9Cmjzi4Fig2h
2oOIqVRtmRCzp6MSjoM0dzW0OTGQLlt7xLQm+Q0PY2nZRzhBtykTf8npdFhgoMerQpa8X3eVNVx4
qbkwe1oJsQ5YN42PaLJtOyPDLzwLel1I701JV7KtYvD2WAh3IlLFy+va5wJuiFmv/6R01u6rxo5f
OVutIaOrreyIZNFvPYLYehNW4nbptCY5m8V/RlZelQ1y+/2k43kkNlKxyLUFSyzLEttzmXppKJkV
Hrq8EUbtBcaQG9RoNqITD/Rak3TgNnUWnO/mHjK3eAcKWmwItQ4obF+KtcZUYGoV7nF+M9ckJKKP
DQrLEBlg9QX8dK2opMOceiIH0Nq8ICjtL0nGtS/Uy1zE2Jv1r9sk10B54UQ8PXGBehymcKTAfILq
8roW95QwK5388CH6FulIy668mtr6qyqS3FqPwRJOamPAn2ea+ziPmExKDEtwcj94aIr085qWIcT7
6uGbfQ+eEe+KbXnIfJ0VuaMhDbSC4ZrNQjl4MZLq4OdbNaUYaxGK5RDLfmQPDgOkGzNLGig4paz2
xHKcb11sMiQaD9z/Bz/CWQAxauYsYXtMfx5YEtPozdvZDItUjivi5cUKZt9/06B7vv+mvinD6Y4F
Y7/vcqAESa6VhmQ/IDEUbXE10+3iAKCqdiUS4g87k3JWMyxDIGNRU6XvnczT/GRgNqRysZcTYwpD
owMAI1n3ifPzfXiTpl3VzxlNaZeIUwqfKg/ooBUGmrqmfcmAWFklgLNS+ONFNoWfGKPeCp38q6X1
JSM+/5daKycDED16T5CkXUgM3A7NXKVpsNSYnd5GUF3xbPNVYMFJFOGP2W9QWTo89/3Uk7wNSn5y
OfI1+EL5Y807TWQxSmTO3qp+U74nPoJ1ur8Cy/xj8y8xQ7JjSYfZzQGnyL5BHaXcrBeKcWqDrlvS
VM5Ox6cX+EhMac851sv8jXQxRminhOo5mCJSujXweFEkmzBofraiBpvk3hqXQRhIIXgedshk7vq2
gNwcmT9KB59U8lRPAH+rw7Jm81Uf7djnjNNz1bvGd1ZEHy0dOzAtYUn47l9Ynw0Fuo7Qwj/iljkt
+6AgK2DedEXNnO6p0nF5ySxJ1N5YAHtuk45Z8+8e54GXiYa7Yc7jI02/MNfNnKmP+n8dRJjECcfi
7RQR12Lq+1I8HDtTVfJ+DoStYer0nCjMMJD+Rcf9BSu7A2Vw0sEXahHbwst3HeGJMBpntcaE7Qmw
T2ohDGBjWPIIHUL4GYsuc1RpWjzCD+hLrTzJkwITPF812OuSteUS7QvlMuucmuwWWaMKz0q1GnCe
Un01Cvt8cxa6XdWL2QCsOv1zr9TtyygvXQv7dgznYPpr+XmkWdEVmQViMq6rByMn+u07Wd200Ynh
suYeJzJEn9Mcg0ES8uouyJzXZJ+TG2mXxpsPXlxkNbwowCT/LeZDhx/DQ+NPggwwC1bPGdbRYW1Q
fgJSmoLuqTcMLJWDOzX0NDmSkDwb5DSN6p7FAg4zhfU9yOCFemY8x3A6W/8uirhfikYWLKEHEx4V
uLL8sGfrg08pFtvMQ8UAlSgv0DJKY49c4hzSD0Mg7/PqgG/a3ov1biHaWiVh/PxK0RzDXkG/EaW5
kfd2Xmymp2p0yE7gLwibjzXJfTyuac3m6zqJIfJn/qeWOMsb5XYsTjodUWZs4781f1G5VggakD1C
ryQ3ZHAL8mT4vpy45B7d4oDSVlX6b0NNl+FNK/XHP11xEa5GCs79tRDXLkkhs0TK/itB3rVNAy4f
SpfvRSG+53VFFu8ZkEJKlW53WxLeDmicMBYJjFUdJ7GL6xHYMaOtgzty/68Fg/xYNsTFCuIzls6r
NjyBs886XijCzIlrPZq06DVUyCh62aCqQwjTD9pwacdyIjlwFffnXdhuckoaOMxBqckuU5rqFuvY
YTuz98Sjpltkg5vDYGGnryliWoV24n8akQqz/JILCgkiQeXSD7JW6YgrwXGWQX1LTm8bItP3lhgH
SMfOGQRf2cxKCCqatZpByuCv4FVRpMKC8LP1zLCTOXryXjg6muZICseyLj0QgLqekxf5+X+NlLCD
mDMRbgyf7vnX0uFkg+4gfQ6K2oqXvXhB3r9AHGK9WWYrHK02MrBq12mfmisnqX6kMOZPJyZCOqg3
9dGmr8y6s/dBWl4Qz2b47ujwwJbh+X8r7dh29WPZ9+q/+3HSUs3/tbQWE3fdy/nPVK120jSB4bMx
bQEKY34dGUve886MyDcZeiFD0c0STj7KcWkvxo1dECxH2YAZXcJfRAgJwn0CO9m6QmHR59q4PHhX
+5AEQ5HYmMJ0kxwuUVDF1rOZNHaP8t8/TV/rK7Sg0Hzvsb9QRrITmD3KO/9cxphjvdTQDYJCsMdb
CwqazUaTQZFYVOVt5EX9s32sILtJjYzINT8WxCtWJ57TG5K//T9hE3qi+k7JnFwDHaF0CUyamIxx
BzgMFBFIrgwWvurQWpnjZG4QhaMayQOzgQS1NYjUjUCHDdDIcDQfbKI/kYMAeCZgN/I2xDnk4C7A
6XY8PTtwea8+bma65uDjTB357SVblsfe8nrpnAI0Uh7nhM16k/rQJYopkpm5N7CONuAKtlXJKgwe
ya593oyDK5KMJKWdiCmgyvaxy067b/z2P6UT8eieZsYkogxhZfn2CSI2ZpVya2EvQrZn/TS1vXxU
oplADiqkbvJFXugFabbyvD6iK0VcT92yLWnN/KKTCY2JiK7Q3VBMhQ50/1SA5eL2BCUJztkV+kE+
yiF/Tum9dBzPJErfAejHDZK9KIfBs1pyh/R2wNJBfEv8JwyXY+/3M8aOGfeIhF68F5McCTMWHauC
+EKIzH3BBZCMoIF3R/V5VOJqQlYTXE0BUtugZUj2S+f67LNBg/O0No5BL4jC7/gwQJdWJuYXkKxT
PlJaMwstHKpm1IJN2KrSFFflx2Mu59HHSvc4RgueioLQKWSPV6UKNVoCzZ6LsKKSPIiNm1L+q912
mBoDE3mjihkRTclyOSGgCKpRBPn32stswLyqaoyI9zv4yDeL0dsqcleW4l5wYFPpHeJrsDvirOTX
3naxXQEEcPctdkL4GUXeAyj9ZXrmOMSKvlGqaU2fg5KrtaBcj+j/fHrBsIO7WM8wvITbaFpr+kGC
AfxKUttuZhvEZDTdkjl7lbame0t88GsvA10Ljsqzpxgr3AybagnwZ4bfep/sIW9HBLRnsWooL6oZ
JZXYF58mHvYt8DaBLxQnwRt7gwST0iSXSb1wj4Tt3dNsIbK0jiYEGevEsYeOD5IbPVB3Sa4CeLuh
MLoAzRVy1Rb1F783MPlxpqWTzEx28OtWz3sIRJ3nG+S04X8/9fQiYNHavV5TwAdDnWqz9Fy1MRX5
taA2Vl6TxNXFGmNJZQD1CP6SmDvP1pf3Ijsd5a4qp3TnuIpmIZpzYcays9dP2A6KFmLvJE8yh4Jp
HSDt6whz1HbA8JndzcKW3MK/rc9neMVgx+uTlgSHKUQvoySUgU9dxQBRr2SNRlL466TxbFlR9+zL
jibx5gdKyJ4Vx3HhCroBGeqCMxNI5GHO+b1V2yDaxpXRldW2yBZqtMSMu3WmmjMSrcZfpQ4ev/eL
EggNhrox5WYOx45MYS2q65zl4XKYubuanOnd9Bk3Kj+VPKClsM5thzQd63n2oCJN9EwKYBWResYf
kDqn5PJI8vWPqJ4C7kVKiZ0Ovze6wxTS3ZUBMS2UFysCKYjF6UbmH+Tunvq4LiawWcqoN7uOQ6Zj
BKMoOK7IF2paOir/wKUSpFtHZrGfv5dY4uDNUFpju4RSZJs5oELMNYkzhVfN+wvCwepnl05Ufv/z
v40Z613f3/1xWge/miczM3pFcdhKd6WbSiVtB/SdfH/o+6uLRNbjK6durvkG+56HRWCvVizH64hY
ovRRuPm2F7y4pfNQFd5zyosRpnsFaYfN4Ib5KyTMpmgi5Qgh9oLwqdJ8/HEEa/gXb9+jsSNMe3+w
30s7JOi0E5Qx+lL8JDVMai891QoVBBJOF1+E1M+npvVY21/2GeDBQ5ZK6+lEy9Fts44nHKWBrxV2
iAVzZ0GHog6fIfb6V6hXAJCx8jlPiQC/ZTx1t0KP6DnlEVGVyjaPDjUDYrq4I+SqgSyuR36Atgkq
6r6yucXtKlLXljGM1cUUlazyBfRXfM8iJ7UX84ZGswBAMdqGCjHNdeihsEOkJD+sg+bldDtWYpDb
HMvFxqzEUbjiGzZOT4+4p4RtYOlx+5WhQIGnp4sJdxyX5KGKGno+5TkyDTW6cOBwe4HuRvs3T9Fs
s4DdCVJ0xcEGWI9rO9ITAntWpmsesnOQ9vCUv2CFOZLo2udYZZkYudgUm81dqNaDPTu3fztNbLZh
xMT271OmsNoPhWSQD7QZIJEpaaePisEYIOAjy9HUNECUzU7rtKyKbqxuFVecqG/83N2bQtCtbVvb
KaEEOnK8c3R+FbgOzHAphqQ5rX2cj1/UnSpmlCMaBzEkQ4lAnu1wx9rCT4jZiKX92pPZaZR2kbcY
l/3rVbjbc++pL+2rEQdL/D+ojGhnzojSXaTOX/6pNQuLU885Er8EgyFWSElnNk6xpE5bJEC7U2GD
09R+WVy8YQpCmIN1O7s4X3WS2o5hGZoZ9C7Upx4eLOrIkLOWyALZYPtbby8UlaKoVAdCMJxY5Xml
AJpGU0Sb21jMJErK3m/NrVECy89cOPYdr9o45eEx6nULs3jMqmJK4eoprn8pgaUlbyWj5BSfyp6Y
+yCTwtt6exfFBCKt+tlbg42uop1QixFuvzAw8rjzHpYPjq1uM1Nk+SCI6iVrWvEyfqLQk2tua2zc
vVzNeCXjwCtm2wNiL3gJgxIkdD5cXTtK6jxeslrmppkFCffi8OvMJBY2LVtZlue95l0jf3HjTcmf
JxJg2MJDsd/6ZfDVcLdgWMzxOjHMkmfHgO9BvpGOBukDE+nLyrHq+Pmz8tqk0EZ0l6uGtmLlUPHI
5R9HOxh1ghzip0+8mm4LAmOcn8yt8pItq4dXQ7r5cPNht2ab+8utIN9IbbUYvh77qb6bl4oCMYuj
TEjHMwz6TGHFTln/Yzy6yWL7+vKLOZu51ikiudAus5WZdjXdjcdDb3M0+oNpSO5QCtriPFvrQcXN
lzXiR/sPsHEHB1fI8r6At5GBEdWNBO30nYrwvG0fZroEg2hStolXP4aGLq3sIRxGISgBOr8J0lRa
PH8ICUu10kgOhIPd63RHCEY8y1PyUZum2B8KyD3sphzxLmlTLo+yriLs1Ol/MWTYadeuDCuR7m8c
QNl2Ts2KHlKo8nnxGsXco//Ksq7bayiv+x4G6Z+28eOtyVDdLjH4HE2PUXa48v13WemFuzzLJGSi
FP+/elv3xJNK/ROsndA2WKDBIcwE1BCme7NpQQ3zMFyKhbLWnVbNeN5mqqwklhWZzke/SBUuNNR9
D/6vxNCP/65aoInrIN4zRQD6G62uH/pu+XL9wMksdxvAJkXPZprBsmbiGUQ0mFWU1Pxql/hxRcfw
bsHsGquzaTWZYWTst0x0/fsf2MSHDOun1w/LisbRqpqCbB3BZVmy0TOP5NEZ+uR7Yt5bzLpIZp3F
guhyBCB+gMGPcpEC5OsiM6XlK+PfkQ+TUBmZpd1sv/9ikOB1yr8w16z/bXRHttmBCyn7Quw8kmyn
YRV0RNoFvyY0jlMmUCOctSk3jJx/CBv7+UPjbvalQnkggPtgnA5RNQBZPlm4k3nIgn7B0Jb1F6XB
XpMJRodUpvZumTlj1rx8rFQ6T70MsNqq4TkkZtsnBD3C2THkHtl2Ui99xphbYm3ZsslhiB+D5PN8
FJJOxhiiwnmSu577NKB4F9pqNBtyg8IEJfIcmna6uX9mxaOCsBC957D+oDZYL2WmO9uV1UA9/z8C
FjE8moDXgO/FOOE0z+hg0aMJMWOTn8x86sLcFB+0DqkwY6Ku5IPvTmm7j/E0vPve/iOV+wMK3bg2
b7vTwQkQpmUVLb7/WRA6gwI6bdUnrvG00CasNMF6aht0JUT6we3Ctn2Tgbr+nWOhGHESqmB1uZlh
6u0j8jM5JOV+mW0V+R2SqIsGM4URqvuymtXNJBlhVV04AgcRBGaYAAIrlnv8zDQB1M3xMLz1Y7N3
qxQyEVWZQKN7Z4miGPmPurw3JnwU7ZReLeRcj8XUXsxMbKUT94f6ZZgricbNAj/DFHq3I90IbVsm
ebse19dvJz34Sc7rKQ4V6ZPQEckPRYaOFe+W+t/gdu8mhqWGNE857rrkykplZh9dTTJBJQ9D+C5S
vhovWpCIRuoYEjKsq2Ev2bj3e+NJE6wHkJNJPUk0HD/a9bcZtWFhpLe9En2Vgu6cP/pMaQZw+Z0V
7ByWwsvNSJfd5mcijcWMV94VwGT13J6kF6or8jbaGC2vDWhmwviD5nzbh35BPT9NtUCK50Oqh6RD
YxCJ0N2CCVgtlBWxveG03LYW6floJPI8uqPdh9X6wNw67NM63VCysDgqNIjkIMQoExcI5wXHM3+j
WjFc9EAOrWgfekYBvoJq6zCdEWPdAebYo1h1d1tPRVzJVMOnnkMYcfMmaZiLH+pUuZwbgx1SAfdS
CQCLVVuwDxSdPyFbk8QisUfqZI3C2mWsbshbvoXoMazYmgO9PNZ+46r6sgyibz2tSrqcq+DXWSCo
OTz3RSacpPP/GZP4YYep8sE0y2P7VID4nz/AwZVfrQEUMReM3YnOFKKTEqIv5MLYXcVa3P+M9GbU
wDjF7fDqgVn52IKxSd03KH9+mIQ29kGYPfWx8yQdcdjAHp+/Nu2YXhqFMQAnYOdJmv8J6d74J2GQ
QbRgPoEHfL/zT0Jt/Ut1gydMJ5JIAEkwABIEXp9iQ8/5rb1L3xVPoM9s2/V2WcsJdXVYMPHMzjoC
xuIPL9TTO2o+mu2ZtxJ2pJcgALj8Y5KjB/2Srjdr0x+GrtxQLPN6yaFID9tamGrcxA7s6CAD5Yrr
fLCHhm8fBYg2nX78h73x7tIoKdMtwuZzO80mHIn2wRT816HqMGFQRsEsmV//olrbg10zxpwVUcxX
t+mAvVp8znxWYvQ6YpL7b9GszHFu4irWs8EZe0amvS7/3XEABwqfR4zrKqRWnbb4qb6mx5WDVw6v
k9lPztMBLs6IpexihZoru1rfn8ercuE4BKakK0Dv1xwjaKwGFmGlFRHdUKhoqbkK8kTDW1s0vql6
cUKsqhUZspTzoz38Mhy3it3g1+l8ADjy6AVunew5GXIAolzcdQ3BXxrok1s7mqvuNHwsoSoGBNSq
OcB2p+lokNPRzgvTxFipL3HtJ/syysfXda9Wp9uRrtpooaK9x+WKGf+39iAN95phn2yzjVuunhAr
Is9ZO6zqJLbDMF0h+p5W78mxRXjGnD/takV+0X62mR2WcXFpnQSl1lOnvTkrgv/t0QDmuXS1ErOK
EQOIV/+oXlo9h3j5f1INJyT1TQamJvbLIFJKZsEbjw5Utn9YfQ0U67O7gMceuwi/1z9InEwo/pIP
XGL9XcwA94oHg9sI8nlY2HHamhcEkDTJRPqYKiM6CixAo9ake7wnFKFAFQcUEORUzmN5qhKzUbm8
H12tj23W/AOkWEDvyKoWfQNNqL9LdWWfXNDsQ5JmLhEVdMLZXzc47Z4fBSMn85VZMq3g3kgsZVP4
BTAyz3Ze1G6PTuiGZ2KTdwb03aeyDLyVxa1tyINZDJ+A5D7wZE5KxRkNOIoorcBUX6OJH+H/rRG5
DJO9AZiIP94JhcnR6lNimt4eE9Lc5r9yLaBWGoNAdL6rz/Q8kYW1Qbm5ZSQT2e/iqkKG6zHIWwBx
OD8LOnMeHayAI2ns0PNcAG5goelMZpJNls0Nv6TKoXIpixd09o212IxTYOlEnFQb6XhoxFzCehl7
m5/O1g13Vi9GQOkiVJWa3AWY/zTpoZcyJILfXNcgWhsoOsXZqcVH80uDZnqb0FW/0C7a1t7aV0Qx
Fmg/KR5NQSqn5JnDQZug++ZCJVIU0eznp6Ux5YoVG3/8s+6YT+SXinGqLozsjyIX8FdUbTvB0ta9
1gfq3992jVRIS+4n4SfioIpW9x8mRi4h0GSAf6QQanqt57CJPxHiT68jq3KlidGDD3ReL84vx1xL
JGqB28kJcZGCIbCZi2YtODsIRnvG39d3l4QajmmdNqOOTD6prEpqXgTdRA/wxIyk0UIveBn9IA16
S7e3176XNx59fOa9AvKyi+MbJtJ0X7KGlLDC2miDZWk9xq3uJ6XIqjPTGFH2xGQc9qVu68cJiQoQ
oVTo/MOYB5W5ksCH2DjwvkAoajy7/TiJkXhderO48Nov3Vte2ForcQ31xFqMJwKIcyB6nEJj1HBb
31eZezB2s7Y2aupf6cT2L2qprzhSvSB3nhzAl8+3L1Yw+gpJ1rlC5oS9UZmYwh6XK9tqDpxceDVB
o28cyvLt25XoDNrmgavk3G9Sys3I9enOdMHIauPhBqVWrd2ElwpXUm/RYjIWfUNzqYaboWjtOxGR
e3KFs7zwhpCs2tI6Y/Z01PuKCOq5k0BZhFVnwa2830l7fs50mKluEDd8d82b4flSysfNZCk2QZUL
1ZCkSypgLAWC0HB7aiM0Yusk0xCv3jsmGtCZsAYRzUpcXjngf7PaysxXLu5dL4G3KZnNgD7LBW82
Rijc0AMDRSdanqpPuDKypxH3+m2WmN7ZPtLlXQsLlyIzJKYylg73063M4E+xs0I3ohfeuURAzvrJ
n8vRsg5/NYTuACJvZ9iUdLRfoLji/CeSBcvXdJOECZF6f9qvWu8U/UzWqKTo6sNLvUfDDCgsqlYP
yZNVzlSceJU2S0Eyn0MW0qju3PA8hWHDxuo6MLHtRaxm35CzoPqdIGapQSoZi8Fif88SQazUO8JA
EeJDMT9FzFXEnmkiCIlUbYAOOIffmOGyXCqanF6H5Cthsas6+/6gH4DHLaWOlKYV3faE4pVL0WfE
ovuPGR7xlSYOVePiIKoUmY9QER6XUddIUBiSu3yrKkLO8gN7qXuoQPk2Uzgn7o11wSJyyLztw0xS
u0EAmPn+wNmzpK+RsM5wa026N0Xu+9hlbdePBbCuf01i60zkBTdL6wXUwAvInEKhfHhL8fLCeMVk
BYWTV/9xIWzZ3+bIgO1eUShksaEjVKLFa0xYveDMGzV+S6MGyHlBKLozOaQnyr+YwNqBwfz2lPQv
wANCd2yKslawRNQTV5m4Sgyl9M1nAYw9dr7kGerCM8vASwRmN0KLMM9LO7ERYv13vGXRDU9kH5tv
B5BOAllM67394O40zmPYVX4DEzYQHGMiZIfR0lyyrfI2fD7j4oxI6DmXmsFSCqpqOOHyplOhNZva
g9jWtJKC7+/qw4VSaBpJbxb/UPD4WdhbDEsHRbOg7JUFwFT9cKDZSKsAXbWWFYaxAPWC2+pcmGMy
NedcyA94uX0RxyvkHjULCFmg+CccZTUpwogjxaTC2nnBsUhdrZVRqMYg1msFYqCp/jzv2cjG/l/9
3qKOp0LrrK2YXvzsfdDhavK7ownBIgtIXKtazhAzRJ4CGXPrjTXkC815AhVh3Reh6DoeGtFIfDru
E4QwUhBtyjCn3MfC9dIS1tCmTUVI+V8L7FIEkXcJgishNtLKqUTOY2uCgwNaaQhK4ZOQtnZbcEEs
jy5KglkxD2y6JpxPczXZtER4lzMlewvtLuyx3Gw+hmMQ4hitgNaykzFv5LP0f7Uc269ZeknEAG9F
T4SfluuIbYeimXaTNcxFFXLH733PIpqEClFwPLq+hKP9b6wxtB2D3750s0dlp9Vp56KqWC7l2YvP
Z0NkZv0LckBqOyw3GCDqfgxz8tzYKywbSTHxbyOYkBG7ufUeb60rywK7Jl8XE7BnFKlWAKVKGbqK
DZJhp2UoD7j6cvlg+38frggXW0B0ocsoxtX165/tOnOC0L45Mr9BpN6zHFs9gstqq0TtCpCe+8NQ
ABKRflivVWXMuU0KZRjuPXwy+9EBbuZzVCoT0MHD/wgR6SvbaSo9XvAWhzpELg4aMsNwTsDSl9nj
AGg2D7kDwVgHn77X7pu2nlpC83GJ5ciRW6+lz65fHUqC0jb1/6i8fiebkaDWgGgUe9Qr9W4WUFxT
kDl+uJISlURovVHX6TgqXTZw3LMfZYbjWP4FDqAvp4vI7Ai6duLdh2anneBnzm4eNlB9AypS0oeU
9PkemJ/u3igm2/5RurWaLa/bBj1w4TaCe8EP6nq5nbapj4S7B2LTYeV3Tl0e2UAvV+UBpb0eRATV
m4yHhi7LbqnX3aKTRgUvpmFU8OHKRUbfXRh+t3h5rgxqIqpaOwcaGzGLJ/hE+8knkRFmtO5ATyvb
ETmQMMrQwowGfvK57+Irv6tsp9RPWRY+oJsLIcOmG4hGl4UUYW/7cWEMYFPxX9X2I6Pd0leOVoN3
65qxdeiCXaQ4qWN/avod1Kk+32r5GVDHQyVah0sFYFjPQIJyIyKvIOkvcBJX/3b/sNziFFRPyG3v
7ZGmeRFUh0qZea85OQgCmlr7EzlwV6Y4ywcjJZxAYN9gAZVZ8mAfeHRLfQtXdR9yKCjkYyoOoIp1
Ayq6R55gKBeYIRzmXLi1ODHxw5OL8jsynwyv25tSbKI9sQXu6NjMIPF4LWSxi/JkJBj9AOAUPrs2
phbjJQ0dQSw2JMWlm4gjydoADLsydU9ZQNeMNvk/I0GxF/2o4zyt5k3x+ML2ah5YkyrbMCbYDHuf
fPqz2ynFgTkylo+hjn6jogiPqyREVWqyAhA6zUDgMZGtNcVdxrkeKStekqOSd3Z57LfeqhC2TkLA
K8s7T7pUh5lJO27uGj985C+4CprenABO7waFsFTbCyJKP+OitjaGgqeZyr4o741coYJoJluFcsGH
1FAF9pFnatpcxumXuAXZVB/QV8btbReavf2BWGXJ8IhUN0JbFOA2hlbiLXlK+ZCuH5XmSrO18EvX
QwRtEChBDcF1Y39/XVWJod0BwmqUpIcERZMilSW3Njfy0d7ntG5zKRBM40dnvnW6h+xpT8EA5gWd
0mEOqU/474wKwowe8v67PnKwO6Fm9vzZV8jneVqNJNnYx2rd0yLrKq3/nbitnOiXR3fEuyylwK8S
VU5vsQUbHr3u4dTHStvSnxqDU3fzfZMi1md1N61I/KqbEgQv8bbCRFSn9SIPPtDfcfH5hRC4uIVx
HdNuvH9eRFJplGR6NE7SzMvx8UvlqxYr5XpAPkFG40h++RKpgZ5PE+ivrA+SlTDwi3PAerVmm/Ic
GiqBH6Ejb1Vc2JvU2oc2fJ5xkNUyufIBUMNFMQ4MUIsZpLQCFsEQi+XlZhO9S3auH/svG0k0qqlt
UgegBRIe8Zs/cDWD+OuX9MrRT2kePyw+ISKUgnMYuQMcsfoH6FKFsFZ0zkkkZshr4i5e2tzDR39H
rO14QqDN8GW1J/dT8tto10cJzAivaXjAodubHthvLbp/rUKA+lLjGHvgwgQL+woxHxFWCm6Gi8z3
uEVAZTtmlnjY08XsdwrbO2FHeQf725TitlEd1PtxvDC3bfIVO3cWioyVDrzFwvrsMEdyD0ZTpBIZ
OAH4//t0xtkqcmQAM5Bzoxcmq5UEQALP2DgmXTybOSGAtgGwRqzWleOxCwaDsXdN6jO695peMatJ
91T1eXY9toqJWtGhqbsdKFEh2ylHwaEokGfL0EETA07yzwyf2TRLX/csKjK72QkdELYP7rLUYKpJ
F9wX1PrajIwx+4Gj91FsDcOgGWdLii8GSEmn51smjyLkyCWuqhVmdybmuiVPQtUusZZ+IutXID8e
3jeMR6QQ2cOVOWPPxljZlTIYIPrxpRUfuBtRSb7zrAXqq7dfUauQ2YUeaYZ3TZ5iUYdMXr1QPn+2
3qgXMpfLq0eEjx8/8cnYDrI07/8L2qNYvgbNGnQ1rxnYhX4KOMEaTXituBGAd88D3xmG8lobE8EK
0KAkdqF80DGD7gh2yLN+Aq5A/GuUuD1WBZjKhCufNZPuMopqn+h3GVGmVnVwHeMTi38cRMgl9PES
IFlLqCzoNEyZt51bkKuCjnUrKhjg25IzeAiZwXsUmsew+6d7QSvBukgHxyIw+PpcNbbvE6s/p6rH
MpN2OW9AMQ6wh+mtM6QT5cSC/GnXeg7XNv1lyIIiBRDJLXhzBXcpts6qQepdmNsPRo9zeQzplaY/
VWu0uGJSk+W2t0Kux5lCZbvQ0WPrmpiHRs/q7Ofyhruq/BdcXqTYGCPCMz2fegs99KdXANdMlWNZ
80ARjHBIXRFpY2ZLUXzSRMrrd+8ZupowLOylukqxOB+tHEp+Ul7RgVVPI0L+ceA3kSgFmol6rHSf
NW8IvOCBcHW2s7xgLPQGcpI3U2VolVsfWVL8SMgaGbxcMY1gNISvN9667TLzZ7vR/ThcGWF2qIVM
dWKv7pq184m35J8uB9bGCbrQX2S2GQsy0qatTS+uWaVJtl95kvlL1AIj+K8sojNrMRIOke+Hqc3F
aYZa8y4ZpQAydd6ZJRpYUsn8MO+WrVAjzDnenrrOvCDgqK+coufxkRLkhx89NwEFfKy9anyF4x6o
YsdTa6t4iuL9qLlmqGTp5cMf9phfXTFKduqgzpWaFpvFRX/AW5Yo+fMcFJQN2vjt1nJmWX2+BGZ6
YqMiCWn5S8dzWJiqmEtwLQlAXhmr8nGLsO79VgLRiAe2j3NZgnRXNPBjVoRAVpn2/YJcTgV1A6Co
10BrjYZCZJqFPlrMjsHqSL5xfIYGCIWKObQbnqKs6UKP0DeEB+dYhleOZ3JBXLIOi6H/uAfYJ4PT
F8vGIYJt6CIMHVFmkv6uL9iq1ZHLAy2I0L/F+wTmOKiWilUjSYd4P8TYkVWY2nv8TYYIjkHDTnQy
DzbAoNlcVpTAuhWxgpILLF1zWdl75y4NzD24BmAT8FnASftcGItgvFhoBB5Go6axaJJW5QajTeWF
I42oGMsKMJ4soS76cUXob/B87ECv+SDAGsIilqR54wjCPvaGsBIaufLwKeH+RT81A2MWoXeS3pmR
OUbaBV+PlJn+ItQu6yy2lihjnHeYVKYMU1ZLsFGJFhjUZcS1RHcw0VtxM8LST2mZMaFy8g2U42oy
syQ9qk9d6eexZ71UbYriwFRTGZ0KvkIrBjvr1N5j1UdEfl24s84OnfU0Xmmd/RPS19yhQvsuIubS
2Zom8LkH0jNwOZ1FM2M3678unatUuRhxyTUYdavdK+oawLMu2ny9ha0HclueuLB+XE2mItK3E5oj
4hyrRX7nqA8cbC0RyKzeKANZ19vazTPuolOUGi2mQZfzg2zwTtPjX0kSRIyz4/VLmbXwCQJeqIF4
pK5FtX0Z/2Bnfij7lWUuhUZPAkhpggld39HKyByuKMWFi9Y5OckdiYXXId16KrCkDzb2QQ7A4baq
Q8Xq+pbPvEsuRtEBR05fu2MB/uWw/7psv3uGvwh8SDPWpI8jREHtAEX7b5u23resQ7N9Wo4pC2dX
hu5qzXE+BB/D7comOc0H+6MbPyp+ZQTR45f+wUgBvC7PJmD0va1UdaixDf9DUdMDo0rNmtK6kZf3
rQYLgKNUFlJnqNlhh+COOU+EiJZ57SK5QZNHsP7Y4n0rn18qVcM4KZtoIx1JNJzS7TzRZq63uf9C
pQkdklkCqpkGlfg9wjw3EXkd4K3DKgUCsWNopLqKthSW7RXNekfhqErXrLQ4cfw5u1eZs4zFu5RV
eiTuxhoGLUoRTT9NzcokmQCi9hcXZ1dGzY82qzyCGv1mZHk2ZdQciJfIcb+Wj4RgjkPnT5SIhkg6
IChwMqA0nwS5MBwQH+QczUqM8/HnBLvqJS0YB5ffH3nfnpXrM22D1O1f6k5NhrcqwSYARx+bmqY2
JoNrlrwbfijbgq9YtRxz2pdJ5owX5Bq4266+t4Nn+0iTcxU4EP9Z472Cn0Qc3USvxTNhxdzmIzUF
JwInkSMSqnIn32KMPcP71fQ7B7HwfBjiFS4maf4pLSJNl+SlidXdTcP83sPg2zACIz/5msNWuW9Q
F8zyNAYTh9CTwc0n0lZUTtnOcMcngHcKlabUSBNbzj2x4PXO09+kTyl+GVOfw3+UlYIeJWPHLesq
J9tE0p/Rganxnn0dfI/1WiKXL2s+ufxSymky+YxmtkkUXcOzmdHratZrrrltzlL1DIgrHubtOVmX
1sxjb/OO+UsW2zxO7E319vOQ4DVbqxT8IFCl3WWdi26AGjPCG9N5E8ZgFbC3qa1gAirjNcVWiCMO
8scdE4lGAaZKKABFcvjChEn0qt7/UNTxVnL3asaRB3P78BTA4JhdN0buzuM8wlKE+xNc+BYsYouQ
RG5dJC6jjoZD97LVTccvSSqv75RC0vV67hzrt/5LmDcm7UdMAfyu7Zcx4XE+rj7OEN2R+K13lHrG
m6arNjob3zUYRO5BYdfjmklby+8RrpsntC91CWN82K1ADeNg81tmG7flSL7cJppn/8u52Nutvpye
sKoICZCpqqpIcE/zbF4YrbNvWlBlxcl2kVU87K/xqGNJPRkB5rLa8MUTkLYX1lcinCPzRrSjiF9l
Nu8ZBcRo7gHnbUGs/YlZ4cLvyuq2cBYQX+d0HYc2QyYNTJlsEqhw7eeN+e33V5LOq1MmdW0LwIDd
XvbztHjimUbSFO8t8M1St0FtVMmdWlvjhxEi+1G9XkFk7AmlJbbtOXhXymU8Vr2XeRtaGbjngOXR
187RN74pT3TC34pPODrFNL42hNLVtGthLCe75xHIJFKAWKek09aSeuTc0NKssggWP9Wvus4PCFF5
cmT8QhenWsgPacHpARAUuwBZwrRHfl+MfejqFEMnYv91uB8tvBYveBrOZEFLxk0G2diuj0i6TA0o
6LQP5qD515tA4cih127dX8Z5B+jRBSXaSbow+jXB30UcrGeFinZuZ+VfP0iq2ygRmxC3xR8QJlz0
jT5vJIa9rH03c2YOlT+dQE80lwObSQEKHqnMqaj9dn0NZZPN5v6QnifImijab8qx/dHSAc+SPs/4
vrTNevxDQ1YVy5xTJEmHQArczAsB7PtdBvsgU00ekvL/mySA08n8UiWRnCgVTH2LZ+bBXDFp43O0
RWSVt6ugtzuOW08asUCrlzT4gZFlVSPe8j8l1gznSuxkejw7EsSWPpiPnBHO6IMyHgVyN/912caR
ukgujXfb0VWvLhX6lJsYoB+wOMep5lg/QbahBxCkJHLqu6Y1v0kZLKkZf2vBQ7Gf5gYPaIexbXjh
b++YJDJX/MQnqRoVRgrfku2PJC37PfOfDmMPtqcIHpLFasvdpl252WWKH5gHXTwWxXTC2ID2i9pe
IZlDUF43creAHNNAwzYpvJArYytbnQdIjYCa7O3YPArsZOjxZnIuYR2v3DIWQav6R1PQ4p4c1ECP
GU+7rUMEPeIBf5isM/N8Y2vI+nd4A+qPyO8EQXNwF8Y5+7grFP75I2PAAknM5a/RTTVfIFw7GxRQ
EiMiNxvGVGyjAaTpVUQUUN3ca17lRPH/2QQ6jfIsKVMFU04aX4VjSQqMEbup8MIS3GhGSWsigucy
CGTSE864TElMliH1MJ1836W5so/Kf1GKSyT1Py+FXL1GstUN5DSXKyWcEOcSgDUOfyWbO0CLVu9f
N8PnsnsjHCsmG9qZs2n5vlROCEOy081m9Ah8cdVRMrV120C4KC6aebTzlproeRyar7NwJPNWyvk0
YBSZ7Sc5hjtmDxAlYfidfoxsp9AMzFTN3Pg7SOFNAM5XFV5/LrQXempkzM00frz2hnR9sOywJ27q
6oY95WuWSEvjcdMNxn1BZnm6qm9pYJ9GIbSUFddxoQ/2ADPGKgOtd7jnPml9Cb1I951tAuFSgWFR
LXByyi6jPrdmth91bgmuOmkgCVcFM+FpqeCOyIcf4wEWwjvLuXxNF0/crkDuH7LJcANhToL4TJug
fG2dEcJe4qY7L60ibq8tIcSfxntuLBxb49WJHwLBADQat/AxMt/8mIHD197oBzXRgcr/0tUGTReq
EqF7OLckUT6rJD/wY9Q1cbf2JydmLS1R2idrQntYaDmaEH69auPyPTMF0HGfgSaj7bPYbzf6RQQO
4gXLMI01vldhjvv0EGU+nI4okkUpU4yniJbuAnaZIZCDPs+cmuJrHDp935Va20tK1ekx413664QA
8j4JIO5GfUqYd+/13YyfWRXz2R5A7cH2C8jTtDpbZRkH+hP6QLP/C4OdBEjHPDmMLlD69Br6R3oV
68q8XzdyGJ9nAXtjStLbLA6DN2njjnGKt0k6V9Gn5d8PeVd40kuHgHHumhrlhyOVJCe9uuBSS/eX
ULh6QPA8xxfp9GEySYs3zGZ5oQ/3+R0WP67MBxzWIJFDMhe9DGYMc0twXgAajk2bS68ehahAT5nS
w9CROXNRgPBtKV7z9hZ+a1Du7/3WBRL4LjFtdBE3dvw+lfBalZQzah0wGfhdC8LPAZJQ+JvFBmTK
0Ev3F8yVg7BKjBIP2B7CC8Vx7hfdfBOTdO/NxCs4exVePIAuGF4pvAAjNSQLeY7vFi2qH+U2/bLH
pyODTARejQJKr9sEnQPUOraeJ2aq+TmP50VsWjdxoH/XFNGq5kHjsvFlPDX10LrY12CzwISdAOyL
wWn26gVlvmsFw9xJR5YFHHTcqPlGQpENW9ZvP4u9cgdrlz6UW4ZGFYHKLgwllmmoteGCfCHtuz29
TLMkl4QXGFplOtTZJBnWjNLGF9APu1fz+aOpsS8S2l8+lyaNpMy/H3ybCxpPkEVS3fzC1qwuPjDo
LLm09hSRU5PN9s+6MwgQ3033Dy/YLunG7o81HR3UkqFwDvrsdB55iYk+SWVzS1B+H7vymPf7IiZr
mc3R39u2AGa01NNNlCLGpulLTs1WFyXWrnoxg/AJ4J1XY69yhxxQaSk7dUbyLtEWvSR250dpHga6
hMw/JA0Zb9EyZb2SZ58HFostAEVPtMl0g8RNPGBt9eqoKn9jkUW46yXdMEN7Q3UZTUmFYb7+i0gD
pyOugJeOXKu0wkGb5IIGhlKZK6CF4dp29QHHjFunZzoR4ZWaqtHxbMvSqZwl4oUPfXcxR0zcvifQ
ZaInTGz9vPSIrm2WRHnmzUxyr9UC9ofIYLsgfomlRQRpT8VKqBE3WkGd+DL1SoHK5FNueIc3/JEL
/I76Z06IT5PBnxDRdkeAqyaKPk40nVeOjEmMn9OqMIbOD7t3ydiLxD+tgpfNr3h1nK+Wv4jJuVtw
U8lJh1Nv2zsAeLWx47M2OaPy30aEOYBTfcDP8+zaBxPdtPwbOCqj/MLUr4ynFkiFumGsANtUpme4
To5u2DGPHzPlNQUww9/UG+T4bh5KOINu9FyInKxAo5CKMuG8My2wEVEpTOF2dNWi1AQkz/vYUGSs
qAlRqjEJPn8xyZeoyTII/Ggr7r//P9yl09kHka0f+xJDa3hDEe26qhxNyZIGkec2hJv18sehJz3Z
tjBmIj0gOzwfjlE7mWsBFwWhYuZv4Ht5oL/me8JCvKx0ojpIjSaEsibn8L1e4pmuQC/CbKsqcfOe
ri3TYhGlJXKOP85bo/hsFKTPj1M3pK13y3fgZC6noXZAW1eIM9+g5QOVBTzlvieP332p43X9zGsR
4Kf1ztlyIccQeSl/mPSBN/MeKPpyf7pfv4uZlbsW137qgA6Ycw04UN8UdkT3uHdqCvTCEwANlcwR
+uFui5dZfnt+zDoxWJwLDz/ocQws6/VbRJhuCYAVhzBgeT3GQtNacqV9mYEIHCmFHRLyJLCbdSUU
CPcoq4A0dgIxl+mAou3BovKA2ni5u2lBN2PWDVABfHq2UGEuF1qaXd1KU3UFkx6i19a9X1kbJ7tM
VXrn6AwCFpTzr4ILhfhNUFeUS051SV9iWONHS1QjkkUwp5PhTMdbq0So6faFFR/1yJNrncpwte3z
y6h6SQ2oEtvK+QgiJQTaafBkr27i9xWoYGs0v22IplSAzE3uhIZFjfbllXUpdIuxnnVJD1f+176T
2IBIe0USwneZFX6oVtlsn3UJlYn76ca2ULFKW+sQGFWg8ijZY/FyKHOwKpRLmtibY+/qeLby4ZTk
8maj1aR0yV7AFXbwk2D1OzwVL7mMPbz7tOa4e8BROoGCJmAsgkyvsfTycj8Uw/8YyLptP+lGmA+o
X7Qt9CQEgvfdOrUawlr6ekyAM+i0k1dmRiuVNLLQJFGThxkcwrbud9PkXrFOa5v4CUOU8EMDuB4B
40oqROIIKmsb02s3SbGHXbNwh9s4Ld2MDL8HN3bvYm/mPrxHXlFhiZnGXKuihXUcjsFfpYkIu3vb
Wqg5nrkLiQ0+qFO8F9bLKNqdeulNyEOy+0NVzXIoVMrRHQjZdAxHYxPGqpaaMgkBckFPPORJH+cN
2wvjM1kQ6RD3gG9LSroAvleZb2yDRKufb3lEGUC0KS0xLOVE4h7Tdhui8wGQtyAnZcWaFEfObQ8u
Ta5xruEezBPZUmu25/jAHogdOTXZ1QYj01EpreJ5LpnXCf37UIiKvDsTcgzoowT2LDpd+88RhwnB
8AaXZbDWSju7dtYk+GTvqD6Zx597UmMN14zJXUWf2b1+5TT1VKQqmcWORypLLmNsxqlRzzRuWWRw
EO7iGBWkUZTmBAzjsUgZW7ws/Q9pj7Oxq7QdL0GXTACQ7o2PWoVRAecUqBsCbh1kvjXEJOoTYOwS
w4wYot4ds/DoPCCTzwLtS/s7uPLMH70R8TdlfIJiNh3hGXp8LXvXvi1WS7VAcirfFT2SGJkW5ogD
DM1/vEQeQDg0n4eIfdCycVhxbj0u8ijZlPwkVoIIFbNIQLIUaRcqYxGl478An7HqTuWDUT7wHc87
j3t/VEOfuu5bMVvJO45jym1id2JZCcr0f+IGOouxs8l5d1ih1KiMvBH3L4JvXE5vfesGO7eQRFeu
Kt0V8IIHAwpXTGmu/8fBEd90XjAuGwxo542DGZNSq6VUPBodi42+ZcOwZF/k9KsoQgzIuJZHkKM6
+cfqXsJD4UC8Zj5rnKQ4Ss4KNi1AviuyEPyk45ld1VF34OPSnYs+zKh+th6KpOLlbVb8B0U4k9mp
VKh1k695ctm3AM5gbQ9DLes05konzJ1598iAcvq6x17f34nhzlTY1/En/iNLGfbBLfzQLgwcgygz
BcB+40gDeKsNf1AtaO3IW3U+R8FHvzpcz07wWBC/DPhBilnuGx3FSS97mTLwNiPsttRkBDH/FfBt
wzyuf24zAJzmgdOgQHJYN4mrzu0qYhcc7VFxImkLS0J2yxTqaUV+sHPTJ9X9mAlAGtF6017FUnyF
RPM+ECL0DLPGKuv819qSDukmFrfrIWNm2yUjR5msYzPWD22BYYNPhcok5UEbm6jrGuLC4owxKFLP
wqKTYlrp9TSYrbxcxehiUeN9wpTHD7pwScz830O6dMq6wG0IKyV74eFJB5uMibMlwFvsK7h01H2y
Mvb9bO+qampGPUof0x+FMrv7pi4K3MiE57ZO0BDvB89/sXNdYW7RGLwDT1CIHS2erUrOvN7Nd09b
nEybIIeVEiJ/gpMiR1RfftOhAy0DhQQXmbRoGAvQ8lr+5tcAl2M6XfqlSbrN60fqddoW1tyUfSZB
lSbdT4jcAbEtm2v6BjuYB/fpml/4SIeybmaL1+9VFQSoUlFGX4BweVxmqen6R1YfDmcigb9c52l1
AJeoSkA65U1A4P/cyBJykVDFyslAPl8S/GKk+8FYWVC7gI6FchjDF3yMVNWcWqeloWdJG6FqCLYA
Z8ddoJEc+67KGQyDeMaEktqhxzH/zTr+h0Vp9e1B+QQgffkTbC4ZTDEqaFX+s2Cs/0Qy5hhkoDpq
SwVqviX9yRPbrLzDXlPum3Fo+QmFfgzcEvNqzcPYqky+RWGdnx4NTumVUCd0nZ7p36Osou0WnBTE
Lvwk1SCGt7rhlH+5zyTPduczIiUHWNONPXuQx/yimYWrNsBiwIu4XVksvEJ1J5CyDbMbJjfwB3Ji
Adrgw8IpJ0fNHKh0nnM4hEZz6KXmeJK2aVmoox3RMGfA7nvb/keiOqLgmmqzIP2r0LI1GCZW64s1
bnxJ9EWELJOA7AoL9zXQpuMu2KHM+1IQALc1/CNk6OWjrK+nzXZWp1em7yFv/ToNmI1jgGlZH3cB
olUmeNl6mv1Lf2HS9po8uE9sfV7h10yZLsNbkV/4pG4YiElMxuZb/ya9ELiRgqMZdZdRZ10O1J+I
lHHhU2X5WOMnSgoHfoZTT8sItR4d88mEnQ3/1d4VGJF3hfPH/yt22m71G1JmgrjIDOJFrZVkjAAP
E530yhGLrNKz0u401PJqM19krt+KdwLjEp5zBXr4q2w51ee/0PoiGoXVw5Twl/AEPPdcVqzFg/6U
aDMr8aU8m1bChFi7v8Lwu5wh+AzDSfGQx6wJHyMuV3i7JyaAe7ymWQesK3/Sxji2Nn10TLTUcFj2
fEM3a7fxIwzEASWVg3GktTmU83hQttg0K64rE8IrwpREP90J1C44rXGmP5A7FW2bUOdOHkmuJTp2
eRRuf/xZvx25o1KBWbZPvy3Gq9OL1oJ+9ggWtwB0QSxF9RVjGmd1nTQc1oAbieCJjnh9RXZfnCNm
DaRqewcwMmL5QhxetbkR/KdXJjNlts5IhssfUAz0xbsJ74iFptTBYo+LyvvlsZawNAVwS4OVFZCA
HmC6+JrxsPUsHcQfFC4znXTAkFDZyHyS3o9jkrMOZiZchPdYcWP4wI7c73M1wuvEJgLJC70Z8pft
Or6H02aJeFlU9Lnxs9UMCF8dRl2jgNrG09c1DHU8ipZjHKjHena+2PfMNWVgh0nXltM/1hQmjTwK
7gfRPoqRiLfISWsujyCAHYxW4PbND6SOzNMfMP/FVE7kW2AMJ536Ii69lAoLWainsbic7wWhdI92
wouYeUqNmFamlNzmwkWLYE/zKJDQ8jKLNn6nQFnX4KJ7n6VwBNxnkd2096Y6PRFxhDp9lI2YyS4L
RzY1hDWEzfzuHCeaPWnHyfdTRfDGzFmyD1Mq+1wJnCcmWpSt5bhbRc1XV7eM9TFvYQSRSf4gaihj
Y/qo46GLs0BBWxl3Ie6iLdvgPaMAGYEcJp0kZjjOpPZKevLOZaZkL2eTUdWT4FTbop6Rbivp58IS
05o0hrM1bpeKkD5lWHzTRarg0xxZfywAaEHKB+IaYfgPbE7j+ukcJ2ouQKaN3oqyngQZwRwo/Oje
Fgacv9a0eWXf0D34jdxedy5pdDT6E6PMuixThxACR7d85+VCUFPkN2KGR5puRd50uf5PGZc5XTp/
IuS01vlP8UUfpYMOma+wOcrY/89qmgafONDAdtYQ7Qb4Lbdy3MD9Wc7eYZuqrdnvBiIjZ47UpCya
x+7Fs6cHEIvI3zcUG0P2CibrgWAyhB/jW1xHhviY41mCgP+Vz5D0GgIK97Fp1D0AzQuVuznZdbvy
tnMWD3+uXTp7vj78qIXdDZpV3xfr8Uj2EqNli8ZLthx+dvZ4YMOxu1BtX9Mkan9yQiAomUQgK1PO
/hniDwIRqHIVp40ZNioUg05xKVB1B8edZBHDqrcRY+3GEH+0ys2XLRCbLFhUQEpEKLrY93WpFnGH
5PDyVbXuE2CNIj3MzEywVzzyIdNRfnDZSQeydTIZLOQaXem/ybvQx/9CofMABMIy/3Ki8fflg65+
A6bmila1doOJuoVBmvYvKPKD+mxTj0QOn5pOZXV40W+AlCnmpRchIYTws94A6RZWmRZGb37Jivx7
frU8rwV3u+d32H7/TdUKYf/TVJcnAV1pnTvg4B7qyGI4knmYfWHdFN8X1i6ZHc1+mGKvzEoiznA9
5tqlGk6nIsvSNMbKHbRWNYxrWovT97OR4vwjZ6E82/m3EtmS2I3S+PKxBN05T6opNVv3SqQT1Cb2
pNGbASxAJIGPB3TRTgrq/IXasjCIvgJIdIgIvddZIkpoa9haHvU0nKUy/5zjecY+Ao+JoHLOuhfb
oWWTUQFpTPSrtX2jjm4PksHHFCvE4deDLUYm84jFJCJdKnmPnuhQpnLLNpDjEcr/cm4Kb9t2gL5t
mAQY3/gC/riRoHFJgFjpCMclNLkHgLMF/JIgvlsg6sz7u4FqQvfMQ1BldE6ZVlhBqNypw3ai8obT
3XNRTGVz2GHbd5UI+3w0LOlnFJu5QwnT16eMF7gg5AcTlbjWe2QHOamhUhlEleQYfSSQW1XGlDX9
C5cyqPtzcXyxqSc/LaN0bmN5mKAw5e6Svc5chsHyY7g2R+jaF3Cx/ZH2LiMDmqeMXYtnQsFI5Db9
ecJJPfHc88DHQYtnQFXV7v9i3YslmqJ4PRK+hNxqHAFR2hIzYdOY4DBHrkrHdvA0/8JXijUIcL5y
nhcHLEZeM3uwj/8Tf3Xs/uZSl6E0GmXvriQxFn/W0bC8KcsmJPyznP2iH39b6RNoR8TgeCXybN80
KUqaWxqSbeSVc8QbDiHwoaBK4MIKK0Xu9vRDR7KhnwFBr5u1U2K92oD/PPQVYMPkcrmNHx9KSR0T
7ky+NgQCQ6s0dtTotqMT/E+7hdaqPPPdsrF2Vt2Vz3yKq3VmQCdPlxdePLOYUiySs7Viyot5JZYf
IjMmIt1S4gMXUY8pk36Njfvh6MKru7cocjY1qGZfiv6hGRylro8H6Ru3A/y5hzx9UmHBnOD+LwIc
3b1Ar1K0BT4GjhT1WcYb5iF8JDRNuMs5hD2BUBjFM+kBsV4pctGbcf6srnazhV7a3PcZjU4wf0qH
DFUk5UVMHgxZ20VRByq7s/+jA1TEjhkcRs3zTLc4VBBkrUe2PeOeRK8A9xcDaDQGdWI2CJVWUtUe
s+Se6g9jYlmpoLVjTwPeyL1yAyj/z1I71saT/gndNUVYnr3uZeMDbVTiY5l4PxMu2s5ZrRICPmWq
sv3JPVcfhLoCsCvGvW825jAO4ANLMswG+Eo17l0yARs2czIbUQCG/YmR1+Q1eDWGjW1Y+6JQQydb
87R9wyAD3iVgSL8Xusp9DlFuXcRg700cYSLG8QoWxLTp3Nut73dEMfFz9vfkNh4gB3omcaAahXLq
j0csbGCf5/DduRj0Lw3fTSsIaSygbRuYOSmWVsP8+gEDVUyjW8N6uCEdQunK6S8DdMuid1A7YFyu
ZEYT1GXMfQvVHxjqYp2uuG33NTYwBHqR5ICRCu2lpGr3a8WqKOIZisBKOQKuXZHeiq0Y+YIVZvng
IkMZBjtnZjCZ4v4QG02LklWM1Gb86CNpXm1CQ61jhsNzR2dNNBDEFOA1wrNB2RYJKYR+IW1vBE4j
r/aTPBtWvbd3FIz9vqT0eqYr0YQDjqRrgFIZ9DyLwqWlooaNs+DCEKLLjT95AQEMM+bNQbvQEVb3
/s+NR2r8PgNTGhdpylk9AJ+oxX1ebW10EtpvFN0mxkamcGuV+trYm/lvtkzB3XyLSdVqNujuU0wC
LOcDKhOSrEtO3pk7IZ+YFznml3kRovTZkt4m8/SZFwWKlB1f4+UN9at0TXR6rK5IwE30KmOQLLh/
ExtItt+mH9F5Hq8FI1cB07+/M2ey79QdKjWtgQvThirELGzv/YRX5khpf5ksPp5/iHKe4Xu/sCUF
IGA+kFatH1gEGcRf+G6Ghv4TDS5FI5+KdgYT5gf5xX+OuZbZBGOfG3BfL3a/Qoj4Ut3mQ7AVlOwd
5Bk4tjN6jziYGz0NzrJ9XH/Fc7sdIvetciLV4DzJJC+wJWobr20G6t/4RpxWS0d6lDUxjmZxK7Sg
L3CZT5uet2b9EkKXRJp49yzYOsXIZ2Gkwyk6BCKxgfYU3TlW2gDCc1cqfPMRAEVUzQQpwy9zIx1/
9K1IbRQn2b50aH0nebYilcap8bgDxUdFy2r5nFnDw2zLol4WB6ioXHaawCAAGUs0ChWhV6UrJigh
JTbZkv3BJI+UdqI++4iP/dmgzDci7nWTG54bQouO/ZdhkwdLHqoEaeydQaWycfTWVHMR5nofzKfi
1vcOxwDfU7pAwhcgiDMUYlQgb07Oxdp+kaCXlLylBpeWNmH0xTUKfcuRXH0mUZahanuTdoeSEQmJ
SLxMCaehhtXUbxzhmsaGeOb5pL6PyJRUAZOxkYnRCwFuOHDZVNOogXVIfLNcu6MQ8C5tzfiZz9eJ
GOxkIzqMYjK6uRI5sIiLS+v8qWw0qSLLKGLhIKytALyMgIMKdi1v4pjrPv12R3bhteN10C4y1ASO
tKjWvflO8nfZ6y7iGPCLD2vnQlUGLoyWDhdGGGs332vJeBkhh0STT/tEgVQD72QwFlRvy0eP1uUD
fmJmjrRLFgmCtaH3JGwaK1F8RcYCArQYKEoeiUSC5hrTm298kPICF6DrlyUfYb2eHQ4n3SY1YG2g
4BlX0YHVdLNozSVJrHzbuwsYr1tiRzA4ozgXuMYql6m7CXJYQ6+664ogz+J6Ki6oKvvq6stAwqub
9bIhv34hwsnPqSeHhyewsteaGxYZ2EWlNG6zwO/eQP677yGHpMCrQ9a1kkc37jTteYV4hE5P0LX6
/El3PFYRS+9C8OPiZNQ/HQmXDO7PWzRENOxGhkCpp0VzA9xuG49pWlkxCTf9OJAyCjazS0szDjg/
XqDMpmfSHKYMDoj6KABTbM+AW9YVwQovkPyejhlhwfsTFNfeqYakLMDsQLUWi/1uNmXNH5KSA7gx
EqP66SL5V1IH0BTCwOcfpUvkv2iSezu/NHYSPLVdjNYXNwNZBLRkd4ZAkBXMmb+cPVp5HgEN3oY6
sYwj7wqzYLC7AZyy8PdTb1qNrsNnR0AaLxTlxCDicPDF5JgvvcEQ1WnyZsniKPnCsEZddeEwqlnS
2jLhlq5uhftcttFOGqr7WVYb09xHU8NkeWW7Eyoa25u1lurPwjYV6rIsffZDxvLxvp5rBqKlPt6m
uAHw2rEUWLfbTnwkxlug1tX90+VrU8aJeEJDy1sWt/41G4Zv6nnU/vSElNfj3reAaQZ88MQMnCwQ
Ya9YU9UOzWhIFgPYBR3r3KtH1XmUVB8znsPZQBY08f3IcomferLVrHnUAEOPH3zrHtPGjpbvTAQs
tdN6rwcBT4EJaidUHvzeP/5vHVatUK3muWq9GR9t/1HKwc/siIsFZEypHf9fkwsK7SvOCEqNfPUL
k/fPWI55cR1LnZflyNo9WlzsvdX4CHWC6BZlQLzkgoM3cJg40uZmmPhiC5/t1RHvIfZxNuST9yYM
fVHMIXX2iv4AHaY73dyciIlMziigYcwH0dllRMFWUe2W3Hz2CjpLJDRV9kccFL7p0CfuPH6AiCCa
y6cP/Qmpzlc6v8gpEWEaWjkPpcVduzCPhH1rx9Xp084XRfpubi+o0EfbSrLlINuWqa0kKUG5wlD6
Bb2Z1E2D5e5dh8TCjVgu2XbtAOa3wfF4phOO/ox2LHAHL4S7/G+FGes/lxd9SlZI0YR6zWpnsjrv
WApAsbcQ4KZlqiTxihH83TTIoOCXsJUMvAZQnAu7jL8NH6L9hmpfaaK7QLLlkn+v3xNBorWPqGfO
nJQvn7OX3aeUJPeE6ngycGCCsSSMynq9bW0llV/s04+s9+y1bR201WQ0C5QegjPBb921eYuRTuaV
vDQLRibroAZ2DpFbU7du6pTcyzzMPzVAGKUc3Qwr1e8VAcXYjlRmnYFFrCeIgX5wLfMomjAhh3yH
0G+txiNhfHSPKTFiQBL65MiH2Rp8AYUMQLiEHKnG2boshzLxoBmsgqTcH00phlUP/14mbQUqWJro
yHBWjtK49URp5XcuS0+9FBas3OV+gmo0lgfqs2kx7TJV1oGCU6I+HJ7nTriFbGi4MHUHGbi3erVY
mmrhnOjoZr7/nAzJ2+1s0OjQo+J0mcSUU7amJ0mOSqK+IwjxfmLxIccTTM75moYlftxgUCAIko+V
h7WIl30NQsDTz6t30hg0cG2ADt7AJtqauIA+2sMPqjrNnQhQjolviXKV873EaNaX5YDh38r/uleG
L+yBd1GwcIniQqa7GISYj+wL1dOgEMuBHi13pcez67uHe/lFqbMZjNTQP/eaREXZmmJ8dE6K/EtD
R98z7tbdTNl/MN/8Mi4o3E41GZp9XfQ9RRzVc+QFIOVJqcOIb1nqdtwpZuAEFYfG6AXjcxNUJkee
6qJ2jyX8ZFy+CCOaWHKHXubz2foZjo2hqrMclvHvgvZ0iT5y/pjVEQqAUKFbRnGPXe4HJXGMaeAF
dc1YtXSx9bOdV5r+UCvMfhY9EQxzdmpa72dggesu46YjBalPBoD79ddiWvCsKhu1XIfHLBhuPz10
csXyHQ4jGxh6i847BoBy1+Q0343VQKmoeyfLR6D7aTuON5SWQ5h6BrjmJx51SK67JHeDdHX4O4Yp
DCj44KuUoSF2LgkNs//LYGQV/+QXUm1mM8sbQM1yLh0UoLjt67dpZyTDC0Ls70ezbj6BF2c2cAnD
2tMC1E/FbEm19ikuBAMHVNYtyyLa615HAKNNUftxD29QQLV16IQrtWwTt2QRkb3DhDSaCo7zXPs5
yGJ3u/3BUg6cEbaeShAl6Pg0RnYMvLBE6in92wZhBG0JbuTC688oqD4NC7oey8Q5FcFtNXJvNVX8
DOa1EJL5cBDuKWMoX4RtiObOaJVneXi0elbeMsU1oBX9ZF1GdlHLrVYAFO9xZbO/k8K77k587m32
Ekg0DAS965WTkGUY41W5zPvw55pzLbQfSo9E29gdVzH4CRRNCmFNU5xNIJlxa7u/31R28yp7Dy0z
HpiyeuZ48AlGgWZSFZghkf2tohrTzfqxP488wCzvqKXwEjOkQCCCfbRyLZGliox9ZGaPQ2nfEoel
8z0xqhm0oaOF5LSfUeHy4QTIIMx0gwmWYrg9I2MJ2MGVvXo9mb9fTIUwuxoW1MdxZEegmy35J5yD
zSEV+Ex7aGs3NPP74/tesKL/jxo9ZfCa+GrN1w+wAA2jOQ6kkpIA1fl1JOIWnFaK70WKl8nBMRbI
2LfV+hCiZpIcgCugPDkuyFfojaBu+wmRhjmMSo5W5CtZ7WRAZ7olNjr3rYsjUTWfnNqbmfpztw0M
C9TLDhLET62BCxU2SdggW1siIAPW+nkpHnZWYHzBcdkov6UGdOUDAk+vSrRLlgwDsgFFNdkzaD7U
EJb9TRXBj/IWNffPZQEUfwr8ZfgrsXvTyZv1RJtP8nOtKn5Rb9VK2/3QTJftP+KIBfKsl5gR7QVs
vKKqObPUk8wNRawTFBDfGyplXldchHSshZE6RHgVn4+DTju6qBx7btJAhhqGP/in0VgpMjHTqRVP
mKNjbdszSLjvoYcgid+sJAWwh5gG495iLtz1DB6aqTE3EWVAkAUeng2IxUPBSHeGs9ETpFJk8299
ptGhsXqSCex3YYbXRb7SFsySC/jgUuiQFlwbTCoBIpJe538uN722Kam0DK2Nc6F0sADOe2eZ5WTJ
QQHkXl/8E0bFzL8lOCS0iQntiDfmJTWp2QujK2hadY4I4wcsi+Q7XjNF+SRgYsiU1hcOMmzkkQ47
sX5njWNOqjfE0fs+HUInuEtne4ll93a5xRH3B6y47PN0ow294P8Er6Jzkh85D1GXN7uTHz9Hqu8s
vbSPDjzzv5WvrJ5N4TzwpHYL++18i3WFmj39f/UiCZqLPaBiQH8pQQkSUk2ctWSgIgfwDJsMIik9
kbKpjN56OyPO6/TZk8/UHAoJIKYk5t1ivyN9THW/fqEsxL/YXR1GzRnWAkmzhdKHuE3/EJnjHreZ
tjrHOyyYJDCcJkGUv5g9rdSIKkhvA8AWiJA5nz/+tJeGT0db0zHu8elCRrp4kJnGiCSv5XlZDqUy
2R7kuaFhPFQbI2IS4XunHdTImYBQ9wjOs6Rj0E8aPlCYQqgQY9zF+fVyGLYS6/YnmCZ+P4Z1K6hN
P1lmgVJrwfWwNJ1FzoVJdQGB6z5CakuVdRCCfcWUmZocmglvpw05xgi5RFQiXcm9BhNllagjMdDs
cx4l+0HBLsy+XYnLj6yHDfoqoGLO0xMiXv1mSZiprdP020otsI3LWhZY1G0CNSy/2bJb7iWC0TOo
QSYLx7mB+h4++XyS3XFKCglfwgD3WJ15NKWvW2oxqbEPNUuMi/S+0jtcYkSSoj9GgNzWhkO7bncm
buw2VIdSYK442PCPiv4iKDHb0SAcJk+7wDpgMkh2QbpYnvEIMIuoIgE10OhW4CLoeXLxzohZ+jAs
jqXN17LVhxGfDfO6Pau+ykWwOoBDhozE
`protect end_protected
| mit | e1b5f5e8abacbb928e36c41fb2d07b95 | 0.953969 | 1.814115 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_axi_dma_0_0/synth/system_axi_dma_0_0.vhd | 1 | 31,671 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_dma:7.1
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_dma_v7_1_12;
USE axi_dma_v7_1_12.axi_dma;
ENTITY system_axi_dma_0_0 IS
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END system_axi_dma_0_0;
ARCHITECTURE system_axi_dma_0_0_arch OF system_axi_dma_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_dma_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_dma IS
GENERIC (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_ENABLE_MULTI_CHANNEL : INTEGER;
C_NUM_MM2S_CHANNELS : INTEGER;
C_NUM_S2MM_CHANNELS : INTEGER;
C_INCLUDE_SG : INTEGER;
C_SG_INCLUDE_STSCNTRL_STRM : INTEGER;
C_SG_USE_STSAPP_LENGTH : INTEGER;
C_SG_LENGTH_WIDTH : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER;
C_MICRO_DMA : INTEGER;
C_INCLUDE_MM2S : INTEGER;
C_INCLUDE_MM2S_SF : INTEGER;
C_MM2S_BURST_SIZE : INTEGER;
C_M_AXI_MM2S_ADDR_WIDTH : INTEGER;
C_M_AXI_MM2S_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER;
C_INCLUDE_MM2S_DRE : INTEGER;
C_INCLUDE_S2MM : INTEGER;
C_INCLUDE_S2MM_SF : INTEGER;
C_S2MM_BURST_SIZE : INTEGER;
C_M_AXI_S2MM_ADDR_WIDTH : INTEGER;
C_M_AXI_S2MM_DATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER;
C_INCLUDE_S2MM_DRE : INTEGER;
C_FAMILY : STRING
);
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
mm2s_cntrl_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC;
m_axis_mm2s_cntrl_tready : IN STD_LOGIC;
m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s2mm_sts_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_sts_tvalid : IN STD_LOGIC;
s_axis_s2mm_sts_tready : OUT STD_LOGIC;
s_axis_s2mm_sts_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_dma;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_axi_dma_0_0_arch: ARCHITECTURE IS "axi_dma,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_axi_dma_0_0_arch : ARCHITECTURE IS "system_axi_dma_0_0,axi_dma,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_axi_dma_0_0_arch: ARCHITECTURE IS "system_axi_dma_0_0,axi_dma,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_dma,x_ipVersion=7.1,x_ipCoreRevision=12,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=10,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=0,C_ENABLE_MULTI_CHANNEL=0,C_NUM_MM2S_CHANNELS=1,C_NUM_S2MM_CHANNELS=1,C_INCLUDE_SG=1,C_SG_INCLUDE_STSCNTRL_STRM=0,C_SG_USE_STSAPP_LENGTH=0,C_SG_LENGTH_WIDTH=14,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH=32,C_M_A" &
"XIS_MM2S_CNTRL_TDATA_WIDTH=32,C_S_AXIS_S2MM_STS_TDATA_WIDTH=32,C_MICRO_DMA=0,C_INCLUDE_MM2S=1,C_INCLUDE_MM2S_SF=1,C_MM2S_BURST_SIZE=16,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=32,C_M_AXIS_MM2S_TDATA_WIDTH=32,C_INCLUDE_MM2S_DRE=0,C_INCLUDE_S2MM=1,C_INCLUDE_S2MM_SF=1,C_S2MM_BURST_SIZE=16,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=32,C_S_AXIS_S2MM_TDATA_WIDTH=32,C_INCLUDE_S2MM_DRE=0,C_FAMILY=zynq}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_SG_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG BREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_sg_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_SG RREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 MM2S_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT";
BEGIN
U0 : axi_dma
GENERIC MAP (
C_S_AXI_LITE_ADDR_WIDTH => 10,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 125,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_ENABLE_MULTI_CHANNEL => 0,
C_NUM_MM2S_CHANNELS => 1,
C_NUM_S2MM_CHANNELS => 1,
C_INCLUDE_SG => 1,
C_SG_INCLUDE_STSCNTRL_STRM => 0,
C_SG_USE_STSAPP_LENGTH => 0,
C_SG_LENGTH_WIDTH => 14,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32,
C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32,
C_MICRO_DMA => 0,
C_INCLUDE_MM2S => 1,
C_INCLUDE_MM2S_SF => 1,
C_MM2S_BURST_SIZE => 16,
C_M_AXI_MM2S_ADDR_WIDTH => 32,
C_M_AXI_MM2S_DATA_WIDTH => 32,
C_M_AXIS_MM2S_TDATA_WIDTH => 32,
C_INCLUDE_MM2S_DRE => 0,
C_INCLUDE_S2MM => 1,
C_INCLUDE_S2MM_SF => 1,
C_S2MM_BURST_SIZE => 16,
C_M_AXI_S2MM_ADDR_WIDTH => 32,
C_M_AXI_S2MM_DATA_WIDTH => 32,
C_S_AXIS_S2MM_TDATA_WIDTH => 32,
C_INCLUDE_S2MM_DRE => 0,
C_FAMILY => "zynq"
)
PORT MAP (
s_axi_lite_aclk => s_axi_lite_aclk,
m_axi_sg_aclk => m_axi_sg_aclk,
m_axi_mm2s_aclk => m_axi_mm2s_aclk,
m_axi_s2mm_aclk => m_axi_s2mm_aclk,
axi_resetn => axi_resetn,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
m_axi_sg_awaddr => m_axi_sg_awaddr,
m_axi_sg_awlen => m_axi_sg_awlen,
m_axi_sg_awsize => m_axi_sg_awsize,
m_axi_sg_awburst => m_axi_sg_awburst,
m_axi_sg_awprot => m_axi_sg_awprot,
m_axi_sg_awcache => m_axi_sg_awcache,
m_axi_sg_awvalid => m_axi_sg_awvalid,
m_axi_sg_awready => m_axi_sg_awready,
m_axi_sg_wdata => m_axi_sg_wdata,
m_axi_sg_wstrb => m_axi_sg_wstrb,
m_axi_sg_wlast => m_axi_sg_wlast,
m_axi_sg_wvalid => m_axi_sg_wvalid,
m_axi_sg_wready => m_axi_sg_wready,
m_axi_sg_bresp => m_axi_sg_bresp,
m_axi_sg_bvalid => m_axi_sg_bvalid,
m_axi_sg_bready => m_axi_sg_bready,
m_axi_sg_araddr => m_axi_sg_araddr,
m_axi_sg_arlen => m_axi_sg_arlen,
m_axi_sg_arsize => m_axi_sg_arsize,
m_axi_sg_arburst => m_axi_sg_arburst,
m_axi_sg_arprot => m_axi_sg_arprot,
m_axi_sg_arcache => m_axi_sg_arcache,
m_axi_sg_arvalid => m_axi_sg_arvalid,
m_axi_sg_arready => m_axi_sg_arready,
m_axi_sg_rdata => m_axi_sg_rdata,
m_axi_sg_rresp => m_axi_sg_rresp,
m_axi_sg_rlast => m_axi_sg_rlast,
m_axi_sg_rvalid => m_axi_sg_rvalid,
m_axi_sg_rready => m_axi_sg_rready,
m_axi_mm2s_araddr => m_axi_mm2s_araddr,
m_axi_mm2s_arlen => m_axi_mm2s_arlen,
m_axi_mm2s_arsize => m_axi_mm2s_arsize,
m_axi_mm2s_arburst => m_axi_mm2s_arburst,
m_axi_mm2s_arprot => m_axi_mm2s_arprot,
m_axi_mm2s_arcache => m_axi_mm2s_arcache,
m_axi_mm2s_arvalid => m_axi_mm2s_arvalid,
m_axi_mm2s_arready => m_axi_mm2s_arready,
m_axi_mm2s_rdata => m_axi_mm2s_rdata,
m_axi_mm2s_rresp => m_axi_mm2s_rresp,
m_axi_mm2s_rlast => m_axi_mm2s_rlast,
m_axi_mm2s_rvalid => m_axi_mm2s_rvalid,
m_axi_mm2s_rready => m_axi_mm2s_rready,
mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n,
m_axis_mm2s_tdata => m_axis_mm2s_tdata,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid,
m_axis_mm2s_tready => m_axis_mm2s_tready,
m_axis_mm2s_tlast => m_axis_mm2s_tlast,
m_axis_mm2s_cntrl_tready => '0',
m_axi_s2mm_awaddr => m_axi_s2mm_awaddr,
m_axi_s2mm_awlen => m_axi_s2mm_awlen,
m_axi_s2mm_awsize => m_axi_s2mm_awsize,
m_axi_s2mm_awburst => m_axi_s2mm_awburst,
m_axi_s2mm_awprot => m_axi_s2mm_awprot,
m_axi_s2mm_awcache => m_axi_s2mm_awcache,
m_axi_s2mm_awvalid => m_axi_s2mm_awvalid,
m_axi_s2mm_awready => m_axi_s2mm_awready,
m_axi_s2mm_wdata => m_axi_s2mm_wdata,
m_axi_s2mm_wstrb => m_axi_s2mm_wstrb,
m_axi_s2mm_wlast => m_axi_s2mm_wlast,
m_axi_s2mm_wvalid => m_axi_s2mm_wvalid,
m_axi_s2mm_wready => m_axi_s2mm_wready,
m_axi_s2mm_bresp => m_axi_s2mm_bresp,
m_axi_s2mm_bvalid => m_axi_s2mm_bvalid,
m_axi_s2mm_bready => m_axi_s2mm_bready,
s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n,
s_axis_s2mm_tdata => s_axis_s2mm_tdata,
s_axis_s2mm_tkeep => s_axis_s2mm_tkeep,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid,
s_axis_s2mm_tready => s_axis_s2mm_tready,
s_axis_s2mm_tlast => s_axis_s2mm_tlast,
s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_s2mm_sts_tkeep => X"F",
s_axis_s2mm_sts_tvalid => '0',
s_axis_s2mm_sts_tlast => '0',
mm2s_introut => mm2s_introut,
s2mm_introut => s2mm_introut,
axi_dma_tstvec => axi_dma_tstvec
);
END system_axi_dma_0_0_arch;
| mit | 7d427c13e5092c6d24b38b18fdb76537 | 0.681886 | 2.755917 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_clk_wiz_1_0/system_clk_wiz_1_0_sim_netlist.vhdl | 1 | 5,435 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun Jun 04 15:55:33 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_clk_wiz_1_0 -prefix
-- system_clk_wiz_1_0_ system_clk_wiz_1_0_sim_netlist.vhdl
-- Design : system_clk_wiz_1_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz is
port (
clk_out1 : out STD_LOGIC;
clk_in1 : in STD_LOGIC
);
end system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz;
architecture STRUCTURE of system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz is
signal clk_in1_system_clk_wiz_1_0 : STD_LOGIC;
signal clk_out1_system_clk_wiz_1_0 : STD_LOGIC;
signal clkfbout_buf_system_clk_wiz_1_0 : STD_LOGIC;
signal clkfbout_system_clk_wiz_1_0 : STD_LOGIC;
signal NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC;
signal NLW_plle2_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
attribute BOX_TYPE of plle2_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_system_clk_wiz_1_0,
O => clkfbout_buf_system_clk_wiz_1_0
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1,
O => clk_in1_system_clk_wiz_1_0
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_out1_system_clk_wiz_1_0,
O => clk_out1
);
plle2_adv_inst: unisim.vcomponents.PLLE2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT => 10,
CLKFBOUT_PHASE => 0.000000,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE => 5,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.010000,
STARTUP_WAIT => "FALSE"
)
port map (
CLKFBIN => clkfbout_buf_system_clk_wiz_1_0,
CLKFBOUT => clkfbout_system_clk_wiz_1_0,
CLKIN1 => clk_in1_system_clk_wiz_1_0,
CLKIN2 => '0',
CLKINSEL => '1',
CLKOUT0 => clk_out1_system_clk_wiz_1_0,
CLKOUT1 => NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT2 => NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT3 => NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT4 => NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED,
DADDR(6 downto 0) => B"0000000",
DCLK => '0',
DEN => '0',
DI(15 downto 0) => B"0000000000000000",
DO(15 downto 0) => NLW_plle2_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_plle2_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => NLW_plle2_adv_inst_LOCKED_UNCONNECTED,
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_clk_wiz_1_0 is
port (
clk_out1 : out STD_LOGIC;
clk_in1 : in STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_clk_wiz_1_0 : entity is true;
end system_clk_wiz_1_0;
architecture STRUCTURE of system_clk_wiz_1_0 is
begin
inst: entity work.system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz
port map (
clk_in1 => clk_in1,
clk_out1 => clk_out1
);
end STRUCTURE;
| mit | 86ea9436f0dd127605c8fff99646738c | 0.632015 | 3.264264 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_rgb888_to_g8_0_0/system_rgb888_to_g8_0_0_sim_netlist.vhdl | 1 | 158,108 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Tue Jun 06 02:48:41 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_rgb888_to_g8_0_0/system_rgb888_to_g8_0_0_sim_netlist.vhdl
-- Design : system_rgb888_to_g8_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb888_to_g8_0_0_rgb888_to_g8 is
port (
g8 : out STD_LOGIC_VECTOR ( 7 downto 0 );
clk : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_rgb888_to_g8_0_0_rgb888_to_g8 : entity is "rgb888_to_g8";
end system_rgb888_to_g8_0_0_rgb888_to_g8;
architecture STRUCTURE of system_rgb888_to_g8_0_0_rgb888_to_g8 is
signal \_carry__0_i_1_n_0\ : STD_LOGIC;
signal \_carry__0_i_2_n_0\ : STD_LOGIC;
signal \_carry__0_i_3_n_0\ : STD_LOGIC;
signal \_carry__0_i_4_n_0\ : STD_LOGIC;
signal \_carry__0_n_0\ : STD_LOGIC;
signal \_carry__0_n_1\ : STD_LOGIC;
signal \_carry__0_n_2\ : STD_LOGIC;
signal \_carry__0_n_3\ : STD_LOGIC;
signal \_carry__1_i_1_n_0\ : STD_LOGIC;
signal \_carry__1_n_2\ : STD_LOGIC;
signal \_carry_i_1_n_0\ : STD_LOGIC;
signal \_carry_i_2_n_0\ : STD_LOGIC;
signal \_carry_i_3_n_0\ : STD_LOGIC;
signal \_carry_i_4_n_0\ : STD_LOGIC;
signal \_carry_i_5_n_0\ : STD_LOGIC;
signal \_carry_n_0\ : STD_LOGIC;
signal \_carry_n_1\ : STD_LOGIC;
signal \_carry_n_2\ : STD_LOGIC;
signal \_carry_n_3\ : STD_LOGIC;
signal g810_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \g81__120_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_n_0\ : STD_LOGIC;
signal \g81__120_carry__0_n_1\ : STD_LOGIC;
signal \g81__120_carry__0_n_2\ : STD_LOGIC;
signal \g81__120_carry__0_n_3\ : STD_LOGIC;
signal \g81__120_carry__0_n_4\ : STD_LOGIC;
signal \g81__120_carry__0_n_5\ : STD_LOGIC;
signal \g81__120_carry__0_n_6\ : STD_LOGIC;
signal \g81__120_carry__0_n_7\ : STD_LOGIC;
signal \g81__120_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_n_0\ : STD_LOGIC;
signal \g81__120_carry__1_n_1\ : STD_LOGIC;
signal \g81__120_carry__1_n_2\ : STD_LOGIC;
signal \g81__120_carry__1_n_3\ : STD_LOGIC;
signal \g81__120_carry__1_n_4\ : STD_LOGIC;
signal \g81__120_carry__1_n_5\ : STD_LOGIC;
signal \g81__120_carry__1_n_6\ : STD_LOGIC;
signal \g81__120_carry__1_n_7\ : STD_LOGIC;
signal \g81__120_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry__2_n_1\ : STD_LOGIC;
signal \g81__120_carry__2_n_3\ : STD_LOGIC;
signal \g81__120_carry__2_n_6\ : STD_LOGIC;
signal \g81__120_carry__2_n_7\ : STD_LOGIC;
signal \g81__120_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__120_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__120_carry_n_0\ : STD_LOGIC;
signal \g81__120_carry_n_1\ : STD_LOGIC;
signal \g81__120_carry_n_2\ : STD_LOGIC;
signal \g81__120_carry_n_3\ : STD_LOGIC;
signal \g81__120_carry_n_4\ : STD_LOGIC;
signal \g81__120_carry_n_5\ : STD_LOGIC;
signal \g81__120_carry_n_6\ : STD_LOGIC;
signal \g81__149_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_n_0\ : STD_LOGIC;
signal \g81__149_carry__0_n_1\ : STD_LOGIC;
signal \g81__149_carry__0_n_2\ : STD_LOGIC;
signal \g81__149_carry__0_n_3\ : STD_LOGIC;
signal \g81__149_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_n_0\ : STD_LOGIC;
signal \g81__149_carry__1_n_1\ : STD_LOGIC;
signal \g81__149_carry__1_n_2\ : STD_LOGIC;
signal \g81__149_carry__1_n_3\ : STD_LOGIC;
signal \g81__149_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_i_8_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_n_0\ : STD_LOGIC;
signal \g81__149_carry__2_n_1\ : STD_LOGIC;
signal \g81__149_carry__2_n_2\ : STD_LOGIC;
signal \g81__149_carry__2_n_3\ : STD_LOGIC;
signal \g81__149_carry__2_n_4\ : STD_LOGIC;
signal \g81__149_carry__2_n_5\ : STD_LOGIC;
signal \g81__149_carry__2_n_6\ : STD_LOGIC;
signal \g81__149_carry__2_n_7\ : STD_LOGIC;
signal \g81__149_carry__3_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_n_0\ : STD_LOGIC;
signal \g81__149_carry__3_n_1\ : STD_LOGIC;
signal \g81__149_carry__3_n_2\ : STD_LOGIC;
signal \g81__149_carry__3_n_3\ : STD_LOGIC;
signal \g81__149_carry__3_n_4\ : STD_LOGIC;
signal \g81__149_carry__3_n_5\ : STD_LOGIC;
signal \g81__149_carry__3_n_6\ : STD_LOGIC;
signal \g81__149_carry__3_n_7\ : STD_LOGIC;
signal \g81__149_carry__4_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_n_0\ : STD_LOGIC;
signal \g81__149_carry__4_n_2\ : STD_LOGIC;
signal \g81__149_carry__4_n_3\ : STD_LOGIC;
signal \g81__149_carry__4_n_5\ : STD_LOGIC;
signal \g81__149_carry__4_n_6\ : STD_LOGIC;
signal \g81__149_carry__4_n_7\ : STD_LOGIC;
signal \g81__149_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__149_carry_i_7_n_0\ : STD_LOGIC;
signal \g81__149_carry_n_0\ : STD_LOGIC;
signal \g81__149_carry_n_1\ : STD_LOGIC;
signal \g81__149_carry_n_2\ : STD_LOGIC;
signal \g81__149_carry_n_3\ : STD_LOGIC;
signal \g81__206_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_n_0\ : STD_LOGIC;
signal \g81__206_carry__0_n_1\ : STD_LOGIC;
signal \g81__206_carry__0_n_2\ : STD_LOGIC;
signal \g81__206_carry__0_n_3\ : STD_LOGIC;
signal \g81__206_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_n_0\ : STD_LOGIC;
signal \g81__206_carry__1_n_1\ : STD_LOGIC;
signal \g81__206_carry__1_n_2\ : STD_LOGIC;
signal \g81__206_carry__1_n_3\ : STD_LOGIC;
signal \g81__206_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_n_0\ : STD_LOGIC;
signal \g81__206_carry__2_n_1\ : STD_LOGIC;
signal \g81__206_carry__2_n_2\ : STD_LOGIC;
signal \g81__206_carry__2_n_3\ : STD_LOGIC;
signal \g81__206_carry__2_n_4\ : STD_LOGIC;
signal \g81__206_carry__2_n_5\ : STD_LOGIC;
signal \g81__206_carry__2_n_6\ : STD_LOGIC;
signal \g81__206_carry__2_n_7\ : STD_LOGIC;
signal \g81__206_carry__3_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_i_8_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_n_0\ : STD_LOGIC;
signal \g81__206_carry__3_n_1\ : STD_LOGIC;
signal \g81__206_carry__3_n_2\ : STD_LOGIC;
signal \g81__206_carry__3_n_3\ : STD_LOGIC;
signal \g81__206_carry__3_n_4\ : STD_LOGIC;
signal \g81__206_carry__3_n_5\ : STD_LOGIC;
signal \g81__206_carry__3_n_6\ : STD_LOGIC;
signal \g81__206_carry__3_n_7\ : STD_LOGIC;
signal \g81__206_carry__4_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_n_0\ : STD_LOGIC;
signal \g81__206_carry__4_n_2\ : STD_LOGIC;
signal \g81__206_carry__4_n_3\ : STD_LOGIC;
signal \g81__206_carry__4_n_5\ : STD_LOGIC;
signal \g81__206_carry__4_n_6\ : STD_LOGIC;
signal \g81__206_carry__4_n_7\ : STD_LOGIC;
signal \g81__206_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__206_carry_i_7_n_0\ : STD_LOGIC;
signal \g81__206_carry_n_0\ : STD_LOGIC;
signal \g81__206_carry_n_1\ : STD_LOGIC;
signal \g81__206_carry_n_2\ : STD_LOGIC;
signal \g81__206_carry_n_3\ : STD_LOGIC;
signal \g81__22_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_n_0\ : STD_LOGIC;
signal \g81__22_carry__0_n_1\ : STD_LOGIC;
signal \g81__22_carry__0_n_2\ : STD_LOGIC;
signal \g81__22_carry__0_n_3\ : STD_LOGIC;
signal \g81__22_carry__0_n_4\ : STD_LOGIC;
signal \g81__22_carry__0_n_5\ : STD_LOGIC;
signal \g81__22_carry__0_n_6\ : STD_LOGIC;
signal \g81__22_carry__0_n_7\ : STD_LOGIC;
signal \g81__22_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_n_0\ : STD_LOGIC;
signal \g81__22_carry__1_n_1\ : STD_LOGIC;
signal \g81__22_carry__1_n_2\ : STD_LOGIC;
signal \g81__22_carry__1_n_3\ : STD_LOGIC;
signal \g81__22_carry__1_n_4\ : STD_LOGIC;
signal \g81__22_carry__1_n_5\ : STD_LOGIC;
signal \g81__22_carry__1_n_6\ : STD_LOGIC;
signal \g81__22_carry__1_n_7\ : STD_LOGIC;
signal \g81__22_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry__2_n_1\ : STD_LOGIC;
signal \g81__22_carry__2_n_3\ : STD_LOGIC;
signal \g81__22_carry__2_n_6\ : STD_LOGIC;
signal \g81__22_carry__2_n_7\ : STD_LOGIC;
signal \g81__22_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__22_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__22_carry_n_0\ : STD_LOGIC;
signal \g81__22_carry_n_1\ : STD_LOGIC;
signal \g81__22_carry_n_2\ : STD_LOGIC;
signal \g81__22_carry_n_3\ : STD_LOGIC;
signal \g81__22_carry_n_4\ : STD_LOGIC;
signal \g81__22_carry_n_5\ : STD_LOGIC;
signal \g81__22_carry_n_6\ : STD_LOGIC;
signal \g81__261_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_n_0\ : STD_LOGIC;
signal \g81__261_carry__0_n_1\ : STD_LOGIC;
signal \g81__261_carry__0_n_2\ : STD_LOGIC;
signal \g81__261_carry__0_n_3\ : STD_LOGIC;
signal \g81__261_carry__0_n_4\ : STD_LOGIC;
signal \g81__261_carry__0_n_5\ : STD_LOGIC;
signal \g81__261_carry__0_n_6\ : STD_LOGIC;
signal \g81__261_carry__0_n_7\ : STD_LOGIC;
signal \g81__261_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_n_0\ : STD_LOGIC;
signal \g81__261_carry__1_n_1\ : STD_LOGIC;
signal \g81__261_carry__1_n_2\ : STD_LOGIC;
signal \g81__261_carry__1_n_3\ : STD_LOGIC;
signal \g81__261_carry__1_n_4\ : STD_LOGIC;
signal \g81__261_carry__1_n_5\ : STD_LOGIC;
signal \g81__261_carry__1_n_6\ : STD_LOGIC;
signal \g81__261_carry__1_n_7\ : STD_LOGIC;
signal \g81__261_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry__2_n_1\ : STD_LOGIC;
signal \g81__261_carry__2_n_3\ : STD_LOGIC;
signal \g81__261_carry__2_n_6\ : STD_LOGIC;
signal \g81__261_carry__2_n_7\ : STD_LOGIC;
signal \g81__261_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__261_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__261_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__261_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__261_carry_n_0\ : STD_LOGIC;
signal \g81__261_carry_n_1\ : STD_LOGIC;
signal \g81__261_carry_n_2\ : STD_LOGIC;
signal \g81__261_carry_n_3\ : STD_LOGIC;
signal \g81__261_carry_n_4\ : STD_LOGIC;
signal \g81__261_carry_n_5\ : STD_LOGIC;
signal \g81__261_carry_n_6\ : STD_LOGIC;
signal \g81__261_carry_n_7\ : STD_LOGIC;
signal \g81__301_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_n_0\ : STD_LOGIC;
signal \g81__301_carry__0_n_1\ : STD_LOGIC;
signal \g81__301_carry__0_n_2\ : STD_LOGIC;
signal \g81__301_carry__0_n_3\ : STD_LOGIC;
signal \g81__301_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_i_9_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_n_0\ : STD_LOGIC;
signal \g81__301_carry__1_n_1\ : STD_LOGIC;
signal \g81__301_carry__1_n_2\ : STD_LOGIC;
signal \g81__301_carry__1_n_3\ : STD_LOGIC;
signal \g81__301_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_n_0\ : STD_LOGIC;
signal \g81__301_carry__2_n_1\ : STD_LOGIC;
signal \g81__301_carry__2_n_2\ : STD_LOGIC;
signal \g81__301_carry__2_n_3\ : STD_LOGIC;
signal \g81__301_carry__3_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_n_0\ : STD_LOGIC;
signal \g81__301_carry__3_n_1\ : STD_LOGIC;
signal \g81__301_carry__3_n_2\ : STD_LOGIC;
signal \g81__301_carry__3_n_3\ : STD_LOGIC;
signal \g81__301_carry__4_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_n_0\ : STD_LOGIC;
signal \g81__301_carry__4_n_1\ : STD_LOGIC;
signal \g81__301_carry__4_n_2\ : STD_LOGIC;
signal \g81__301_carry__4_n_3\ : STD_LOGIC;
signal \g81__301_carry__5_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_i_8_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_n_0\ : STD_LOGIC;
signal \g81__301_carry__5_n_1\ : STD_LOGIC;
signal \g81__301_carry__5_n_2\ : STD_LOGIC;
signal \g81__301_carry__5_n_3\ : STD_LOGIC;
signal \g81__301_carry__6_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry__6_n_1\ : STD_LOGIC;
signal \g81__301_carry__6_n_2\ : STD_LOGIC;
signal \g81__301_carry__6_n_3\ : STD_LOGIC;
signal \g81__301_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__301_carry_i_7_n_0\ : STD_LOGIC;
signal \g81__301_carry_n_0\ : STD_LOGIC;
signal \g81__301_carry_n_1\ : STD_LOGIC;
signal \g81__301_carry_n_2\ : STD_LOGIC;
signal \g81__301_carry_n_3\ : STD_LOGIC;
signal \g81__347_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__347_carry__0_n_1\ : STD_LOGIC;
signal \g81__347_carry__0_n_2\ : STD_LOGIC;
signal \g81__347_carry__0_n_3\ : STD_LOGIC;
signal \g81__347_carry__0_n_4\ : STD_LOGIC;
signal \g81__347_carry__0_n_5\ : STD_LOGIC;
signal \g81__347_carry__0_n_6\ : STD_LOGIC;
signal \g81__347_carry__0_n_7\ : STD_LOGIC;
signal \g81__347_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__347_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__347_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__347_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__347_carry_n_0\ : STD_LOGIC;
signal \g81__347_carry_n_1\ : STD_LOGIC;
signal \g81__347_carry_n_2\ : STD_LOGIC;
signal \g81__347_carry_n_3\ : STD_LOGIC;
signal \g81__347_carry_n_4\ : STD_LOGIC;
signal \g81__347_carry_n_5\ : STD_LOGIC;
signal \g81__347_carry_n_6\ : STD_LOGIC;
signal \g81__347_carry_n_7\ : STD_LOGIC;
signal \g81__53_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_n_0\ : STD_LOGIC;
signal \g81__53_carry__0_n_1\ : STD_LOGIC;
signal \g81__53_carry__0_n_2\ : STD_LOGIC;
signal \g81__53_carry__0_n_3\ : STD_LOGIC;
signal \g81__53_carry__0_n_4\ : STD_LOGIC;
signal \g81__53_carry__0_n_5\ : STD_LOGIC;
signal \g81__53_carry__0_n_6\ : STD_LOGIC;
signal \g81__53_carry__0_n_7\ : STD_LOGIC;
signal \g81__53_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_n_0\ : STD_LOGIC;
signal \g81__53_carry__1_n_1\ : STD_LOGIC;
signal \g81__53_carry__1_n_2\ : STD_LOGIC;
signal \g81__53_carry__1_n_3\ : STD_LOGIC;
signal \g81__53_carry__1_n_4\ : STD_LOGIC;
signal \g81__53_carry__1_n_5\ : STD_LOGIC;
signal \g81__53_carry__1_n_6\ : STD_LOGIC;
signal \g81__53_carry__1_n_7\ : STD_LOGIC;
signal \g81__53_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry__2_n_1\ : STD_LOGIC;
signal \g81__53_carry__2_n_3\ : STD_LOGIC;
signal \g81__53_carry__2_n_6\ : STD_LOGIC;
signal \g81__53_carry__2_n_7\ : STD_LOGIC;
signal \g81__53_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__53_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__53_carry_n_0\ : STD_LOGIC;
signal \g81__53_carry_n_1\ : STD_LOGIC;
signal \g81__53_carry_n_2\ : STD_LOGIC;
signal \g81__53_carry_n_3\ : STD_LOGIC;
signal \g81__53_carry_n_4\ : STD_LOGIC;
signal \g81__53_carry_n_5\ : STD_LOGIC;
signal \g81__53_carry_n_6\ : STD_LOGIC;
signal \g81__92_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_n_0\ : STD_LOGIC;
signal \g81__92_carry__0_n_1\ : STD_LOGIC;
signal \g81__92_carry__0_n_2\ : STD_LOGIC;
signal \g81__92_carry__0_n_3\ : STD_LOGIC;
signal \g81__92_carry__0_n_4\ : STD_LOGIC;
signal \g81__92_carry__0_n_5\ : STD_LOGIC;
signal \g81__92_carry__0_n_6\ : STD_LOGIC;
signal \g81__92_carry__0_n_7\ : STD_LOGIC;
signal \g81__92_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_n_0\ : STD_LOGIC;
signal \g81__92_carry__1_n_1\ : STD_LOGIC;
signal \g81__92_carry__1_n_2\ : STD_LOGIC;
signal \g81__92_carry__1_n_3\ : STD_LOGIC;
signal \g81__92_carry__1_n_4\ : STD_LOGIC;
signal \g81__92_carry__1_n_5\ : STD_LOGIC;
signal \g81__92_carry__1_n_6\ : STD_LOGIC;
signal \g81__92_carry__1_n_7\ : STD_LOGIC;
signal \g81__92_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry__2_n_1\ : STD_LOGIC;
signal \g81__92_carry__2_n_3\ : STD_LOGIC;
signal \g81__92_carry__2_n_6\ : STD_LOGIC;
signal \g81__92_carry__2_n_7\ : STD_LOGIC;
signal \g81__92_carry_i_1_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_2_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_3_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_4_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_5_n_0\ : STD_LOGIC;
signal \g81__92_carry_i_6_n_0\ : STD_LOGIC;
signal \g81__92_carry_n_0\ : STD_LOGIC;
signal \g81__92_carry_n_1\ : STD_LOGIC;
signal \g81__92_carry_n_2\ : STD_LOGIC;
signal \g81__92_carry_n_3\ : STD_LOGIC;
signal \g81__92_carry_n_4\ : STD_LOGIC;
signal \g81__92_carry_n_5\ : STD_LOGIC;
signal \g81__92_carry_n_6\ : STD_LOGIC;
signal \g81_carry__0_i_10_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_11_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_12_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_13_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_14_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_15_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g81_carry__0_i_9_n_0\ : STD_LOGIC;
signal \g81_carry__0_n_0\ : STD_LOGIC;
signal \g81_carry__0_n_1\ : STD_LOGIC;
signal \g81_carry__0_n_2\ : STD_LOGIC;
signal \g81_carry__0_n_3\ : STD_LOGIC;
signal \g81_carry__0_n_4\ : STD_LOGIC;
signal \g81_carry__0_n_5\ : STD_LOGIC;
signal \g81_carry__0_n_6\ : STD_LOGIC;
signal \g81_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_2_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_3_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_4_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_5_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_6_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_7_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_8_n_0\ : STD_LOGIC;
signal \g81_carry__1_i_9_n_0\ : STD_LOGIC;
signal \g81_carry__1_n_0\ : STD_LOGIC;
signal \g81_carry__1_n_1\ : STD_LOGIC;
signal \g81_carry__1_n_2\ : STD_LOGIC;
signal \g81_carry__1_n_3\ : STD_LOGIC;
signal \g81_carry__1_n_4\ : STD_LOGIC;
signal \g81_carry__1_n_5\ : STD_LOGIC;
signal \g81_carry__1_n_6\ : STD_LOGIC;
signal \g81_carry__1_n_7\ : STD_LOGIC;
signal \g81_carry__2_i_1_n_0\ : STD_LOGIC;
signal \g81_carry__2_i_2_n_0\ : STD_LOGIC;
signal \g81_carry__2_i_3_n_0\ : STD_LOGIC;
signal \g81_carry__2_n_1\ : STD_LOGIC;
signal \g81_carry__2_n_3\ : STD_LOGIC;
signal \g81_carry__2_n_6\ : STD_LOGIC;
signal \g81_carry__2_n_7\ : STD_LOGIC;
signal g81_carry_i_1_n_0 : STD_LOGIC;
signal g81_carry_i_2_n_0 : STD_LOGIC;
signal g81_carry_i_3_n_0 : STD_LOGIC;
signal g81_carry_i_4_n_0 : STD_LOGIC;
signal g81_carry_i_5_n_0 : STD_LOGIC;
signal g81_carry_i_6_n_0 : STD_LOGIC;
signal g81_carry_i_7_n_0 : STD_LOGIC;
signal g81_carry_n_0 : STD_LOGIC;
signal g81_carry_n_1 : STD_LOGIC;
signal g81_carry_n_2 : STD_LOGIC;
signal g81_carry_n_3 : STD_LOGIC;
signal g81_carry_n_7 : STD_LOGIC;
signal g83 : STD_LOGIC_VECTOR ( 9 downto 1 );
signal \g83__0_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_2_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_3_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_4_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_5_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_6_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_7_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_i_8_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_n_0\ : STD_LOGIC;
signal \g83__0_carry__0_n_1\ : STD_LOGIC;
signal \g83__0_carry__0_n_2\ : STD_LOGIC;
signal \g83__0_carry__0_n_3\ : STD_LOGIC;
signal \g83__0_carry__0_n_4\ : STD_LOGIC;
signal \g83__0_carry__0_n_5\ : STD_LOGIC;
signal \g83__0_carry__0_n_6\ : STD_LOGIC;
signal \g83__0_carry__0_n_7\ : STD_LOGIC;
signal \g83__0_carry__1_i_1_n_0\ : STD_LOGIC;
signal \g83__0_carry__1_n_2\ : STD_LOGIC;
signal \g83__0_carry__1_n_7\ : STD_LOGIC;
signal \g83__0_carry_i_1_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_2_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_3_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_4_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_5_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_6_n_0\ : STD_LOGIC;
signal \g83__0_carry_i_7_n_0\ : STD_LOGIC;
signal \g83__0_carry_n_0\ : STD_LOGIC;
signal \g83__0_carry_n_1\ : STD_LOGIC;
signal \g83__0_carry_n_2\ : STD_LOGIC;
signal \g83__0_carry_n_3\ : STD_LOGIC;
signal \g83__0_carry_n_4\ : STD_LOGIC;
signal \g83__0_carry_n_5\ : STD_LOGIC;
signal \g83__0_carry_n_6\ : STD_LOGIC;
signal \g83__0_carry_n_7\ : STD_LOGIC;
signal g84 : STD_LOGIC;
signal \g84_carry__0_i_1_n_0\ : STD_LOGIC;
signal \g84_carry__0_i_2_n_0\ : STD_LOGIC;
signal g84_carry_i_1_n_0 : STD_LOGIC;
signal g84_carry_i_2_n_0 : STD_LOGIC;
signal g84_carry_i_3_n_0 : STD_LOGIC;
signal g84_carry_i_4_n_0 : STD_LOGIC;
signal g84_carry_i_5_n_0 : STD_LOGIC;
signal g84_carry_i_6_n_0 : STD_LOGIC;
signal g84_carry_i_7_n_0 : STD_LOGIC;
signal g84_carry_i_8_n_0 : STD_LOGIC;
signal g84_carry_n_0 : STD_LOGIC;
signal g84_carry_n_1 : STD_LOGIC;
signal g84_carry_n_2 : STD_LOGIC;
signal g84_carry_n_3 : STD_LOGIC;
signal \NLW__carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW__carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__120_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__120_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__120_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__149_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__149_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__149_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__149_carry__4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \NLW_g81__149_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__206_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__206_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__206_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__206_carry__4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \NLW_g81__206_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__22_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__22_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__22_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__261_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__261_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__301_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__301_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__301_carry__6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g81__347_carry__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_g81__53_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__53_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__53_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g81__92_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81__92_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81__92_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_g81_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_g81_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g81_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_g83__0_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g83__0_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_g84_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_g84_carry__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_g84_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute HLUTNM : string;
attribute HLUTNM of \g81__120_carry__1_i_1\ : label is "lutpair7";
attribute HLUTNM of \g81__149_carry__0_i_1\ : label is "lutpair8";
attribute HLUTNM of \g81__149_carry__0_i_2\ : label is "lutpair27";
attribute HLUTNM of \g81__149_carry__0_i_5\ : label is "lutpair9";
attribute HLUTNM of \g81__149_carry__0_i_6\ : label is "lutpair8";
attribute HLUTNM of \g81__149_carry__0_i_7\ : label is "lutpair27";
attribute HLUTNM of \g81__149_carry__1_i_1\ : label is "lutpair12";
attribute HLUTNM of \g81__149_carry__1_i_2\ : label is "lutpair11";
attribute HLUTNM of \g81__149_carry__1_i_3\ : label is "lutpair10";
attribute HLUTNM of \g81__149_carry__1_i_4\ : label is "lutpair9";
attribute HLUTNM of \g81__149_carry__1_i_5\ : label is "lutpair13";
attribute HLUTNM of \g81__149_carry__1_i_6\ : label is "lutpair12";
attribute HLUTNM of \g81__149_carry__1_i_7\ : label is "lutpair11";
attribute HLUTNM of \g81__149_carry__1_i_8\ : label is "lutpair10";
attribute HLUTNM of \g81__149_carry__2_i_1\ : label is "lutpair16";
attribute HLUTNM of \g81__149_carry__2_i_2\ : label is "lutpair15";
attribute HLUTNM of \g81__149_carry__2_i_3\ : label is "lutpair14";
attribute HLUTNM of \g81__149_carry__2_i_4\ : label is "lutpair13";
attribute HLUTNM of \g81__149_carry__2_i_5\ : label is "lutpair17";
attribute HLUTNM of \g81__149_carry__2_i_6\ : label is "lutpair16";
attribute HLUTNM of \g81__149_carry__2_i_7\ : label is "lutpair15";
attribute HLUTNM of \g81__149_carry__2_i_8\ : label is "lutpair14";
attribute HLUTNM of \g81__149_carry__3_i_1\ : label is "lutpair17";
attribute HLUTNM of \g81__206_carry__0_i_1\ : label is "lutpair18";
attribute HLUTNM of \g81__206_carry__0_i_2\ : label is "lutpair28";
attribute HLUTNM of \g81__206_carry__0_i_5\ : label is "lutpair19";
attribute HLUTNM of \g81__206_carry__0_i_6\ : label is "lutpair18";
attribute HLUTNM of \g81__206_carry__0_i_7\ : label is "lutpair28";
attribute HLUTNM of \g81__206_carry__1_i_1\ : label is "lutpair22";
attribute HLUTNM of \g81__206_carry__1_i_2\ : label is "lutpair21";
attribute HLUTNM of \g81__206_carry__1_i_3\ : label is "lutpair20";
attribute HLUTNM of \g81__206_carry__1_i_4\ : label is "lutpair19";
attribute HLUTNM of \g81__206_carry__1_i_5\ : label is "lutpair23";
attribute HLUTNM of \g81__206_carry__1_i_6\ : label is "lutpair22";
attribute HLUTNM of \g81__206_carry__1_i_7\ : label is "lutpair21";
attribute HLUTNM of \g81__206_carry__1_i_8\ : label is "lutpair20";
attribute HLUTNM of \g81__206_carry__2_i_1\ : label is "lutpair29";
attribute HLUTNM of \g81__206_carry__2_i_4\ : label is "lutpair23";
attribute HLUTNM of \g81__206_carry__2_i_6\ : label is "lutpair29";
attribute HLUTNM of \g81__206_carry__3_i_1\ : label is "lutpair25";
attribute HLUTNM of \g81__206_carry__3_i_3\ : label is "lutpair24";
attribute HLUTNM of \g81__206_carry__3_i_6\ : label is "lutpair25";
attribute HLUTNM of \g81__206_carry__3_i_8\ : label is "lutpair24";
attribute HLUTNM of \g81__206_carry__4_i_2\ : label is "lutpair26";
attribute HLUTNM of \g81__206_carry__4_i_6\ : label is "lutpair26";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \g81_carry__0_i_10\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \g81_carry__0_i_11\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \g81_carry__0_i_12\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \g81_carry__0_i_13\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \g81_carry__0_i_14\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \g81_carry__0_i_15\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \g81_carry__0_i_9\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \g81_carry__1_i_9\ : label is "soft_lutpair3";
attribute HLUTNM of \g81_carry__2_i_2\ : label is "lutpair7";
attribute HLUTNM of \g83__0_carry__0_i_1\ : label is "lutpair6";
attribute HLUTNM of \g83__0_carry__0_i_2\ : label is "lutpair5";
attribute HLUTNM of \g83__0_carry__0_i_3\ : label is "lutpair4";
attribute HLUTNM of \g83__0_carry__0_i_4\ : label is "lutpair3";
attribute HLUTNM of \g83__0_carry__0_i_6\ : label is "lutpair6";
attribute HLUTNM of \g83__0_carry__0_i_7\ : label is "lutpair5";
attribute HLUTNM of \g83__0_carry__0_i_8\ : label is "lutpair4";
attribute HLUTNM of \g83__0_carry_i_1\ : label is "lutpair2";
attribute HLUTNM of \g83__0_carry_i_2\ : label is "lutpair1";
attribute HLUTNM of \g83__0_carry_i_3\ : label is "lutpair0";
attribute HLUTNM of \g83__0_carry_i_4\ : label is "lutpair3";
attribute HLUTNM of \g83__0_carry_i_5\ : label is "lutpair2";
attribute HLUTNM of \g83__0_carry_i_6\ : label is "lutpair1";
attribute HLUTNM of \g83__0_carry_i_7\ : label is "lutpair0";
begin
\_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \_carry_n_0\,
CO(2) => \_carry_n_1\,
CO(1) => \_carry_n_2\,
CO(0) => \_carry_n_3\,
CYINIT => \_carry_i_1_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => g83(4 downto 1),
S(3) => \_carry_i_2_n_0\,
S(2) => \_carry_i_3_n_0\,
S(1) => \_carry_i_4_n_0\,
S(0) => \_carry_i_5_n_0\
);
\_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \_carry_n_0\,
CO(3) => \_carry__0_n_0\,
CO(2) => \_carry__0_n_1\,
CO(1) => \_carry__0_n_2\,
CO(0) => \_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => g83(8 downto 5),
S(3) => \_carry__0_i_1_n_0\,
S(2) => \_carry__0_i_2_n_0\,
S(1) => \_carry__0_i_3_n_0\,
S(0) => \_carry__0_i_4_n_0\
);
\_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__1_n_7\,
O => \_carry__0_i_1_n_0\
);
\_carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_4\,
O => \_carry__0_i_2_n_0\
);
\_carry__0_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_5\,
O => \_carry__0_i_3_n_0\
);
\_carry__0_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_6\,
O => \_carry__0_i_4_n_0\
);
\_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \_carry__0_n_0\,
CO(3 downto 2) => \NLW__carry__1_CO_UNCONNECTED\(3 downto 2),
CO(1) => \_carry__1_n_2\,
CO(0) => \NLW__carry__1_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW__carry__1_O_UNCONNECTED\(3 downto 1),
O(0) => g83(9),
S(3 downto 1) => B"001",
S(0) => \_carry__1_i_1_n_0\
);
\_carry__1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__1_n_2\,
O => \_carry__1_i_1_n_0\
);
\_carry_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_7\,
O => \_carry_i_1_n_0\
);
\_carry_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_7\,
O => \_carry_i_2_n_0\
);
\_carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_4\,
O => \_carry_i_3_n_0\
);
\_carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_5\,
O => \_carry_i_4_n_0\
);
\_carry_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_6\,
O => \_carry_i_5_n_0\
);
\g81__120_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__120_carry_n_0\,
CO(2) => \g81__120_carry_n_1\,
CO(1) => \g81__120_carry_n_2\,
CO(0) => \g81__120_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__120_carry_i_1_n_0\,
DI(1) => \g81__120_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__120_carry_n_4\,
O(2) => \g81__120_carry_n_5\,
O(1) => \g81__120_carry_n_6\,
O(0) => \NLW_g81__120_carry_O_UNCONNECTED\(0),
S(3) => \g81__120_carry_i_3_n_0\,
S(2) => \g81__120_carry_i_4_n_0\,
S(1) => \g81__120_carry_i_5_n_0\,
S(0) => \g81__120_carry_i_6_n_0\
);
\g81__120_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__120_carry_n_0\,
CO(3) => \g81__120_carry__0_n_0\,
CO(2) => \g81__120_carry__0_n_1\,
CO(1) => \g81__120_carry__0_n_2\,
CO(0) => \g81__120_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__120_carry__0_n_4\,
O(2) => \g81__120_carry__0_n_5\,
O(1) => \g81__120_carry__0_n_6\,
O(0) => \g81__120_carry__0_n_7\,
S(3) => \g81__120_carry__0_i_1_n_0\,
S(2) => \g81__120_carry__0_i_2_n_0\,
S(1) => \g81__120_carry__0_i_3_n_0\,
S(0) => \g81__120_carry__0_i_4_n_0\
);
\g81__120_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__120_carry__0_i_1_n_0\
);
\g81__120_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__120_carry__0_i_2_n_0\
);
\g81__120_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__120_carry__0_i_3_n_0\
);
\g81__120_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__120_carry__0_i_4_n_0\
);
\g81__120_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__120_carry__0_n_0\,
CO(3) => \g81__120_carry__1_n_0\,
CO(2) => \g81__120_carry__1_n_1\,
CO(1) => \g81__120_carry__1_n_2\,
CO(0) => \g81__120_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__120_carry__1_n_4\,
O(2) => \g81__120_carry__1_n_5\,
O(1) => \g81__120_carry__1_n_6\,
O(0) => \g81__120_carry__1_n_7\,
S(3) => \g81__120_carry__1_i_1_n_0\,
S(2) => \g81__120_carry__1_i_2_n_0\,
S(1) => \g81__120_carry__1_i_3_n_0\,
S(0) => \g81__120_carry__1_i_4_n_0\
);
\g81__120_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"369C"
)
port map (
I0 => g84,
I1 => \g81_carry__1_i_1_n_0\,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__120_carry__1_i_1_n_0\
);
\g81__120_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__120_carry__1_i_2_n_0\
);
\g81__120_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__120_carry__1_i_3_n_0\
);
\g81__120_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__120_carry__1_i_4_n_0\
);
\g81__120_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__120_carry__1_n_0\,
CO(3) => \NLW_g81__120_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__120_carry__2_n_1\,
CO(1) => \NLW_g81__120_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__120_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__120_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__120_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__120_carry__2_n_6\,
O(0) => \g81__120_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__120_carry__2_i_2_n_0\
);
\g81__120_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__120_carry__2_i_1_n_0\
);
\g81__120_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__120_carry__2_i_2_n_0\
);
\g81__120_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__120_carry_i_1_n_0\
);
\g81__120_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__120_carry_i_2_n_0\
);
\g81__120_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__120_carry_i_3_n_0\
);
\g81__120_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__120_carry_i_4_n_0\
);
\g81__120_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__120_carry_i_5_n_0\
);
\g81__120_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__120_carry_i_6_n_0\
);
\g81__149_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__149_carry_n_0\,
CO(2) => \g81__149_carry_n_1\,
CO(1) => \g81__149_carry_n_2\,
CO(0) => \g81__149_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry_i_1_n_0\,
DI(2) => \g81__149_carry_i_2_n_0\,
DI(1) => \g81__149_carry_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => \NLW_g81__149_carry_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__149_carry_i_4_n_0\,
S(2) => \g81__149_carry_i_5_n_0\,
S(1) => \g81__149_carry_i_6_n_0\,
S(0) => \g81__149_carry_i_7_n_0\
);
\g81__149_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry_n_0\,
CO(3) => \g81__149_carry__0_n_0\,
CO(2) => \g81__149_carry__0_n_1\,
CO(1) => \g81__149_carry__0_n_2\,
CO(0) => \g81__149_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry__0_i_1_n_0\,
DI(2) => \g81__149_carry__0_i_2_n_0\,
DI(1) => \g81__149_carry__0_i_3_n_0\,
DI(0) => \g81__149_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_g81__149_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__149_carry__0_i_5_n_0\,
S(2) => \g81__149_carry__0_i_6_n_0\,
S(1) => \g81__149_carry__0_i_7_n_0\,
S(0) => \g81__149_carry__0_i_8_n_0\
);
\g81__149_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__22_carry__0_n_6\,
I2 => \g81_carry__1_n_4\,
O => \g81__149_carry__0_i_1_n_0\
);
\g81__149_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__22_carry__0_n_7\,
I1 => \g81_carry__1_n_5\,
O => \g81__149_carry__0_i_2_n_0\
);
\g81__149_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__1_n_6\,
I1 => \g81__22_carry_n_4\,
O => \g81__149_carry__0_i_3_n_0\
);
\g81__149_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__1_n_7\,
I1 => \g81__22_carry_n_5\,
O => \g81__149_carry__0_i_4_n_0\
);
\g81__149_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => \g81__22_carry__0_n_5\,
I2 => \g81_carry__2_n_7\,
I3 => \g81__149_carry__0_i_1_n_0\,
O => \g81__149_carry__0_i_5_n_0\
);
\g81__149_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__22_carry__0_n_6\,
I2 => \g81_carry__1_n_4\,
I3 => \g81__149_carry__0_i_2_n_0\,
O => \g81__149_carry__0_i_6_n_0\
);
\g81__149_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9666"
)
port map (
I0 => \g81__22_carry__0_n_7\,
I1 => \g81_carry__1_n_5\,
I2 => \g81_carry__1_n_6\,
I3 => \g81__22_carry_n_4\,
O => \g81__149_carry__0_i_7_n_0\
);
\g81__149_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__1_n_7\,
I1 => \g81__22_carry_n_5\,
I2 => \g81__22_carry_n_4\,
I3 => \g81_carry__1_n_6\,
O => \g81__149_carry__0_i_8_n_0\
);
\g81__149_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__0_n_0\,
CO(3) => \g81__149_carry__1_n_0\,
CO(2) => \g81__149_carry__1_n_1\,
CO(1) => \g81__149_carry__1_n_2\,
CO(0) => \g81__149_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry__1_i_1_n_0\,
DI(2) => \g81__149_carry__1_i_2_n_0\,
DI(1) => \g81__149_carry__1_i_3_n_0\,
DI(0) => \g81__149_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_g81__149_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__149_carry__1_i_5_n_0\,
S(2) => \g81__149_carry__1_i_6_n_0\,
S(1) => \g81__149_carry__1_i_7_n_0\,
S(0) => \g81__149_carry__1_i_8_n_0\
);
\g81__149_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry_n_4\,
I1 => \g81__22_carry__1_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__1_i_1_n_0\
);
\g81__149_carry__1_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__53_carry_n_5\,
I1 => \g81__22_carry__1_n_7\,
I2 => \g81_carry__2_n_1\,
O => \g81__149_carry__1_i_2_n_0\
);
\g81__149_carry__1_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__53_carry_n_6\,
I1 => \g81__22_carry__0_n_4\,
I2 => \g81_carry__2_n_6\,
O => \g81__149_carry__1_i_3_n_0\
);
\g81__149_carry__1_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => \g81__22_carry__0_n_5\,
I2 => \g81_carry__2_n_7\,
O => \g81__149_carry__1_i_4_n_0\
);
\g81__149_carry__1_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_7\,
I1 => \g81__22_carry__1_n_5\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__1_i_1_n_0\,
O => \g81__149_carry__1_i_5_n_0\
);
\g81__149_carry__1_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry_n_4\,
I1 => \g81__22_carry__1_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__1_i_2_n_0\,
O => \g81__149_carry__1_i_6_n_0\
);
\g81__149_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__53_carry_n_5\,
I1 => \g81__22_carry__1_n_7\,
I2 => \g81_carry__2_n_1\,
I3 => \g81__149_carry__1_i_3_n_0\,
O => \g81__149_carry__1_i_7_n_0\
);
\g81__149_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__53_carry_n_6\,
I1 => \g81__22_carry__0_n_4\,
I2 => \g81_carry__2_n_6\,
I3 => \g81__149_carry__1_i_4_n_0\,
O => \g81__149_carry__1_i_8_n_0\
);
\g81__149_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__1_n_0\,
CO(3) => \g81__149_carry__2_n_0\,
CO(2) => \g81__149_carry__2_n_1\,
CO(1) => \g81__149_carry__2_n_2\,
CO(0) => \g81__149_carry__2_n_3\,
CYINIT => '0',
DI(3) => \g81__149_carry__2_i_1_n_0\,
DI(2) => \g81__149_carry__2_i_2_n_0\,
DI(1) => \g81__149_carry__2_i_3_n_0\,
DI(0) => \g81__149_carry__2_i_4_n_0\,
O(3) => \g81__149_carry__2_n_4\,
O(2) => \g81__149_carry__2_n_5\,
O(1) => \g81__149_carry__2_n_6\,
O(0) => \g81__149_carry__2_n_7\,
S(3) => \g81__149_carry__2_i_5_n_0\,
S(2) => \g81__149_carry__2_i_6_n_0\,
S(1) => \g81__149_carry__2_i_7_n_0\,
S(0) => \g81__149_carry__2_i_8_n_0\
);
\g81__149_carry__2_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_4\,
I1 => \g81__22_carry__2_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_1_n_0\
);
\g81__149_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_5\,
I1 => \g81__22_carry__2_n_7\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_2_n_0\
);
\g81__149_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_6\,
I1 => \g81__22_carry__1_n_4\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_3_n_0\
);
\g81__149_carry__2_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__0_n_7\,
I1 => \g81__22_carry__1_n_5\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__2_i_4_n_0\
);
\g81__149_carry__2_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__1_n_7\,
I1 => \g81__22_carry__2_n_1\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_1_n_0\,
O => \g81__149_carry__2_i_5_n_0\
);
\g81__149_carry__2_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_4\,
I1 => \g81__22_carry__2_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_2_n_0\,
O => \g81__149_carry__2_i_6_n_0\
);
\g81__149_carry__2_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_5\,
I1 => \g81__22_carry__2_n_7\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_3_n_0\,
O => \g81__149_carry__2_i_7_n_0\
);
\g81__149_carry__2_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__53_carry__0_n_6\,
I1 => \g81__22_carry__1_n_4\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__149_carry__2_i_4_n_0\,
O => \g81__149_carry__2_i_8_n_0\
);
\g81__149_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__2_n_0\,
CO(3) => \g81__149_carry__3_n_0\,
CO(2) => \g81__149_carry__3_n_1\,
CO(1) => \g81__149_carry__3_n_2\,
CO(0) => \g81__149_carry__3_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__2_i_2_n_0\,
DI(2) => \g81_carry__2_i_2_n_0\,
DI(1) => \g81_carry__2_i_2_n_0\,
DI(0) => \g81__149_carry__3_i_1_n_0\,
O(3) => \g81__149_carry__3_n_4\,
O(2) => \g81__149_carry__3_n_5\,
O(1) => \g81__149_carry__3_n_6\,
O(0) => \g81__149_carry__3_n_7\,
S(3) => \g81__149_carry__3_i_2_n_0\,
S(2) => \g81__149_carry__3_i_3_n_0\,
S(1) => \g81__149_carry__3_i_4_n_0\,
S(0) => \g81__149_carry__3_i_5_n_0\
);
\g81__149_carry__3_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"888E"
)
port map (
I0 => \g81__53_carry__1_n_7\,
I1 => \g81__22_carry__2_n_1\,
I2 => \_carry__1_n_2\,
I3 => g84,
O => \g81__149_carry__3_i_1_n_0\
);
\g81__149_carry__3_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__2_n_7\,
O => \g81__149_carry__3_i_2_n_0\
);
\g81__149_carry__3_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__1_n_4\,
O => \g81__149_carry__3_i_3_n_0\
);
\g81__149_carry__3_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__1_n_5\,
O => \g81__149_carry__3_i_4_n_0\
);
\g81__149_carry__3_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__149_carry__3_i_1_n_0\,
I1 => \g81__53_carry__1_n_6\,
O => \g81__149_carry__3_i_5_n_0\
);
\g81__149_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \g81__149_carry__3_n_0\,
CO(3) => \g81__149_carry__4_n_0\,
CO(2) => \NLW_g81__149_carry__4_CO_UNCONNECTED\(2),
CO(1) => \g81__149_carry__4_n_2\,
CO(0) => \g81__149_carry__4_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \g81__149_carry__4_i_1_n_0\,
DI(1) => \g81_carry__2_i_2_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3) => \NLW_g81__149_carry__4_O_UNCONNECTED\(3),
O(2) => \g81__149_carry__4_n_5\,
O(1) => \g81__149_carry__4_n_6\,
O(0) => \g81__149_carry__4_n_7\,
S(3 downto 2) => B"10",
S(1) => \g81__149_carry__4_i_2_n_0\,
S(0) => \g81__149_carry__4_i_3_n_0\
);
\g81__149_carry__4_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__149_carry__4_i_1_n_0\
);
\g81__149_carry__4_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__2_n_1\,
O => \g81__149_carry__4_i_2_n_0\
);
\g81__149_carry__4_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => \g81__53_carry__2_n_6\,
O => \g81__149_carry__4_i_3_n_0\
);
\g81__149_carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__0_n_4\,
I1 => \g81__22_carry_n_6\,
O => \g81__149_carry_i_1_n_0\
);
\g81__149_carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__0_n_5\,
I1 => \g81_carry__0_i_11_n_0\,
O => \g81__149_carry_i_2_n_0\
);
\g81__149_carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81_carry__0_n_6\,
I1 => \g83__0_carry_n_7\,
O => \g81__149_carry_i_3_n_0\
);
\g81__149_carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__0_n_4\,
I1 => \g81__22_carry_n_6\,
I2 => \g81__22_carry_n_5\,
I3 => \g81_carry__1_n_7\,
O => \g81__149_carry_i_4_n_0\
);
\g81__149_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__0_n_5\,
I1 => \g81_carry__0_i_11_n_0\,
I2 => \g81__22_carry_n_6\,
I3 => \g81_carry__0_n_4\,
O => \g81__149_carry_i_5_n_0\
);
\g81__149_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81_carry__0_n_6\,
I1 => \g83__0_carry_n_7\,
I2 => \g81_carry__0_i_11_n_0\,
I3 => \g81_carry__0_n_5\,
O => \g81__149_carry_i_6_n_0\
);
\g81__149_carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81_carry__0_n_6\,
I1 => \g83__0_carry_n_7\,
O => \g81__149_carry_i_7_n_0\
);
\g81__206_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__206_carry_n_0\,
CO(2) => \g81__206_carry_n_1\,
CO(1) => \g81__206_carry_n_2\,
CO(0) => \g81__206_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry_i_1_n_0\,
DI(2) => \g81__206_carry_i_2_n_0\,
DI(1) => \g81__206_carry_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => \NLW_g81__206_carry_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__206_carry_i_4_n_0\,
S(2) => \g81__206_carry_i_5_n_0\,
S(1) => \g81__206_carry_i_6_n_0\,
S(0) => \g81__206_carry_i_7_n_0\
);
\g81__206_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry_n_0\,
CO(3) => \g81__206_carry__0_n_0\,
CO(2) => \g81__206_carry__0_n_1\,
CO(1) => \g81__206_carry__0_n_2\,
CO(0) => \g81__206_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__0_i_1_n_0\,
DI(2) => \g81__206_carry__0_i_2_n_0\,
DI(1) => \g81__206_carry__0_i_3_n_0\,
DI(0) => \g81__206_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_g81__206_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__206_carry__0_i_5_n_0\,
S(2) => \g81__206_carry__0_i_6_n_0\,
S(1) => \g81__206_carry__0_i_7_n_0\,
S(0) => \g81__206_carry__0_i_8_n_0\
);
\g81__206_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__3_n_5\,
I1 => \g83__0_carry_n_7\,
I2 => \g81__92_carry__0_n_6\,
O => \g81__206_carry__0_i_1_n_0\
);
\g81__206_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__149_carry__3_n_6\,
I1 => \g81__92_carry__0_n_7\,
O => \g81__206_carry__0_i_2_n_0\
);
\g81__206_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry_n_4\,
I1 => \g81__149_carry__3_n_7\,
O => \g81__206_carry__0_i_3_n_0\
);
\g81__206_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry_n_5\,
I1 => \g81__149_carry__2_n_4\,
O => \g81__206_carry__0_i_4_n_0\
);
\g81__206_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__3_n_4\,
I1 => \g81_carry__0_i_11_n_0\,
I2 => \g81__92_carry__0_n_5\,
I3 => \g81__206_carry__0_i_1_n_0\,
O => \g81__206_carry__0_i_5_n_0\
);
\g81__206_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__3_n_5\,
I1 => \g83__0_carry_n_7\,
I2 => \g81__92_carry__0_n_6\,
I3 => \g81__206_carry__0_i_2_n_0\,
O => \g81__206_carry__0_i_6_n_0\
);
\g81__206_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9666"
)
port map (
I0 => \g81__149_carry__3_n_6\,
I1 => \g81__92_carry__0_n_7\,
I2 => \g81__92_carry_n_4\,
I3 => \g81__149_carry__3_n_7\,
O => \g81__206_carry__0_i_7_n_0\
);
\g81__206_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81__92_carry_n_5\,
I1 => \g81__149_carry__2_n_4\,
I2 => \g81__149_carry__3_n_7\,
I3 => \g81__92_carry_n_4\,
O => \g81__206_carry__0_i_8_n_0\
);
\g81__206_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__0_n_0\,
CO(3) => \g81__206_carry__1_n_0\,
CO(2) => \g81__206_carry__1_n_1\,
CO(1) => \g81__206_carry__1_n_2\,
CO(0) => \g81__206_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__1_i_1_n_0\,
DI(2) => \g81__206_carry__1_i_2_n_0\,
DI(1) => \g81__206_carry__1_i_3_n_0\,
DI(0) => \g81__206_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_g81__206_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__206_carry__1_i_5_n_0\,
S(2) => \g81__206_carry__1_i_6_n_0\,
S(1) => \g81__206_carry__1_i_7_n_0\,
S(0) => \g81__206_carry__1_i_8_n_0\
);
\g81__206_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_5\,
I1 => \g81__120_carry_n_4\,
I2 => \g81__92_carry__1_n_6\,
O => \g81__206_carry__1_i_1_n_0\
);
\g81__206_carry__1_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_6\,
I1 => \g81__120_carry_n_5\,
I2 => \g81__92_carry__1_n_7\,
O => \g81__206_carry__1_i_2_n_0\
);
\g81__206_carry__1_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_7\,
I1 => \g81__120_carry_n_6\,
I2 => \g81__92_carry__0_n_4\,
O => \g81__206_carry__1_i_3_n_0\
);
\g81__206_carry__1_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__3_n_4\,
I1 => \g81_carry__0_i_11_n_0\,
I2 => \g81__92_carry__0_n_5\,
O => \g81__206_carry__1_i_4_n_0\
);
\g81__206_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_0\,
I1 => \g81__120_carry__0_n_7\,
I2 => \g81__92_carry__1_n_5\,
I3 => \g81__206_carry__1_i_1_n_0\,
O => \g81__206_carry__1_i_5_n_0\
);
\g81__206_carry__1_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_5\,
I1 => \g81__120_carry_n_4\,
I2 => \g81__92_carry__1_n_6\,
I3 => \g81__206_carry__1_i_2_n_0\,
O => \g81__206_carry__1_i_6_n_0\
);
\g81__206_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_6\,
I1 => \g81__120_carry_n_5\,
I2 => \g81__92_carry__1_n_7\,
I3 => \g81__206_carry__1_i_3_n_0\,
O => \g81__206_carry__1_i_7_n_0\
);
\g81__206_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g81__149_carry__4_n_7\,
I1 => \g81__120_carry_n_6\,
I2 => \g81__92_carry__0_n_4\,
I3 => \g81__206_carry__1_i_4_n_0\,
O => \g81__206_carry__1_i_8_n_0\
);
\g81__206_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__1_n_0\,
CO(3) => \g81__206_carry__2_n_0\,
CO(2) => \g81__206_carry__2_n_1\,
CO(1) => \g81__206_carry__2_n_2\,
CO(0) => \g81__206_carry__2_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__2_i_1_n_0\,
DI(2) => \g81__206_carry__2_i_2_n_0\,
DI(1) => \g81__206_carry__2_i_3_n_0\,
DI(0) => \g81__206_carry__2_i_4_n_0\,
O(3) => \g81__206_carry__2_n_4\,
O(2) => \g81__206_carry__2_n_5\,
O(1) => \g81__206_carry__2_n_6\,
O(0) => \g81__206_carry__2_n_7\,
S(3) => \g81__206_carry__2_i_5_n_0\,
S(2) => \g81__206_carry__2_i_6_n_0\,
S(1) => \g81__206_carry__2_i_7_n_0\,
S(0) => \g81__206_carry__2_i_8_n_0\
);
\g81__206_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__120_carry__0_n_4\,
I1 => \g81__92_carry__2_n_6\,
O => \g81__206_carry__2_i_1_n_0\
);
\g81__206_carry__2_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry__2_n_7\,
I1 => \g81__120_carry__0_n_5\,
O => \g81__206_carry__2_i_2_n_0\
);
\g81__206_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"F110"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__120_carry__0_n_6\,
I3 => \g81__92_carry__1_n_4\,
O => \g81__206_carry__2_i_3_n_0\
);
\g81__206_carry__2_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \g81__149_carry__4_n_0\,
I1 => \g81__120_carry__0_n_7\,
I2 => \g81__92_carry__1_n_5\,
O => \g81__206_carry__2_i_4_n_0\
);
\g81__206_carry__2_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__206_carry__2_i_1_n_0\,
I1 => \g81__120_carry__1_n_7\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__92_carry__2_n_1\,
O => \g81__206_carry__2_i_5_n_0\
);
\g81__206_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9666"
)
port map (
I0 => \g81__120_carry__0_n_4\,
I1 => \g81__92_carry__2_n_6\,
I2 => \g81__92_carry__2_n_7\,
I3 => \g81__120_carry__0_n_5\,
O => \g81__206_carry__2_i_6_n_0\
);
\g81__206_carry__2_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"888E77717771888E"
)
port map (
I0 => \g81__92_carry__1_n_4\,
I1 => \g81__120_carry__0_n_6\,
I2 => g84,
I3 => \_carry__1_n_2\,
I4 => \g81__120_carry__0_n_5\,
I5 => \g81__92_carry__2_n_7\,
O => \g81__206_carry__2_i_7_n_0\
);
\g81__206_carry__2_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"99966669"
)
port map (
I0 => \g81__206_carry__2_i_4_n_0\,
I1 => \g81__120_carry__0_n_6\,
I2 => \_carry__1_n_2\,
I3 => g84,
I4 => \g81__92_carry__1_n_4\,
O => \g81__206_carry__2_i_8_n_0\
);
\g81__206_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__2_n_0\,
CO(3) => \g81__206_carry__3_n_0\,
CO(2) => \g81__206_carry__3_n_1\,
CO(1) => \g81__206_carry__3_n_2\,
CO(0) => \g81__206_carry__3_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__3_i_1_n_0\,
DI(2) => \g81__206_carry__3_i_2_n_0\,
DI(1) => \g81__206_carry__3_i_3_n_0\,
DI(0) => \g81__206_carry__3_i_4_n_0\,
O(3) => \g81__206_carry__3_n_4\,
O(2) => \g81__206_carry__3_n_5\,
O(1) => \g81__206_carry__3_n_6\,
O(0) => \g81__206_carry__3_n_7\,
S(3) => \g81__206_carry__3_i_5_n_0\,
S(2) => \g81__206_carry__3_i_6_n_0\,
S(1) => \g81__206_carry__3_i_7_n_0\,
S(0) => \g81__206_carry__3_i_8_n_0\
);
\g81__206_carry__3_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \g81__120_carry__1_n_4\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__206_carry__3_i_1_n_0\
);
\g81__206_carry__3_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__3_i_2_n_0\
);
\g81__206_carry__3_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \g81__120_carry__1_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__206_carry__3_i_3_n_0\
);
\g81__206_carry__3_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"F110"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__120_carry__1_n_7\,
I3 => \g81__92_carry__2_n_1\,
O => \g81__206_carry__3_i_4_n_0\
);
\g81__206_carry__3_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__206_carry__3_i_1_n_0\,
I1 => \g81__120_carry__2_n_7\,
O => \g81__206_carry__3_i_5_n_0\
);
\g81__206_carry__3_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__120_carry__1_n_4\,
O => \g81__206_carry__3_i_6_n_0\
);
\g81__206_carry__3_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__206_carry__3_i_3_n_0\,
I1 => \g81__120_carry__1_n_5\,
O => \g81__206_carry__3_i_7_n_0\
);
\g81__206_carry__3_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"56AAAAA9"
)
port map (
I0 => \g81__120_carry__1_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
I3 => \g81__92_carry__2_n_1\,
I4 => \g81__120_carry__1_n_7\,
O => \g81__206_carry__3_i_8_n_0\
);
\g81__206_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \g81__206_carry__3_n_0\,
CO(3) => \g81__206_carry__4_n_0\,
CO(2) => \NLW_g81__206_carry__4_CO_UNCONNECTED\(2),
CO(1) => \g81__206_carry__4_n_2\,
CO(0) => \g81__206_carry__4_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \g81__206_carry__4_i_1_n_0\,
DI(1) => \g81__206_carry__4_i_2_n_0\,
DI(0) => \g81__206_carry__4_i_3_n_0\,
O(3) => \NLW_g81__206_carry__4_O_UNCONNECTED\(3),
O(2) => \g81__206_carry__4_n_5\,
O(1) => \g81__206_carry__4_n_6\,
O(0) => \g81__206_carry__4_n_7\,
S(3) => '1',
S(2) => \g81__206_carry__4_i_4_n_0\,
S(1) => \g81__206_carry__4_i_5_n_0\,
S(0) => \g81__206_carry__4_i_6_n_0\
);
\g81__206_carry__4_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__4_i_1_n_0\
);
\g81__206_carry__4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \g81__120_carry__2_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__206_carry__4_i_2_n_0\
);
\g81__206_carry__4_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__4_i_3_n_0\
);
\g81__206_carry__4_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
O => \g81__206_carry__4_i_4_n_0\
);
\g81__206_carry__4_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g81__206_carry__4_i_2_n_0\,
I1 => \g81__120_carry__2_n_1\,
O => \g81__206_carry__4_i_5_n_0\
);
\g81__206_carry__4_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__120_carry__2_n_6\,
O => \g81__206_carry__4_i_6_n_0\
);
\g81__206_carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g81__92_carry_n_6\,
I1 => \g81__149_carry__2_n_5\,
O => \g81__206_carry_i_1_n_0\
);
\g81__206_carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => g81_carry_n_7,
I1 => \g81__149_carry__2_n_6\,
O => \g81__206_carry_i_2_n_0\
);
\g81__206_carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__149_carry__2_n_7\,
O => \g81__206_carry_i_3_n_0\
);
\g81__206_carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g81__92_carry_n_6\,
I1 => \g81__149_carry__2_n_5\,
I2 => \g81__149_carry__2_n_4\,
I3 => \g81__92_carry_n_5\,
O => \g81__206_carry_i_4_n_0\
);
\g81__206_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => g81_carry_n_7,
I1 => \g81__149_carry__2_n_6\,
I2 => \g81__149_carry__2_n_5\,
I3 => \g81__92_carry_n_6\,
O => \g81__206_carry_i_5_n_0\
);
\g81__206_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__149_carry__2_n_7\,
I2 => \g81__149_carry__2_n_6\,
I3 => g81_carry_n_7,
O => \g81__206_carry_i_6_n_0\
);
\g81__206_carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__149_carry__2_n_7\,
O => \g81__206_carry_i_7_n_0\
);
\g81__22_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__22_carry_n_0\,
CO(2) => \g81__22_carry_n_1\,
CO(1) => \g81__22_carry_n_2\,
CO(0) => \g81__22_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__22_carry_i_1_n_0\,
DI(1) => \g81__22_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__22_carry_n_4\,
O(2) => \g81__22_carry_n_5\,
O(1) => \g81__22_carry_n_6\,
O(0) => \NLW_g81__22_carry_O_UNCONNECTED\(0),
S(3) => \g81__22_carry_i_3_n_0\,
S(2) => \g81__22_carry_i_4_n_0\,
S(1) => \g81__22_carry_i_5_n_0\,
S(0) => \g81__22_carry_i_6_n_0\
);
\g81__22_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__22_carry_n_0\,
CO(3) => \g81__22_carry__0_n_0\,
CO(2) => \g81__22_carry__0_n_1\,
CO(1) => \g81__22_carry__0_n_2\,
CO(0) => \g81__22_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__22_carry__0_n_4\,
O(2) => \g81__22_carry__0_n_5\,
O(1) => \g81__22_carry__0_n_6\,
O(0) => \g81__22_carry__0_n_7\,
S(3) => \g81__22_carry__0_i_1_n_0\,
S(2) => \g81__22_carry__0_i_2_n_0\,
S(1) => \g81__22_carry__0_i_3_n_0\,
S(0) => \g81__22_carry__0_i_4_n_0\
);
\g81__22_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__22_carry__0_i_1_n_0\
);
\g81__22_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__22_carry__0_i_2_n_0\
);
\g81__22_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__22_carry__0_i_3_n_0\
);
\g81__22_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__22_carry__0_i_4_n_0\
);
\g81__22_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__22_carry__0_n_0\,
CO(3) => \g81__22_carry__1_n_0\,
CO(2) => \g81__22_carry__1_n_1\,
CO(1) => \g81__22_carry__1_n_2\,
CO(0) => \g81__22_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__22_carry__1_n_4\,
O(2) => \g81__22_carry__1_n_5\,
O(1) => \g81__22_carry__1_n_6\,
O(0) => \g81__22_carry__1_n_7\,
S(3) => \g81__22_carry__1_i_1_n_0\,
S(2) => \g81__22_carry__1_i_2_n_0\,
S(1) => \g81__22_carry__1_i_3_n_0\,
S(0) => \g81__22_carry__1_i_4_n_0\
);
\g81__22_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__22_carry__1_i_1_n_0\
);
\g81__22_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__22_carry__1_i_2_n_0\
);
\g81__22_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__22_carry__1_i_3_n_0\
);
\g81__22_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__22_carry__1_i_4_n_0\
);
\g81__22_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__22_carry__1_n_0\,
CO(3) => \NLW_g81__22_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__22_carry__2_n_1\,
CO(1) => \NLW_g81__22_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__22_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__22_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__22_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__22_carry__2_n_6\,
O(0) => \g81__22_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__22_carry__2_i_2_n_0\
);
\g81__22_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__22_carry__2_i_1_n_0\
);
\g81__22_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__22_carry__2_i_2_n_0\
);
\g81__22_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__22_carry_i_1_n_0\
);
\g81__22_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__22_carry_i_2_n_0\
);
\g81__22_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__22_carry_i_3_n_0\
);
\g81__22_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__22_carry_i_4_n_0\
);
\g81__22_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__22_carry_i_5_n_0\
);
\g81__22_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__22_carry_i_6_n_0\
);
\g81__261_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__261_carry_n_0\,
CO(2) => \g81__261_carry_n_1\,
CO(1) => \g81__261_carry_n_2\,
CO(0) => \g81__261_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__2_n_6\,
DI(2) => \g81__206_carry__2_n_7\,
DI(1 downto 0) => B"01",
O(3) => \g81__261_carry_n_4\,
O(2) => \g81__261_carry_n_5\,
O(1) => \g81__261_carry_n_6\,
O(0) => \g81__261_carry_n_7\,
S(3) => \g81__261_carry_i_1_n_0\,
S(2) => \g81__261_carry_i_2_n_0\,
S(1) => \g81__261_carry_i_3_n_0\,
S(0) => \g81__261_carry_i_4_n_0\
);
\g81__261_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__261_carry_n_0\,
CO(3) => \g81__261_carry__0_n_0\,
CO(2) => \g81__261_carry__0_n_1\,
CO(1) => \g81__261_carry__0_n_2\,
CO(0) => \g81__261_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__3_n_6\,
DI(2) => \g81__206_carry__3_n_7\,
DI(1) => \g81__206_carry__2_n_4\,
DI(0) => \g81__206_carry__2_n_5\,
O(3) => \g81__261_carry__0_n_4\,
O(2) => \g81__261_carry__0_n_5\,
O(1) => \g81__261_carry__0_n_6\,
O(0) => \g81__261_carry__0_n_7\,
S(3) => \g81__261_carry__0_i_1_n_0\,
S(2) => \g81__261_carry__0_i_2_n_0\,
S(1) => \g81__261_carry__0_i_3_n_0\,
S(0) => \g81__261_carry__0_i_4_n_0\
);
\g81__261_carry__0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_6\,
I1 => \g81__206_carry__3_n_4\,
O => \g81__261_carry__0_i_1_n_0\
);
\g81__261_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_7\,
I1 => \g81__206_carry__3_n_5\,
O => \g81__261_carry__0_i_2_n_0\
);
\g81__261_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_4\,
I1 => \g81__206_carry__3_n_6\,
O => \g81__261_carry__0_i_3_n_0\
);
\g81__261_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_5\,
I1 => \g81__206_carry__3_n_7\,
O => \g81__261_carry__0_i_4_n_0\
);
\g81__261_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__261_carry__0_n_0\,
CO(3) => \g81__261_carry__1_n_0\,
CO(2) => \g81__261_carry__1_n_1\,
CO(1) => \g81__261_carry__1_n_2\,
CO(0) => \g81__261_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__206_carry__4_n_6\,
DI(2) => \g81__206_carry__4_n_7\,
DI(1) => \g81__206_carry__3_n_4\,
DI(0) => \g81__206_carry__3_n_5\,
O(3) => \g81__261_carry__1_n_4\,
O(2) => \g81__261_carry__1_n_5\,
O(1) => \g81__261_carry__1_n_6\,
O(0) => \g81__261_carry__1_n_7\,
S(3) => \g81__261_carry__1_i_1_n_0\,
S(2) => \g81__261_carry__1_i_2_n_0\,
S(1) => \g81__261_carry__1_i_3_n_0\,
S(0) => \g81__261_carry__1_i_4_n_0\
);
\g81__261_carry__1_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__4_n_6\,
I1 => \g81__206_carry__4_n_0\,
O => \g81__261_carry__1_i_1_n_0\
);
\g81__261_carry__1_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__4_n_7\,
I1 => \g81__206_carry__4_n_5\,
O => \g81__261_carry__1_i_2_n_0\
);
\g81__261_carry__1_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_4\,
I1 => \g81__206_carry__4_n_6\,
O => \g81__261_carry__1_i_3_n_0\
);
\g81__261_carry__1_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__3_n_5\,
I1 => \g81__206_carry__4_n_7\,
O => \g81__261_carry__1_i_4_n_0\
);
\g81__261_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__261_carry__1_n_0\,
CO(3) => \NLW_g81__261_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__261_carry__2_n_1\,
CO(1) => \NLW_g81__261_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__261_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__206_carry__4_n_0\,
DI(0) => \g81__206_carry__4_n_5\,
O(3 downto 2) => \NLW_g81__261_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__261_carry__2_n_6\,
O(0) => \g81__261_carry__2_n_7\,
S(3 downto 2) => B"01",
S(1) => \g81__261_carry__2_i_1_n_0\,
S(0) => \g81__261_carry__2_i_2_n_0\
);
\g81__261_carry__2_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"56"
)
port map (
I0 => \g81__206_carry__4_n_0\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__261_carry__2_i_1_n_0\
);
\g81__261_carry__2_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g81__206_carry__4_n_5\,
O => \g81__261_carry__2_i_2_n_0\
);
\g81__261_carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_6\,
I1 => \g81__206_carry__2_n_4\,
O => \g81__261_carry_i_1_n_0\
);
\g81__261_carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \g81__206_carry__2_n_7\,
I1 => \g81__206_carry__2_n_5\,
O => \g81__261_carry_i_2_n_0\
);
\g81__261_carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g81__206_carry__2_n_6\,
O => \g81__261_carry_i_3_n_0\
);
\g81__261_carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_7\,
O => \g81__261_carry_i_4_n_0\
);
\g81__301_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__301_carry_n_0\,
CO(2) => \g81__301_carry_n_1\,
CO(1) => \g81__301_carry_n_2\,
CO(0) => \g81__301_carry_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry_i_1_n_0\,
DI(2) => \g81__301_carry_i_2_n_0\,
DI(1) => \g81__301_carry_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => \NLW_g81__301_carry_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry_i_4_n_0\,
S(2) => \g81__301_carry_i_5_n_0\,
S(1) => \g81__301_carry_i_6_n_0\,
S(0) => \g81__301_carry_i_7_n_0\
);
\g81__301_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry_n_0\,
CO(3) => \g81__301_carry__0_n_0\,
CO(2) => \g81__301_carry__0_n_1\,
CO(1) => \g81__301_carry__0_n_2\,
CO(0) => \g81__301_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__0_i_1_n_0\,
DI(2) => \g81__301_carry__0_i_2_n_0\,
DI(1) => \g81__301_carry__0_i_3_n_0\,
DI(0) => \g81__301_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__0_i_5_n_0\,
S(2) => \g81__301_carry__0_i_6_n_0\,
S(1) => \g81__301_carry__0_i_7_n_0\,
S(0) => \g81__301_carry__0_i_8_n_0\
);
\g81__301_carry__0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_5\,
I1 => g84,
I2 => g83(6),
I3 => \g83__0_carry__0_n_5\,
O => \g81__301_carry__0_i_1_n_0\
);
\g81__301_carry__0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_6\,
I1 => g84,
I2 => g83(5),
I3 => \g83__0_carry__0_n_6\,
O => \g81__301_carry__0_i_2_n_0\
);
\g81__301_carry__0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_7\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
O => \g81__301_carry__0_i_3_n_0\
);
\g81__301_carry__0_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry_n_4\,
I1 => g84,
I2 => g83(3),
I3 => \g83__0_carry_n_4\,
O => \g81__301_carry__0_i_4_n_0\
);
\g81__301_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => g83(6),
I2 => g84,
I3 => \g81__261_carry__0_n_5\,
I4 => \g81__261_carry__0_n_4\,
I5 => \g81_carry__1_i_9_n_0\,
O => \g81__301_carry__0_i_5_n_0\
);
\g81__301_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__0_n_6\,
I1 => g83(5),
I2 => g84,
I3 => \g81__261_carry__0_n_6\,
I4 => \g81__261_carry__0_n_5\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__301_carry__0_i_6_n_0\
);
\g81__301_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => g83(4),
I2 => g84,
I3 => \g81__261_carry__0_n_7\,
I4 => \g81__261_carry__0_n_6\,
I5 => \g81_carry__0_i_14_n_0\,
O => \g81__301_carry__0_i_7_n_0\
);
\g81__301_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"B44BB44BB4B44B4B"
)
port map (
I0 => \g81_carry__0_i_9_n_0\,
I1 => \g81__261_carry_n_4\,
I2 => \g81__261_carry__0_n_7\,
I3 => \g83__0_carry__0_n_7\,
I4 => g83(4),
I5 => g84,
O => \g81__301_carry__0_i_8_n_0\
);
\g81__301_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__0_n_0\,
CO(3) => \g81__301_carry__1_n_0\,
CO(2) => \g81__301_carry__1_n_1\,
CO(1) => \g81__301_carry__1_n_2\,
CO(0) => \g81__301_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__1_i_1_n_0\,
DI(2) => \g81__301_carry__1_i_2_n_0\,
DI(1) => \g81__301_carry__1_i_3_n_0\,
DI(0) => \g81__301_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__1_i_5_n_0\,
S(2) => \g81__301_carry__1_i_6_n_0\,
S(1) => \g81__301_carry__1_i_7_n_0\,
S(0) => \g81__301_carry__1_i_8_n_0\
);
\g81__301_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__1_n_5\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__1_i_1_n_0\
);
\g81__301_carry__1_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__1_n_6\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__301_carry__1_i_2_n_0\
);
\g81__301_carry__1_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__1_n_7\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__301_carry__1_i_3_n_0\
);
\g81__301_carry__1_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry__0_n_4\,
I1 => g84,
I2 => g83(7),
I3 => \g83__0_carry__0_n_4\,
O => \g81__301_carry__1_i_4_n_0\
);
\g81__301_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"999C"
)
port map (
I0 => \g81__261_carry__1_n_5\,
I1 => \g81__261_carry__1_n_4\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__1_i_5_n_0\
);
\g81__301_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"50AF30CF50AFCF30"
)
port map (
I0 => \g83__0_carry__1_n_2\,
I1 => g83(9),
I2 => \g81__261_carry__1_n_6\,
I3 => \g81__261_carry__1_n_5\,
I4 => g84,
I5 => \_carry__1_n_2\,
O => \g81__301_carry__1_i_6_n_0\
);
\g81__301_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry__1_n_7\,
I1 => g83(8),
I2 => g84,
I3 => \g81__261_carry__1_n_7\,
I4 => \g81__261_carry__1_n_6\,
I5 => \g81__301_carry__1_i_9_n_0\,
O => \g81__301_carry__1_i_7_n_0\
);
\g81__301_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"B44BB44BB4B44B4B"
)
port map (
I0 => \g81_carry__1_i_9_n_0\,
I1 => \g81__261_carry__0_n_4\,
I2 => \g81__261_carry__1_n_7\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__301_carry__1_i_8_n_0\
);
\g81__301_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__1_n_2\,
I1 => g83(9),
I2 => g84,
O => \g81__301_carry__1_i_9_n_0\
);
\g81__301_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__1_n_0\,
CO(3) => \g81__301_carry__2_n_0\,
CO(2) => \g81__301_carry__2_n_1\,
CO(1) => \g81__301_carry__2_n_2\,
CO(0) => \g81__301_carry__2_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__2_i_1_n_0\,
DI(2) => \g81__301_carry__2_i_2_n_0\,
DI(1) => \g81__301_carry__2_i_3_n_0\,
DI(0) => \g81__301_carry__2_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__2_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__2_i_5_n_0\,
S(2) => \g81__301_carry__2_i_6_n_0\,
S(1) => \g81__301_carry__2_i_7_n_0\,
S(0) => \g81__301_carry__2_i_8_n_0\
);
\g81__301_carry__2_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__2_i_1_n_0\
);
\g81__301_carry__2_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__2_n_6\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__2_i_2_n_0\
);
\g81__301_carry__2_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__2_n_7\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__2_i_3_n_0\
);
\g81__301_carry__2_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \g81__261_carry__1_n_4\,
I1 => \_carry__1_n_2\,
I2 => g84,
O => \g81__301_carry__2_i_4_n_0\
);
\g81__301_carry__2_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_5_n_0\
);
\g81__301_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6663"
)
port map (
I0 => \g81__261_carry__2_n_6\,
I1 => \g81__261_carry__2_n_1\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_6_n_0\
);
\g81__301_carry__2_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"999C"
)
port map (
I0 => \g81__261_carry__2_n_7\,
I1 => \g81__261_carry__2_n_6\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_7_n_0\
);
\g81__301_carry__2_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"999C"
)
port map (
I0 => \g81__261_carry__1_n_4\,
I1 => \g81__261_carry__2_n_7\,
I2 => g84,
I3 => \_carry__1_n_2\,
O => \g81__301_carry__2_i_8_n_0\
);
\g81__301_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__2_n_0\,
CO(3) => \g81__301_carry__3_n_0\,
CO(2) => \g81__301_carry__3_n_1\,
CO(1) => \g81__301_carry__3_n_2\,
CO(0) => \g81__301_carry__3_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__3_i_1_n_0\,
DI(2) => \g81__301_carry__3_i_2_n_0\,
DI(1) => \g81__301_carry__3_i_3_n_0\,
DI(0) => \g81__301_carry__3_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__3_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__3_i_5_n_0\,
S(2) => \g81__301_carry__3_i_6_n_0\,
S(1) => \g81__301_carry__3_i_7_n_0\,
S(0) => \g81__301_carry__3_i_8_n_0\
);
\g81__301_carry__3_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_1_n_0\
);
\g81__301_carry__3_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_2_n_0\
);
\g81__301_carry__3_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_3_n_0\
);
\g81__301_carry__3_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__3_i_4_n_0\
);
\g81__301_carry__3_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_5_n_0\
);
\g81__301_carry__3_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_6_n_0\
);
\g81__301_carry__3_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_7_n_0\
);
\g81__301_carry__3_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__3_i_8_n_0\
);
\g81__301_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__3_n_0\,
CO(3) => \g81__301_carry__4_n_0\,
CO(2) => \g81__301_carry__4_n_1\,
CO(1) => \g81__301_carry__4_n_2\,
CO(0) => \g81__301_carry__4_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__4_i_1_n_0\,
DI(2) => \g81__301_carry__4_i_2_n_0\,
DI(1) => \g81__301_carry__4_i_3_n_0\,
DI(0) => \g81__301_carry__4_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__4_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__4_i_5_n_0\,
S(2) => \g81__301_carry__4_i_6_n_0\,
S(1) => \g81__301_carry__4_i_7_n_0\,
S(0) => \g81__301_carry__4_i_8_n_0\
);
\g81__301_carry__4_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_1_n_0\
);
\g81__301_carry__4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_2_n_0\
);
\g81__301_carry__4_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_3_n_0\
);
\g81__301_carry__4_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__4_i_4_n_0\
);
\g81__301_carry__4_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_5_n_0\
);
\g81__301_carry__4_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_6_n_0\
);
\g81__301_carry__4_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_7_n_0\
);
\g81__301_carry__4_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__4_i_8_n_0\
);
\g81__301_carry__5\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__4_n_0\,
CO(3) => \g81__301_carry__5_n_0\,
CO(2) => \g81__301_carry__5_n_1\,
CO(1) => \g81__301_carry__5_n_2\,
CO(0) => \g81__301_carry__5_n_3\,
CYINIT => '0',
DI(3) => \g81__301_carry__5_i_1_n_0\,
DI(2) => \g81__301_carry__5_i_2_n_0\,
DI(1) => \g81__301_carry__5_i_3_n_0\,
DI(0) => \g81__301_carry__5_i_4_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__5_O_UNCONNECTED\(3 downto 0),
S(3) => \g81__301_carry__5_i_5_n_0\,
S(2) => \g81__301_carry__5_i_6_n_0\,
S(1) => \g81__301_carry__5_i_7_n_0\,
S(0) => \g81__301_carry__5_i_8_n_0\
);
\g81__301_carry__5_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_1_n_0\
);
\g81__301_carry__5_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_2_n_0\
);
\g81__301_carry__5_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_3_n_0\
);
\g81__301_carry__5_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__5_i_4_n_0\
);
\g81__301_carry__5_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_5_n_0\
);
\g81__301_carry__5_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_6_n_0\
);
\g81__301_carry__5_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_7_n_0\
);
\g81__301_carry__5_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__5_i_8_n_0\
);
\g81__301_carry__6\: unisim.vcomponents.CARRY4
port map (
CI => \g81__301_carry__5_n_0\,
CO(3) => \NLW_g81__301_carry__6_CO_UNCONNECTED\(3),
CO(2) => \g81__301_carry__6_n_1\,
CO(1) => \g81__301_carry__6_n_2\,
CO(0) => \g81__301_carry__6_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \g81__301_carry__6_i_1_n_0\,
DI(1) => \g81__301_carry__6_i_2_n_0\,
DI(0) => \g81__301_carry__6_i_3_n_0\,
O(3 downto 0) => \NLW_g81__301_carry__6_O_UNCONNECTED\(3 downto 0),
S(3) => '0',
S(2) => \g81__301_carry__6_i_4_n_0\,
S(1) => \g81__301_carry__6_i_5_n_0\,
S(0) => \g81__301_carry__6_i_6_n_0\
);
\g81__301_carry__6_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__6_i_1_n_0\
);
\g81__301_carry__6_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__6_i_2_n_0\
);
\g81__301_carry__6_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => \_carry__1_n_2\,
I1 => g84,
I2 => \g81__261_carry__2_n_1\,
O => \g81__301_carry__6_i_3_n_0\
);
\g81__301_carry__6_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__6_i_4_n_0\
);
\g81__301_carry__6_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__6_i_5_n_0\
);
\g81__301_carry__6_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FD"
)
port map (
I0 => \g81__261_carry__2_n_1\,
I1 => g84,
I2 => \_carry__1_n_2\,
O => \g81__301_carry__6_i_6_n_0\
);
\g81__301_carry_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"028A"
)
port map (
I0 => \g81__261_carry_n_5\,
I1 => g84,
I2 => g83(2),
I3 => \g83__0_carry_n_5\,
O => \g81__301_carry_i_1_n_0\
);
\g81__301_carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABEF"
)
port map (
I0 => \g81__261_carry_n_6\,
I1 => g84,
I2 => g83(1),
I3 => \g83__0_carry_n_6\,
O => \g81__301_carry_i_2_n_0\
);
\g81__301_carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \g81__261_carry_n_7\,
I1 => \g83__0_carry_n_7\,
O => \g81__301_carry_i_3_n_0\
);
\g81__301_carry_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACFF53005300ACFF"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
I3 => \g81__261_carry_n_5\,
I4 => \g81__261_carry_n_4\,
I5 => \g81_carry__0_i_9_n_0\,
O => \g81__301_carry_i_4_n_0\
);
\g81__301_carry_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"2DD22DD22D2DD2D2"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => \g81__261_carry_n_6\,
I2 => \g81__261_carry_n_5\,
I3 => \g83__0_carry_n_5\,
I4 => g83(2),
I5 => g84,
O => \g81__301_carry_i_5_n_0\
);
\g81__301_carry_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"D22DD22DD2D22D2D"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__261_carry_n_7\,
I2 => \g81__261_carry_n_6\,
I3 => \g83__0_carry_n_6\,
I4 => g83(1),
I5 => g84,
O => \g81__301_carry_i_6_n_0\
);
\g81__301_carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g81__261_carry_n_7\,
O => \g81__301_carry_i_7_n_0\
);
\g81__347_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__347_carry_n_0\,
CO(2) => \g81__347_carry_n_1\,
CO(1) => \g81__347_carry_n_2\,
CO(0) => \g81__347_carry_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0001",
O(3) => \g81__347_carry_n_4\,
O(2) => \g81__347_carry_n_5\,
O(1) => \g81__347_carry_n_6\,
O(0) => \g81__347_carry_n_7\,
S(3) => \g81__347_carry_i_1_n_0\,
S(2) => \g81__347_carry_i_2_n_0\,
S(1) => \g81__347_carry_i_3_n_0\,
S(0) => \g81__347_carry_i_4_n_0\
);
\g81__347_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__347_carry_n_0\,
CO(3) => \NLW_g81__347_carry__0_CO_UNCONNECTED\(3),
CO(2) => \g81__347_carry__0_n_1\,
CO(1) => \g81__347_carry__0_n_2\,
CO(0) => \g81__347_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \g81__347_carry__0_n_4\,
O(2) => \g81__347_carry__0_n_5\,
O(1) => \g81__347_carry__0_n_6\,
O(0) => \g81__347_carry__0_n_7\,
S(3) => \g81__347_carry__0_i_1_n_0\,
S(2) => \g81__347_carry__0_i_2_n_0\,
S(1) => \g81__347_carry__0_i_3_n_0\,
S(0) => \g81__347_carry__0_i_4_n_0\
);
\g81__347_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_4\,
O => \g81__347_carry__0_i_1_n_0\
);
\g81__347_carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_5\,
O => \g81__347_carry__0_i_2_n_0\
);
\g81__347_carry__0_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_6\,
O => \g81__347_carry__0_i_3_n_0\
);
\g81__347_carry__0_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__3_n_7\,
O => \g81__347_carry__0_i_4_n_0\
);
\g81__347_carry_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_4\,
O => \g81__347_carry_i_1_n_0\
);
\g81__347_carry_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_5\,
O => \g81__347_carry_i_2_n_0\
);
\g81__347_carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \g81__206_carry__2_n_6\,
O => \g81__347_carry_i_3_n_0\
);
\g81__347_carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \g81__206_carry__2_n_7\,
O => \g81__347_carry_i_4_n_0\
);
\g81__53_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__53_carry_n_0\,
CO(2) => \g81__53_carry_n_1\,
CO(1) => \g81__53_carry_n_2\,
CO(0) => \g81__53_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__53_carry_i_1_n_0\,
DI(1) => \g81__53_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__53_carry_n_4\,
O(2) => \g81__53_carry_n_5\,
O(1) => \g81__53_carry_n_6\,
O(0) => \NLW_g81__53_carry_O_UNCONNECTED\(0),
S(3) => \g81__53_carry_i_3_n_0\,
S(2) => \g81__53_carry_i_4_n_0\,
S(1) => \g81__53_carry_i_5_n_0\,
S(0) => \g81__53_carry_i_6_n_0\
);
\g81__53_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__53_carry_n_0\,
CO(3) => \g81__53_carry__0_n_0\,
CO(2) => \g81__53_carry__0_n_1\,
CO(1) => \g81__53_carry__0_n_2\,
CO(0) => \g81__53_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__53_carry__0_n_4\,
O(2) => \g81__53_carry__0_n_5\,
O(1) => \g81__53_carry__0_n_6\,
O(0) => \g81__53_carry__0_n_7\,
S(3) => \g81__53_carry__0_i_1_n_0\,
S(2) => \g81__53_carry__0_i_2_n_0\,
S(1) => \g81__53_carry__0_i_3_n_0\,
S(0) => \g81__53_carry__0_i_4_n_0\
);
\g81__53_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__53_carry__0_i_1_n_0\
);
\g81__53_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__53_carry__0_i_2_n_0\
);
\g81__53_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__53_carry__0_i_3_n_0\
);
\g81__53_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__53_carry__0_i_4_n_0\
);
\g81__53_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__53_carry__0_n_0\,
CO(3) => \g81__53_carry__1_n_0\,
CO(2) => \g81__53_carry__1_n_1\,
CO(1) => \g81__53_carry__1_n_2\,
CO(0) => \g81__53_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__53_carry__1_n_4\,
O(2) => \g81__53_carry__1_n_5\,
O(1) => \g81__53_carry__1_n_6\,
O(0) => \g81__53_carry__1_n_7\,
S(3) => \g81__53_carry__1_i_1_n_0\,
S(2) => \g81__53_carry__1_i_2_n_0\,
S(1) => \g81__53_carry__1_i_3_n_0\,
S(0) => \g81__53_carry__1_i_4_n_0\
);
\g81__53_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__53_carry__1_i_1_n_0\
);
\g81__53_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__53_carry__1_i_2_n_0\
);
\g81__53_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__53_carry__1_i_3_n_0\
);
\g81__53_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__53_carry__1_i_4_n_0\
);
\g81__53_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__53_carry__1_n_0\,
CO(3) => \NLW_g81__53_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__53_carry__2_n_1\,
CO(1) => \NLW_g81__53_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__53_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__53_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__53_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__53_carry__2_n_6\,
O(0) => \g81__53_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__53_carry__2_i_2_n_0\
);
\g81__53_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__53_carry__2_i_1_n_0\
);
\g81__53_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__53_carry__2_i_2_n_0\
);
\g81__53_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__53_carry_i_1_n_0\
);
\g81__53_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__53_carry_i_2_n_0\
);
\g81__53_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__53_carry_i_3_n_0\
);
\g81__53_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__53_carry_i_4_n_0\
);
\g81__53_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__53_carry_i_5_n_0\
);
\g81__53_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__53_carry_i_6_n_0\
);
\g81__92_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g81__92_carry_n_0\,
CO(2) => \g81__92_carry_n_1\,
CO(1) => \g81__92_carry_n_2\,
CO(0) => \g81__92_carry_n_3\,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => \g81__92_carry_i_1_n_0\,
DI(1) => \g81__92_carry_i_2_n_0\,
DI(0) => '0',
O(3) => \g81__92_carry_n_4\,
O(2) => \g81__92_carry_n_5\,
O(1) => \g81__92_carry_n_6\,
O(0) => \NLW_g81__92_carry_O_UNCONNECTED\(0),
S(3) => \g81__92_carry_i_3_n_0\,
S(2) => \g81__92_carry_i_4_n_0\,
S(1) => \g81__92_carry_i_5_n_0\,
S(0) => \g81__92_carry_i_6_n_0\
);
\g81__92_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g81__92_carry_n_0\,
CO(3) => \g81__92_carry__0_n_0\,
CO(2) => \g81__92_carry__0_n_1\,
CO(1) => \g81__92_carry__0_n_2\,
CO(0) => \g81__92_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81__92_carry__0_n_4\,
O(2) => \g81__92_carry__0_n_5\,
O(1) => \g81__92_carry__0_n_6\,
O(0) => \g81__92_carry__0_n_7\,
S(3) => \g81__92_carry__0_i_1_n_0\,
S(2) => \g81__92_carry__0_i_2_n_0\,
S(1) => \g81__92_carry__0_i_3_n_0\,
S(0) => \g81__92_carry__0_i_4_n_0\
);
\g81__92_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81__92_carry__0_i_1_n_0\
);
\g81__92_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81__92_carry__0_i_2_n_0\
);
\g81__92_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81__92_carry__0_i_3_n_0\
);
\g81__92_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81__92_carry__0_i_4_n_0\
);
\g81__92_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81__92_carry__0_n_0\,
CO(3) => \g81__92_carry__1_n_0\,
CO(2) => \g81__92_carry__1_n_1\,
CO(1) => \g81__92_carry__1_n_2\,
CO(0) => \g81__92_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81__92_carry__1_n_4\,
O(2) => \g81__92_carry__1_n_5\,
O(1) => \g81__92_carry__1_n_6\,
O(0) => \g81__92_carry__1_n_7\,
S(3) => \g81__92_carry__1_i_1_n_0\,
S(2) => \g81__92_carry__1_i_2_n_0\,
S(1) => \g81__92_carry__1_i_3_n_0\,
S(0) => \g81__92_carry__1_i_4_n_0\
);
\g81__92_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81__92_carry__1_i_1_n_0\
);
\g81__92_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__92_carry__1_i_2_n_0\
);
\g81__92_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81__92_carry__1_i_3_n_0\
);
\g81__92_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81__92_carry__1_i_4_n_0\
);
\g81__92_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81__92_carry__1_n_0\,
CO(3) => \NLW_g81__92_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81__92_carry__2_n_1\,
CO(1) => \NLW_g81__92_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81__92_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81__92_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81__92_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81__92_carry__2_n_6\,
O(0) => \g81__92_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81__92_carry__2_i_2_n_0\
);
\g81__92_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81__92_carry__2_i_1_n_0\
);
\g81__92_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81__92_carry__2_i_2_n_0\
);
\g81__92_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81__92_carry_i_1_n_0\
);
\g81__92_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81__92_carry_i_2_n_0\
);
\g81__92_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => \g81__92_carry_i_3_n_0\
);
\g81__92_carry_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81__92_carry_i_4_n_0\
);
\g81__92_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => \g81__92_carry_i_5_n_0\
);
\g81__92_carry_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81__92_carry_i_6_n_0\
);
g81_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => g81_carry_n_0,
CO(2) => g81_carry_n_1,
CO(1) => g81_carry_n_2,
CO(0) => g81_carry_n_3,
CYINIT => '0',
DI(3) => g81_carry_i_1_n_0,
DI(2) => g81_carry_i_2_n_0,
DI(1) => g81_carry_i_3_n_0,
DI(0) => '0',
O(3 downto 1) => NLW_g81_carry_O_UNCONNECTED(3 downto 1),
O(0) => g81_carry_n_7,
S(3) => g81_carry_i_4_n_0,
S(2) => g81_carry_i_5_n_0,
S(1) => g81_carry_i_6_n_0,
S(0) => g81_carry_i_7_n_0
);
\g81_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => g81_carry_n_0,
CO(3) => \g81_carry__0_n_0\,
CO(2) => \g81_carry__0_n_1\,
CO(1) => \g81_carry__0_n_2\,
CO(0) => \g81_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__0_i_1_n_0\,
DI(2) => \g81_carry__0_i_2_n_0\,
DI(1) => \g81_carry__0_i_3_n_0\,
DI(0) => \g81_carry__0_i_4_n_0\,
O(3) => \g81_carry__0_n_4\,
O(2) => \g81_carry__0_n_5\,
O(1) => \g81_carry__0_n_6\,
O(0) => \NLW_g81_carry__0_O_UNCONNECTED\(0),
S(3) => \g81_carry__0_i_5_n_0\,
S(2) => \g81_carry__0_i_6_n_0\,
S(1) => \g81_carry__0_i_7_n_0\,
S(0) => \g81_carry__0_i_8_n_0\
);
\g81_carry__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEBAECA8BA32A820"
)
port map (
I0 => \g81_carry__0_i_9_n_0\,
I1 => g84,
I2 => g83(5),
I3 => \g83__0_carry__0_n_6\,
I4 => g83(7),
I5 => \g83__0_carry__0_n_4\,
O => \g81_carry__0_i_1_n_0\
);
\g81_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => \g81_carry__0_i_10_n_0\
);
\g81_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => \g81_carry__0_i_11_n_0\
);
\g81_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => g83(6),
I2 => g84,
O => \g81_carry__0_i_12_n_0\
);
\g81_carry__0_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => g83(4),
I2 => g84,
O => \g81_carry__0_i_13_n_0\
);
\g81_carry__0_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_6\,
I1 => g83(5),
I2 => g84,
O => \g81_carry__0_i_14_n_0\
);
\g81_carry__0_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => \g81_carry__0_i_15_n_0\
);
\g81_carry__0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEBAECA8BA32A820"
)
port map (
I0 => \g81_carry__0_i_10_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => g83(6),
I5 => \g83__0_carry__0_n_5\,
O => \g81_carry__0_i_2_n_0\
);
\g81_carry__0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEBAECA8BA32A820"
)
port map (
I0 => \g81_carry__0_i_11_n_0\,
I1 => g84,
I2 => g83(3),
I3 => \g83__0_carry_n_4\,
I4 => g83(5),
I5 => \g83__0_carry__0_n_6\,
O => \g81_carry__0_i_3_n_0\
);
\g81_carry__0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"C33CC33CA5A55A5A"
)
port map (
I0 => g83(5),
I1 => \g83__0_carry__0_n_6\,
I2 => \g81_carry__0_i_11_n_0\,
I3 => \g83__0_carry_n_4\,
I4 => g83(3),
I5 => g84,
O => \g81_carry__0_i_4_n_0\
);
\g81_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_1_n_0\,
I1 => \g81_carry__0_i_12_n_0\,
I2 => \g81_carry__0_i_13_n_0\,
I3 => \g83__0_carry__1_n_7\,
I4 => g83(8),
I5 => g84,
O => \g81_carry__0_i_5_n_0\
);
\g81_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__0_i_2_n_0\,
I1 => \g81_carry__0_i_14_n_0\,
I2 => \g81_carry__0_i_9_n_0\,
I3 => \g83__0_carry__0_n_4\,
I4 => g83(7),
I5 => g84,
O => \g81_carry__0_i_6_n_0\
);
\g81_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"569AA965A965569A"
)
port map (
I0 => \g81_carry__0_i_3_n_0\,
I1 => g84,
I2 => g83(4),
I3 => \g83__0_carry__0_n_7\,
I4 => \g81_carry__0_i_10_n_0\,
I5 => \g81_carry__0_i_12_n_0\,
O => \g81_carry__0_i_7_n_0\
);
\g81_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"99666666A55A5A5A"
)
port map (
I0 => \g81_carry__0_i_15_n_0\,
I1 => \g83__0_carry__0_n_6\,
I2 => g83(5),
I3 => \g81_carry__0_i_10_n_0\,
I4 => \g83__0_carry_n_7\,
I5 => g84,
O => \g81_carry__0_i_8_n_0\
);
\g81_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => \g81_carry__0_i_9_n_0\
);
\g81_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g81_carry__0_n_0\,
CO(3) => \g81_carry__1_n_0\,
CO(2) => \g81_carry__1_n_1\,
CO(1) => \g81_carry__1_n_2\,
CO(0) => \g81_carry__1_n_3\,
CYINIT => '0',
DI(3) => \g81_carry__1_i_1_n_0\,
DI(2) => \g81_carry__1_i_2_n_0\,
DI(1) => \g81_carry__1_i_3_n_0\,
DI(0) => \g81_carry__1_i_4_n_0\,
O(3) => \g81_carry__1_n_4\,
O(2) => \g81_carry__1_n_5\,
O(1) => \g81_carry__1_n_6\,
O(0) => \g81_carry__1_n_7\,
S(3) => \g81_carry__1_i_5_n_0\,
S(2) => \g81_carry__1_i_6_n_0\,
S(1) => \g81_carry__1_i_7_n_0\,
S(0) => \g81_carry__1_i_8_n_0\
);
\g81_carry__1_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CAC00A00CFCA0F0A"
)
port map (
I0 => g83(7),
I1 => \g83__0_carry__0_n_4\,
I2 => g84,
I3 => g83(9),
I4 => \g83__0_carry__1_n_2\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_1_n_0\
);
\g81_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CAC00A00CFCA0F0A"
)
port map (
I0 => g83(6),
I1 => \g83__0_carry__0_n_5\,
I2 => g84,
I3 => g83(8),
I4 => \g83__0_carry__1_n_7\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_2_n_0\
);
\g81_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE4EEA0F544E400"
)
port map (
I0 => g84,
I1 => g83(5),
I2 => \g83__0_carry__0_n_6\,
I3 => \g81_carry__1_i_9_n_0\,
I4 => g83(9),
I5 => \g83__0_carry__1_n_2\,
O => \g81_carry__1_i_3_n_0\
);
\g81_carry__1_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE4EEA0F544E400"
)
port map (
I0 => g84,
I1 => g83(4),
I2 => \g83__0_carry__0_n_7\,
I3 => \g81_carry__0_i_12_n_0\,
I4 => g83(8),
I5 => \g83__0_carry__1_n_7\,
O => \g81_carry__1_i_4_n_0\
);
\g81_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__1_i_1_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
O => \g81_carry__1_i_5_n_0\
);
\g81_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
I4 => \g81_carry__1_i_9_n_0\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_6_n_0\
);
\g81_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A965569A9A5665A9"
)
port map (
I0 => \g81_carry__1_i_3_n_0\,
I1 => g84,
I2 => g83(8),
I3 => \g83__0_carry__1_n_7\,
I4 => \g81_carry__0_i_12_n_0\,
I5 => \_carry__1_n_2\,
O => \g81_carry__1_i_7_n_0\
);
\g81_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996699669699696"
)
port map (
I0 => \g81_carry__1_i_4_n_0\,
I1 => \g81_carry__1_i_9_n_0\,
I2 => \g81_carry__0_i_14_n_0\,
I3 => \g83__0_carry__1_n_2\,
I4 => g83(9),
I5 => g84,
O => \g81_carry__1_i_8_n_0\
);
\g81_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry__0_n_4\,
I1 => g83(7),
I2 => g84,
O => \g81_carry__1_i_9_n_0\
);
\g81_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \g81_carry__1_n_0\,
CO(3) => \NLW_g81_carry__2_CO_UNCONNECTED\(3),
CO(2) => \g81_carry__2_n_1\,
CO(1) => \NLW_g81_carry__2_CO_UNCONNECTED\(1),
CO(0) => \g81_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \g81_carry__2_i_1_n_0\,
DI(0) => \g81_carry__2_i_2_n_0\,
O(3 downto 2) => \NLW_g81_carry__2_O_UNCONNECTED\(3 downto 2),
O(1) => \g81_carry__2_n_6\,
O(0) => \g81_carry__2_n_7\,
S(3 downto 1) => B"010",
S(0) => \g81_carry__2_i_3_n_0\
);
\g81_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81_carry__2_i_1_n_0\
);
\g81_carry__2_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => g84,
I1 => \_carry__1_n_2\,
O => \g81_carry__2_i_2_n_0\
);
\g81_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"569A"
)
port map (
I0 => \g81_carry__2_i_2_n_0\,
I1 => g84,
I2 => g83(9),
I3 => \g83__0_carry__1_n_2\,
O => \g81_carry__2_i_3_n_0\
);
g81_carry_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => g81_carry_i_1_n_0
);
g81_carry_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_4\,
I1 => g83(3),
I2 => g84,
O => g81_carry_i_2_n_0
);
g81_carry_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => g83(2),
I2 => g84,
O => g81_carry_i_3_n_0
);
g81_carry_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"99A5995A66A5665A"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_5\,
I2 => g83(2),
I3 => g84,
I4 => g83(4),
I5 => \g83__0_carry__0_n_7\,
O => g81_carry_i_4_n_0
);
g81_carry_i_5: unisim.vcomponents.LUT5
generic map(
INIT => X"353AC5CA"
)
port map (
I0 => g83(3),
I1 => \g83__0_carry_n_4\,
I2 => g84,
I3 => g83(1),
I4 => \g83__0_carry_n_6\,
O => g81_carry_i_5_n_0
);
g81_carry_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"35CA"
)
port map (
I0 => g83(2),
I1 => \g83__0_carry_n_5\,
I2 => g84,
I3 => \g83__0_carry_n_7\,
O => g81_carry_i_6_n_0
);
g81_carry_i_7: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => \g83__0_carry_n_6\,
I1 => g83(1),
I2 => g84,
O => g81_carry_i_7_n_0
);
\g83__0_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \g83__0_carry_n_0\,
CO(2) => \g83__0_carry_n_1\,
CO(1) => \g83__0_carry_n_2\,
CO(0) => \g83__0_carry_n_3\,
CYINIT => '0',
DI(3) => \g83__0_carry_i_1_n_0\,
DI(2) => \g83__0_carry_i_2_n_0\,
DI(1) => \g83__0_carry_i_3_n_0\,
DI(0) => '0',
O(3) => \g83__0_carry_n_4\,
O(2) => \g83__0_carry_n_5\,
O(1) => \g83__0_carry_n_6\,
O(0) => \g83__0_carry_n_7\,
S(3) => \g83__0_carry_i_4_n_0\,
S(2) => \g83__0_carry_i_5_n_0\,
S(1) => \g83__0_carry_i_6_n_0\,
S(0) => \g83__0_carry_i_7_n_0\
);
\g83__0_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \g83__0_carry_n_0\,
CO(3) => \g83__0_carry__0_n_0\,
CO(2) => \g83__0_carry__0_n_1\,
CO(1) => \g83__0_carry__0_n_2\,
CO(0) => \g83__0_carry__0_n_3\,
CYINIT => '0',
DI(3) => \g83__0_carry__0_i_1_n_0\,
DI(2) => \g83__0_carry__0_i_2_n_0\,
DI(1) => \g83__0_carry__0_i_3_n_0\,
DI(0) => \g83__0_carry__0_i_4_n_0\,
O(3) => \g83__0_carry__0_n_4\,
O(2) => \g83__0_carry__0_n_5\,
O(1) => \g83__0_carry__0_n_6\,
O(0) => \g83__0_carry__0_n_7\,
S(3) => \g83__0_carry__0_i_5_n_0\,
S(2) => \g83__0_carry__0_i_6_n_0\,
S(1) => \g83__0_carry__0_i_7_n_0\,
S(0) => \g83__0_carry__0_i_8_n_0\
);
\g83__0_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(14),
I1 => rgb888(6),
I2 => rgb888(22),
O => \g83__0_carry__0_i_1_n_0\
);
\g83__0_carry__0_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(13),
I1 => rgb888(5),
I2 => rgb888(21),
O => \g83__0_carry__0_i_2_n_0\
);
\g83__0_carry__0_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(12),
I1 => rgb888(4),
I2 => rgb888(20),
O => \g83__0_carry__0_i_3_n_0\
);
\g83__0_carry__0_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(11),
I1 => rgb888(3),
I2 => rgb888(19),
O => \g83__0_carry__0_i_4_n_0\
);
\g83__0_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \g83__0_carry__0_i_1_n_0\,
I1 => rgb888(7),
I2 => rgb888(15),
I3 => rgb888(23),
O => \g83__0_carry__0_i_5_n_0\
);
\g83__0_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(14),
I1 => rgb888(6),
I2 => rgb888(22),
I3 => \g83__0_carry__0_i_2_n_0\,
O => \g83__0_carry__0_i_6_n_0\
);
\g83__0_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(13),
I1 => rgb888(5),
I2 => rgb888(21),
I3 => \g83__0_carry__0_i_3_n_0\,
O => \g83__0_carry__0_i_7_n_0\
);
\g83__0_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(12),
I1 => rgb888(4),
I2 => rgb888(20),
I3 => \g83__0_carry__0_i_4_n_0\,
O => \g83__0_carry__0_i_8_n_0\
);
\g83__0_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \g83__0_carry__0_n_0\,
CO(3 downto 2) => \NLW_g83__0_carry__1_CO_UNCONNECTED\(3 downto 2),
CO(1) => \g83__0_carry__1_n_2\,
CO(0) => \NLW_g83__0_carry__1_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_g83__0_carry__1_O_UNCONNECTED\(3 downto 1),
O(0) => \g83__0_carry__1_n_7\,
S(3 downto 1) => B"001",
S(0) => \g83__0_carry__1_i_1_n_0\
);
\g83__0_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(15),
I1 => rgb888(7),
I2 => rgb888(23),
O => \g83__0_carry__1_i_1_n_0\
);
\g83__0_carry_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(10),
I1 => rgb888(2),
I2 => rgb888(18),
O => \g83__0_carry_i_1_n_0\
);
\g83__0_carry_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(9),
I1 => rgb888(1),
I2 => rgb888(17),
O => \g83__0_carry_i_2_n_0\
);
\g83__0_carry_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => rgb888(8),
I1 => rgb888(0),
I2 => rgb888(16),
O => \g83__0_carry_i_3_n_0\
);
\g83__0_carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(11),
I1 => rgb888(3),
I2 => rgb888(19),
I3 => \g83__0_carry_i_1_n_0\,
O => \g83__0_carry_i_4_n_0\
);
\g83__0_carry_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(10),
I1 => rgb888(2),
I2 => rgb888(18),
I3 => \g83__0_carry_i_2_n_0\,
O => \g83__0_carry_i_5_n_0\
);
\g83__0_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(9),
I1 => rgb888(1),
I2 => rgb888(17),
I3 => \g83__0_carry_i_3_n_0\,
O => \g83__0_carry_i_6_n_0\
);
\g83__0_carry_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(8),
I1 => rgb888(0),
I2 => rgb888(16),
O => \g83__0_carry_i_7_n_0\
);
g84_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => g84_carry_n_0,
CO(2) => g84_carry_n_1,
CO(1) => g84_carry_n_2,
CO(0) => g84_carry_n_3,
CYINIT => '1',
DI(3) => g84_carry_i_1_n_0,
DI(2) => g84_carry_i_2_n_0,
DI(1) => g84_carry_i_3_n_0,
DI(0) => g84_carry_i_4_n_0,
O(3 downto 0) => NLW_g84_carry_O_UNCONNECTED(3 downto 0),
S(3) => g84_carry_i_5_n_0,
S(2) => g84_carry_i_6_n_0,
S(1) => g84_carry_i_7_n_0,
S(0) => g84_carry_i_8_n_0
);
\g84_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => g84_carry_n_0,
CO(3 downto 1) => \NLW_g84_carry__0_CO_UNCONNECTED\(3 downto 1),
CO(0) => g84,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \g84_carry__0_i_1_n_0\,
O(3 downto 0) => \NLW_g84_carry__0_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => B"000",
S(0) => \g84_carry__0_i_2_n_0\
);
\g84_carry__0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry__1_n_7\,
I1 => \g83__0_carry__1_n_2\,
O => \g84_carry__0_i_1_n_0\
);
\g84_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__1_n_7\,
I1 => \g83__0_carry__1_n_2\,
O => \g84_carry__0_i_2_n_0\
);
g84_carry_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => \g83__0_carry__0_n_4\,
O => g84_carry_i_1_n_0
);
g84_carry_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => \g83__0_carry__0_n_6\,
O => g84_carry_i_2_n_0
);
g84_carry_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => \g83__0_carry_n_4\,
O => g84_carry_i_3_n_0
);
g84_carry_i_4: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_6\,
O => g84_carry_i_4_n_0
);
g84_carry_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_5\,
I1 => \g83__0_carry__0_n_4\,
O => g84_carry_i_5_n_0
);
g84_carry_i_6: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry__0_n_7\,
I1 => \g83__0_carry__0_n_6\,
O => g84_carry_i_6_n_0
);
g84_carry_i_7: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_5\,
I1 => \g83__0_carry_n_4\,
O => g84_carry_i_7_n_0
);
g84_carry_i_8: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \g83__0_carry_n_7\,
I1 => \g83__0_carry_n_6\,
O => g84_carry_i_8_n_0
);
\g8[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_7\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_7\,
O => g810_in(0)
);
\g8[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_6\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_6\,
O => g810_in(1)
);
\g8[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_5\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_5\,
O => g810_in(2)
);
\g8[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__2_n_4\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry_n_4\,
O => g810_in(3)
);
\g8[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_7\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_7\,
O => g810_in(4)
);
\g8[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_6\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_6\,
O => g810_in(5)
);
\g8[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_5\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_5\,
O => g810_in(6)
);
\g8[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BABABABB8A8A8A88"
)
port map (
I0 => \g81__206_carry__3_n_4\,
I1 => \g81__301_carry__6_n_1\,
I2 => \g81__261_carry__2_n_1\,
I3 => g84,
I4 => \_carry__1_n_2\,
I5 => \g81__347_carry__0_n_4\,
O => g810_in(7)
);
\g8_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(0),
Q => g8(0),
R => '0'
);
\g8_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(1),
Q => g8(1),
R => '0'
);
\g8_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(2),
Q => g8(2),
R => '0'
);
\g8_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(3),
Q => g8(3),
R => '0'
);
\g8_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(4),
Q => g8(4),
R => '0'
);
\g8_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(5),
Q => g8(5),
R => '0'
);
\g8_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(6),
Q => g8(6),
R => '0'
);
\g8_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => g810_in(7),
Q => g8(7),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_rgb888_to_g8_0_0 is
port (
clk : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 );
g8 : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_rgb888_to_g8_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_rgb888_to_g8_0_0 : entity is "system_rgb888_to_g8_0_0,rgb888_to_g8,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_rgb888_to_g8_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_rgb888_to_g8_0_0 : entity is "rgb888_to_g8,Vivado 2016.4";
end system_rgb888_to_g8_0_0;
architecture STRUCTURE of system_rgb888_to_g8_0_0 is
begin
U0: entity work.system_rgb888_to_g8_0_0_rgb888_to_g8
port map (
clk => clk,
g8(7 downto 0) => g8(7 downto 0),
rgb888(23 downto 0) => rgb888(23 downto 0)
);
end STRUCTURE;
| mit | 410fb5034152669e18f57bec3ba46ded | 0.491835 | 2.249335 | false | false | false | false |
loa-org/loa-hdl | modules/encoder/hdl/hall_sensor_decoder.vhd | 2 | 5,081 | -------------------------------------------------------------------------------
-- Title : Hall Sensor Decoder
-- Project : Loa
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Company : Roboterclub Aachen e. V.
-------------------------------------------------------------------------------
-- Description:
--
-- _____ _______ _____
-- A ___| |_____| |_____|
-- _____ ___ _______
-- B _____| |_____| |_____|
-- _____ _______
-- C _______| |___________| |_
--
-- step_p 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1
-- dir_p 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0
--
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.motor_control_pkg.all;
package hall_sensor_decoder_pkg is
component hall_sensor_decoder
port (
hall_sensor_p : in hall_sensor_type;
step_p : out std_logic;
dir_p : out std_logic;
error_p : out std_logic;
clk : in std_logic);
end component;
end hall_sensor_decoder_pkg;
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.motor_control_pkg.all;
entity hall_sensor_decoder is
port (
hall_sensor_p : in hall_sensor_type;
step_p : out std_logic; -- detected step_p ('1' for one clock cycle)
dir_p : out std_logic; -- count direction (1 = up, 0 = down)
error_p : out std_logic; -- illegal transition (two or more bits change at the same time)
clk : in std_logic -- system clock
);
end hall_sensor_decoder;
architecture behavioral of hall_sensor_decoder is
signal a_buf : std_logic_vector(1 downto 0) := (others => '0');
signal b_buf : std_logic_vector(1 downto 0) := (others => '0');
signal c_buf : std_logic_vector(1 downto 0) := (others => '0');
begin
-- edge detection
process
begin
wait until rising_edge(clk);
a_buf <= a_buf(0) & hall_sensor_p.a;
b_buf <= b_buf(0) & hall_sensor_p.b;
c_buf <= c_buf(0) & hall_sensor_p.c;
end process;
-- signal decoding
comb : process(a_buf, b_buf, c_buf)
variable state : std_logic_vector(5 downto 0);
begin
state := a_buf(0) & b_buf(0) & c_buf(0) & a_buf(1) & b_buf(1) & c_buf(1);
case state is
-- new -> old
-- unchanged valid codes
when "101" & "101" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "100" & "100" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "110" & "110" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "010" & "010" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "011" & "011" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "001" & "001" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
-- CW
when "101" & "100" => step_p <= '1'; dir_p <= '0'; error_p <= '0';
when "100" & "110" => step_p <= '1'; dir_p <= '0'; error_p <= '0';
when "110" & "010" => step_p <= '1'; dir_p <= '0'; error_p <= '0';
when "010" & "011" => step_p <= '1'; dir_p <= '0'; error_p <= '0';
when "011" & "001" => step_p <= '1'; dir_p <= '0'; error_p <= '0';
when "001" & "101" => step_p <= '1'; dir_p <= '0'; error_p <= '0';
-- CCW
when "101" & "001" => step_p <= '1'; dir_p <= '1'; error_p <= '0';
when "001" & "011" => step_p <= '1'; dir_p <= '1'; error_p <= '0';
when "011" & "010" => step_p <= '1'; dir_p <= '1'; error_p <= '0';
when "010" & "110" => step_p <= '1'; dir_p <= '1'; error_p <= '0';
when "110" & "100" => step_p <= '1'; dir_p <= '1'; error_p <= '0';
when "100" & "101" => step_p <= '1'; dir_p <= '1'; error_p <= '0';
-- From invalid to any valid
when "101" & "000" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "101" & "111" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "100" & "000" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "100" & "111" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "110" & "000" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "110" & "111" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "010" & "000" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "010" & "111" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "011" & "000" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "011" & "111" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "001" & "000" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
when "001" & "111" => step_p <= '0'; dir_p <= '0'; error_p <= '0';
-- All other invalid
when others => step_p <= '0'; dir_p <= '0'; error_p <= '1';
end case;
end process;
end behavioral;
| bsd-3-clause | 9bde75f9e86082460dd4fc1aefe47421 | 0.407991 | 3.031623 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/zybo_hdmi/zybo_hdmi.srcs/sources_1/new/zybo_hdmi.vhd | 6 | 2,777 | ----------------------------------------------------------------------------------
-- Company: DBRSS
-- Engineer: Daniel Barcklow
-- Module: TOP level DVI-D
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Adapted by: Rob Taglang
----------------------------------------------------------------------------------
library IEEE;
library UNISIM;
use IEEE.STD_LOGIC_1164.ALL;
use UNISIM.VCOMPONENTS.ALL;
entity zybo_hdmi is
port(
clk_125 : in std_logic;
clk_25 : in std_logic;
hsync : in std_logic;
vsync : in std_logic;
active : in std_logic;
rgb : in std_logic_vector(23 downto 0);
tmds : out std_logic_vector(3 downto 0);
tmdsb : out std_logic_vector(3 downto 0);
hdmi_cec : in std_logic;
hdmi_hpd : in std_logic;
hdmi_out_en : out std_logic
);
end zybo_hdmi;
architecture Structural of zybo_hdmi is
signal clk_dvi : std_logic := '0';
signal clk_dvin : std_logic := '0';
signal clk_vga : std_logic := '0';
signal red : std_logic_vector(7 downto 0) := (others => '0');
signal green : std_logic_vector(7 downto 0) := (others => '0');
signal blue : std_logic_vector(7 downto 0) := (others => '0');
signal red_s : std_logic;
signal green_s : std_logic;
signal blue_s : std_logic;
signal clock_s : std_logic;
begin
-- Enable HDMI enable out signal, as stated in reference
hdmi_out_en <= '1';
-- Map rgb in to the separate channels
red <= rgb(23 downto 16);
green <= rgb(15 downto 8);
blue <= rgb(7 downto 0);
-- DVI-D module
DVID : entity work.dvid(Behavioral)
port map(
clk => clk_dvi,
clk_n => clk_dvin,
clk_pixel => clk_vga,
red_p => red,
green_p => green,
blue_p => blue,
video_on => active,
hsync => hsync,
vsync => vsync,
-- outputs to TMDS drivers
red_serial => red_s,
green_serial => green_s,
blue_serial => blue_s,
clock_serial => clock_s
);
OBUFDS_blue : OBUFDS PORT MAP ( O => TMDS(0), OB => TMDSB(0), I => blue_s );
OBUFDS_red : OBUFDS PORT MAP ( O => TMDS(1), OB => TMDSB(1), I => green_s );
OBUFDS_green : OBUFDS PORT MAP ( O => TMDS(2), OB => TMDSB(2), I => red_s );
OBUFDS_clock : OBUFDS PORT MAP ( O => TMDS(3), OB => TMDSB(3), I => clock_s );
clk_dvi <= clk_125; -- DVI clk (pos)
clk_dvin <= not clk_125; -- DVI clk (neg)
clk_vga <= clk_25; -- VGA clk
end Structural; | mit | 590ebdb767663a1486d06da689b6bf98 | 0.46381 | 3.798906 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_rst_ps7_0_100M_0/sim/system_rst_ps7_0_100M_0.vhd | 1 | 5,839 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_10;
USE proc_sys_reset_v5_0_10.proc_sys_reset;
ENTITY system_rst_ps7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END system_rst_ps7_0_100M_0;
ARCHITECTURE system_rst_ps7_0_100M_0_arch OF system_rst_ps7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END system_rst_ps7_0_100M_0_arch;
| mit | 29dbeafad3173f401c614a7176220056 | 0.706114 | 3.560366 | false | false | false | false |
sbourdeauducq/dspunit | rtl/dotcmul.vhd | 2 | 16,584 | -- ----------------------------------------------------------------------
-- DspUnit : Advanced So(P)C Sequential Signal Processor
-- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com)
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the
-- Free Software Foundation, Inc.,
-- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
-- ----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.dspunit_pac.all;
use work.dspalu_pac.all;
use work.Bit_Manipulation.all;
-------------------------------------------------------------------------------
entity dotcmul is
port (
--@inputs
clk : in std_logic;
op_en : in std_logic;
data_in_m0 : in std_logic_vector((sig_width - 1) downto 0);
data_in_m1 : in std_logic_vector((sig_width - 1) downto 0);
length_reg : in std_logic_vector((cmdreg_data_width -1) downto 0);
length_kern_reg : in std_logic_vector((cmdreg_data_width -1) downto 0);
opflag_select : in std_logic_vector((opflag_width - 1) downto 0);
result1 : in std_logic_vector((sig_width - 1) downto 0);
result2 : in std_logic_vector((sig_width - 1) downto 0);
--@outputs;
dsp_bus : out t_dsp_bus
);
end dotcmul;
--=----------------------------------------------------------------------------
architecture archi_dotcmul of dotcmul is
-----------------------------------------------------------------------------
-- @constants definition
-----------------------------------------------------------------------------
constant c_addr_pipe_depth : integer := 11;
constant c_ind_width : integer := cmdreg_width - 2;
--=--------------------------------------------------------------------------
--
-- @component declarations
--
-----------------------------------------------------------------------------
--=--------------------------------------------------------------------------
-- @signals definition
-----------------------------------------------------------------------------
signal s_dsp_bus : t_dsp_bus;
type t_dotcmul_state is (st_init, st_startpipe, st_performop, st_copy);
type t_datastate is (st_data_y1, st_data_y2);
signal s_state : t_dotcmul_state;
signal s_length : unsigned((cmdreg_width - 1) downto 0);
signal s_data_y1_r : std_logic_vector((sig_width - 1) downto 0);
signal s_data_y2_r : std_logic_vector((sig_width - 1) downto 0);
signal s_data_u1_r : std_logic_vector((sig_width - 1) downto 0);
signal s_data_y1 : std_logic_vector((sig_width - 1) downto 0);
signal s_data_y2 : std_logic_vector((sig_width - 1) downto 0);
signal s_data_u1 : std_logic_vector((sig_width - 1) downto 0);
signal s_data_u2 : std_logic_vector((sig_width - 1) downto 0);
signal s_out_y2_r : std_logic_vector((sig_width - 1) downto 0);
signal s_out_u1_r : std_logic_vector((sig_width - 1) downto 0);
signal s_out_u2_r : std_logic_vector((sig_width - 1) downto 0);
signal s_out_y1 : std_logic_vector((sig_width - 1) downto 0);
signal s_out_y2 : std_logic_vector((sig_width - 1) downto 0);
signal s_out_u1 : std_logic_vector((sig_width - 1) downto 0);
signal s_out_u2 : std_logic_vector((sig_width - 1) downto 0);
signal s_datastate : t_datastate;
signal s_datastate_n1 : t_datastate;
type t_addr_pipe is array(0 to c_addr_pipe_depth - 1) of unsigned((cmdreg_width - 1) downto 0);
type t_wr_pipe is array(0 to c_addr_pipe_depth - 1) of std_logic;
signal s_addr_pipe : t_addr_pipe;
signal s_wr_pipe : t_wr_pipe;
signal s_next_index : unsigned((c_ind_width - 1) downto 0);
signal s_next_group : unsigned((c_ind_width - 1) downto 0);
signal s_sample_index : unsigned((c_ind_width - 1) downto 0);
signal s_sample_index_rev : unsigned((c_ind_width - 1) downto 0);
signal s_addr_r_m0_tmp : unsigned((cmdreg_width - 1) downto 0);
signal s_addr_r_m1_tmp : unsigned((cmdreg_width - 1) downto 0);
signal s_imag_part : std_logic;
-- signal s_module : integer;
signal s_mask_reg : unsigned((cmdreg_width - 1) downto 0);
signal s_length_kern : unsigned((cmdreg_width - 1) downto 0);
begin -- archs_dotcmul
-----------------------------------------------------------------------------
--
-- @instantiations
--
-----------------------------------------------------------------------------
--=---------------------------------------------------------------------------
p_dotcmul : process (clk)
begin -- process p_dotcmul
if rising_edge(clk) then -- rising clock edge
if op_en = '0' then
s_state <= st_init;
--s_dsp_bus <= c_dsp_bus_init;
s_dsp_bus.op_done <= '0';
-- memory 0
-- s_dsp_bus.data_out_m0 <= (others => '0');
-- s_dsp_bus.addr_r_m0 <= (others => '0');
-- s_dsp_bus.addr_w_m0 <= (others => '0');
-- s_dsp_bus.wr_en_m0 <= '0';
--s_dsp_bus.c_en_m0 <= '0';
-- memory 1
-- s_dsp_bus.data_out_m1 <= (others => '0');
s_dsp_bus.wr_en_m1 <= '0';
--s_dsp_bus.c_en_m1 <= '0';
-- memory 2
-- s_dsp_bus.data_out_m2 <= (others => '0');
s_dsp_bus.addr_m2 <= (others => '0');
s_dsp_bus.wr_en_m2 <= '0';
-------------------------------------------------------------------------------
-- operation management
-------------------------------------------------------------------------------
else
case s_state is
when st_init =>
-- s_count <= 0;
if s_dsp_bus.op_done = '0' then
s_state <= st_performop;
end if;
when st_performop =>
-- In this state : reading, complex multiplication and writting
-- are done concurently
if s_sample_index = s_length then
--s_dsp_bus.wr_en_m1 <= '1';
s_state <= st_copy;
end if;
when st_copy =>
-- write last words to memory
-- s_count <= s_count + 1;
-- if(s_count = 10) then
if(s_dsp_bus.wr_en_m0 = '0') then
s_state <= st_init;
s_dsp_bus.op_done <= '1';
end if;
when others => null;
end case;
end if;
end if;
end process p_dotcmul;
-------------------------------------------------------------------------------
-- Data states
-------------------------------------------------------------------------------
p_data : process (clk)
begin -- process p_data
if rising_edge(clk) then -- rising clock edge
if s_state = st_init then
-- initial state is calculated as a function of pipeline depth
-- s_datastate <= st_data_y1;
s_datastate <= st_data_y2;
s_dsp_bus.alu_select <= alu_mul;
s_dsp_bus.acc_mode1 <= acc_store;
s_dsp_bus.acc_mode2 <= acc_store;
else
case s_datastate is
when st_data_y1 =>
s_datastate <= st_data_y2;
when others => -- st_data_y2
s_datastate <= st_data_y1;
s_dsp_bus.alu_select <= alu_cmul_conj;
s_dsp_bus.acc_mode1 <= acc_store;
s_dsp_bus.acc_mode2 <= acc_store;
end case;
end if;
end if;
end process p_data;
-------------------------------------------------------------------------------
-- load data from memory
-------------------------------------------------------------------------------
p_dataload : process (clk)
begin -- process p_dataload
if rising_edge(clk) then -- rising clock edge
case s_datastate is
when st_data_y1 =>
s_data_u1_r <= data_in_m1;
s_data_y1_r <= data_in_m0;
when others => -- st_data_y2
dispsig("sigcmul", to_integer(s_sample_index) + 1, to_integer(signed(s_data_y1_r)));
s_data_y1 <= s_data_y1_r;
s_data_y2 <= data_in_m0;
s_data_u2 <= data_in_m1;
s_data_u1 <= s_data_u1_r;
end case;
end if;
end process p_dataload;
-------------------------------------------------------------------------------
-- store data to memory
-------------------------------------------------------------------------------
p_datastore : process (clk)
begin -- process p_datastore
if rising_edge(clk) then -- rising clock edge
s_datastate_n1 <= s_datastate;
case s_datastate_n1 is
when st_data_y1 =>
-- states y1, y2 inverted for writing because pipe length is odd
s_dsp_bus.data_out_m0 <= s_out_y1;
s_out_y2_r <= s_out_y2;
when others => -- st_data_y1
s_dsp_bus.data_out_m0 <= s_out_y2_r;
s_out_y1 <= result1;
s_out_y2 <= result2;
end case;
end if;
end process p_datastore;
-------------------------------------------------------------------------------
-- Compute address of reading words
-------------------------------------------------------------------------------
p_addr_comput : process (clk)
begin -- process p_addr_comput
if rising_edge(clk) then -- rising clock edge
if s_state = st_init then
s_sample_index <= to_unsigned(0, c_ind_width);
s_imag_part <= '0';
else
-- the real datastate is shifted of 2 stages because of pipeline delay
if (s_datastate = st_data_y2) then
-- y1 being read, compute index of y2
s_imag_part <= '1';
-- else compute index of next sample
-- elsif (s_next_index < s_length) then
else
-- increment index
s_sample_index <= s_next_index((c_ind_width - 1) downto 0);
s_imag_part <= '0';
end if;
end if;
end if;
end process p_addr_comput;
s_next_index <= s_sample_index + 1;
-------------------------------------------------------------------------------
-- address pipe : output is writting address
-------------------------------------------------------------------------------
p_addr_pipe : process (clk)
begin -- process p_addr_pipe
if rising_edge(clk) then -- rising clock edge
s_addr_pipe(0) <= s_addr_r_m0_tmp;
if(s_state = st_performop) then
s_wr_pipe(0) <= '1';
else
s_wr_pipe(0) <= '0';
end if;
for i in 0 to c_addr_pipe_depth - 2 loop
s_addr_pipe(i + 1) <= s_addr_pipe(i);
s_wr_pipe(i + 1) <= s_wr_pipe(i);
end loop;
end if;
end process p_addr_pipe;
--=---------------------------------------------------------------------------
--
-- @concurrent signal assignments
--
-----------------------------------------------------------------------------
dsp_bus <= s_dsp_bus;
s_dsp_bus.data_out_m2 <= (others => '0');
s_dsp_bus.data_out_m1 <= (others => '0');
s_dsp_bus.c_en_m0 <= '1';
s_dsp_bus.c_en_m1 <= '1';
s_dsp_bus.c_en_m2 <= '1';
s_dsp_bus.gcounter_reset <= '1';
-- alu inputs
s_dsp_bus.mul_in_a1 <= s_data_y1;
s_dsp_bus.mul_in_a2 <= s_data_y2;
s_dsp_bus.mul_in_b1 <= s_data_u1;
s_dsp_bus.mul_in_b2 <= s_data_u2;
-- Writing and reading address of the memory
s_sample_index_rev <= bit_reverse(s_sample_index);
s_addr_r_m0_tmp((cmdreg_width - 1) downto (c_ind_width + 1)) <= (others => '0');
s_addr_r_m1_tmp((cmdreg_width - 1) downto (c_ind_width + 1)) <= (others => '0');
s_addr_r_m1_tmp((c_ind_width) downto 1) <= s_sample_index;
-- index with bit reverse if needed
s_addr_r_m0_tmp((c_ind_width) downto 1) <= s_sample_index when opflag_select(opflagbit_bitrev) = '0' else
s_sample_index((c_ind_width - 1) downto 4) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 4))
when length_kern_reg(4) = '1' else
s_sample_index((c_ind_width - 1) downto 5) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 5))
when length_kern_reg(5) = '1' else
s_sample_index((c_ind_width - 1) downto 6) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 6))
when length_kern_reg(6) = '1' else
s_sample_index((c_ind_width - 1) downto 7) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 7))
when length_kern_reg(7) = '1' else
s_sample_index((c_ind_width - 1) downto 8) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 8))
when length_kern_reg(8) = '1' else
s_sample_index((c_ind_width - 1) downto 9) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 9))
when length_kern_reg(9) = '1' else
s_sample_index((c_ind_width - 1) downto 10) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 10))
when length_kern_reg(10) = '1' else
s_sample_index((c_ind_width - 1) downto 11) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 11))
when length_kern_reg(11) = '1' else
s_sample_index((c_ind_width - 1) downto 12) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 12))
when length_kern_reg(12) = '1' else
s_sample_index((c_ind_width - 1) downto 13) &
s_sample_index_rev((c_ind_width - 1) downto (c_ind_width - 13))
when length_kern_reg(13) = '1' else
s_sample_index_rev;
s_addr_r_m0_tmp(0) <= s_imag_part;
s_addr_r_m1_tmp(0) <= s_imag_part;
p_addr_delay : process (clk)
begin -- process p_addr_pipe
if rising_edge(clk) then -- rising clock edge
s_dsp_bus.addr_r_m0 <= s_addr_r_m0_tmp;
s_dsp_bus.addr_m1 <= unsigned(bitbit_and(std_logic_vector(s_addr_r_m1_tmp),
std_logic_vector(s_mask_reg)));
end if;
end process p_addr_delay;
s_dsp_bus.addr_w_m0 <= s_addr_pipe(c_addr_pipe_depth - 1);
s_dsp_bus.wr_en_m0 <= s_wr_pipe(c_addr_pipe_depth - 1);
-- specific index relations
s_length <= unsigned(length_reg);
-- left shift because real length is double (complex values)
s_length_kern <= unsigned(length_kern_reg((cmdreg_data_width - 2) downto 0) & '0');
s_mask_reg <= s_length_kern - 1;
-- s_module <= module(signed(s_data_y1), signed(s_data_y2));
end archi_dotcmul;
| gpl-3.0 | 2bb583468db56fdc0d22e505c7609d9e | 0.440666 | 3.687792 | false | false | false | false |
loa-org/loa-hdl | modules/spw_node/tb/spw_node_tb.vhd | 1 | 3,842 | -------------------------------------------------------------------------------
-- Title : Testbench for SpW Node
-------------------------------------------------------------------------------
-- Author : Carl Treudler
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2015
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library work;
use work.spw_node_pkg.all;
use work.bus_pkg.all;
use work.reset_pkg.all;
use work.reg_file_pkg.all;
-------------------------------------------------------------------------------
entity spw_node_tb is
end entity spw_node_tb;
-------------------------------------------------------------------------------
architecture behavourial of spw_node_tb is
constant BASE_ADDRESS : integer := 16#0100#;
signal do_p : std_logic;
signal so_p : std_logic;
signal di_p : std_logic;
signal si_p : std_logic;
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type :=
(addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
-- component ports
signal clk, reset : std_logic := '0';
begin
-- loop back
si_p <= so_p;
di_p <= do_p;
spw_node_1 : entity work.spw_node
generic map (
BASE_ADDRESS => BASE_ADDRESS,
RESET_IMPL => sync)
port map (
do_p => do_p,
so_p => so_p,
di_p => di_p,
si_p => si_p,
bus_o => bus_o,
bus_i => bus_i,
reset => reset,
clk => clk);
-- clock generation
clk <= not clk after 10 ns;
-- Generate reset signal
process
begin
wait until rising_edge(clk);
reset <= '1';
wait until rising_edge(clk);
reset <= '0';
wait for 500 ms;
end process;
-- waveform generation
process
begin
wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk);
readWord(addr => BASE_ADDRESS+1, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS+2, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
writeWord(addr => BASE_ADDRESS+2, data => 16#0203#, bus_i => bus_i, clk => clk);
wait for 10 us;
readWord(addr => BASE_ADDRESS+1, bus_i => bus_i, clk => clk);
wait for 10 us;
readWord(addr => BASE_ADDRESS+1, bus_i => bus_i, clk => clk);
wait for 10 us;
readWord(addr => BASE_ADDRESS+1, bus_i => bus_i, clk => clk);
writeWord(addr => BASE_ADDRESS, data => 16#0055#, bus_i => bus_i, clk => clk);
writeWord(addr => BASE_ADDRESS, data => 16#0056#, bus_i => bus_i, clk => clk);
writeWord(addr => BASE_ADDRESS, data => 16#0057#, bus_i => bus_i, clk => clk);
writeWord(addr => BASE_ADDRESS, data => 16#0058#, bus_i => bus_i, clk => clk);
writeWord(addr => BASE_ADDRESS, data => 16#0059#, bus_i => bus_i, clk => clk);
wait for 10 us;
readWord(addr => BASE_ADDRESS+1, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
wait for 100 us;
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
wait for 100 us;
end process;
end architecture behavourial;
| bsd-3-clause | 170c1e3c0b8b4430e21fbde3c9e8c49d | 0.503123 | 3.537753 | false | false | false | false |
loa-org/loa-hdl | modules/servo/hdl/servo_sequencer.vhd | 2 | 5,041 | -------------------------------------------------------------------------------
-- Title : Servo Sequencer
-- Project : Loa
-------------------------------------------------------------------------------
-- Author : Fabian Greif <fabian@kleinvieh>
-- Company : Roboterclub Aachen e.V.
-- Platform : Spartan 3
-------------------------------------------------------------------------------
-- Description: Generates eight periode begin signals shifted by 2.5ms
-- (8 x 2.5ms = 20ms). An additional helper signal is generated ~0.85 ms after
-- the periode begin signal.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package servo_sequencer_pkg is
component servo_sequencer is
port (
load_p : out std_logic_vector(7 downto 0);
enable_p : out std_logic_vector(7 downto 0);
counter_p : out std_logic_vector(15 downto 0);
reset : in std_logic;
clk : in std_logic);
end component servo_sequencer;
end package servo_sequencer_pkg;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity servo_sequencer is
port (
load_p : out std_logic_vector(7 downto 0);
enable_p : out std_logic_vector(7 downto 0);
-- Servo periode counter. The channel compare this value to their own value
-- and keep their output set to one as long as the counter is smaller then
-- the compare value.
counter_p : out std_logic_vector(15 downto 0);
reset : in std_logic; -- Output disable (if set to '1')
clk : in std_logic -- must be 50 MHz or the constants have
-- to be adapted.
);
end servo_sequencer;
-------------------------------------------------------------------------------
architecture behavioral of servo_sequencer is
constant CLK_FREQENCY : real := real(50e6); -- 50 MHz
-- 2.5ms
constant PERIODE_SLICE_TICKS : integer :=
integer((CLK_FREQENCY * 2.5e-3) - 1.0);
-- ~0.85ms
constant PERIODE_SIGNAL_TICKS : integer :=
integer((1.5e-3 - (real(2**16) / CLK_FREQENCY / 2.0)) * CLK_FREQENCY - 1.0);
type servo_sequencer_state_type is (STATE_IDLE, STATE_LOAD, STATE_WAIT, STATE_SIGNAL);
type servo_sequencer_type is record
state : servo_sequencer_state_type;
periode_counter : integer range 0 to PERIODE_SLICE_TICKS;
signal_counter : integer range 0 to 2**16;
index : integer range 0 to 8;
load : std_logic_vector(7 downto 0);
enable : std_logic_vector(7 downto 0);
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : servo_sequencer_type := (
state => STATE_LOAD,
periode_counter => 0,
signal_counter => 0,
index => 0,
load => (others => '0'),
enable => (others => '0'));
begin
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
comb_proc : process(r, r.enable, r.index, r.load, r.periode_counter,
r.signal_counter, r.state, reset)
variable v : servo_sequencer_type;
begin
v := r;
-- set default values
v.enable := (others => '0');
v.load := (others => '0');
v.periode_counter := r.periode_counter + 1;
if v.periode_counter = PERIODE_SLICE_TICKS then
v.periode_counter := 0;
v.index := (r.index + 1) mod 8;
v.state := STATE_LOAD;
elsif v.periode_counter = PERIODE_SIGNAL_TICKS then
v.state := STATE_SIGNAL;
end if;
case r.state is
when STATE_LOAD =>
v.load(r.index) := '1';
v.state := STATE_WAIT;
when STATE_WAIT =>
v.signal_counter := 0;
v.enable(r.index) := '1';
when STATE_SIGNAL =>
v.signal_counter := r.signal_counter + 1;
if v.signal_counter = 2**16 then
v.signal_counter := 0;
v.state := STATE_IDLE;
else
v.enable(r.index) := '1';
end if;
when others => null;
end case;
if reset = '1' then
v.state := STATE_LOAD;
v.signal_counter := 0;
v.periode_counter := 0;
v.index := 0;
v.load := (others => '0');
v.enable := (others => '0');
end if;
-- register outputs
counter_p <= std_logic_vector(to_unsigned(r.signal_counter, counter_p'length));
load_p <= r.load;
enable_p <= r.enable;
rin <= v;
end process comb_proc;
end behavioral;
| bsd-3-clause | 087b7ff5396a787d37f72ca8c576bf98 | 0.478675 | 4.118464 | false | false | false | false |
ashikpoojari/Hardware-Security | PUF Lab/Students_PUFS/puf_lab_mos283_ad3572/Hex2SevenSegConverter.vhd | 2 | 895 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Hex2LED is
Port ( CLK: in STD_LOGIC; X : in STD_LOGIC_VECTOR (3 downto 0);
Y : out STD_LOGIC_VECTOR (7 downto 0));
end Hex2LED;
architecture Behavioral of Hex2LED is
begin
process (CLK, X)
begin
case X is
when "0000" => Y <= "11000000";
when "0001" => Y <= "11111001";
when "0010" => Y <= "10100100";
when "0011" => Y <= "10110000";
when "0100" => Y <= "10011001";
when "0101" => Y <= "10010010";
when "0110" => Y <= "10000010";
when "0111" => Y <= "11111000";
when "1000" => Y <= "10000000";
when "1001" => Y <= "10010000";
when "1010" => Y <= "10001000";
when "1011" => Y <= "10000011";
when "1100" => Y <= "11000110";
when "1101" => Y <= "10100001";
when "1110" => Y <= "10000110";
when others => Y <= "10001110";
end case;
end process;
end Behavioral;
| mit | 7c729d25b3ad4c972eee6a0ae1a6b75d | 0.603352 | 2.905844 | false | false | false | false |
ashikpoojari/Hardware-Security | DES CryptoCore/src/txt_util.vhd | 2 | 15,745 | -- -------------------------------------------------------------------
-- Design:
--
-- Package for VHDL text output
--
-- Note:
-- -----
-- This package uses the VHDL 95 standard.
-- If VHDL 95 is not supported by your simulator
-- you need to comment out the file access functions.
--
-- The package provides a means to output text and
-- manipulate strings.
--
-- The basic usage is like this: >> print(s); <<
-- (where s is any string)
-- To print something which is not a string it has to be converted
-- into a string first. For this purpose the package contains
-- conversion functions called >> str(...) <<.
-- For example a std_logic_vector slv would be printed like this:
-- >> print(str(slv)); <<. To print several items on one line the
-- items have to concatenated as strings with the "&" operator eg:
-- >> print("The value of slv is "& str(slv)); <<
-- The string functions can also be used in assert statements as shown
-- in the example below:
-- >> assert DIN = "0101" <<
-- >> report "DIN = "& str(DIN)& " expected 0101 " <<
-- >> severity Error; <<
--
--
--
-- -------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package txt_util is
-- prints a message to the screen
procedure print(text: string);
-- prints the message when active
-- useful for debug switches
procedure print(active: boolean; text: string);
-- converts std_logic into a character
function chr(sl: std_logic) return character;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string;
-- converts std_logic_vector into a string (binary base)
function str(slv: std_logic_vector) return string;
-- converts boolean into a string
function str(b: boolean) return string;
-- converts an integer into a single character
-- (can also be used for hex conversion and other bases)
function chr(int: integer) return character;
-- converts integer into string using specified base
function str(int: integer; base: integer) return string;
-- converts integer to string, using base 10
function str(int: integer) return string;
-- convert std_logic_vector into a string in hex format
function hstr(slv: std_logic_vector) return string;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character;
-- convert a character to lower case
function to_lower(c: character) return character;
-- convert a string to upper case
function to_upper(s: string) return string;
-- convert a string to lower case
function to_lower(s: string) return string;
-- functions to convert strings into other formats
--------------------------------------------------
-- converts a character into std_logic
function to_std_logic(c: character) return std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector;
-- file I/O
-----------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string);
-- print string to a file and start new line
procedure print(file out_file: TEXT;
new_string: in string);
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character);
end txt_util;
package body txt_util is
-- prints text to the screen
procedure print(text: string) is
variable msg_line: line;
begin
write(msg_line, text);
writeline(output, msg_line);
end print;
-- prints text to the screen when active
procedure print(active: boolean; text: string) is
begin
if active then
print(text);
end if;
end print;
-- converts std_logic into a character
function chr(sl: std_logic) return character is
variable c: character;
begin
case sl is
when 'U' => c:= 'U';
when 'X' => c:= 'X';
when '0' => c:= '0';
when '1' => c:= '1';
when 'Z' => c:= 'Z';
when 'W' => c:= 'W';
when 'L' => c:= 'L';
when 'H' => c:= 'H';
when '-' => c:= '-';
end case;
return c;
end chr;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string is
variable s: string(1 to 1);
begin
s(1) := chr(sl);
return s;
end str;
-- converts std_logic_vector into a string (binary base)
-- (this also takes care of the fact that the range of
-- a string is natural while a std_logic_vector may
-- have an integer range)
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := chr(slv(i));
r := r + 1;
end loop;
return result;
end str;
function str(b: boolean) return string is
begin
if b then
return "true";
else
return "false";
end if;
end str;
-- converts an integer into a character
-- for 0 to 9 the obvious mapping is used, higher
-- values are mapped to the characters A-Z
-- (this is usefull for systems with base > 10)
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function chr(int: integer) return character is
variable c: character;
begin
case int is
when 0 => c := '0';
when 1 => c := '1';
when 2 => c := '2';
when 3 => c := '3';
when 4 => c := '4';
when 5 => c := '5';
when 6 => c := '6';
when 7 => c := '7';
when 8 => c := '8';
when 9 => c := '9';
when 10 => c := 'A';
when 11 => c := 'B';
when 12 => c := 'C';
when 13 => c := 'D';
when 14 => c := 'E';
when 15 => c := 'F';
when 16 => c := 'G';
when 17 => c := 'H';
when 18 => c := 'I';
when 19 => c := 'J';
when 20 => c := 'K';
when 21 => c := 'L';
when 22 => c := 'M';
when 23 => c := 'N';
when 24 => c := 'O';
when 25 => c := 'P';
when 26 => c := 'Q';
when 27 => c := 'R';
when 28 => c := 'S';
when 29 => c := 'T';
when 30 => c := 'U';
when 31 => c := 'V';
when 32 => c := 'W';
when 33 => c := 'X';
when 34 => c := 'Y';
when 35 => c := 'Z';
when others => c := '?';
end case;
return c;
end chr;
-- convert integer to string using specified base
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function str(int: integer; base: integer) return string is
variable temp: string(1 to 10);
variable num: integer;
variable abs_int: integer;
variable len: integer := 1;
variable power: integer := 1;
begin
-- bug fix for negative numbers
abs_int := abs(int);
num := abs_int;
while num >= base loop -- Determine how many
len := len + 1; -- characters required
num := num / base; -- to represent the
end loop ; -- number.
for i in len downto 1 loop -- Convert the number to
temp(i) := chr(abs_int/power mod base); -- a string starting
power := power * base; -- with the right hand
end loop ; -- side.
-- return result and add sign if required
if int < 0 then
return '-'& temp(1 to len);
else
return temp(1 to len);
end if;
end str;
-- convert integer to string, using base 10
function str(int: integer) return string is
begin
return str(int, 10) ;
end str;
-- converts a std_logic_vector into a hex string.
function hstr(slv: std_logic_vector) return string is
variable hexlen: integer;
variable longslv : std_logic_vector(67 downto 0) := (others => '0');
variable hex : string(1 to 16);
variable fourbit : std_logic_vector(3 downto 0);
begin
hexlen := (slv'left+1)/4;
if (slv'left+1) mod 4 /= 0 then
hexlen := hexlen + 1;
end if;
longslv(slv'left downto 0) := slv;
for i in (hexlen -1) downto 0 loop
fourbit := longslv(((i*4)+3) downto (i*4));
case fourbit is
when "0000" => hex(hexlen -I) := '0';
when "0001" => hex(hexlen -I) := '1';
when "0010" => hex(hexlen -I) := '2';
when "0011" => hex(hexlen -I) := '3';
when "0100" => hex(hexlen -I) := '4';
when "0101" => hex(hexlen -I) := '5';
when "0110" => hex(hexlen -I) := '6';
when "0111" => hex(hexlen -I) := '7';
when "1000" => hex(hexlen -I) := '8';
when "1001" => hex(hexlen -I) := '9';
when "1010" => hex(hexlen -I) := 'A';
when "1011" => hex(hexlen -I) := 'B';
when "1100" => hex(hexlen -I) := 'C';
when "1101" => hex(hexlen -I) := 'D';
when "1110" => hex(hexlen -I) := 'E';
when "1111" => hex(hexlen -I) := 'F';
when "ZZZZ" => hex(hexlen -I) := 'z';
when "UUUU" => hex(hexlen -I) := 'u';
when "XXXX" => hex(hexlen -I) := 'x';
when others => hex(hexlen -I) := '?';
end case;
end loop;
return hex(1 to hexlen);
end hstr;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character is
variable u: character;
begin
case c is
when 'a' => u := 'A';
when 'b' => u := 'B';
when 'c' => u := 'C';
when 'd' => u := 'D';
when 'e' => u := 'E';
when 'f' => u := 'F';
when 'g' => u := 'G';
when 'h' => u := 'H';
when 'i' => u := 'I';
when 'j' => u := 'J';
when 'k' => u := 'K';
when 'l' => u := 'L';
when 'm' => u := 'M';
when 'n' => u := 'N';
when 'o' => u := 'O';
when 'p' => u := 'P';
when 'q' => u := 'Q';
when 'r' => u := 'R';
when 's' => u := 'S';
when 't' => u := 'T';
when 'u' => u := 'U';
when 'v' => u := 'V';
when 'w' => u := 'W';
when 'x' => u := 'X';
when 'y' => u := 'Y';
when 'z' => u := 'Z';
when others => u := c;
end case;
return u;
end to_upper;
-- convert a character to lower case
function to_lower(c: character) return character is
variable l: character;
begin
case c is
when 'A' => l := 'a';
when 'B' => l := 'b';
when 'C' => l := 'c';
when 'D' => l := 'd';
when 'E' => l := 'e';
when 'F' => l := 'f';
when 'G' => l := 'g';
when 'H' => l := 'h';
when 'I' => l := 'i';
when 'J' => l := 'j';
when 'K' => l := 'k';
when 'L' => l := 'l';
when 'M' => l := 'm';
when 'N' => l := 'n';
when 'O' => l := 'o';
when 'P' => l := 'p';
when 'Q' => l := 'q';
when 'R' => l := 'r';
when 'S' => l := 's';
when 'T' => l := 't';
when 'U' => l := 'u';
when 'V' => l := 'v';
when 'W' => l := 'w';
when 'X' => l := 'x';
when 'Y' => l := 'y';
when 'Z' => l := 'z';
when others => l := c;
end case;
return l;
end to_lower;
-- convert a string to upper case
function to_upper(s: string) return string is
variable uppercase: string (s'range);
begin
for i in s'range loop
uppercase(i):= to_upper(s(i));
end loop;
return uppercase;
end to_upper;
-- convert a string to lower case
function to_lower(s: string) return string is
variable lowercase: string (s'range);
begin
for i in s'range loop
lowercase(i):= to_lower(s(i));
end loop;
return lowercase;
end to_lower;
-- functions to convert strings into other types
-- converts a character into a std_logic
function to_std_logic(c: character) return std_logic is
variable sl: std_logic;
begin
case c is
when 'U' =>
sl := 'U';
when 'X' =>
sl := 'X';
when '0' =>
sl := '0';
when '1' =>
sl := '1';
when 'Z' =>
sl := 'Z';
when 'W' =>
sl := 'W';
when 'L' =>
sl := 'L';
when 'H' =>
sl := 'H';
when '-' =>
sl := '-';
when others =>
sl := 'X';
end case;
return sl;
end to_std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector is
variable slv: std_logic_vector(s'high-s'low downto 0);
variable k: integer;
begin
k := s'high-s'low;
for i in s'range loop
slv(k) := to_std_logic(s(i));
k := k - 1;
end loop;
return slv;
end to_std_logic_vector;
----------------
-- file I/O --
----------------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string) is
variable l: line;
variable c: character;
variable is_string: boolean;
begin
readline(in_file, l);
-- clear the contents of the result string
for i in res_string'range loop
res_string(i) := ' ';
end loop;
-- read all characters of the line, up to the length
-- of the results string
for i in res_string'range loop
read(l, c, is_string);
res_string(i) := c;
if not is_string then -- found end of line
exit;
end if;
end loop;
end str_read;
-- print string to a file
procedure print(file out_file: TEXT;
new_string: in string) is
variable l: line;
begin
write(l, new_string);
writeline(out_file, l);
end print;
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character) is
variable l: line;
begin
write(l, char);
writeline(out_file, l);
end print;
-- appends contents of a string to a file until line feed occurs
-- (LF is considered to be the end of the string)
procedure str_write(file out_file: TEXT;
new_string: in string) is
begin
for i in new_string'range loop
print(out_file, new_string(i));
if new_string(i) = LF then -- end of string
exit;
end if;
end loop;
end str_write;
end txt_util;
| mit | 6c3bc17a32b8139f94a2859e175acd51 | 0.478247 | 3.839307 | false | false | false | false |
loa-org/loa-hdl | modules/utils/hdl/text_pkg.vhd | 2 | 15,220 | -- from http://www.stefanvhdl.com/vhdl/vhdl/txt_util.vhd
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package text_pkg is
-- prints a message to the screen
procedure print(text : string);
-- prints the message when active
-- useful for debug switches
procedure print(active : boolean; text : string);
-- converts std_logic into a character
function chr(sl : std_logic) return character;
-- converts std_logic into a string (1 to 1)
function str(sl : std_logic) return string;
-- converts std_logic_vector into a string (binary base)
function str(slv : std_logic_vector) return string;
-- converts boolean into a string
function str(b : boolean) return string;
-- converts an integer into a single character
-- (can also be used for hex conversion and other bases)
function chr(int : integer) return character;
-- converts integer into string using specified base
function str(int : integer; base : integer) return string;
-- converts integer to string, using base 10
function str(int : integer) return string;
-- convert std_logic_vector into a string in hex format
function hstr(slv : std_logic_vector) return string;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c : character) return character;
-- convert a character to lower case
function to_lower(c : character) return character;
-- convert a string to upper case
function to_upper(s : string) return string;
-- convert a string to lower case
function to_lower(s : string) return string;
-- functions to convert strings into other formats
--------------------------------------------------
-- converts a character into std_logic
function to_std_logic(c : character) return std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s : string) return std_logic_vector;
-- file I/O
-----------
-- read variable length string from input file
procedure str_read(file in_file : text;
res_string : out string);
-- print string to a file and start new line
procedure print(file out_file : text;
new_string : in string);
-- print character to a file and start new line
procedure print(file out_file : text;
char : in character);
procedure str_write(file out_file : text;
new_string : in string);
end text_pkg;
package body text_pkg is
-- prints text to the screen
procedure print(text : string) is
variable msg_line : line;
begin
write(msg_line, text);
writeline(output, msg_line);
end print;
-- prints text to the screen when active
procedure print(active : boolean; text : string) is
begin
if active then
print(text);
end if;
end print;
-- converts std_logic into a character
function chr(sl : std_logic) return character is
variable c : character;
begin
case sl is
when 'U' => c := 'U';
when 'X' => c := 'X';
when '0' => c := '0';
when '1' => c := '1';
when 'Z' => c := 'Z';
when 'W' => c := 'W';
when 'L' => c := 'L';
when 'H' => c := 'H';
when '-' => c := '-';
end case;
return c;
end chr;
-- converts std_logic into a string (1 to 1)
function str(sl : std_logic) return string is
variable s : string(1 to 1);
begin
s(1) := chr(sl);
return s;
end str;
-- converts std_logic_vector into a string (binary base)
-- (this also takes care of the fact that the range of
-- a string is natural while a std_logic_vector may
-- have an integer range)
function str(slv : std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := chr(slv(i));
r := r + 1;
end loop;
return result;
end str;
function str(b : boolean) return string is
begin
if b then
return "true";
else
return "false";
end if;
end str;
-- converts an integer into a character
-- for 0 to 9 the obvious mapping is used, higher
-- values are mapped to the characters A-Z
-- (this is usefull for systems with base > 10)
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function chr(int : integer) return character is
variable c : character;
begin
case int is
when 0 => c := '0';
when 1 => c := '1';
when 2 => c := '2';
when 3 => c := '3';
when 4 => c := '4';
when 5 => c := '5';
when 6 => c := '6';
when 7 => c := '7';
when 8 => c := '8';
when 9 => c := '9';
when 10 => c := 'A';
when 11 => c := 'B';
when 12 => c := 'C';
when 13 => c := 'D';
when 14 => c := 'E';
when 15 => c := 'F';
when 16 => c := 'G';
when 17 => c := 'H';
when 18 => c := 'I';
when 19 => c := 'J';
when 20 => c := 'K';
when 21 => c := 'L';
when 22 => c := 'M';
when 23 => c := 'N';
when 24 => c := 'O';
when 25 => c := 'P';
when 26 => c := 'Q';
when 27 => c := 'R';
when 28 => c := 'S';
when 29 => c := 'T';
when 30 => c := 'U';
when 31 => c := 'V';
when 32 => c := 'W';
when 33 => c := 'X';
when 34 => c := 'Y';
when 35 => c := 'Z';
when others => c := '?';
end case;
return c;
end chr;
-- convert integer to string using specified base
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function str(int : integer; base : integer) return string is
variable temp : string(1 to 10);
variable num : integer;
variable abs_int : integer;
variable len : integer := 1;
variable power : integer := 1;
begin
-- bug fix for negative numbers
abs_int := abs(int);
num := abs_int;
while num >= base loop -- Determine how many
len := len + 1; -- characters required
num := num / base; -- to represent the
end loop; -- number.
for i in len downto 1 loop -- Convert the number to
temp(i) := chr(abs_int/power mod base); -- a string starting
power := power * base; -- with the right hand
end loop; -- side.
-- return result and add sign if required
if int < 0 then
return '-'& temp(1 to len);
else
return temp(1 to len);
end if;
end str;
-- convert integer to string, using base 10
function str(int : integer) return string is
begin
return str(int, 10);
end str;
-- converts a std_logic_vector into a hex string.
function hstr(slv : std_logic_vector) return string is
variable hexlen : integer;
variable longslv : std_logic_vector(67 downto 0) := (others => '0');
variable hex : string(1 to 16);
variable fourbit : std_logic_vector(3 downto 0);
begin
hexlen := (slv'LEFT+1)/4;
if (slv'LEFT+1) mod 4 /= 0 then
hexlen := hexlen + 1;
end if;
longslv(slv'LEFT downto 0) := slv;
for i in (hexlen -1) downto 0 loop
fourbit := longslv(((i*4)+3) downto (i*4));
case fourbit is
when "0000" => hex(hexlen -I) := '0';
when "0001" => hex(hexlen -I) := '1';
when "0010" => hex(hexlen -I) := '2';
when "0011" => hex(hexlen -I) := '3';
when "0100" => hex(hexlen -I) := '4';
when "0101" => hex(hexlen -I) := '5';
when "0110" => hex(hexlen -I) := '6';
when "0111" => hex(hexlen -I) := '7';
when "1000" => hex(hexlen -I) := '8';
when "1001" => hex(hexlen -I) := '9';
when "1010" => hex(hexlen -I) := 'A';
when "1011" => hex(hexlen -I) := 'B';
when "1100" => hex(hexlen -I) := 'C';
when "1101" => hex(hexlen -I) := 'D';
when "1110" => hex(hexlen -I) := 'E';
when "1111" => hex(hexlen -I) := 'F';
when "ZZZZ" => hex(hexlen -I) := 'z';
when "UUUU" => hex(hexlen -I) := 'u';
when "XXXX" => hex(hexlen -I) := 'x';
when others => hex(hexlen -I) := '?';
end case;
end loop;
return hex(1 to hexlen);
end hstr;
----------------------------------------------------------------------------
-- functions to manipulate strings
-- convert a character to upper case
function to_upper(c : character) return character is
variable u : character;
begin
case c is
when 'a' => u := 'A';
when 'b' => u := 'B';
when 'c' => u := 'C';
when 'd' => u := 'D';
when 'e' => u := 'E';
when 'f' => u := 'F';
when 'g' => u := 'G';
when 'h' => u := 'H';
when 'i' => u := 'I';
when 'j' => u := 'J';
when 'k' => u := 'K';
when 'l' => u := 'L';
when 'm' => u := 'M';
when 'n' => u := 'N';
when 'o' => u := 'O';
when 'p' => u := 'P';
when 'q' => u := 'Q';
when 'r' => u := 'R';
when 's' => u := 'S';
when 't' => u := 'T';
when 'u' => u := 'U';
when 'v' => u := 'V';
when 'w' => u := 'W';
when 'x' => u := 'X';
when 'y' => u := 'Y';
when 'z' => u := 'Z';
when others => u := c;
end case;
return u;
end to_upper;
-- convert a character to lower case
function to_lower(c : character) return character is
variable l : character;
begin
case c is
when 'A' => l := 'a';
when 'B' => l := 'b';
when 'C' => l := 'c';
when 'D' => l := 'd';
when 'E' => l := 'e';
when 'F' => l := 'f';
when 'G' => l := 'g';
when 'H' => l := 'h';
when 'I' => l := 'i';
when 'J' => l := 'j';
when 'K' => l := 'k';
when 'L' => l := 'l';
when 'M' => l := 'm';
when 'N' => l := 'n';
when 'O' => l := 'o';
when 'P' => l := 'p';
when 'Q' => l := 'q';
when 'R' => l := 'r';
when 'S' => l := 's';
when 'T' => l := 't';
when 'U' => l := 'u';
when 'V' => l := 'v';
when 'W' => l := 'w';
when 'X' => l := 'x';
when 'Y' => l := 'y';
when 'Z' => l := 'z';
when others => l := c;
end case;
return l;
end to_lower;
-- convert a string to upper case
function to_upper(s : string) return string is
variable uppercase : string (s'range);
begin
for i in s'range loop
uppercase(i) := to_upper(s(i));
end loop;
return uppercase;
end to_upper;
-- convert a string to lower case
function to_lower(s : string) return string is
variable lowercase : string (s'range);
begin
for i in s'range loop
lowercase(i) := to_lower(s(i));
end loop;
return lowercase;
end to_lower;
----------------------------------------------------------------------------
-- functions to convert strings into other types
-- converts a character into a std_logic
function to_std_logic(c : character) return std_logic is
variable sl : std_logic;
begin
case c is
when 'U' => sl := 'U';
when 'X' => sl := 'X';
when '0' => sl := '0';
when '1' => sl := '1';
when 'Z' => sl := 'Z';
when 'W' => sl := 'W';
when 'L' => sl := 'L';
when 'H' => sl := 'H';
when '-' => sl := '-';
when others => sl := 'X';
end case;
return sl;
end to_std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s : string) return std_logic_vector is
variable slv : std_logic_vector(s'high-s'low downto 0);
variable k : integer;
begin
k := s'high-s'low;
for i in s'range loop
slv(k) := to_std_logic(s(i));
k := k - 1;
end loop;
return slv;
end to_std_logic_vector;
----------------------------------------------------------------------------
-- file I/O
----------------------------------------------------------------------------
-- read variable length string from input file
procedure str_read(file in_file : text;
res_string : out string) is
variable l : line;
variable c : character;
variable is_string : boolean;
begin
readline(in_file, l);
-- clear the contents of the result string
for i in res_string'range loop
res_string(i) := ' ';
end loop;
-- read all characters of the line, up to the length
-- of the results string
for i in res_string'range loop
read(l, c, is_string);
res_string(i) := c;
if not is_string then -- found end of line
exit;
end if;
end loop;
end str_read;
-- print string to a file
procedure print(file out_file : text;
new_string : in string) is
variable l : line;
begin
write(l, new_string);
writeline(out_file, l);
end print;
-- print character to a file and start new line
procedure print(file out_file : text;
char : in character) is
variable l : line;
begin
write(l, char);
writeline(out_file, l);
end print;
-- appends contents of a string to a file until line feed occurs
-- (LF is considered to be the end of the string)
procedure str_write(file out_file : text;
new_string : in string) is
begin
for i in new_string'range loop
print(out_file, new_string(i));
if new_string(i) = LF then -- end of string
exit;
end if;
end loop;
end str_write;
end text_pkg;
| bsd-3-clause | f9dc590c8609f8b26f90f594070855a2 | 0.456965 | 3.883644 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_debounce_0_0/synth/system_debounce_0_0.vhd | 4 | 3,776 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:debounce:1.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_debounce_0_0 IS
PORT (
clk : IN STD_LOGIC;
signal_in : IN STD_LOGIC;
signal_out : OUT STD_LOGIC
);
END system_debounce_0_0;
ARCHITECTURE system_debounce_0_0_arch OF system_debounce_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_debounce_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT debounce IS
PORT (
clk : IN STD_LOGIC;
signal_in : IN STD_LOGIC;
signal_out : OUT STD_LOGIC
);
END COMPONENT debounce;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_debounce_0_0_arch: ARCHITECTURE IS "debounce,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_debounce_0_0_arch : ARCHITECTURE IS "system_debounce_0_0,debounce,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_debounce_0_0_arch: ARCHITECTURE IS "system_debounce_0_0,debounce,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=debounce,x_ipVersion=1.0,x_ipCoreRevision=6,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
BEGIN
U0 : debounce
PORT MAP (
clk => clk,
signal_in => signal_in,
signal_out => signal_out
);
END system_debounce_0_0_arch;
| mit | 048632816da22cbc2978e1ce5c4ce01d | 0.744174 | 4.012752 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_uint_to_ieee754_fp_0_0/affine_block_uint_to_ieee754_fp_0_0_sim_netlist.vhdl | 1 | 30,710 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 20 13:53:58 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/ZyboIP/general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_uint_to_ieee754_fp_0_0/affine_block_uint_to_ieee754_fp_0_0_sim_netlist.vhdl
-- Design : affine_block_uint_to_ieee754_fp_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity affine_block_uint_to_ieee754_fp_0_0_uint_to_ieee754_fp is
port (
\y[13]\ : out STD_LOGIC;
\y[23]\ : out STD_LOGIC;
y : out STD_LOGIC_VECTOR ( 9 downto 0 );
\y[22]\ : out STD_LOGIC;
\y[20]\ : out STD_LOGIC;
\y[18]\ : out STD_LOGIC;
\y[22]_0\ : out STD_LOGIC;
\y[22]_1\ : out STD_LOGIC;
\y[21]\ : out STD_LOGIC;
\y[20]_0\ : out STD_LOGIC;
\x_9__s_port_]\ : in STD_LOGIC;
\x[9]_0\ : in STD_LOGIC;
\x[9]_1\ : in STD_LOGIC;
\x[9]_2\ : in STD_LOGIC;
\x[9]_3\ : in STD_LOGIC;
x : in STD_LOGIC_VECTOR ( 9 downto 0 );
\x[9]_4\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 2 downto 0 );
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
p_1_out : in STD_LOGIC_VECTOR ( 0 to 0 );
\x[9]_5\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of affine_block_uint_to_ieee754_fp_0_0_uint_to_ieee754_fp : entity is "uint_to_ieee754_fp";
end affine_block_uint_to_ieee754_fp_0_0_uint_to_ieee754_fp;
architecture STRUCTURE of affine_block_uint_to_ieee754_fp_0_0_uint_to_ieee754_fp is
signal mantissa2_carry_i_1_n_0 : STD_LOGIC;
signal mantissa2_carry_i_2_n_0 : STD_LOGIC;
signal mantissa2_carry_i_3_n_0 : STD_LOGIC;
signal mantissa2_carry_i_4_n_0 : STD_LOGIC;
signal mantissa2_carry_n_2 : STD_LOGIC;
signal mantissa2_carry_n_3 : STD_LOGIC;
signal \x_9__s_net_1\ : STD_LOGIC;
signal \^y[13]\ : STD_LOGIC;
signal \y[13]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[13]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[14]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[14]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[14]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \y[14]_INST_0_i_4_n_0\ : STD_LOGIC;
signal \y[14]_INST_0_i_5_n_0\ : STD_LOGIC;
signal \y[15]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[15]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[15]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \y[16]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[16]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[16]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \y[16]_INST_0_i_4_n_0\ : STD_LOGIC;
signal \y[17]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[17]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[17]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \^y[18]\ : STD_LOGIC;
signal \y[18]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[18]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[18]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \y[19]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[19]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \^y[20]\ : STD_LOGIC;
signal \^y[20]_0\ : STD_LOGIC;
signal \y[20]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[20]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[20]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \y[20]_INST_0_i_4_n_0\ : STD_LOGIC;
signal \^y[21]\ : STD_LOGIC;
signal \y[21]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_3_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_4_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_6_n_0\ : STD_LOGIC;
signal \^y[22]\ : STD_LOGIC;
signal \^y[22]_0\ : STD_LOGIC;
signal \^y[22]_1\ : STD_LOGIC;
signal \^y[23]\ : STD_LOGIC;
signal \y[23]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[23]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[27]_INST_0_i_4_n_0\ : STD_LOGIC;
signal NLW_mantissa2_carry_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_mantissa2_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \y[14]_INST_0_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \y[14]_INST_0_i_3\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \y[15]_INST_0_i_2\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \y[16]_INST_0_i_4\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \y[20]_INST_0_i_3\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \y[20]_INST_0_i_4\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \y[21]_INST_0_i_3\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \y[21]_INST_0_i_6\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \y[22]_INST_0_i_3\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \y[22]_INST_0_i_6\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \y[23]_INST_0_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \y[27]_INST_0_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \y[27]_INST_0_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \y[30]_INST_0_i_2\ : label is "soft_lutpair1";
begin
\x_9__s_net_1\ <= \x_9__s_port_]\;
\y[13]\ <= \^y[13]\;
\y[18]\ <= \^y[18]\;
\y[20]\ <= \^y[20]\;
\y[20]_0\ <= \^y[20]_0\;
\y[21]\ <= \^y[21]\;
\y[22]\ <= \^y[22]\;
\y[22]_0\ <= \^y[22]_0\;
\y[22]_1\ <= \^y[22]_1\;
\y[23]\ <= \^y[23]\;
mantissa2_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3 downto 2) => NLW_mantissa2_carry_CO_UNCONNECTED(3 downto 2),
CO(1) => mantissa2_carry_n_2,
CO(0) => mantissa2_carry_n_3,
CYINIT => '1',
DI(3 downto 2) => B"00",
DI(1) => mantissa2_carry_i_1_n_0,
DI(0) => mantissa2_carry_i_2_n_0,
O(3 downto 0) => NLW_mantissa2_carry_O_UNCONNECTED(3 downto 0),
S(3 downto 2) => B"00",
S(1) => mantissa2_carry_i_3_n_0,
S(0) => mantissa2_carry_i_4_n_0
);
mantissa2_carry_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^y[22]\,
I1 => \^y[20]\,
O => mantissa2_carry_i_1_n_0
);
mantissa2_carry_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \^y[13]\,
I1 => \^y[23]\,
O => mantissa2_carry_i_2_n_0
);
mantissa2_carry_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^y[20]\,
I1 => \^y[22]\,
O => mantissa2_carry_i_3_n_0
);
mantissa2_carry_i_4: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^y[23]\,
I1 => \^y[13]\,
O => mantissa2_carry_i_4_n_0
);
\y[13]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888888C888888BF"
)
port map (
I0 => \y[14]_INST_0_i_3_n_0\,
I1 => \^y[23]\,
I2 => \y[13]_INST_0_i_1_n_0\,
I3 => \y[14]_INST_0_i_1_n_0\,
I4 => \x[9]_4\,
I5 => \y[14]_INST_0_i_2_n_0\,
O => y(0)
);
\y[13]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBB8B88BBBB8BBB"
)
port map (
I0 => \y[13]_INST_0_i_2_n_0\,
I1 => \y[14]_INST_0_i_5_n_0\,
I2 => x(6),
I3 => \y[20]_INST_0_i_4_n_0\,
I4 => \y[21]_INST_0_i_4_n_0\,
I5 => x(2),
O => \y[13]_INST_0_i_1_n_0\
);
\y[13]_INST_0_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"CF44CF77"
)
port map (
I0 => x(4),
I1 => \y[20]_INST_0_i_4_n_0\,
I2 => x(8),
I3 => \y[21]_INST_0_i_4_n_0\,
I4 => x(0),
O => \y[13]_INST_0_i_2_n_0\
);
\y[14]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"ABFFAB00ABFFABFF"
)
port map (
I0 => \y[15]_INST_0_i_2_n_0\,
I1 => \y[14]_INST_0_i_1_n_0\,
I2 => \y[14]_INST_0_i_2_n_0\,
I3 => \^y[23]\,
I4 => \y[14]_INST_0_i_3_n_0\,
I5 => \y[15]_INST_0_i_1_n_0\,
O => y(1)
);
\y[14]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => mantissa2_carry_n_2,
I1 => CO(0),
O => \y[14]_INST_0_i_1_n_0\
);
\y[14]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBB8B88BBBB8BBB"
)
port map (
I0 => \y[14]_INST_0_i_4_n_0\,
I1 => \y[14]_INST_0_i_5_n_0\,
I2 => x(7),
I3 => \y[20]_INST_0_i_4_n_0\,
I4 => \y[21]_INST_0_i_4_n_0\,
I5 => x(3),
O => \y[14]_INST_0_i_2_n_0\
);
\y[14]_INST_0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \y[16]_INST_0_i_4_n_0\,
I1 => \^y[20]\,
I2 => x(0),
I3 => \^y[22]\,
O => \y[14]_INST_0_i_3_n_0\
);
\y[14]_INST_0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"CF44CF77"
)
port map (
I0 => x(5),
I1 => \y[20]_INST_0_i_4_n_0\,
I2 => x(9),
I3 => \y[21]_INST_0_i_4_n_0\,
I4 => x(1),
O => \y[14]_INST_0_i_4_n_0\
);
\y[14]_INST_0_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"AB"
)
port map (
I0 => \y[16]_INST_0_i_4_n_0\,
I1 => O(0),
I2 => mantissa2_carry_n_2,
O => \y[14]_INST_0_i_5_n_0\
);
\y[15]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"1BBB1BBB0AAA1BBB"
)
port map (
I0 => \^y[23]\,
I1 => \x[9]_4\,
I2 => \y[16]_INST_0_i_2_n_0\,
I3 => \y[15]_INST_0_i_1_n_0\,
I4 => \y[16]_INST_0_i_1_n_0\,
I5 => \y[15]_INST_0_i_2_n_0\,
O => y(2)
);
\y[15]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFAACAFFFF"
)
port map (
I0 => \y[15]_INST_0_i_3_n_0\,
I1 => \y[17]_INST_0_i_3_n_0\,
I2 => O(0),
I3 => \y[16]_INST_0_i_4_n_0\,
I4 => CO(0),
I5 => mantissa2_carry_n_2,
O => \y[15]_INST_0_i_1_n_0\
);
\y[15]_INST_0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \y[16]_INST_0_i_4_n_0\,
I1 => \^y[20]\,
I2 => x(1),
I3 => \^y[22]\,
O => \y[15]_INST_0_i_2_n_0\
);
\y[15]_INST_0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF4540FFFF757F"
)
port map (
I0 => x(6),
I1 => \^y[22]\,
I2 => mantissa2_carry_n_2,
I3 => O(1),
I4 => \y[21]_INST_0_i_4_n_0\,
I5 => x(2),
O => \y[15]_INST_0_i_3_n_0\
);
\y[16]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0AAA1BBB1BBB1BBB"
)
port map (
I0 => \^y[23]\,
I1 => \x[9]_4\,
I2 => \y[17]_INST_0_i_2_n_0\,
I3 => \y[16]_INST_0_i_1_n_0\,
I4 => \y[17]_INST_0_i_1_n_0\,
I5 => \y[16]_INST_0_i_2_n_0\,
O => y(3)
);
\y[16]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFAACAFFFF"
)
port map (
I0 => \y[16]_INST_0_i_3_n_0\,
I1 => \y[18]_INST_0_i_3_n_0\,
I2 => O(0),
I3 => \y[16]_INST_0_i_4_n_0\,
I4 => CO(0),
I5 => mantissa2_carry_n_2,
O => \y[16]_INST_0_i_1_n_0\
);
\y[16]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFFFDDFFFFFFFFFF"
)
port map (
I0 => x(0),
I1 => \^y[22]\,
I2 => x(2),
I3 => \^y[20]\,
I4 => \^y[13]\,
I5 => mantissa2_carry_n_2,
O => \y[16]_INST_0_i_2_n_0\
);
\y[16]_INST_0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF4540FFFF757F"
)
port map (
I0 => x(7),
I1 => \^y[22]\,
I2 => mantissa2_carry_n_2,
I3 => O(1),
I4 => \y[21]_INST_0_i_4_n_0\,
I5 => x(3),
O => \y[16]_INST_0_i_3_n_0\
);
\y[16]_INST_0_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"60"
)
port map (
I0 => \^y[18]\,
I1 => \^y[23]\,
I2 => mantissa2_carry_n_2,
O => \y[16]_INST_0_i_4_n_0\
);
\y[17]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0AAA1BBB1BBB1BBB"
)
port map (
I0 => \^y[23]\,
I1 => \x[9]_4\,
I2 => \y[18]_INST_0_i_2_n_0\,
I3 => \y[17]_INST_0_i_1_n_0\,
I4 => \y[18]_INST_0_i_1_n_0\,
I5 => \y[17]_INST_0_i_2_n_0\,
O => y(4)
);
\y[17]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFB0000FFFBFFFB"
)
port map (
I0 => \y[20]_INST_0_i_4_n_0\,
I1 => x(6),
I2 => \y[21]_INST_0_i_4_n_0\,
I3 => \y[20]_INST_0_i_3_n_0\,
I4 => \y[17]_INST_0_i_3_n_0\,
I5 => \y[21]_INST_0_i_6_n_0\,
O => \y[17]_INST_0_i_1_n_0\
);
\y[17]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFFFDDFFFFFFFFFF"
)
port map (
I0 => x(1),
I1 => \^y[22]\,
I2 => x(3),
I3 => \^y[20]\,
I4 => \^y[13]\,
I5 => mantissa2_carry_n_2,
O => \y[17]_INST_0_i_2_n_0\
);
\y[17]_INST_0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF4540FFFF757F"
)
port map (
I0 => x(8),
I1 => \^y[22]\,
I2 => mantissa2_carry_n_2,
I3 => O(1),
I4 => \y[21]_INST_0_i_4_n_0\,
I5 => x(4),
O => \y[17]_INST_0_i_3_n_0\
);
\y[18]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B0BFBFBF"
)
port map (
I0 => \y[19]_INST_0_i_2_n_0\,
I1 => \y[18]_INST_0_i_1_n_0\,
I2 => \^y[23]\,
I3 => \y[18]_INST_0_i_2_n_0\,
I4 => \y[19]_INST_0_i_1_n_0\,
O => y(5)
);
\y[18]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEF0000FFEFFFEF"
)
port map (
I0 => \y[20]_INST_0_i_3_n_0\,
I1 => \y[20]_INST_0_i_4_n_0\,
I2 => x(7),
I3 => \y[21]_INST_0_i_4_n_0\,
I4 => \y[18]_INST_0_i_3_n_0\,
I5 => \y[21]_INST_0_i_6_n_0\,
O => \y[18]_INST_0_i_1_n_0\
);
\y[18]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00BFBFFFFFFFFF"
)
port map (
I0 => \^y[22]\,
I1 => x(2),
I2 => \^y[20]\,
I3 => \x[9]_2\,
I4 => \^y[13]\,
I5 => mantissa2_carry_n_2,
O => \y[18]_INST_0_i_2_n_0\
);
\y[18]_INST_0_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF4540FFFF757F"
)
port map (
I0 => x(9),
I1 => \^y[22]\,
I2 => mantissa2_carry_n_2,
I3 => O(1),
I4 => \y[21]_INST_0_i_4_n_0\,
I5 => x(5),
O => \y[18]_INST_0_i_3_n_0\
);
\y[19]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"1BBB1BBB0AAA1BBB"
)
port map (
I0 => \^y[23]\,
I1 => \x[9]_4\,
I2 => \y[20]_INST_0_i_2_n_0\,
I3 => \y[19]_INST_0_i_1_n_0\,
I4 => \y[20]_INST_0_i_1_n_0\,
I5 => \y[19]_INST_0_i_2_n_0\,
O => y(6)
);
\y[19]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFF70FF77"
)
port map (
I0 => \y[21]_INST_0_i_6_n_0\,
I1 => x(6),
I2 => \y[20]_INST_0_i_3_n_0\,
I3 => \y[20]_INST_0_i_4_n_0\,
I4 => x(8),
I5 => \y[21]_INST_0_i_4_n_0\,
O => \y[19]_INST_0_i_1_n_0\
);
\y[19]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00FF404000000000"
)
port map (
I0 => \^y[22]\,
I1 => x(3),
I2 => \^y[20]\,
I3 => \x[9]_0\,
I4 => \^y[13]\,
I5 => mantissa2_carry_n_2,
O => \y[19]_INST_0_i_2_n_0\
);
\y[20]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"1BBB1BBB0AAA1BBB"
)
port map (
I0 => \^y[23]\,
I1 => \x[9]_4\,
I2 => \y[21]_INST_0_i_3_n_0\,
I3 => \y[20]_INST_0_i_1_n_0\,
I4 => \y[20]_INST_0_i_2_n_0\,
I5 => \y[21]_INST_0_i_1_n_0\,
O => y(7)
);
\y[20]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFF70FF77"
)
port map (
I0 => \y[21]_INST_0_i_6_n_0\,
I1 => x(7),
I2 => \y[20]_INST_0_i_3_n_0\,
I3 => \y[20]_INST_0_i_4_n_0\,
I4 => x(9),
I5 => \y[21]_INST_0_i_4_n_0\,
O => \y[20]_INST_0_i_1_n_0\
);
\y[20]_INST_0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"2FEF"
)
port map (
I0 => \x[9]_2\,
I1 => \^y[13]\,
I2 => mantissa2_carry_n_2,
I3 => \x[9]_3\,
O => \y[20]_INST_0_i_2_n_0\
);
\y[20]_INST_0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => O(0),
I1 => \y[16]_INST_0_i_4_n_0\,
I2 => CO(0),
I3 => mantissa2_carry_n_2,
O => \y[20]_INST_0_i_3_n_0\
);
\y[20]_INST_0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"E1FFE100"
)
port map (
I0 => \^y[23]\,
I1 => \^y[18]\,
I2 => \^y[22]_0\,
I3 => mantissa2_carry_n_2,
I4 => O(1),
O => \y[20]_INST_0_i_4_n_0\
);
\y[21]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EAFFEA00EAFFEAFF"
)
port map (
I0 => \y[21]_INST_0_i_1_n_0\,
I1 => \x_9__s_net_1\,
I2 => mantissa2_carry_n_2,
I3 => \^y[23]\,
I4 => \y[21]_INST_0_i_2_n_0\,
I5 => \y[21]_INST_0_i_3_n_0\,
O => y(8)
);
\y[21]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400044400000000"
)
port map (
I0 => \y[21]_INST_0_i_4_n_0\,
I1 => x(8),
I2 => \^y[22]\,
I3 => mantissa2_carry_n_2,
I4 => O(1),
I5 => \y[21]_INST_0_i_6_n_0\,
O => \y[21]_INST_0_i_1_n_0\
);
\y[21]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0020000000202020"
)
port map (
I0 => \y[21]_INST_0_i_6_n_0\,
I1 => \y[21]_INST_0_i_4_n_0\,
I2 => x(9),
I3 => \^y[22]\,
I4 => mantissa2_carry_n_2,
I5 => O(1),
O => \y[21]_INST_0_i_2_n_0\
);
\y[21]_INST_0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2FEF"
)
port map (
I0 => \x[9]_0\,
I1 => \^y[13]\,
I2 => mantissa2_carry_n_2,
I3 => \x[9]_1\,
O => \y[21]_INST_0_i_3_n_0\
);
\y[21]_INST_0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"55A9FFFF55A90000"
)
port map (
I0 => p_1_out(0),
I1 => \^y[23]\,
I2 => \^y[18]\,
I3 => \^y[22]_0\,
I4 => mantissa2_carry_n_2,
I5 => O(2),
O => \y[21]_INST_0_i_4_n_0\
);
\y[21]_INST_0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"00D0"
)
port map (
I0 => O(0),
I1 => \y[16]_INST_0_i_4_n_0\,
I2 => CO(0),
I3 => mantissa2_carry_n_2,
O => \y[21]_INST_0_i_6_n_0\
);
\y[22]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEE222E200000000"
)
port map (
I0 => \x_9__s_net_1\,
I1 => \^y[23]\,
I2 => \x[9]_1\,
I3 => \^y[13]\,
I4 => \x[9]_5\,
I5 => mantissa2_carry_n_2,
O => y(9)
);
\y[22]_INST_0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^y[23]\,
I1 => \^y[18]\,
O => \^y[13]\
);
\y[22]_INST_0_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"E1"
)
port map (
I0 => \^y[23]\,
I1 => \^y[18]\,
I2 => \^y[22]_0\,
O => \^y[22]\
);
\y[22]_INST_0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEEEEEEFF10"
)
port map (
I0 => \^y[18]\,
I1 => \^y[23]\,
I2 => \^y[20]_0\,
I3 => \^y[21]\,
I4 => x(8),
I5 => x(9),
O => \^y[20]\
);
\y[23]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00005504"
)
port map (
I0 => x(3),
I1 => x(0),
I2 => x(1),
I3 => x(2),
I4 => \y[23]_INST_0_i_1_n_0\,
I5 => \y[23]_INST_0_i_2_n_0\,
O => \^y[23]\
);
\y[23]_INST_0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => x(5),
I1 => x(9),
I2 => x(7),
O => \y[23]_INST_0_i_1_n_0\
);
\y[23]_INST_0_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000F0FFF0F4"
)
port map (
I0 => x(5),
I1 => x(4),
I2 => x(8),
I3 => x(7),
I4 => x(6),
I5 => x(9),
O => \y[23]_INST_0_i_2_n_0\
);
\y[25]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"888888888888888A"
)
port map (
I0 => \^y[22]_1\,
I1 => \^y[21]\,
I2 => x(1),
I3 => x(0),
I4 => x(2),
I5 => x(3),
O => \^y[22]_0\
);
\y[27]_INST_0_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFF01"
)
port map (
I0 => \y[27]_INST_0_i_4_n_0\,
I1 => x(7),
I2 => x(6),
I3 => x(9),
I4 => x(8),
O => \^y[18]\
);
\y[27]_INST_0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => x(4),
I1 => x(5),
I2 => x(6),
I3 => x(7),
O => \^y[21]\
);
\y[27]_INST_0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => x(1),
I1 => x(0),
I2 => x(2),
I3 => x(3),
O => \^y[20]_0\
);
\y[27]_INST_0_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"1110111011101111"
)
port map (
I0 => x(4),
I1 => x(5),
I2 => x(3),
I3 => x(2),
I4 => x(0),
I5 => x(1),
O => \y[27]_INST_0_i_4_n_0\
);
\y[30]_INST_0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => x(8),
I1 => x(9),
O => \^y[22]_1\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity affine_block_uint_to_ieee754_fp_0_0 is
port (
x : in STD_LOGIC_VECTOR ( 9 downto 0 );
y : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of affine_block_uint_to_ieee754_fp_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of affine_block_uint_to_ieee754_fp_0_0 : entity is "affine_block_uint_to_ieee754_fp_0_0,uint_to_ieee754_fp,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of affine_block_uint_to_ieee754_fp_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of affine_block_uint_to_ieee754_fp_0_0 : entity is "uint_to_ieee754_fp,Vivado 2016.4";
end affine_block_uint_to_ieee754_fp_0_0;
architecture STRUCTURE of affine_block_uint_to_ieee754_fp_0_0 is
signal \<const0>\ : STD_LOGIC;
signal U0_n_0 : STD_LOGIC;
signal U0_n_12 : STD_LOGIC;
signal U0_n_13 : STD_LOGIC;
signal U0_n_14 : STD_LOGIC;
signal U0_n_15 : STD_LOGIC;
signal U0_n_16 : STD_LOGIC;
signal U0_n_17 : STD_LOGIC;
signal U0_n_18 : STD_LOGIC;
signal p_1_out : STD_LOGIC_VECTOR ( 3 to 3 );
signal \^y\ : STD_LOGIC_VECTOR ( 30 downto 13 );
signal \y[20]_INST_0_i_5_n_0\ : STD_LOGIC;
signal \y[20]_INST_0_i_6_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_10_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_11_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_5_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_5_n_2\ : STD_LOGIC;
signal \y[21]_INST_0_i_5_n_3\ : STD_LOGIC;
signal \y[21]_INST_0_i_5_n_5\ : STD_LOGIC;
signal \y[21]_INST_0_i_5_n_6\ : STD_LOGIC;
signal \y[21]_INST_0_i_5_n_7\ : STD_LOGIC;
signal \y[21]_INST_0_i_7_n_0\ : STD_LOGIC;
signal \y[21]_INST_0_i_9_n_0\ : STD_LOGIC;
signal \y[22]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \y[22]_INST_0_i_2_n_0\ : STD_LOGIC;
signal \y[22]_INST_0_i_4_n_0\ : STD_LOGIC;
signal \y[22]_INST_0_i_5_n_0\ : STD_LOGIC;
signal \y[30]_INST_0_i_1_n_0\ : STD_LOGIC;
signal \NLW_y[21]_INST_0_i_5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \NLW_y[21]_INST_0_i_5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \y[20]_INST_0_i_5\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \y[21]_INST_0_i_7\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \y[22]_INST_0_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \y[22]_INST_0_i_5\ : label is "soft_lutpair8";
begin
y(31) <= \<const0>\;
y(30) <= \^y\(30);
y(29) <= \^y\(27);
y(28) <= \^y\(27);
y(27 downto 13) <= \^y\(27 downto 13);
y(12) <= \<const0>\;
y(11) <= \<const0>\;
y(10) <= \<const0>\;
y(9) <= \<const0>\;
y(8) <= \<const0>\;
y(7) <= \<const0>\;
y(6) <= \<const0>\;
y(5) <= \<const0>\;
y(4) <= \<const0>\;
y(3) <= \<const0>\;
y(2) <= \<const0>\;
y(1) <= \<const0>\;
y(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.affine_block_uint_to_ieee754_fp_0_0_uint_to_ieee754_fp
port map (
CO(0) => \y[21]_INST_0_i_5_n_0\,
O(2) => \y[21]_INST_0_i_5_n_5\,
O(1) => \y[21]_INST_0_i_5_n_6\,
O(0) => \y[21]_INST_0_i_5_n_7\,
p_1_out(0) => p_1_out(3),
x(9 downto 0) => x(9 downto 0),
\x[9]_0\ => \y[21]_INST_0_i_7_n_0\,
\x[9]_1\ => \y[22]_INST_0_i_2_n_0\,
\x[9]_2\ => \y[20]_INST_0_i_5_n_0\,
\x[9]_3\ => \y[20]_INST_0_i_6_n_0\,
\x[9]_4\ => \y[30]_INST_0_i_1_n_0\,
\x[9]_5\ => \y[22]_INST_0_i_4_n_0\,
\x_9__s_port_]\ => \y[22]_INST_0_i_1_n_0\,
y(9 downto 0) => \^y\(22 downto 13),
\y[13]\ => U0_n_0,
\y[18]\ => U0_n_14,
\y[20]\ => U0_n_13,
\y[20]_0\ => U0_n_18,
\y[21]\ => U0_n_17,
\y[22]\ => U0_n_12,
\y[22]_0\ => U0_n_15,
\y[22]_1\ => U0_n_16,
\y[23]\ => \^y\(23)
);
\y[20]_INST_0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"4F7F"
)
port map (
I0 => x(0),
I1 => U0_n_12,
I2 => U0_n_13,
I3 => x(4),
O => \y[20]_INST_0_i_5_n_0\
);
\y[20]_INST_0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"B080"
)
port map (
I0 => x(2),
I1 => U0_n_12,
I2 => U0_n_13,
I3 => x(6),
O => \y[20]_INST_0_i_6_n_0\
);
\y[21]_INST_0_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_12,
O => \y[21]_INST_0_i_10_n_0\
);
\y[21]_INST_0_i_11\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^y\(23),
I1 => U0_n_14,
O => \y[21]_INST_0_i_11_n_0\
);
\y[21]_INST_0_i_5\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y[21]_INST_0_i_5_n_0\,
CO(2) => \NLW_y[21]_INST_0_i_5_CO_UNCONNECTED\(2),
CO(1) => \y[21]_INST_0_i_5_n_2\,
CO(0) => \y[21]_INST_0_i_5_n_3\,
CYINIT => \^y\(23),
DI(3 downto 0) => B"0000",
O(3) => \NLW_y[21]_INST_0_i_5_O_UNCONNECTED\(3),
O(2) => \y[21]_INST_0_i_5_n_5\,
O(1) => \y[21]_INST_0_i_5_n_6\,
O(0) => \y[21]_INST_0_i_5_n_7\,
S(3) => '1',
S(2) => \y[21]_INST_0_i_9_n_0\,
S(1) => \y[21]_INST_0_i_10_n_0\,
S(0) => \y[21]_INST_0_i_11_n_0\
);
\y[21]_INST_0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"4F7F"
)
port map (
I0 => x(1),
I1 => U0_n_12,
I2 => U0_n_13,
I3 => x(5),
O => \y[21]_INST_0_i_7_n_0\
);
\y[21]_INST_0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA8"
)
port map (
I0 => U0_n_16,
I1 => U0_n_17,
I2 => x(1),
I3 => x(0),
I4 => x(2),
I5 => x(3),
O => p_1_out(3)
);
\y[21]_INST_0_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEEEEEEFF10"
)
port map (
I0 => U0_n_14,
I1 => \^y\(23),
I2 => U0_n_18,
I3 => U0_n_17,
I4 => x(8),
I5 => x(9),
O => \y[21]_INST_0_i_9_n_0\
);
\y[22]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8BB8888B8888888"
)
port map (
I0 => \y[22]_INST_0_i_5_n_0\,
I1 => U0_n_0,
I2 => x(2),
I3 => U0_n_12,
I4 => U0_n_13,
I5 => x(6),
O => \y[22]_INST_0_i_1_n_0\
);
\y[22]_INST_0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"C888"
)
port map (
I0 => x(7),
I1 => U0_n_13,
I2 => x(3),
I3 => U0_n_12,
O => \y[22]_INST_0_i_2_n_0\
);
\y[22]_INST_0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"B833B800"
)
port map (
I0 => x(5),
I1 => U0_n_12,
I2 => x(9),
I3 => U0_n_13,
I4 => x(1),
O => \y[22]_INST_0_i_4_n_0\
);
\y[22]_INST_0_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"B833B800"
)
port map (
I0 => x(4),
I1 => U0_n_12,
I2 => x(8),
I3 => U0_n_13,
I4 => x(0),
O => \y[22]_INST_0_i_5_n_0\
);
\y[24]_INST_0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \y[30]_INST_0_i_1_n_0\,
I1 => \^y\(23),
I2 => U0_n_14,
O => \^y\(24)
);
\y[25]_INST_0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0078"
)
port map (
I0 => U0_n_14,
I1 => \^y\(23),
I2 => U0_n_15,
I3 => \y[30]_INST_0_i_1_n_0\,
O => \^y\(25)
);
\y[26]_INST_0\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => x(9),
I1 => \^y\(27),
O => \^y\(26)
);
\y[27]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000800000000"
)
port map (
I0 => U0_n_14,
I1 => \^y\(23),
I2 => x(9),
I3 => x(8),
I4 => U0_n_17,
I5 => U0_n_18,
O => \^y\(27)
);
\y[30]_INST_0\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y[30]_INST_0_i_1_n_0\,
I1 => \^y\(27),
O => \^y\(30)
);
\y[30]_INST_0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000100000000"
)
port map (
I0 => U0_n_17,
I1 => x(1),
I2 => x(0),
I3 => x(2),
I4 => x(3),
I5 => U0_n_16,
O => \y[30]_INST_0_i_1_n_0\
);
end STRUCTURE;
| mit | fd62c857bf14d7a54b476ebf444c9872 | 0.472745 | 2.339275 | false | false | false | false |
ashikpoojari/Hardware-Security | DES CryptoCore/src/fp.vhd | 2 | 1,101 | library ieee;
use ieee.std_logic_1164.all;
entity fp is port
(
l,r : in std_logic_vector(1 to 32);
pt : out std_logic_vector(1 to 64)
);
end fp;
architecture behaviour of fp is
begin
pt(1)<=r(8); pt(2)<=l(8); pt(3)<=r(16);pt(4)<=l(16);pt(5)<=r(24);pt(6)<=l(24); pt(7)<=r(32);pt(8)<=l(32);
pt(9)<=r(7); pt(10)<=l(7);pt(11)<=r(15); pt(12)<=l(15); pt(13)<=r(23); pt(14)<=l(23); pt(15)<=r(31); pt(16)<=l(31);
pt(17)<=r(6);pt(18)<=l(6);pt(19)<=r(14); pt(20)<=l(14); pt(21)<=r(22); pt(22)<=l(22); pt(23)<=r(30); pt(24)<=l(30);
pt(25)<=r(5);pt(26)<=l(5);pt(27)<=r(13); pt(28)<=l(13); pt(29)<=r(21); pt(30)<=l(21); pt(31)<=r(29); pt(32)<=l(29);
pt(33)<=r(4);pt(34)<=l(4);pt(35)<=r(12); pt(36)<=l(12); pt(37)<=r(20); pt(38)<=l(20);pt(39)<=r(28); pt(40)<=l(28);
pt(41)<=r(3);pt(42)<=l(3);pt(43)<=r(11); pt(44)<=l(11); pt(45)<=r(19); pt(46)<=l(19); pt(47)<=r(27); pt(48)<=l(27);
pt(49)<=r(2);pt(50)<=l(2);pt(51)<=r(10); pt(52)<=l(10); pt(53)<=r(18); pt(54)<=l(18); pt(55)<=r(26); pt(56)<=l(26);
pt(57)<=r(1);pt(58)<=l(1);pt(59)<=r(9);pt(60)<=l(9);pt(61)<=r(17); pt(62)<=l(17); pt(63)<=r(25); pt(64)<=l(25);
end;
| mit | 79c285c461883512c8af6419a3b9b34f | 0.504087 | 1.866102 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0/sim/system_ov7670_controller_1_0.vhd | 2 | 3,747 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:ov7670_controller:1.0
-- IP Revision: 9
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_ov7670_controller_1_0 IS
PORT (
clk : IN STD_LOGIC;
resend : IN STD_LOGIC;
config_finished : OUT STD_LOGIC;
sioc : OUT STD_LOGIC;
siod : INOUT STD_LOGIC;
reset : OUT STD_LOGIC;
pwdn : OUT STD_LOGIC;
xclk : OUT STD_LOGIC
);
END system_ov7670_controller_1_0;
ARCHITECTURE system_ov7670_controller_1_0_arch OF system_ov7670_controller_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT ov7670_controller IS
PORT (
clk : IN STD_LOGIC;
resend : IN STD_LOGIC;
config_finished : OUT STD_LOGIC;
sioc : OUT STD_LOGIC;
siod : INOUT STD_LOGIC;
reset : OUT STD_LOGIC;
pwdn : OUT STD_LOGIC;
xclk : OUT STD_LOGIC
);
END COMPONENT ov7670_controller;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST";
BEGIN
U0 : ov7670_controller
PORT MAP (
clk => clk,
resend => resend,
config_finished => config_finished,
sioc => sioc,
siod => siod,
reset => reset,
pwdn => pwdn,
xclk => xclk
);
END system_ov7670_controller_1_0_arch;
| mit | cc78e8e07c24d60297c11660e82d4b5e | 0.721911 | 4.037716 | false | false | false | false |
loa-org/loa-hdl | modules/ir_rx/hdl/ir_rx_module.vhd | 2 | 13,620 | -------------------------------------------------------------------------------
-- Title : Module for Receiver for infrared beacons
-------------------------------------------------------------------------------
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
--
-- Two functions:
-- a) Extract sync from IR signal
-- b) Measure frequency component of opponent beacons (with Goertzel algorithm)
--
-------------------------------------------------------------------------------
-- Copyright (c) 2012 strongly-typed
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.bus_pkg.all;
use work.utils_pkg.all;
use work.reg_file_pkg.all;
use work.adc_ltc2351_pkg.all;
use work.ir_rx_module_pkg.all;
use work.signalprocessing_pkg.all;
-------------------------------------------------------------------------------
entity ir_rx_module is
-- Memory maps
--
-- Coefficient Register at BASE_ADDRESS_COEFS
-- ------------------------------------------
--
-- Lower 16 bits of 18-bit-wide Goertzel Coefficient in fixed point format
--
-- offset | R/W | Description
-- -------+-------------------------------------------------
-- +00 | W | Goertzel Coefficient Frequency 0
-- +01 | W | Goertzel Coefficient Frequency 1
--
--
-- Timestamp Register at BASE_ADDRESS_TIMESTAMP
-- --------------------------------------------
--
-- offset | R/W | Description
-- -------+-------------------------------------------------
-- +00 | R | Bits 15 downto 0 of timestamp
-- +01 | R | Bits 31 downto 16 of timestamp
-- +02 | R | Bits 47 downto 32 of timestamp
--
--
-- Result Register at BASE_ADDRESS_RESULTS
-- ---------------------------------------
--
-- Upper 16 bits of 18-bit-wide Goertzel Result
--
-- offset | R/W | Description
-- -------+-----+-------------------------------------------
-- +00 | R | Goertzel Result 0, Channel 0, Frequency 0
-- +01 | R | Goertzel Result 1, Channel 0, Frequency 0
-- +02 | R | Goertzel Result 0, Channel 1, Frequency 0
-- +03 | R | Goertzel Result 1, Channel 1, Frequency 0
-- +04 | R | Goertzel Result 0, Channel 2, Frequency 0
-- +05 | R | Goertzel Result 1, Channel 2, Frequency 0
-- +06 | R | Goertzel Result 0, Channel 3, Frequency 0
-- +07 | R | Goertzel Result 1, Channel 3, Frequency 0
-- +08 | R | Goertzel Result 0, Channel 4, Frequency 0
-- +09 | R | Goertzel Result 1, Channel 4, Frequency 0
-- +0A | R | Goertzel Result 0, Channel 5, Frequency 0
-- +0B | R | Goertzel Result 1, Channel 5, Frequency 0
-- +0C | R | Goertzel Result 0, Channel 6, Frequency 0
-- +0D | R | Goertzel Result 1, Channel 6, Frequency 0
-- +0E | R | Goertzel Result 0, Channel 7, Frequency 0
-- +0F | R | Goertzel Result 1, Channel 7, Frequency 0
-- +10 | R | Goertzel Result 0, Channel 8, Frequency 0
-- +11 | R | Goertzel Result 1, Channel 8, Frequency 0
-- +12 | R | Goertzel Result 0, Channel 9, Frequency 0
-- +13 | R | Goertzel Result 1, Channel 9, Frequency 0
-- +14 | R | Goertzel Result 0, Channel 10, Frequency 0
-- +15 | R | Goertzel Result 1, Channel 10, Frequency 0
-- +16 | R | Goertzel Result 0, Channel 11, Frequency 0
-- +17 | R | Goertzel Result 1, Channel 11, Frequency 0
-- ---------------------------------------------------------
-- +18 | R | Goertzel Result 0, Channel 0, Frequency 1
-- +19 | R | Goertzel Result 1, Channel 0, Frequency 1
-- +1A | R | Goertzel Result 0, Channel 1, Frequency 1
-- +1B | R | Goertzel Result 1, Channel 1, Frequency 1
-- +1C | R | Goertzel Result 0, Channel 2, Frequency 1
-- +1D | R | Goertzel Result 1, Channel 2, Frequency 1
-- +1E | R | Goertzel Result 0, Channel 3, Frequency 1
-- +1F | R | Goertzel Result 1, Channel 3, Frequency 1
-- +20 | R | Goertzel Result 0, Channel 4, Frequency 1
-- +21 | R | Goertzel Result 1, Channel 4, Frequency 1
-- +22 | R | Goertzel Result 0, Channel 5, Frequency 1
-- +23 | R | Goertzel Result 1, Channel 5, Frequency 1
-- +24 | R | Goertzel Result 0, Channel 6, Frequency 1
-- +25 | R | Goertzel Result 1, Channel 6, Frequency 1
-- +26 | R | Goertzel Result 0, Channel 7, Frequency 1
-- +27 | R | Goertzel Result 1, Channel 7, Frequency 1
-- +28 | R | Goertzel Result 0, Channel 8, Frequency 1
-- +29 | R | Goertzel Result 1, Channel 8, Frequency 1
-- +2A | R | Goertzel Result 0, Channel 9, Frequency 1
-- +2B | R | Goertzel Result 1, Channel 9, Frequency 1
-- +2C | R | Goertzel Result 0, Channel 10, Frequency 1
-- +2D | R | Goertzel Result 1, Channel 10, Frequency 1
-- +2E | R | Goertzel Result 0, Channel 11, Frequency 1
-- +2F | R | Goertzel Result 1, Channel 11, Frequency 1
--
-- +000 to +03f = 6 Bits = 2^6 = 64 words
--
-- The Block RAM is bigger and other working sets up to
-- Channels * Frequencies <= 256 are possible.
--
-- Mind the execution time for big datasets:
-- 4 cycles per channel and frequency + 4 cycles to fill the pipeline
--
-- E.g.: 12 channels, 5 frequencies, f_clk = 50 MHz, f_sample = 250 kHz
--
-- 1/f_clk = 20 ns
-- 1/f_sample = 4 us
-- 12 * 5 * 4 = 240 * 20 ns = 4.8 us > 4.0 us (clash!)
--
generic (
-- Base address at the internal data bus of the register for the coefficients
BASE_ADDRESS_COEFS : integer range 0 to 16#7FFF#;
-- Base address at the internal data bus of the dual port block RAM register for
-- the results
BASE_ADDRESS_RESULTS : integer range 0 to 16#7FFF#;
-- Base address at the internal data bus of the register with the
-- timestamp of the last sample.
BASE_ADDRESS_TIMESTAMP : integer range 0 to 16#7FFF#;
-- How many samples should make a set of goertzel values
SAMPLES : natural := 500
);
port (
-- Ports to two ADCs
-- signals to and from real hardware
adc_o_p : out ir_rx_module_spi_out_type;
adc_i_p : in ir_rx_module_spi_in_type;
-- Raw values of last ADC conversions (two ADCs with six channels each)
adc_values_o_p : out adc_ltc2351_values_type(11 downto 0);
-- Extracted sync signal
sync_o_p : out std_logic;
-- signals to and from the internal parallel bus
bus_o_p : out busdevice_out_type;
bus_i_p : in busdevice_in_type;
-- Handshake interface to STM when new data is available
done_o_p : out std_logic;
ack_i_p : in std_logic;
-- Sampling clock enable (expected to be 250 kHz or less)
-- starts a new ADC conversion and starts processing with goertzel algorithm.
clk_sample_en_i_p : in std_logic;
-- Timestamp input from the timestamp module
timestamp_i_p : in timestamp_type;
clk : in std_logic
);
end ir_rx_module;
architecture structural of ir_rx_module is
----------------------------------------------------------------------------
-- Constants
----------------------------------------------------------------------------
constant Q : natural := 13;
constant CHANNELS : natural := 12;
constant FREQUENCIES : natural := 2;
----------------------------------------------------------------------------
-- Internal signal declaration
----------------------------------------------------------------------------
-- twelve ADC channels
signal adc_values_s : adc_ltc2351_values_type(CHANNELS-1 downto 0) := (others => (others => '0'));
-- conversion to signed values
signal adc_values_signed_s : goertzel_inputs_type(CHANNELS-1 downto 0) := (others => (others => '0'));
signal adc_values_signed_clipped_s : goertzel_inputs_type(CHANNELS-1 downto 0) := (others => (others => '0'));
-- Goertzel coefficients, one for each frequency
signal coefs_s : goertzel_coefs_type(FREQUENCIES-1 downto 0) := (others => (others => '0'));
signal results_s : goertzel_results_type(CHANNELS-1 downto 0, FREQUENCIES-1 downto 0) := (others => (others => (others => (others => '0'))));
signal module_done_s : std_logic := '0';
-- Merge internal bus
signal bus_coefs_s : busdevice_out_type;
signal bus_results_s : busdevice_out_type;
signal bus_timestamp_s : busdevice_out_type;
-- Connection between bram and pipelined
signal bram_data_i : std_logic_vector(35 downto 0);
signal bram_data_o : std_logic_vector(35 downto 0);
signal bram_addr_s : std_logic_vector(7 downto 0);
signal bram_we_s : std_logic;
signal adc_start_s : std_logic := '0';
signal adc_done_s : std_logic := '0';
signal reg_coefs_s : reg_file_type(FREQUENCIES-1 downto 0) := (others => (others => '0'));
signal goertzel_done_s : std_logic;
signal ack_s : std_logic;
signal bank_x_s : std_logic;
signal bank_y_s : std_logic;
begin -- structural
----------------------------------------------------------------------------
-- Connect components
----------------------------------------------------------------------------
bus_o_p.data <= bus_coefs_s.data or bus_results_s.data or bus_timestamp_s.data;
adc_values_o_p <= adc_values_s;
done_o_p <= module_done_s;
ack_s <= ack_i_p;
-- convert std_logic_vector to goertzel coefficients
coef_loop : for ii in 0 to FREQUENCIES-1 generate
coefs_s(ii) <= "00" & signed(reg_coefs_s(ii));
end generate coef_loop;
----------------------------------------------------------------------------
-- Component Instantiation
----------------------------------------------------------------------------
-- Register file for the goertzel coefficients,
-- write only
reg_file_coefs_1 : reg_file
generic map (
BASE_ADDRESS => BASE_ADDRESS_COEFS,
REG_ADDR_BIT => log2(FREQUENCIES) -- 2**n = FREQUENCIES registers of 16 bits for goertzel coefficients
)
port map (
bus_o => bus_coefs_s,
bus_i => bus_i_p,
reg_o => reg_coefs_s,
reg_i => reg_coefs_s,
clk => clk
);
-- Block RAM with double buffering for the results
-- read only
reg_file_results_1 : entity work.reg_file_bram_double_buffered
generic map (
BASE_ADDRESS => BASE_ADDRESS_RESULTS)
port map (
bus_o => bus_results_s,
bus_i => bus_i_p,
bram_data_i => bram_data_i, -- in
bram_data_o => bram_data_o, -- out
bram_addr_i => bram_addr_s, -- in
bram_we_p => bram_we_s, -- in
irq_o => module_done_s,
ack_i => ack_s,
ready_i => goertzel_done_s,
enable_o => open,
bank_x_o => bank_x_s,
bank_y_o => bank_y_s,
clk => clk);
------------------------------------------------------------------------------
-- ADCs
------------------------------------------------------------------------------
ir_rx_adcs_1 : ir_rx_adcs
generic map (
CHANNELS => CHANNELS)
port map (
clk_sample_en_i_p => clk_sample_en_i_p,
adc_o_p => adc_o_p,
adc_i_p => adc_i_p,
adc_values_o_p => adc_values_s,
adc_done_o_p => adc_done_s,
clk => clk);
-- translate raw ADC values to signed
-- 14-bit ADC value, 0x0000 to 0x3fff, 0x2000 on average
adc_values_loop : for ch in CHANNELS-1 downto 0 generate
adc_values_signed_s(ch) <= signed(adc_values_s(ch)) - to_signed(16#2000#, 16)(INPUT_WIDTH-1 downto 0);
end generate adc_values_loop;
adc_values_clip_loop : for ch in CHANNELS-1 downto 0 generate
adc_values_signed_clipped_s(ch) <= to_signed(-200, INPUT_WIDTH) when adc_values_signed_s(ch) < -200 else
to_signed(+200, INPUT_WIDTH) when adc_values_signed_s(ch) > +200 else
adc_values_signed_s(ch);
end generate adc_values_clip_loop;
goertzel_pipelined_v2_1 : entity work.goertzel_pipelined_v2
generic map (
FREQUENCIES => FREQUENCIES,
CHANNELS => CHANNELS,
SAMPLES => SAMPLES,
Q => Q)
port map (
start_p => adc_done_s, -- whenever ADC is done process a new sample
bram_addr_p => bram_addr_s,
bram_data_i => bram_data_o,
bram_data_o => bram_data_i,
bram_we_p => bram_we_s,
ready_p => goertzel_done_s,
enable_p => '1', -- not used yet
coefs_p => coefs_s,
inputs_p => adc_values_signed_clipped_s, -- adc_values_signed_s,
clk => clk);
timestamp_taker_1 : timestamp_taker
generic map (
BASE_ADDRESS => BASE_ADDRESS_TIMESTAMP)
port map (
timestamp_i_p => timestamp_i_p,
trigger_i_p => goertzel_done_s,
bank_x_i_p => bank_x_s,
bank_y_i_p => bank_y_s,
bus_o => bus_timestamp_s,
bus_i => bus_i_p,
clk => clk);
-- Sync extraction
-- TODO
sync_o_p <= '0';
end structural;
| bsd-3-clause | ae93b3af070b446a5db581bfc781fee7 | 0.506902 | 3.705114 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/vga_axi_mem_buffer/vga_axi_mem_buffer_1.0/hdl/vga_axi_mem_buffer_v1_0.vhd | 1 | 7,817 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity vga_axi_mem_buffer_v1_0 is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Slave Bus Interface S_AXI
C_S_AXI_ID_WIDTH : integer := 1;
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6;
C_S_AXI_AWUSER_WIDTH : integer := 0;
C_S_AXI_ARUSER_WIDTH : integer := 0;
C_S_AXI_WUSER_WIDTH : integer := 0;
C_S_AXI_RUSER_WIDTH : integer := 0;
C_S_AXI_BUSER_WIDTH : integer := 0
);
port (
-- Users to add ports here
-- User ports ends
-- Do not modify the ports beyond this line
-- Ports of Axi Slave Bus Interface S_AXI
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_awid : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_awlen : in std_logic_vector(7 downto 0);
s_axi_awsize : in std_logic_vector(2 downto 0);
s_axi_awburst : in std_logic_vector(1 downto 0);
s_axi_awlock : in std_logic;
s_axi_awcache : in std_logic_vector(3 downto 0);
s_axi_awprot : in std_logic_vector(2 downto 0);
s_axi_awqos : in std_logic_vector(3 downto 0);
s_axi_awregion : in std_logic_vector(3 downto 0);
s_axi_awuser : in std_logic_vector(C_S_AXI_AWUSER_WIDTH-1 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
s_axi_wlast : in std_logic;
s_axi_wuser : in std_logic_vector(C_S_AXI_WUSER_WIDTH-1 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bid : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_buser : out std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_arid : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_arlen : in std_logic_vector(7 downto 0);
s_axi_arsize : in std_logic_vector(2 downto 0);
s_axi_arburst : in std_logic_vector(1 downto 0);
s_axi_arlock : in std_logic;
s_axi_arcache : in std_logic_vector(3 downto 0);
s_axi_arprot : in std_logic_vector(2 downto 0);
s_axi_arqos : in std_logic_vector(3 downto 0);
s_axi_arregion : in std_logic_vector(3 downto 0);
s_axi_aruser : in std_logic_vector(C_S_AXI_ARUSER_WIDTH-1 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rid : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rlast : out std_logic;
s_axi_ruser : out std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic
);
end vga_axi_mem_buffer_v1_0;
architecture arch_imp of vga_axi_mem_buffer_v1_0 is
-- component declaration
component vga_axi_mem_buffer_v1_0_S_AXI is
generic (
C_S_AXI_ID_WIDTH : integer := 1;
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6;
C_S_AXI_AWUSER_WIDTH : integer := 0;
C_S_AXI_ARUSER_WIDTH : integer := 0;
C_S_AXI_WUSER_WIDTH : integer := 0;
C_S_AXI_RUSER_WIDTH : integer := 0;
C_S_AXI_BUSER_WIDTH : integer := 0
);
port (
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWLEN : in std_logic_vector(7 downto 0);
S_AXI_AWSIZE : in std_logic_vector(2 downto 0);
S_AXI_AWBURST : in std_logic_vector(1 downto 0);
S_AXI_AWLOCK : in std_logic;
S_AXI_AWCACHE : in std_logic_vector(3 downto 0);
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
S_AXI_AWQOS : in std_logic_vector(3 downto 0);
S_AXI_AWREGION : in std_logic_vector(3 downto 0);
S_AXI_AWUSER : in std_logic_vector(C_S_AXI_AWUSER_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WLAST : in std_logic;
S_AXI_WUSER : in std_logic_vector(C_S_AXI_WUSER_WIDTH-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BUSER : out std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARLEN : in std_logic_vector(7 downto 0);
S_AXI_ARSIZE : in std_logic_vector(2 downto 0);
S_AXI_ARBURST : in std_logic_vector(1 downto 0);
S_AXI_ARLOCK : in std_logic;
S_AXI_ARCACHE : in std_logic_vector(3 downto 0);
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
S_AXI_ARQOS : in std_logic_vector(3 downto 0);
S_AXI_ARREGION : in std_logic_vector(3 downto 0);
S_AXI_ARUSER : in std_logic_vector(C_S_AXI_ARUSER_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0);
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RLAST : out std_logic;
S_AXI_RUSER : out std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic
);
end component vga_axi_mem_buffer_v1_0_S_AXI;
begin
-- Instantiation of Axi Bus Interface S_AXI
vga_axi_mem_buffer_v1_0_S_AXI_inst : vga_axi_mem_buffer_v1_0_S_AXI
generic map (
C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_AWUSER_WIDTH => C_S_AXI_AWUSER_WIDTH,
C_S_AXI_ARUSER_WIDTH => C_S_AXI_ARUSER_WIDTH,
C_S_AXI_WUSER_WIDTH => C_S_AXI_WUSER_WIDTH,
C_S_AXI_RUSER_WIDTH => C_S_AXI_RUSER_WIDTH,
C_S_AXI_BUSER_WIDTH => C_S_AXI_BUSER_WIDTH
)
port map (
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWID => s_axi_awid,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWLEN => s_axi_awlen,
S_AXI_AWSIZE => s_axi_awsize,
S_AXI_AWBURST => s_axi_awburst,
S_AXI_AWLOCK => s_axi_awlock,
S_AXI_AWCACHE => s_axi_awcache,
S_AXI_AWPROT => s_axi_awprot,
S_AXI_AWQOS => s_axi_awqos,
S_AXI_AWREGION => s_axi_awregion,
S_AXI_AWUSER => s_axi_awuser,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WSTRB => s_axi_wstrb,
S_AXI_WLAST => s_axi_wlast,
S_AXI_WUSER => s_axi_wuser,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BID => s_axi_bid,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BUSER => s_axi_buser,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARID => s_axi_arid,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARLEN => s_axi_arlen,
S_AXI_ARSIZE => s_axi_arsize,
S_AXI_ARBURST => s_axi_arburst,
S_AXI_ARLOCK => s_axi_arlock,
S_AXI_ARCACHE => s_axi_arcache,
S_AXI_ARPROT => s_axi_arprot,
S_AXI_ARQOS => s_axi_arqos,
S_AXI_ARREGION => s_axi_arregion,
S_AXI_ARUSER => s_axi_aruser,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RID => s_axi_rid,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RLAST => s_axi_rlast,
S_AXI_RUSER => s_axi_ruser,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready
);
-- Add user logic here
-- User logic ends
end arch_imp;
| mit | 48bc410147091141a9afd9b14c6b44ab | 0.661251 | 2.299794 | false | false | false | false |
pgavin/carpe | hdl/util/numeric_pkg.vhdl | 1 | 3,191 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package numeric_pkg is
pure function log2(n : natural) return natural;
pure function log2ceil(n : natural) return natural;
pure function is_pow2(n : natural) return boolean;
pure function integer_minimum(x1, x2 : integer) return integer;
pure function integer_maximum(x1, x2 : integer) return integer;
pure function factorial(n : natural) return natural;
pure function bitsize(n : natural) return natural;
end package;
package body numeric_pkg is
pure function log2(n : natural) return natural is
variable m, r : natural;
begin
m := n;
r := 0;
while m > 1 loop
r := r + 1;
m := m / 2;
end loop;
return r;
end function;
pure function log2ceil(n : natural) return natural is
variable m, r : natural;
begin
m := 1;
r := 0;
while m < n loop
r := r + 1;
m := m * 2;
end loop;
return r;
end function;
pure function is_pow2(n : natural) return boolean is
variable m : natural;
begin
if (n < 1) then
return false;
else
m := 1;
while (m < n) loop
m := m * 2;
end loop;
return m = n;
end if;
end function;
pure function integer_minimum(x1, x2 : integer) return integer is
begin
if x1 < x2 then
return x1;
else
return x2;
end if;
end function;
pure function integer_maximum(x1, x2 : integer) return integer is
begin
if x1 > x2 then
return x1;
else
return x2;
end if;
end function;
pure function factorial(n : natural) return natural is
variable ret : natural;
variable m : natural;
begin
m := n;
ret := 1;
while m > 0 loop
ret := ret * n;
m := m - 1;
end loop;
return ret;
end function;
pure function bitsize(n : natural) return natural is
begin
if n = 0 then
return 0;
else
return log2(n) + 1;
end if;
end function;
end package body;
| apache-2.0 | 2401c5239519b6632cc58a16942a8cd4 | 0.548731 | 4.176702 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_vga_test/zed_vga_test.srcs/sources_1/bd/system/ip/system_vga_sync_0_0/system_vga_sync_0_0_sim_netlist.vhdl | 1 | 17,575 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon May 08 23:35:07 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_vga_test/zed_vga_test.srcs/sources_1/bd/system/ip/system_vga_sync_0_0/system_vga_sync_0_0_sim_netlist.vhdl
-- Design : system_vga_sync_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_sync_0_0_vga_sync is
port (
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
active : out STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_sync_0_0_vga_sync : entity is "vga_sync";
end system_vga_sync_0_0_vga_sync;
architecture STRUCTURE of system_vga_sync_0_0_vga_sync is
signal active0 : STD_LOGIC;
signal active_i_3_n_0 : STD_LOGIC;
signal clear : STD_LOGIC;
signal \h_count_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \h_count_reg[9]_i_2_n_0\ : STD_LOGIC;
signal hsync_i_1_n_0 : STD_LOGIC;
signal hsync_i_2_n_0 : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal sel : STD_LOGIC;
signal \v_count_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \v_count_reg[6]_i_1_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_3_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_4_n_0\ : STD_LOGIC;
signal \v_count_reg[9]_i_5_n_0\ : STD_LOGIC;
signal \^vsync\ : STD_LOGIC;
signal vsync_i_1_n_0 : STD_LOGIC;
signal vsync_i_2_n_0 : STD_LOGIC;
signal \^xaddr\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \^yaddr\ : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of active_i_3 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \h_count_reg[1]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \h_count_reg[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \h_count_reg[3]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \h_count_reg[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \h_count_reg[6]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \h_count_reg[7]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \h_count_reg[9]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of hsync_i_2 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \v_count_reg[1]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \v_count_reg[3]_i_2\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \v_count_reg[4]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \v_count_reg[6]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \v_count_reg[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \v_count_reg[8]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \v_count_reg[9]_i_5\ : label is "soft_lutpair0";
begin
vsync <= \^vsync\;
xaddr(9 downto 0) <= \^xaddr\(9 downto 0);
yaddr(9 downto 0) <= \^yaddr\(9 downto 0);
active_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0022002A"
)
port map (
I0 => active_i_3_n_0,
I1 => \^xaddr\(9),
I2 => \^xaddr\(7),
I3 => \^yaddr\(9),
I4 => \^xaddr\(8),
O => active0
);
active_i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rst,
O => clear
);
active_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^yaddr\(6),
I1 => \^yaddr\(5),
I2 => \^yaddr\(7),
I3 => \^yaddr\(8),
O => active_i_3_n_0
);
active_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => clear,
D => active0,
Q => active
);
\h_count_reg[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^xaddr\(0),
O => p_0_in(0)
);
\h_count_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^xaddr\(1),
I1 => \^xaddr\(0),
O => p_0_in(1)
);
\h_count_reg[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^xaddr\(1),
I1 => \^xaddr\(0),
I2 => \^xaddr\(2),
O => p_0_in(2)
);
\h_count_reg[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^xaddr\(3),
I1 => \^xaddr\(1),
I2 => \^xaddr\(0),
I3 => \^xaddr\(2),
O => p_0_in(3)
);
\h_count_reg[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^xaddr\(4),
I1 => \^xaddr\(2),
I2 => \^xaddr\(0),
I3 => \^xaddr\(1),
I4 => \^xaddr\(3),
O => p_0_in(4)
);
\h_count_reg[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"33332333CCCCCCCC"
)
port map (
I0 => \^xaddr\(6),
I1 => \^xaddr\(5),
I2 => \^xaddr\(8),
I3 => \^xaddr\(9),
I4 => \^xaddr\(7),
I5 => \h_count_reg[9]_i_2_n_0\,
O => p_0_in(5)
);
\h_count_reg[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^xaddr\(6),
I1 => \^xaddr\(5),
I2 => \h_count_reg[9]_i_2_n_0\,
O => p_0_in(6)
);
\h_count_reg[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^xaddr\(7),
I1 => \h_count_reg[9]_i_2_n_0\,
I2 => \^xaddr\(5),
I3 => \^xaddr\(6),
O => p_0_in(7)
);
\h_count_reg[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"3FFFFFF7C0000000"
)
port map (
I0 => \^xaddr\(9),
I1 => \h_count_reg[9]_i_2_n_0\,
I2 => \^xaddr\(5),
I3 => \^xaddr\(7),
I4 => \^xaddr\(6),
I5 => \^xaddr\(8),
O => \h_count_reg[8]_i_1_n_0\
);
\h_count_reg[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7F80EF00FF00FF00"
)
port map (
I0 => \^xaddr\(6),
I1 => \^xaddr\(5),
I2 => \^xaddr\(8),
I3 => \^xaddr\(9),
I4 => \^xaddr\(7),
I5 => \h_count_reg[9]_i_2_n_0\,
O => p_0_in(9)
);
\h_count_reg[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => \^xaddr\(1),
I1 => \^xaddr\(0),
I2 => \^xaddr\(2),
I3 => \^xaddr\(4),
I4 => \^xaddr\(3),
O => \h_count_reg[9]_i_2_n_0\
);
\h_count_reg_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(0),
Q => \^xaddr\(0)
);
\h_count_reg_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(1),
Q => \^xaddr\(1)
);
\h_count_reg_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(2),
Q => \^xaddr\(2)
);
\h_count_reg_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(3),
Q => \^xaddr\(3)
);
\h_count_reg_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(4),
Q => \^xaddr\(4)
);
\h_count_reg_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(5),
Q => \^xaddr\(5)
);
\h_count_reg_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(6),
Q => \^xaddr\(6)
);
\h_count_reg_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(7),
Q => \^xaddr\(7)
);
\h_count_reg_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => \h_count_reg[8]_i_1_n_0\,
Q => \^xaddr\(8)
);
\h_count_reg_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => clear,
D => p_0_in(9),
Q => \^xaddr\(9)
);
hsync_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFBFBFBFBFFF"
)
port map (
I0 => \^xaddr\(8),
I1 => \^xaddr\(9),
I2 => \^xaddr\(7),
I3 => hsync_i_2_n_0,
I4 => \^xaddr\(5),
I5 => \^xaddr\(6),
O => hsync_i_1_n_0
);
hsync_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \^xaddr\(4),
I1 => \^xaddr\(2),
I2 => \^xaddr\(3),
I3 => \^xaddr\(1),
I4 => \^xaddr\(0),
O => hsync_i_2_n_0
);
hsync_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => hsync_i_1_n_0,
PRE => clear,
Q => hsync
);
\v_count_reg[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"5555555545555555"
)
port map (
I0 => \^yaddr\(0),
I1 => \v_count_reg[9]_i_4_n_0\,
I2 => \^yaddr\(9),
I3 => \^yaddr\(2),
I4 => \^yaddr\(3),
I5 => \^yaddr\(7),
O => \p_0_in__0\(0)
);
\v_count_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^yaddr\(0),
I1 => \^yaddr\(1),
O => \p_0_in__0\(1)
);
\v_count_reg[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"55AA55AA45AA55AA"
)
port map (
I0 => \v_count_reg[3]_i_2_n_0\,
I1 => \v_count_reg[9]_i_4_n_0\,
I2 => \^yaddr\(9),
I3 => \^yaddr\(2),
I4 => \^yaddr\(3),
I5 => \^yaddr\(7),
O => \p_0_in__0\(2)
);
\v_count_reg[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"55FFAA0045FFAA00"
)
port map (
I0 => \v_count_reg[3]_i_2_n_0\,
I1 => \v_count_reg[9]_i_4_n_0\,
I2 => \^yaddr\(9),
I3 => \^yaddr\(2),
I4 => \^yaddr\(3),
I5 => \^yaddr\(7),
O => \p_0_in__0\(3)
);
\v_count_reg[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^yaddr\(0),
I1 => \^yaddr\(1),
O => \v_count_reg[3]_i_2_n_0\
);
\v_count_reg[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^yaddr\(4),
I1 => \^yaddr\(2),
I2 => \^yaddr\(3),
I3 => \^yaddr\(0),
I4 => \^yaddr\(1),
O => \p_0_in__0\(4)
);
\v_count_reg[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^yaddr\(5),
I1 => \^yaddr\(1),
I2 => \^yaddr\(0),
I3 => \^yaddr\(3),
I4 => \^yaddr\(2),
I5 => \^yaddr\(4),
O => \p_0_in__0\(5)
);
\v_count_reg[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^yaddr\(6),
I1 => \v_count_reg[9]_i_5_n_0\,
I2 => \^yaddr\(5),
O => \v_count_reg[6]_i_1_n_0\
);
\v_count_reg[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^yaddr\(7),
I1 => \^yaddr\(5),
I2 => \v_count_reg[9]_i_5_n_0\,
I3 => \^yaddr\(6),
O => \p_0_in__0\(7)
);
\v_count_reg[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^yaddr\(8),
I1 => \^yaddr\(6),
I2 => \v_count_reg[9]_i_5_n_0\,
I3 => \^yaddr\(5),
I4 => \^yaddr\(7),
O => \p_0_in__0\(8)
);
\v_count_reg[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000002000"
)
port map (
I0 => \h_count_reg[9]_i_2_n_0\,
I1 => \^xaddr\(7),
I2 => \^xaddr\(9),
I3 => \^xaddr\(8),
I4 => \^xaddr\(5),
I5 => \^xaddr\(6),
O => sel
);
\v_count_reg[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"D0D00DD0"
)
port map (
I0 => \v_count_reg[9]_i_3_n_0\,
I1 => \v_count_reg[9]_i_4_n_0\,
I2 => \^yaddr\(9),
I3 => \v_count_reg[9]_i_5_n_0\,
I4 => active_i_3_n_0,
O => \p_0_in__0\(9)
);
\v_count_reg[9]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \^yaddr\(9),
I1 => \^yaddr\(2),
I2 => \^yaddr\(3),
I3 => \^yaddr\(7),
O => \v_count_reg[9]_i_3_n_0\
);
\v_count_reg[9]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \^yaddr\(1),
I1 => \^yaddr\(0),
I2 => \^yaddr\(6),
I3 => \^yaddr\(8),
I4 => \^yaddr\(4),
I5 => \^yaddr\(5),
O => \v_count_reg[9]_i_4_n_0\
);
\v_count_reg[9]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => \^yaddr\(4),
I1 => \^yaddr\(2),
I2 => \^yaddr\(3),
I3 => \^yaddr\(0),
I4 => \^yaddr\(1),
O => \v_count_reg[9]_i_5_n_0\
);
\v_count_reg_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(0),
Q => \^yaddr\(0)
);
\v_count_reg_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(1),
Q => \^yaddr\(1)
);
\v_count_reg_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(2),
Q => \^yaddr\(2)
);
\v_count_reg_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(3),
Q => \^yaddr\(3)
);
\v_count_reg_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(4),
Q => \^yaddr\(4)
);
\v_count_reg_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(5),
Q => \^yaddr\(5)
);
\v_count_reg_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \v_count_reg[6]_i_1_n_0\,
Q => \^yaddr\(6)
);
\v_count_reg_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(7),
Q => \^yaddr\(7)
);
\v_count_reg_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(8),
Q => \^yaddr\(8)
);
\v_count_reg_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => sel,
CLR => clear,
D => \p_0_in__0\(9),
Q => \^yaddr\(9)
);
vsync_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"E0EE"
)
port map (
I0 => \^vsync\,
I1 => rst,
I2 => active_i_3_n_0,
I3 => vsync_i_2_n_0,
O => vsync_i_1_n_0
);
vsync_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0002000000000000"
)
port map (
I0 => \^yaddr\(1),
I1 => \^yaddr\(2),
I2 => \^yaddr\(4),
I3 => \^yaddr\(9),
I4 => rst,
I5 => \^yaddr\(3),
O => vsync_i_2_n_0
);
vsync_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => vsync_i_1_n_0,
Q => \^vsync\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_sync_0_0 is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
active : out STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_vga_sync_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_sync_0_0 : entity is "system_vga_sync_0_0,vga_sync,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_sync_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_sync_0_0 : entity is "vga_sync,Vivado 2016.4";
end system_vga_sync_0_0;
architecture STRUCTURE of system_vga_sync_0_0 is
begin
U0: entity work.system_vga_sync_0_0_vga_sync
port map (
active => active,
clk => clk,
hsync => hsync,
rst => rst,
vsync => vsync,
xaddr(9 downto 0) => xaddr(9 downto 0),
yaddr(9 downto 0) => yaddr(9 downto 0)
);
end STRUCTURE;
| mit | a46086c496517052883f7eb514ef5d3f | 0.484381 | 2.7769 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_sync_ref_0_0/synth/system_vga_sync_ref_0_0.vhd | 5 | 4,637 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_sync_ref:1.0
-- IP Revision: 65
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_sync_ref_0_0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
hsync : IN STD_LOGIC;
vsync : IN STD_LOGIC;
start : OUT STD_LOGIC;
active : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END system_vga_sync_ref_0_0;
ARCHITECTURE system_vga_sync_ref_0_0_arch OF system_vga_sync_ref_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_sync_ref IS
GENERIC (
H_SIZE : INTEGER;
H_SYNC_SIZE : INTEGER;
V_SIZE : INTEGER;
DELAY : INTEGER
);
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
hsync : IN STD_LOGIC;
vsync : IN STD_LOGIC;
start : OUT STD_LOGIC;
active : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT vga_sync_ref;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "vga_sync_ref,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_sync_ref_0_0_arch : ARCHITECTURE IS "system_vga_sync_ref_0_0,vga_sync_ref,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_vga_sync_ref_0_0_arch: ARCHITECTURE IS "system_vga_sync_ref_0_0,vga_sync_ref,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_sync_ref,x_ipVersion=1.0,x_ipCoreRevision=65,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_SYNC_SIZE=144,V_SIZE=480,DELAY=2}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST";
BEGIN
U0 : vga_sync_ref
GENERIC MAP (
H_SIZE => 640,
H_SYNC_SIZE => 144,
V_SIZE => 480,
DELAY => 2
)
PORT MAP (
clk => clk,
rst => rst,
hsync => hsync,
vsync => vsync,
start => start,
active => active,
xaddr => xaddr,
yaddr => yaddr
);
END system_vga_sync_ref_0_0_arch;
| mit | fd9ba860446e912ad020f6df6094e946 | 0.70757 | 3.703674 | false | false | false | false |
pgavin/carpe | hdl/cpu/btb/cache/cpu_btb_cache-rtl.vhdl | 1 | 9,668 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
use work.cpu_types_pkg.all;
use work.cpu_btb_cache_pkg.all;
use work.cpu_btb_cache_config_pkg.all;
use work.cpu_btb_cache_replace_pkg.all;
library util;
use util.types_pkg.all;
use util.logic_pkg.all;
use util.numeric_pkg.all;
library mem;
library tech;
architecture rtl of cpu_btb_cache is
constant state_way_lsb : natural := cpu_btb_cache_assoc + cpu_btb_cache_replace_state_bits;
constant state_replace_way_lsb : natural := cpu_btb_cache_replace_state_bits;
constant state_replace_state_lsb : natural := 0;
type way_tags_type is array (cpu_btb_cache_assoc-1 downto 0) of std_ulogic_vector(cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits);
type comb_type is record
wstate_way : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
wstate_replace_way : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
wstate_replace_state : cpu_btb_cache_replace_state_type;
rway_tags : way_tags_type;
rway_unpri : std_ulogic_vector(cpu_btb_cache_assoc downto 0);
rway_pri : std_ulogic_vector(cpu_btb_cache_assoc downto 0);
rway : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
rhit : std_ulogic;
rtarget : cpu_ivaddr_type;
whit : std_ulogic;
cache_we : std_ulogic;
cache_wway : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
cache_wtagen : std_ulogic;
cache_wdataen : std_ulogic;
cache_windex : std_ulogic_vector(cpu_btb_cache_index_bits-1 downto 0);
cache_wtag : std_ulogic_vector(cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits);
cache_wdata : std_ulogic_vector(cpu_ivaddr_bits-1 downto 0);
cache_re : std_ulogic;
cache_rway : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
cache_rtagen : std_ulogic;
cache_rdataen : std_ulogic;
cache_rindex : std_ulogic_vector(cpu_btb_cache_index_bits-1 downto 0);
cache_rtag : std_ulogic_vector2(cpu_btb_cache_assoc-1 downto 0, cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits);
cache_rdata : std_ulogic_vector2(cpu_btb_cache_assoc-1 downto 0, cpu_ivaddr_bits-1 downto 0);
replace_re : std_ulogic;
replace_rindex : std_ulogic_vector(cpu_btb_cache_index_bits-1 downto 0);
replace_rway : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
replace_rstate : cpu_btb_cache_replace_state_type;
replace_we : std_ulogic;
replace_windex : std_ulogic_vector(cpu_btb_cache_index_bits-1 downto 0);
replace_wway : std_ulogic_vector(cpu_btb_cache_assoc-1 downto 0);
replace_wstate : cpu_btb_cache_replace_state_type;
rtag_write : std_ulogic;
cpu_btb_cache_replace_ctrl_in : cpu_btb_cache_replace_ctrl_in_type;
cpu_btb_cache_replace_dp_in : cpu_btb_cache_replace_dp_in_type;
cpu_btb_cache_replace_dp_out : cpu_btb_cache_replace_dp_out_type;
end record;
type reg_type is record
rrequested : std_ulogic;
rtag : std_ulogic_vector(cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits);
end record;
constant reg_init : reg_type := (
rrequested => '0',
rtag => (others => 'X')
);
constant reg_x : reg_type := (
rrequested => 'X',
rtag => (others => 'X')
);
signal c : comb_type;
signal r, r_next : reg_type;
begin
c.wstate_way <= cpu_btb_cache_dp_in.wstate(state_way_lsb+cpu_btb_cache_assoc-1 downto
state_way_lsb);
c.wstate_replace_way <= cpu_btb_cache_dp_in.wstate(state_replace_way_lsb+cpu_btb_cache_assoc-1 downto
state_replace_way_lsb);
c.wstate_replace_state <= cpu_btb_cache_dp_in.wstate(state_replace_state_lsb+cpu_btb_cache_replace_state_bits-1 downto
state_replace_state_lsb);
c.whit <= reduce_or(c.wstate_way);
c.cache_we <= cpu_btb_cache_ctrl_in.wen and not c.whit;
c.cache_wway <= c.wstate_replace_way;
c.cache_wtagen <= cpu_btb_cache_ctrl_in.wen;
c.cache_wdataen <= cpu_btb_cache_ctrl_in.wen;
c.cache_windex <= cpu_btb_cache_dp_in.waddr(cpu_btb_cache_index_bits-1 downto 0);
c.cache_wtag <= cpu_btb_cache_dp_in.waddr(cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits);
c.cache_wdata <= cpu_btb_cache_dp_in.wtarget;
c.replace_we <= cpu_btb_cache_ctrl_in.wen;
c.replace_windex <= cpu_btb_cache_dp_in.waddr(cpu_btb_cache_index_bits-1 downto 0);
with c.whit select
c.replace_wway <= c.wstate_replace_way when '0',
c.wstate_way when '1',
(others => 'X') when others;
c.replace_wstate <= c.wstate_replace_state;
c.cache_re <= cpu_btb_cache_ctrl_in.ren;
c.cache_rway <= (others => '1');
c.cache_rtagen <= cpu_btb_cache_ctrl_in.ren;
c.cache_rdataen <= cpu_btb_cache_ctrl_in.ren;
c.cache_rindex <= cpu_btb_cache_dp_in.raddr(cpu_btb_cache_index_bits-1 downto 0);
c.replace_re <= cpu_btb_cache_ctrl_in.ren;
c.replace_rindex <= cpu_btb_cache_dp_in.raddr(cpu_btb_cache_index_bits-1 downto 0);
r_next.rrequested <= c.cache_re;
c.rtag_write <= c.cache_re;
with c.rtag_write select
r_next.rtag <= cpu_btb_cache_dp_in.raddr(cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits) when '1',
r.rtag when '0',
(others => 'X') when others;
seq : process (clk) is
begin
if rising_edge(clk) then
case rstn is
when '1' =>
r <= r_next;
when '0' =>
r <= reg_init;
when others =>
r <= reg_x;
end case;
end if;
end process;
way_loop : for n in cpu_btb_cache_assoc-1 downto 0 generate
ivaddr_bit_loop : for m in cpu_ivaddr_bits-1 downto cpu_btb_cache_index_bits generate
c.rway_tags(n)(m) <= c.cache_rtag(n, m);
end generate;
c.rway_unpri(n) <= logic_eq(c.rway_tags(n), r.rtag);
end generate;
-- need to prioritize because we might get multiple hits, since we don't keep valid bits
c.rway_unpri(cpu_btb_cache_assoc) <= '1';
c.rway_pri <= prioritize_least(c.rway_unpri);
c.rway <= c.rway_pri(cpu_btb_cache_assoc-1 downto 0);
c.rhit <= any_ones(c.rway);
c.replace_rway <= c.cpu_btb_cache_replace_dp_out.rway;
c.replace_rstate <= c.cpu_btb_cache_replace_dp_out.rstate;
c.cpu_btb_cache_replace_ctrl_in <= (
re => c.replace_re,
we => c.replace_we
);
c.cpu_btb_cache_replace_dp_in <= (
rindex => c.replace_rindex,
windex => c.replace_windex,
wway => c.replace_wway,
wstate => c.replace_wstate
);
cpu_btb_cache_ctrl_out <= (
rvalid => c.rhit
);
cpu_btb_cache_dp_out.rstate <= (
c.rway &
c.replace_rway &
c.replace_rstate
);
rtarget_mux : entity tech.mux_1hot(rtl)
generic map (
data_bits => cpu_ivaddr_bits,
sel_bits => cpu_btb_cache_assoc
)
port map (
din => c.cache_rdata,
sel => c.rway,
dout => cpu_btb_cache_dp_out.rtarget
);
replace : entity work.cpu_btb_cache_replace(rtl)
port map (
clk => clk,
rstn => rstn,
cpu_btb_cache_replace_ctrl_in => c.cpu_btb_cache_replace_ctrl_in,
cpu_btb_cache_replace_dp_in => c.cpu_btb_cache_replace_dp_in,
cpu_btb_cache_replace_dp_out => c.cpu_btb_cache_replace_dp_out
);
cache : entity mem.cache_core_1r1w(rtl)
generic map (
log2_assoc => cpu_btb_cache_log2_assoc,
word_bits => cpu_ivaddr_bits,
index_bits => cpu_btb_cache_index_bits,
offset_bits => 0,
tag_bits => cpu_ivaddr_bits - cpu_btb_cache_index_bits,
write_first => true
)
port map (
clk => clk,
rstn => rstn,
we => c.cache_we,
wway => c.cache_wway,
wtagen => c.cache_wtagen,
wdataen => c.cache_wdataen,
windex => c.cache_windex,
woffset => "",
wtag => c.cache_wtag,
wdata => c.cache_wdata,
re => c.cache_re,
rway => c.cache_rway,
rtagen => c.cache_rtagen,
rdataen => c.cache_rdataen,
rindex => c.cache_rindex,
roffset => "",
rtag => c.cache_rtag,
rdata => c.cache_rdata
);
end;
| apache-2.0 | 95f69c24aecaa0781839281358775e71 | 0.5753 | 3.215165 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_util_ds_buf_0_0/synth/system_util_ds_buf_0_0.vhd | 1 | 6,451 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:util_ds_buf:2.1
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY util_ds_buf_v2_01_a;
USE util_ds_buf_v2_01_a.util_ds_buf;
ENTITY system_util_ds_buf_0_0 IS
PORT (
BUFG_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END system_util_ds_buf_0_0;
ARCHITECTURE system_util_ds_buf_0_0_arch OF system_util_ds_buf_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_util_ds_buf_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT util_ds_buf IS
GENERIC (
C_BUF_TYPE : STRING;
C_SIZE : INTEGER
);
PORT (
IBUF_DS_P : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
IBUF_DS_N : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
IBUF_OUT : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
IBUF_DS_ODIV2 : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
OBUF_IN : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
OBUF_DS_P : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
OBUF_DS_N : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
IOBUF_DS_P : INOUT STD_LOGIC_VECTOR(0 DOWNTO 0);
IOBUF_DS_N : INOUT STD_LOGIC_VECTOR(0 DOWNTO 0);
IOBUF_IO_T : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
IOBUF_IO_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
IOBUF_IO_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFGCE_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFGCE_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFGCE_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFH_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFH_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFHCE_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFHCE_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFHCE_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_GT_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_GT_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_GT_CEMASK : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_GT_CLR : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_GT_CLRMASK : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
BUFG_GT_DIV : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
BUFG_GT_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT util_ds_buf;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_util_ds_buf_0_0_arch: ARCHITECTURE IS "util_ds_buf,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_util_ds_buf_0_0_arch : ARCHITECTURE IS "system_util_ds_buf_0_0,util_ds_buf,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_util_ds_buf_0_0_arch: ARCHITECTURE IS "system_util_ds_buf_0_0,util_ds_buf,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=util_ds_buf,x_ipVersion=2.1,x_ipCoreRevision=6,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_BUF_TYPE=BUFG,C_SIZE=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF BUFG_I: SIGNAL IS "xilinx.com:signal:clock:1.0 BUFG_I CLK";
ATTRIBUTE X_INTERFACE_INFO OF BUFG_O: SIGNAL IS "xilinx.com:signal:clock:1.0 BUFG_O CLK";
BEGIN
U0 : util_ds_buf
GENERIC MAP (
C_BUF_TYPE => "BUFG",
C_SIZE => 1
)
PORT MAP (
IBUF_DS_P => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
IBUF_DS_N => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
OBUF_IN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
IOBUF_IO_T => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
IOBUF_IO_I => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_I => BUFG_I,
BUFG_O => BUFG_O,
BUFGCE_I => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFGCE_CE => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFH_I => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFHCE_I => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFHCE_CE => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_GT_I => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_GT_CE => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_GT_CEMASK => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_GT_CLR => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_GT_CLRMASK => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
BUFG_GT_DIV => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3))
);
END system_util_ds_buf_0_0_arch;
| mit | fc4afe16ccf5b85037906219d8e33d07 | 0.687955 | 3.299744 | false | false | false | false |
pgavin/carpe | hdl/cpu/l1mem/inst/cache/cpu_l1mem_inst_cache_ctrl-rtl.vhdl | 1 | 66,695 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
library util;
use util.logic_pkg.all;
use util.types_pkg.all;
-- pragma translate_off
use util.names_pkg.all;
library sim;
use sim.options_pkg.all;
use sim.monitor_pkg.all;
-- pragma translate_on
use work.cpu_l1mem_inst_types_pkg.all;
use work.cpu_l1mem_inst_cache_pkg.all;
use work.cpu_l1mem_inst_cache_config_pkg.all;
use work.cpu_mmu_inst_types_pkg.all;
use work.cpu_types_pkg.all;
architecture rtl of cpu_l1mem_inst_cache_ctrl is
type request_type is record
code : cpu_l1mem_inst_request_code_type;
direction : cpu_l1mem_inst_fetch_direction_type;
cacheen : std_ulogic;
mmuen : std_ulogic;
priv : std_ulogic;
alloc : std_ulogic;
end record;
constant request_x : request_type := (
code => (others => 'X'),
direction => (others => 'X'),
cacheen => 'X',
mmuen => 'X',
priv => 'X',
alloc => 'X'
);
constant request_init : request_type := (
code => cpu_l1mem_inst_request_code_none,
direction => (others => 'X'),
cacheen => 'X',
mmuen => 'X',
priv => 'X',
alloc => 'X'
);
type request_state_index_type is (
request_state_index_none,
request_state_index_uncached_fetch_mmu_access,
request_state_index_uncached_fetch_bus_op,
request_state_index_cached_fetch_l1_access,
request_state_index_cached_fetch_fill,
request_state_index_invalidate_sync,
request_state_index_invalidate_l1_access,
request_state_index_sync
);
type request_state_type is
array (request_state_index_type range
request_state_index_type'high downto
request_state_index_type'low) of std_ulogic;
constant request_state_none : request_state_type := "00000001";
constant request_state_uncached_fetch_mmu_access : request_state_type := "00000010";
constant request_state_uncached_fetch_bus_op : request_state_type := "00000100";
constant request_state_cached_fetch_l1_access : request_state_type := "00001000";
constant request_state_cached_fetch_fill : request_state_type := "00010000";
constant request_state_invalidate_sync : request_state_type := "00100000";
constant request_state_invalidate_l1_access : request_state_type := "01000000";
constant request_state_sync : request_state_type := "10000000";
type bus_op_code_index_type is (
bus_op_code_index_none,
bus_op_code_index_fetch,
bus_op_code_index_fill
);
type bus_op_code_type is
array (bus_op_code_index_type range
bus_op_code_index_type'high downto
bus_op_code_index_type'low) of std_ulogic;
constant bus_op_code_none : bus_op_code_type := "001";
constant bus_op_code_fetch : bus_op_code_type := "010";
constant bus_op_code_fill : bus_op_code_type := "100";
type bus_op_state_index_type is (
bus_op_state_index_none,
bus_op_state_index_fetch,
bus_op_state_index_fill_first,
bus_op_state_index_fill,
bus_op_state_index_fill_last
);
type bus_op_state_type is
array (bus_op_state_index_type range
bus_op_state_index_type'high downto
bus_op_state_index_type'low) of std_ulogic;
constant bus_op_state_none : bus_op_state_type := "00001";
constant bus_op_state_fetch : bus_op_state_type := "00010";
constant bus_op_state_fill_first : bus_op_state_type := "00100";
constant bus_op_state_fill : bus_op_state_type := "01000";
constant bus_op_state_fill_last : bus_op_state_type := "10000";
type bus_op_type is record
code : bus_op_code_type;
way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
priv : std_ulogic;
end record;
constant bus_op_x : bus_op_type := (
code => (others => 'X'),
way => (others => 'X'),
priv => 'X'
);
constant bus_op_init : bus_op_type := (
code => bus_op_code_none,
way => (others => 'X'),
priv => 'X'
);
type reg_type is record
b_cache_owner : cpu_l1mem_inst_cache_owner_type;
b_bus_op_owner : cpu_l1mem_inst_cache_owner_type;
b_request_granted : std_ulogic;
b_request_state : request_state_type;
b_request : request_type;
b_request_tagless : std_ulogic;
b_request_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_request_mmu_accessed : std_ulogic;
b_bus_op_granted : std_ulogic;
b_bus_op_state : bus_op_state_type;
b_bus_op_cacheable : std_ulogic;
b_bus_op_priv : std_ulogic;
b_bus_op_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_bus_op_block_inst : std_ulogic_vector(cpu_l1mem_inst_cache_block_insts-1 downto 0);
b_bus_op_requested : std_ulogic;
end record;
constant reg_x : reg_type := (
b_cache_owner => (others => 'X'),
b_bus_op_owner => (others => 'X'),
b_request_granted => 'X',
b_request_state => (others => 'X'),
b_request => request_x,
b_request_tagless => 'X',
b_request_way => (others => 'X'),
b_request_mmu_accessed => 'X',
b_bus_op_granted => 'X',
b_bus_op_state => (others => 'X'),
b_bus_op_cacheable => 'X',
b_bus_op_priv => 'X',
b_bus_op_way => (others => 'X'),
b_bus_op_block_inst => (others => 'X'),
b_bus_op_requested => 'X'
);
constant reg_init : reg_type := (
b_cache_owner => cpu_l1mem_inst_cache_owner_none,
b_bus_op_owner => cpu_l1mem_inst_cache_owner_none,
b_request_granted => 'X',
b_request_state => request_state_none,
b_request => request_init,
b_request_tagless => 'X',
b_request_way => (others => 'X'),
b_request_mmu_accessed => 'X',
b_bus_op_granted => 'X',
b_bus_op_state => bus_op_state_none,
b_bus_op_cacheable => 'X',
b_bus_op_priv => 'X',
b_bus_op_way => (others => 'X'),
b_bus_op_block_inst => (others => 'X'),
b_bus_op_requested => 'X'
);
type comb_type is record
b_vram_rdata : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_vram_rdata_all_ones : std_ulogic;
b_vram_rdata_first_free : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_replace_rway : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_replace_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_bus_op_error : std_ulogic;
b_bus_op_cycle_complete : std_ulogic;
b_bus_op_fill_fetch_data_ready : std_ulogic;
b_bus_op_fill_complete : std_ulogic;
b_bus_op_complete : std_ulogic;
b_bus_op_state_next_fill_first : bus_op_state_type;
b_bus_op_state_next_fill : bus_op_state_type;
b_bus_op_state_next_fill_last : bus_op_state_type;
b_bus_op_state_next_no_error : bus_op_state_type;
b_bus_op_state_next : bus_op_state_type;
b_bus_op_block_inst_advance : std_ulogic;
b_bus_op_block_inst_next : std_ulogic_vector(cpu_l1mem_inst_cache_block_insts-1 downto 0);
b_bus_op_vram_we : std_ulogic;
b_bus_op_vram_wdata : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_bus_op_replace_we : std_ulogic;
b_bus_op_replace_wway : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_request_sync : std_ulogic;
b_request_mmu_result_ready : std_ulogic;
b_request_mmu_result_valid : std_ulogic;
b_request_mmu_error : std_ulogic;
b_request_cache_way_hit : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_request_cache_hit : std_ulogic;
b_request_cache_miss : std_ulogic;
b_request_complete_uncached_fetch_mmu_access : std_ulogic;
b_request_complete_uncached_fetch_bus_op : std_ulogic;
b_request_complete_cached_fetch_l1_access : std_ulogic;
b_request_complete_cached_fetch_fill : std_ulogic;
b_request_complete_invalidate_l1_access : std_ulogic;
b_request_complete_sync : std_ulogic;
b_request_complete_no_error : std_ulogic;
b_request_complete : std_ulogic;
b_request_way_next_sel : std_ulogic_vector(2 downto 0);
b_request_way_next : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_request_tagless_seq_next : std_ulogic;
b_request_state_next_uncached_fetch_mmu_access : request_state_type;
b_request_state_next_cached_fetch_l1_access : request_state_type;
b_request_state_next_invalidate_sync : request_state_type;
b_request_state_next_no_error : request_state_type;
b_request_state_next : request_state_type;
b_request_cache_accessed_next : std_ulogic;
b_request_replace_we : std_ulogic;
b_request_replace_wway : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_request_vram_we : std_ulogic;
b_request_vram_wdata : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_cache_read_data_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_result_ready : std_ulogic;
b_result_code_mmu_access : cpu_l1mem_inst_result_code_type;
b_result_code_no_error : cpu_l1mem_inst_result_code_type;
b_result_code : cpu_l1mem_inst_result_code_type;
b_result_inst_sel : cpu_l1mem_inst_cache_b_result_inst_sel_type;
b_replace_we_no_error : std_ulogic;
b_replace_we : std_ulogic;
b_replace_wway : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_vram_we_no_error : std_ulogic;
b_vram_we : std_ulogic;
b_vram_wdata : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
b_cache_owner_next_request : cpu_l1mem_inst_cache_owner_type;
b_cache_owner_next_bus_op_request : cpu_l1mem_inst_cache_owner_type;
b_cache_owner_next_bus_op_bus_op : cpu_l1mem_inst_cache_owner_type;
b_cache_owner_next_bus_op : cpu_l1mem_inst_cache_owner_type;
b_cache_owner_next_no_error : cpu_l1mem_inst_cache_owner_type;
b_cache_owner_next : cpu_l1mem_inst_cache_owner_type;
b_bus_op_owner_next_request : cpu_l1mem_inst_cache_owner_type;
b_bus_op_owner_next_bus_op : cpu_l1mem_inst_cache_owner_type;
b_bus_op_owner_next_no_error : cpu_l1mem_inst_cache_owner_type;
b_bus_op_owner_next : cpu_l1mem_inst_cache_owner_type;
a_new_request : request_type;
a_new_request_fill_forward : std_ulogic;
a_new_request_state_fetch : request_state_type;
a_new_request_state : request_state_type;
a_request : request_type;
a_request_fill_forward : std_ulogic;
a_request_state : request_state_type;
a_request_tagless : std_ulogic;
a_request_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_request_cache_accessed : std_ulogic;
a_request_mmu_accessed : std_ulogic;
a_request_want_cache : std_ulogic;
a_request_want_bus_op : std_ulogic;
a_request_can_own_cache : std_ulogic;
a_request_can_own_bus_op : std_ulogic;
a_request_granted : std_ulogic;
a_request_bus_op_code : bus_op_code_type;
a_request_vram_re : std_ulogic;
a_request_tram_en : std_ulogic;
a_request_tram_we : std_ulogic;
a_request_tram_banken : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_request_dram_en : std_ulogic;
a_request_dram_banken : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_request_replace_re : std_ulogic;
a_request_mmu_accessed_next : std_ulogic;
a_new_bus_op_owner : cpu_l1mem_inst_cache_owner_type;
a_new_bus_op_code : bus_op_code_type;
a_new_bus_op_state : bus_op_state_type;
a_new_bus_op_cacheable : std_ulogic;
a_new_bus_op_priv : std_ulogic;
a_new_bus_op_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_new_bus_op_block_inst : std_ulogic_vector(cpu_l1mem_inst_cache_block_insts-1 downto 0);
a_bus_op_owner : cpu_l1mem_inst_cache_owner_type;
a_bus_op_state : bus_op_state_type;
a_bus_op_priv : std_ulogic;
a_bus_op_way : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_bus_op_cacheable : std_ulogic;
a_bus_op_block_inst : std_ulogic_vector(cpu_l1mem_inst_cache_block_insts-1 downto 0);
a_bus_op_requested : std_ulogic;
a_bus_op_paddr_tag_sel : cpu_l1mem_inst_cache_a_bus_op_paddr_tag_sel_type;
a_bus_op_paddr_index_sel : cpu_l1mem_inst_cache_a_bus_op_paddr_index_sel_type;
a_bus_op_paddr_offset_sel : cpu_l1mem_inst_cache_a_bus_op_paddr_offset_sel_type;
a_bus_op_cache_paddr_sel_old : std_ulogic;
a_bus_op_want_cache : std_ulogic;
a_bus_op_vram_re : std_ulogic;
a_bus_op_tram_en : std_ulogic;
a_bus_op_tram_we : std_ulogic;
a_bus_op_tram_banken : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_bus_op_dram_en : std_ulogic;
a_bus_op_dram_we : std_ulogic;
a_bus_op_dram_banken : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_bus_op_replace_re : std_ulogic;
a_bus_op_can_own_cache : std_ulogic;
a_bus_op_granted : std_ulogic;
a_new_cache_owner : cpu_l1mem_inst_cache_owner_type;
a_cache_owner : cpu_l1mem_inst_cache_owner_type;
a_vram_re : std_ulogic;
a_tram_en : std_ulogic;
a_tram_we : std_ulogic;
a_tram_banken : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_dram_en : std_ulogic;
a_dram_we : std_ulogic;
a_dram_banken : std_ulogic_vector(cpu_l1mem_inst_cache_assoc-1 downto 0);
a_replace_re : std_ulogic;
a_mmu_request : std_ulogic;
a_sys_request : std_ulogic;
a_sys_be : std_ulogic;
a_sys_write : std_ulogic;
a_sys_cacheable : std_ulogic;
a_sys_priv : std_ulogic;
a_sys_burst : std_ulogic;
a_sys_bcycles : sys_burst_cycles_type;
end record;
signal c : comb_type;
signal r, r_next : reg_type;
begin
c.b_vram_rdata <= cpu_l1mem_inst_cache_ctrl_in_vram.rdata;
c.b_vram_rdata_all_ones <= all_ones(c.b_vram_rdata);
c.b_vram_rdata_first_free <= prioritize(not c.b_vram_rdata);
c.b_replace_rway <= cpu_l1mem_inst_cache_replace_ctrl_out.rway;
with c.b_vram_rdata_all_ones select
c.b_replace_way <= c.b_replace_rway when '1',
c.b_vram_rdata_first_free when '0',
(others => 'X') when others;
c.b_bus_op_error <= (
r.b_bus_op_requested and
sys_slave_ctrl_out.ready and
sys_slave_ctrl_out.error
);
c.b_bus_op_cycle_complete <= (
r.b_bus_op_requested and
sys_slave_ctrl_out.ready
);
with r.b_bus_op_state select
c.b_bus_op_fill_fetch_data_ready <= c.b_bus_op_cycle_complete when bus_op_state_fill_first,
sys_slave_ctrl_out.ready when bus_op_state_fill |
bus_op_state_fill_last,
'X' when others;
c.b_bus_op_fill_complete <= (
r.b_bus_op_state(bus_op_state_index_fill_last)
);
with r.b_bus_op_state select
c.b_bus_op_complete <= '1' when bus_op_state_none |
bus_op_state_fill_last,
c.b_bus_op_cycle_complete when bus_op_state_fetch,
'0' when bus_op_state_fill_first |
bus_op_state_fill,
'X' when others;
b_bus_op_state_next_block_insts_eq_1_gen : if cpu_l1mem_inst_cache_block_insts = 1 generate
c.b_bus_op_state_next_fill_first <= (
bus_op_state_index_fill_first => (
not c.b_bus_op_cycle_complete
),
bus_op_state_index_fill_last => (
c.b_bus_op_cycle_complete
),
others => '0'
);
end generate;
b_bus_op_state_next_block_insts_gt_1_gen : if cpu_l1mem_inst_cache_block_insts > 1 generate
c.b_bus_op_state_next_fill_first <= (
bus_op_state_index_fill_first => (
not r.b_bus_op_granted
),
bus_op_state_index_fill => (
r.b_bus_op_granted
),
others => '0'
);
c.b_bus_op_state_next_fill <= (
bus_op_state_index_fill => (
not c.b_bus_op_cycle_complete or
not r.b_bus_op_block_inst(cpu_l1mem_inst_cache_block_insts-1)
),
bus_op_state_index_fill_last => (
c.b_bus_op_cycle_complete and
r.b_bus_op_block_inst(cpu_l1mem_inst_cache_block_insts-1)
),
others => '0'
);
end generate;
with r.b_bus_op_state select
c.b_bus_op_state_next_no_error <= bus_op_state_fetch when bus_op_state_fetch,
c.b_bus_op_state_next_fill_first when bus_op_state_fill_first,
c.b_bus_op_state_next_fill when bus_op_state_fill,
bus_op_state_fill_last when bus_op_state_fill_last,
(others => 'X') when others;
with c.b_bus_op_error select
c.b_bus_op_state_next <= c.b_bus_op_state_next_no_error when '0',
bus_op_state_none when '1',
(others => 'X') when others;
with r.b_bus_op_state select
c.b_bus_op_block_inst_advance <= sys_slave_ctrl_out.ready when bus_op_state_fill_first |
bus_op_state_fill,
'0' when bus_op_state_fetch,
'X' when others;
with c.b_bus_op_block_inst_advance select
c.b_bus_op_block_inst_next <= r.b_bus_op_block_inst when '0',
(r.b_bus_op_block_inst(cpu_l1mem_inst_cache_block_insts-2 downto 0) &
r.b_bus_op_block_inst(cpu_l1mem_inst_cache_block_insts-1)
) when '1',
(others => 'X') when others;
with r.b_bus_op_state select
c.b_bus_op_vram_we <= '0' when bus_op_state_fill,
sys_slave_ctrl_out.ready and r.b_bus_op_block_inst(0) when bus_op_state_fill_first,
'1' when bus_op_state_fill_last,
'X' when others;
with r.b_bus_op_state select
c.b_bus_op_vram_wdata <= c.b_vram_rdata and not r.b_bus_op_way when bus_op_state_fill_first,
c.b_vram_rdata or r.b_bus_op_way when bus_op_state_fill_last,
(others => 'X') when others;
with r.b_bus_op_state select
c.b_bus_op_replace_we <= '0' when bus_op_state_fill_first |
bus_op_state_fill,
'1' when bus_op_state_fill_last,
'X' when others;
c.b_bus_op_replace_wway <= r.b_bus_op_way;
---- request post-phase
c.b_request_sync <= (
r.b_cache_owner(cpu_l1mem_inst_cache_owner_index_none) and
r.b_bus_op_owner(cpu_l1mem_inst_cache_owner_index_none)
);
c.b_request_mmu_result_ready <= (
r.b_request_mmu_accessed and
cpu_mmu_inst_ctrl_out.ready
);
c.b_request_mmu_result_valid <= (
r.b_request_mmu_accessed and
cpu_mmu_inst_ctrl_out.ready and
cpu_mmu_inst_ctrl_out.result(cpu_mmu_inst_result_code_index_valid)
);
c.b_request_mmu_error <= (
r.b_request_mmu_accessed and
cpu_mmu_inst_ctrl_out.ready and
not cpu_mmu_inst_ctrl_out.result(cpu_mmu_inst_result_code_index_valid)
);
-- check for cache hit
c.b_request_cache_way_hit <= (c.b_vram_rdata and
cpu_l1mem_inst_cache_dp_out_ctrl.b_request_cache_tag_match);
c.b_request_cache_hit <= reduce_or(c.b_request_cache_way_hit);
c.b_request_cache_miss <= not c.b_request_cache_hit;
-- request completion
c.b_request_complete_uncached_fetch_mmu_access <= (
c.b_request_mmu_error
);
c.b_request_complete_uncached_fetch_bus_op <= (
r.b_request_granted and
c.b_bus_op_cycle_complete
);
c.b_request_complete_cached_fetch_l1_access <= (
(c.b_request_mmu_result_valid and
((r.b_request_granted and
(r.b_request_tagless or
c.b_request_cache_hit))
)
) or
c.b_request_mmu_error
);
c.b_request_complete_cached_fetch_fill <= (
r.b_request_granted and
c.b_bus_op_fill_fetch_data_ready
);
c.b_request_complete_invalidate_l1_access <= (
r.b_request_granted
);
c.b_request_complete_sync <= (
c.b_request_sync
);
with r.b_request_state select
c.b_request_complete_no_error <= '1' when request_state_none,
c.b_request_complete_uncached_fetch_mmu_access when request_state_uncached_fetch_mmu_access,
c.b_request_complete_uncached_fetch_bus_op when request_state_uncached_fetch_bus_op,
c.b_request_complete_cached_fetch_l1_access when request_state_cached_fetch_l1_access,
c.b_request_complete_cached_fetch_fill when request_state_cached_fetch_fill,
c.b_request_complete_sync when request_state_sync,
c.b_request_complete_invalidate_l1_access when request_state_invalidate_l1_access,
'0' when request_state_invalidate_sync,
'X' when others;
c.b_request_complete <= c.b_request_complete_no_error or c.b_bus_op_error;
c.b_request_way_next_sel <= (
0 => (
r.b_request_state(request_state_index_none) or
r.b_request_state(request_state_index_cached_fetch_fill) or
(r.b_request_state(request_state_index_cached_fetch_l1_access) and
r.b_request_tagless)
),
1 => (
r.b_request_state(request_state_index_cached_fetch_l1_access) and
not r.b_request_tagless and
c.b_request_cache_hit
),
2 => (
r.b_request_state(request_state_index_cached_fetch_l1_access) and
not r.b_request_tagless and
not c.b_request_cache_hit
)
);
with c.b_request_way_next_sel select
c.b_request_way_next <= r.b_request_way when "001",
c.b_request_cache_way_hit when "010",
c.b_replace_way when "100",
(others => 'X') when others;
with r.b_request_state select
c.b_request_tagless_seq_next <= r.b_request_tagless when request_state_none,
not cpu_l1mem_inst_cache_dp_out_ctrl.b_request_last_in_block
when request_state_cached_fetch_l1_access |
request_state_cached_fetch_fill,
'0' when request_state_uncached_fetch_mmu_access |
request_state_uncached_fetch_bus_op |
request_state_invalidate_sync |
request_state_invalidate_l1_access |
request_state_sync,
'X' when others;
c.b_request_state_next_uncached_fetch_mmu_access <= (
request_state_index_uncached_fetch_mmu_access => (
not c.b_request_mmu_result_ready
),
request_state_index_uncached_fetch_bus_op => (
c.b_request_mmu_result_ready
),
others => '0'
);
c.b_request_state_next_cached_fetch_l1_access <= (
request_state_index_cached_fetch_l1_access => (
not r.b_request_granted or
not c.b_request_mmu_result_ready
),
request_state_index_cached_fetch_fill => (
r.b_request_granted and
r.b_request.alloc and
c.b_request_mmu_result_ready
),
request_state_index_uncached_fetch_bus_op => (
r.b_request_granted and
not r.b_request.alloc
),
others => '0'
);
c.b_request_state_next_invalidate_sync <= (
request_state_index_invalidate_sync => (
not c.b_request_sync
),
request_state_index_invalidate_l1_access => (
c.b_request_sync
),
others => '0'
);
with r.b_request_state select
c.b_request_state_next_no_error <= r.b_request_state when request_state_none |
request_state_uncached_fetch_bus_op |
request_state_invalidate_l1_access |
request_state_sync,
c.b_request_state_next_uncached_fetch_mmu_access when request_state_uncached_fetch_mmu_access,
c.b_request_state_next_cached_fetch_l1_access when request_state_cached_fetch_l1_access,
request_state_cached_fetch_fill when request_state_cached_fetch_fill,
c.b_request_state_next_invalidate_sync when request_state_invalidate_sync,
(others => 'X') when others;
with c.b_bus_op_error select
c.b_request_state_next <= c.b_request_state_next_no_error when '0',
request_state_none when '1',
(others => 'X') when others;
with r.b_request_state select
c.b_request_cache_accessed_next <= r.b_request_granted when request_state_cached_fetch_l1_access |
request_state_invalidate_l1_access,
'0' when request_state_none |
request_state_invalidate_sync,
'X' when others;
with r.b_request_state select
c.b_request_replace_we <= (c.b_request_mmu_result_valid and
(c.b_request_cache_hit or
r.b_request_tagless)
) when request_state_cached_fetch_l1_access,
'0' when request_state_invalidate_l1_access,
'X' when others;
with r.b_request_state select
c.b_request_replace_wway <= logic_if(r.b_request_tagless,
r.b_request_way,
c.b_request_cache_way_hit) when request_state_cached_fetch_l1_access,
(others => 'X') when others;
with r.b_request_state select
c.b_request_vram_we <= '1' when request_state_invalidate_l1_access,
'0' when request_state_cached_fetch_l1_access,
'X' when others;
with r.b_request_state select
c.b_request_vram_wdata <= (others => '0') when request_state_invalidate_l1_access,
(others => 'X') when others;
with r.b_cache_owner select
c.b_cache_read_data_way <= r.b_bus_op_way when cpu_l1mem_inst_cache_owner_bus_op,
logic_if(r.b_request_tagless,
r.b_request_way,
c.b_request_cache_way_hit) when cpu_l1mem_inst_cache_owner_request,
(others => 'X') when others;
-- request result processing
c.b_result_ready <= c.b_request_complete;
c.b_result_code_mmu_access <= (
cpu_l1mem_inst_result_code_index_valid => (
not r.b_request.mmuen or
cpu_mmu_inst_ctrl_out.result(cpu_mmu_inst_result_code_index_valid)
),
cpu_l1mem_inst_result_code_index_error => (
r.b_request.mmuen and
cpu_mmu_inst_ctrl_out.result(cpu_mmu_inst_result_code_index_error)
),
cpu_l1mem_inst_result_code_index_tlbmiss => (
r.b_request.mmuen and
cpu_mmu_inst_ctrl_out.result(cpu_mmu_inst_result_code_index_tlbmiss)
),
cpu_l1mem_inst_result_code_index_pf => (
r.b_request.mmuen and
cpu_mmu_inst_ctrl_out.result(cpu_mmu_inst_result_code_index_pf)
)
);
with r.b_request_state select
c.b_result_code_no_error <= c.b_result_code_mmu_access when request_state_uncached_fetch_mmu_access |
request_state_cached_fetch_l1_access,
cpu_l1mem_inst_result_code_valid when request_state_none |
request_state_sync |
request_state_uncached_fetch_bus_op |
request_state_cached_fetch_fill |
request_state_invalidate_l1_access,
(others => 'X') when others;
with c.b_bus_op_error select
c.b_result_code <= c.b_result_code_no_error when '0',
cpu_l1mem_inst_result_code_error when '1',
(others => 'X') when others;
with r.b_request_state select
c.b_result_inst_sel <= cpu_l1mem_inst_cache_b_result_inst_sel_b_cache when request_state_cached_fetch_l1_access,
cpu_l1mem_inst_cache_b_result_inst_sel_b_bus when request_state_uncached_fetch_bus_op |
request_state_cached_fetch_fill,
(others => 'X') when others;
-- write vram, replace
with r.b_cache_owner select
c.b_replace_we_no_error <= c.b_request_replace_we when cpu_l1mem_inst_cache_owner_request,
c.b_bus_op_replace_we when cpu_l1mem_inst_cache_owner_bus_op,
'0' when cpu_l1mem_inst_cache_owner_none,
'X' when others;
c.b_replace_we <= c.b_replace_we_no_error and not c.b_bus_op_error;
with r.b_cache_owner select
c.b_replace_wway <= c.b_request_replace_wway when cpu_l1mem_inst_cache_owner_request,
c.b_bus_op_replace_wway when cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
with r.b_cache_owner select
c.b_vram_we_no_error <= c.b_request_vram_we when cpu_l1mem_inst_cache_owner_request,
c.b_bus_op_vram_we when cpu_l1mem_inst_cache_owner_bus_op,
'0' when cpu_l1mem_inst_cache_owner_none,
'X' when others;
c.b_vram_we <= c.b_vram_we_no_error and not c.b_bus_op_error;
with r.b_cache_owner select
c.b_vram_wdata <= c.b_request_vram_wdata when cpu_l1mem_inst_cache_owner_request,
c.b_bus_op_vram_wdata when cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
with r.b_request_state select
c.b_cache_owner_next_request <=
(cpu_l1mem_inst_cache_owner_index_none => (
c.b_request_mmu_result_ready
),
cpu_l1mem_inst_cache_owner_index_request => (
not c.b_request_mmu_result_ready
),
others => '0'
) when request_state_cached_fetch_l1_access,
cpu_l1mem_inst_cache_owner_none when request_state_invalidate_l1_access,
(others => 'X') when others;
with r.b_request_state select
c.b_cache_owner_next_bus_op_request <=
(cpu_l1mem_inst_cache_owner_index_none => (
c.b_bus_op_fill_complete or
c.b_bus_op_error
),
cpu_l1mem_inst_cache_owner_index_bus_op => (
not c.b_bus_op_fill_complete and
not c.b_bus_op_error
),
others => '0'
) when request_state_cached_fetch_fill,
(others => 'X') when others;
with r.b_bus_op_state select
c.b_cache_owner_next_bus_op_bus_op <=
cpu_l1mem_inst_cache_owner_bus_op when bus_op_state_fill_first |
bus_op_state_fill,
cpu_l1mem_inst_cache_owner_none when bus_op_state_fill_last,
(others => 'X') when others;
with r.b_bus_op_owner select
c.b_cache_owner_next_bus_op <= cpu_l1mem_inst_cache_owner_none when cpu_l1mem_inst_cache_owner_none,
c.b_cache_owner_next_bus_op_request when cpu_l1mem_inst_cache_owner_request,
c.b_cache_owner_next_bus_op_bus_op when cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
-- Choose the next cache vram & tram owner
with r.b_cache_owner select
c.b_cache_owner_next_no_error <= c.b_cache_owner_next_request when cpu_l1mem_inst_cache_owner_request,
c.b_cache_owner_next_bus_op when cpu_l1mem_inst_cache_owner_bus_op,
cpu_l1mem_inst_cache_owner_none when cpu_l1mem_inst_cache_owner_none,
(others => 'X') when others;
with c.b_bus_op_error select
c.b_cache_owner_next <= c.b_cache_owner_next_no_error when '0',
cpu_l1mem_inst_cache_owner_none when '1',
(others => 'X') when others;
with r.b_request_state select
c.b_bus_op_owner_next_request <=
(cpu_l1mem_inst_cache_owner_index_none => c.b_bus_op_cycle_complete,
cpu_l1mem_inst_cache_owner_index_request => not c.b_bus_op_cycle_complete,
others => '0'
) when request_state_uncached_fetch_bus_op,
(cpu_l1mem_inst_cache_owner_index_none => c.b_bus_op_fill_complete or c.b_bus_op_error,
cpu_l1mem_inst_cache_owner_index_bus_op => c.b_bus_op_fill_fetch_data_ready and not c.b_bus_op_fill_complete and not c.b_bus_op_error,
cpu_l1mem_inst_cache_owner_index_request => not c.b_bus_op_fill_fetch_data_ready and not c.b_bus_op_error,
others => '0'
) when request_state_cached_fetch_fill,
(others => 'X'
) when others;
with r.b_bus_op_state select
c.b_bus_op_owner_next_bus_op <=
cpu_l1mem_inst_cache_owner_bus_op when bus_op_state_fill,
cpu_l1mem_inst_cache_owner_none when bus_op_state_fill_last,
(others => 'X') when others;
with r.b_bus_op_owner select
c.b_bus_op_owner_next_no_error <= cpu_l1mem_inst_cache_owner_none when cpu_l1mem_inst_cache_owner_none,
c.b_bus_op_owner_next_request when cpu_l1mem_inst_cache_owner_request,
c.b_bus_op_owner_next_bus_op when cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
with c.b_bus_op_error select
c.b_bus_op_owner_next <= c.b_bus_op_owner_next_no_error when '0',
cpu_l1mem_inst_cache_owner_none when '1',
(others => 'X') when others;
-- new request selection, declare wants
c.a_new_request <= (code => cpu_l1mem_inst_cache_ctrl_in.request,
direction => cpu_l1mem_inst_cache_ctrl_in.direction,
cacheen => cpu_l1mem_inst_cache_ctrl_in.cacheen,
mmuen => cpu_l1mem_inst_cache_ctrl_in.mmuen,
priv => cpu_l1mem_inst_cache_ctrl_in.priv,
alloc => cpu_l1mem_inst_cache_ctrl_in.alloc
);
c.a_new_request_fill_forward <= (
c.a_new_request.code(cpu_l1mem_inst_request_code_index_fetch) and
r.b_request_state(request_state_index_cached_fetch_fill) and
c.a_new_request.direction(cpu_l1mem_inst_fetch_direction_index_seq) and
not cpu_l1mem_inst_cache_dp_out_ctrl.b_request_last_in_block and
not (c.a_new_request.mmuen xor r.b_request.mmuen)
);
c.a_new_request_state_fetch <= (
request_state_index_uncached_fetch_mmu_access => (
not c.a_request.cacheen
),
request_state_index_cached_fetch_l1_access => (
c.a_request.cacheen and
not c.a_new_request_fill_forward
),
request_state_index_cached_fetch_fill => (
c.a_request.cacheen and
c.a_new_request_fill_forward
),
others => '0'
);
with c.a_request.code select
c.a_new_request_state <= request_state_none when cpu_l1mem_inst_request_code_none,
c.a_new_request_state_fetch when cpu_l1mem_inst_request_code_fetch,
request_state_invalidate_sync when cpu_l1mem_inst_request_code_invalidate,
request_state_sync when cpu_l1mem_inst_request_code_sync,
(others => 'X') when others;
with c.b_request_complete select
c.a_request <= c.a_new_request when '1',
r.b_request when '0',
request_x when others;
c.a_request_fill_forward <= c.b_request_complete and c.a_new_request_fill_forward;
with c.b_request_complete select
c.a_request_state <= c.a_new_request_state when '1',
c.b_request_state_next when '0',
(others => 'X') when others;
with c.b_request_complete select
c.a_request_tagless <= (c.b_request_tagless_seq_next and
c.a_request.direction(cpu_l1mem_inst_fetch_direction_index_seq)
) when '1',
r.b_request_tagless when '0',
'X' when others;
c.a_request_way <= c.b_request_way_next;
c.a_request_cache_accessed <= c.b_request_cache_accessed_next and not c.b_request_complete;
c.a_request_mmu_accessed <= r.b_request_mmu_accessed and not c.b_request_complete;
with c.a_request_state select
c.a_request_want_cache <= '0' when request_state_none |
request_state_uncached_fetch_mmu_access |
request_state_uncached_fetch_bus_op |
request_state_cached_fetch_fill |
request_state_invalidate_sync,
'1' when request_state_cached_fetch_l1_access |
request_state_invalidate_l1_access,
'X' when others;
with c.a_request_state select
c.a_request_want_bus_op <= '0' when request_state_none |
request_state_uncached_fetch_mmu_access |
request_state_cached_fetch_l1_access |
request_state_invalidate_sync |
request_state_invalidate_l1_access,
'1' when request_state_uncached_fetch_bus_op |
request_state_cached_fetch_fill,
'X' when others;
with c.b_bus_op_owner_next select
c.a_request_can_own_bus_op <= '1' when cpu_l1mem_inst_cache_owner_request |
cpu_l1mem_inst_cache_owner_none,
'0' when cpu_l1mem_inst_cache_owner_bus_op,
'X' when others;
with c.b_cache_owner_next select
c.a_request_can_own_cache <= '1' when cpu_l1mem_inst_cache_owner_request |
cpu_l1mem_inst_cache_owner_none,
'0' when cpu_l1mem_inst_cache_owner_bus_op,
'X' when others;
c.a_request_granted <= (
(not (c.a_request_want_cache and
not c.a_request_can_own_cache
) and
not (c.a_request_want_bus_op and
not c.a_request_can_own_bus_op
)
) or
c.a_request_fill_forward
);
with c.a_request_state select
c.a_request_bus_op_code <= bus_op_code_fetch when request_state_uncached_fetch_bus_op,
bus_op_code_fill when request_state_cached_fetch_fill,
(others => 'X') when others;
with c.a_request_state select
c.a_request_vram_re <= (not c.a_request_cache_accessed and
not c.a_request_tagless) when request_state_cached_fetch_l1_access,
'0' when request_state_invalidate_l1_access,
'X' when others;
with c.a_request_state select
c.a_request_tram_en <= (not c.a_request_cache_accessed and
not c.a_request_tagless) when request_state_cached_fetch_l1_access,
'0' when request_state_invalidate_l1_access,
'X' when others;
with c.a_request_state select
c.a_request_tram_we <= '0' when request_state_cached_fetch_l1_access,
'X' when others;
with c.a_request_state select
c.a_request_tram_banken <= (others => '1') when request_state_cached_fetch_l1_access,
(others => 'X') when others;
with c.a_request_state select
c.a_request_dram_en <= not c.a_request_cache_accessed when request_state_cached_fetch_l1_access,
'0' when request_state_invalidate_l1_access,
'X' when others;
with c.a_request_state select
c.a_request_dram_banken <= ((cpu_l1mem_inst_cache_assoc-1 downto 0 => not c.a_request_tagless) or
c.a_request_way) when request_state_cached_fetch_l1_access,
(others => 'X') when others;
with c.a_request_state select
c.a_request_replace_re <= not c.a_request_cache_accessed when request_state_cached_fetch_l1_access |
request_state_invalidate_l1_access,
'X' when others;
c.a_request_mmu_accessed_next <= c.a_request_mmu_accessed or cpu_mmu_inst_ctrl_out.ready;
---
c.a_new_bus_op_owner <= (
cpu_l1mem_inst_cache_owner_index_none => (
not (c.a_request_granted and c.a_request_want_bus_op)
),
cpu_l1mem_inst_cache_owner_index_request => (
c.a_request_granted and c.a_request_want_bus_op
),
cpu_l1mem_inst_cache_owner_index_bus_op => '0'
);
with c.a_new_bus_op_owner select
c.a_new_bus_op_code <= c.a_request_bus_op_code when cpu_l1mem_inst_cache_owner_request,
bus_op_code_none when cpu_l1mem_inst_cache_owner_none,
(others => 'X') when others;
with c.a_new_bus_op_code select
c.a_new_bus_op_state <= bus_op_state_none when bus_op_code_none,
bus_op_state_fetch when bus_op_code_fetch,
bus_op_state_fill_first when bus_op_code_fill,
(others => 'X') when others;
with c.a_new_bus_op_owner select
c.a_new_bus_op_cacheable <= c.a_request.cacheen when cpu_l1mem_inst_cache_owner_request,
'X' when others;
with c.a_new_bus_op_owner select
c.a_new_bus_op_priv <= c.a_request.priv when cpu_l1mem_inst_cache_owner_request,
'X' when others;
with c.a_new_bus_op_owner select
c.a_new_bus_op_way <= c.a_request_way when cpu_l1mem_inst_cache_owner_request,
(others => 'X') when others;
c.a_new_bus_op_block_inst <= (0 => '1', others => '0');
with c.b_bus_op_owner_next(cpu_l1mem_inst_cache_owner_index_none) select
c.a_bus_op_owner <= c.b_bus_op_owner_next when '0',
c.a_new_bus_op_owner when '1',
(others => 'X') when others;
with c.b_bus_op_complete select
c.a_bus_op_state <= c.b_bus_op_state_next when '0',
c.a_new_bus_op_state when '1',
(others => 'X') when others;
with c.b_bus_op_complete select
c.a_bus_op_cacheable <= r.b_bus_op_cacheable when '0',
c.a_new_bus_op_cacheable when '1',
'X' when others;
with c.b_bus_op_complete select
c.a_bus_op_priv <= r.b_bus_op_priv when '0',
c.a_new_bus_op_priv when '1',
'X' when others;
with c.b_bus_op_complete select
c.a_bus_op_way <= r.b_bus_op_way when '0',
c.a_new_bus_op_way when '1',
(others => 'X') when others;
with c.b_bus_op_complete select
c.a_bus_op_block_inst <= c.b_bus_op_block_inst_next when '0',
c.a_new_bus_op_block_inst when '1',
(others => 'X') when others;
c.a_bus_op_requested <= (
(r.b_bus_op_requested and
not c.b_bus_op_complete) or
sys_slave_ctrl_out.ready
);
with c.b_bus_op_complete select
c.a_bus_op_paddr_tag_sel <= cpu_l1mem_inst_cache_a_bus_op_paddr_tag_sel_old when '0',
cpu_l1mem_inst_cache_a_bus_op_paddr_tag_sel_request when '1',
(others => 'X') when others;
with c.b_bus_op_complete select
c.a_bus_op_paddr_index_sel <= cpu_l1mem_inst_cache_a_bus_op_paddr_index_sel_old when '0',
cpu_l1mem_inst_cache_a_bus_op_paddr_index_sel_request when '1',
(others => 'X') when others;
with c.b_bus_op_complete select
c.a_bus_op_paddr_offset_sel <= (cpu_l1mem_inst_cache_a_bus_op_paddr_offset_sel_index_old =>
not c.b_bus_op_block_inst_advance,
cpu_l1mem_inst_cache_a_bus_op_paddr_offset_sel_index_next =>
c.b_bus_op_block_inst_advance,
others => '0'
) when '0',
cpu_l1mem_inst_cache_a_bus_op_paddr_offset_sel_request when '1',
(others => 'X') when others;
with c.a_bus_op_state select
c.a_bus_op_cache_paddr_sel_old <= '1' when bus_op_state_fill |
bus_op_state_fill_last,
'0' when bus_op_state_fill_first,
'X' when others;
with c.a_bus_op_state select
c.a_bus_op_want_cache <= '0' when bus_op_state_none |
bus_op_state_fetch,
'1' when bus_op_state_fill_first,
'X' when others;
with c.a_bus_op_state select
c.a_bus_op_vram_re <= '1' when bus_op_state_fill_first | -- clear valid bit
bus_op_state_fill_last, -- set valid bit
'0' when bus_op_state_fill,
'X' when others;
with c.a_bus_op_state select
c.a_bus_op_tram_en <= '1' when bus_op_state_fill_last, -- write tag for fill
'0' when bus_op_state_fill_first |
bus_op_state_fill,
'X' when others;
with c.a_bus_op_state select
c.a_bus_op_tram_we <= '1' when bus_op_state_fill_last, -- write tag for fill
'X' when others;
c.a_bus_op_tram_banken <= c.a_bus_op_way;
with c.a_bus_op_state select
c.a_bus_op_dram_en <= sys_slave_ctrl_out.ready when bus_op_state_fill |
bus_op_state_fill_last,
'0' when bus_op_state_fill_first,
'X' when others;
with c.a_bus_op_state select
c.a_bus_op_dram_we <= '1' when bus_op_state_fill |
bus_op_state_fill_last,
'X' when others;
c.a_bus_op_dram_banken <= c.a_bus_op_way;
with c.a_bus_op_state select
c.a_bus_op_replace_re <= '1' when bus_op_state_fill_last,
'0' when bus_op_state_fill_first |
bus_op_state_fill,
'X' when others;
with c.b_cache_owner_next select
c.a_bus_op_can_own_cache <= '1' when cpu_l1mem_inst_cache_owner_bus_op |
cpu_l1mem_inst_cache_owner_none,
'0' when cpu_l1mem_inst_cache_owner_request,
'X' when others;
c.a_bus_op_granted <= (
not (c.a_bus_op_want_cache and
(not c.a_bus_op_can_own_cache or
(c.a_request_granted and c.a_request_want_cache)
)
)
);
c.a_new_cache_owner <= (
cpu_l1mem_inst_cache_owner_index_none => (
not (c.a_request_granted and c.a_request_want_cache) and
not (c.a_bus_op_granted and c.a_bus_op_want_cache)
),
cpu_l1mem_inst_cache_owner_index_request => (
c.a_request_granted and c.a_request_want_cache
),
cpu_l1mem_inst_cache_owner_index_bus_op => (
c.a_bus_op_granted and c.a_bus_op_want_cache
)
);
with c.b_cache_owner_next select
c.a_cache_owner <= c.a_new_cache_owner when cpu_l1mem_inst_cache_owner_none,
c.b_cache_owner_next when cpu_l1mem_inst_cache_owner_request |
cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
-- choose cache component inputs
with c.a_cache_owner select
c.a_vram_re <= c.a_request_vram_re when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_vram_re when cpu_l1mem_inst_cache_owner_bus_op,
'0' when cpu_l1mem_inst_cache_owner_none,
'X' when others;
with c.a_cache_owner select
c.a_tram_en <= c.a_request_tram_en when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_tram_en when cpu_l1mem_inst_cache_owner_bus_op,
'0' when cpu_l1mem_inst_cache_owner_none,
'X' when others;
with c.a_cache_owner select
c.a_tram_we <= c.a_request_tram_we when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_tram_we when cpu_l1mem_inst_cache_owner_bus_op,
'X' when others;
with c.a_cache_owner select
c.a_tram_banken <= c.a_request_tram_banken when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_tram_banken when cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
with c.a_cache_owner select
c.a_dram_en <= c.a_request_dram_en when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_dram_en when cpu_l1mem_inst_cache_owner_bus_op,
'0' when cpu_l1mem_inst_cache_owner_none,
'X' when others;
with c.a_cache_owner select
c.a_dram_we <= '0' when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_dram_we when cpu_l1mem_inst_cache_owner_bus_op,
'X' when others;
with c.a_cache_owner select
c.a_replace_re <= c.a_request_replace_re when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_replace_re when cpu_l1mem_inst_cache_owner_bus_op,
'0' when cpu_l1mem_inst_cache_owner_none,
'X' when others;
with c.a_cache_owner select
c.a_dram_banken <= c.a_request_dram_banken when cpu_l1mem_inst_cache_owner_request,
c.a_bus_op_dram_banken when cpu_l1mem_inst_cache_owner_bus_op,
(others => 'X') when others;
with c.a_request_state select
c.a_mmu_request <= '0' when request_state_none |
request_state_uncached_fetch_bus_op |
request_state_cached_fetch_fill |
request_state_invalidate_sync |
request_state_sync,
'1' when request_state_uncached_fetch_mmu_access |
request_state_cached_fetch_l1_access |
request_state_invalidate_l1_access,
'X' when others;
with c.a_bus_op_state select
c.a_sys_request <= '1' when bus_op_state_fetch |
bus_op_state_fill_first |
bus_op_state_fill,
'0' when bus_op_state_none |
bus_op_state_fill_last,
'X' when others;
a_sys_be_0 : if cpu_inst_endianness = little_endian generate
c.a_sys_be <= '0';
end generate;
a_sys_be_1 : if cpu_inst_endianness = big_endian generate
c.a_sys_be <= '1';
end generate;
with c.a_bus_op_state select
c.a_sys_write <= '0' when bus_op_state_fetch |
bus_op_state_fill_first |
bus_op_state_fill |
bus_op_state_fill_last,
'X' when others;
with c.a_bus_op_state select
c.a_sys_cacheable <= '0' when bus_op_state_fetch,
'1' when bus_op_state_fill_first |
bus_op_state_fill |
bus_op_state_fill_last,
'X' when others;
c.a_sys_priv <= c.a_bus_op_priv;
a_sys_burst_gen_bursts : if sys_max_burst_cycles > 1 generate
with c.a_bus_op_state select
c.a_sys_burst <=
not c.a_bus_op_block_inst(cpu_l1mem_inst_cache_block_insts-1) when bus_op_state_fill_first |
bus_op_state_fill,
'0' when bus_op_state_fetch,
'X' when others;
c.a_sys_bcycles <= std_ulogic_vector(to_unsigned(cpu_l1mem_inst_cache_offset_bits-cpu_log2_word_bytes, sys_burst_cycles_bits));
end generate;
a_sys_burst_gen_no_bursts : if sys_max_burst_cycles <= 1 generate
c.a_sys_burst <= '0';
c.a_sys_bcycles <= (others => 'X');
end generate;
r_next <= (
b_cache_owner => c.a_cache_owner,
b_bus_op_owner => c.a_bus_op_owner,
b_request_granted => c.a_request_granted,
b_request_state => c.a_request_state,
b_request => c.a_request,
b_request_tagless => c.a_request_tagless,
b_request_way => c.a_request_way,
b_request_mmu_accessed => c.a_request_mmu_accessed_next,
b_bus_op_granted => c.a_bus_op_granted,
b_bus_op_state => c.a_bus_op_state,
b_bus_op_cacheable => c.a_bus_op_cacheable,
b_bus_op_priv => c.a_bus_op_priv,
b_bus_op_way => c.a_bus_op_way,
b_bus_op_block_inst => c.a_bus_op_block_inst,
b_bus_op_requested => c.a_bus_op_requested
);
cpu_l1mem_inst_cache_ctrl_out <= (
ready => c.b_result_ready,
result => c.b_result_code
);
sys_master_ctrl_out <= (request => c.a_sys_request,
be => c.a_sys_be,
write => c.a_sys_write,
cacheable => c.a_sys_cacheable,
priv => c.a_sys_priv,
inst => '0',
burst => c.a_sys_burst,
bwrap => '1',
bcycles => c.a_sys_bcycles
);
cpu_l1mem_inst_cache_ctrl_out_vram <= (re => c.a_vram_re,
we => c.b_vram_we,
wdata => c.b_vram_wdata
);
cpu_l1mem_inst_cache_ctrl_out_tram <= (en => c.a_tram_en,
we => c.a_tram_we,
banken => c.a_tram_banken
);
cpu_l1mem_inst_cache_ctrl_out_dram <= (en => c.a_dram_en,
we => c.a_dram_we,
banken => c.a_dram_banken
);
cpu_l1mem_inst_cache_dp_in_ctrl <= (
a_cache_owner => c.a_cache_owner,
a_bus_op_paddr_tag_sel => c.a_bus_op_paddr_tag_sel,
a_bus_op_paddr_index_sel => c.a_bus_op_paddr_index_sel,
a_bus_op_paddr_offset_sel => c.a_bus_op_paddr_offset_sel,
a_bus_op_cache_paddr_sel_old => c.a_bus_op_cache_paddr_sel_old,
b_request_complete => c.b_request_complete,
b_cache_owner => r.b_cache_owner,
b_cache_read_data_way => c.b_cache_read_data_way,
b_replace_way => c.b_replace_way,
b_result_inst_sel => c.b_result_inst_sel
);
cpu_l1mem_inst_cache_replace_ctrl_in <= (
re => c.a_replace_re,
we => c.b_replace_we,
wway => c.b_replace_wway
);
cpu_mmu_inst_ctrl_in <= (
request => c.a_mmu_request,
mmuen => c.a_request.mmuen
);
seq : process (clk) is
begin
if rising_edge(clk) then
case rstn is
when '1' =>
r <= r_next;
when '0' =>
r <= reg_init;
when others =>
r <= reg_x;
end case;
end if;
end process;
--pragma translate_off
a_dram_en_monitor : block is
signal a_dram_en_mon : std_ulogic_vector(0 downto 0);
begin
a_dram_en_mon(0) <= c.a_dram_en;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "a_dram_en",
data_bits => 1
)
port map (
clk => clk,
data => a_dram_en_mon
);
end block;
a_dram_we_monitor : block is
signal a_dram_we_mon : std_ulogic_vector(0 downto 0);
begin
with c.a_dram_en select
a_dram_we_mon(0) <= c.a_dram_we when '1',
'X' when others;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "a_dram_we",
data_bits => 1
)
port map (
clk => clk,
data => a_dram_we_mon
);
end block;
a_tram_en_monitor : block is
signal a_tram_en_mon : std_ulogic_vector(0 downto 0);
begin
a_tram_en_mon(0) <= c.a_tram_en;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "a_tram_en",
data_bits => 1
)
port map (
clk => clk,
data => a_tram_en_mon
);
end block;
a_tram_we_monitor : block is
signal a_tram_we_mon : std_ulogic_vector(0 downto 0);
begin
with c.a_tram_en select
a_tram_we_mon(0) <= c.a_tram_we when '1',
'X' when others;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "a_tram_we",
data_bits => 1
)
port map (
clk => clk,
data => a_tram_we_mon
);
end block;
a_vram_re_monitor : block is
signal a_vram_re_mon : std_ulogic_vector(0 downto 0);
begin
a_vram_re_mon(0) <= c.a_vram_re;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "a_vram_re",
data_bits => 1
)
port map (
clk => clk,
data => a_vram_re_mon
);
end block;
b_vram_we_monitor : block is
signal b_vram_we_mon : std_ulogic_vector(0 downto 0);
begin
b_vram_we_mon(0) <= c.b_vram_we;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "b_vram_we",
data_bits => 1
)
port map (
clk => clk,
data => b_vram_we_mon
);
end block;
a_replace_re_monitor : block is
signal a_replace_re_mon : std_ulogic_vector(0 downto 0);
begin
a_replace_re_mon(0) <= c.a_replace_re;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "a_replace_re",
data_bits => 1
)
port map (
clk => clk,
data => a_replace_re_mon
);
end block;
b_replace_we_monitor : block is
signal b_replace_we_mon : std_ulogic_vector(0 downto 0);
begin
b_replace_we_mon(0) <= c.b_replace_we;
mon : entity sim.monitor_sync_watch(behav)
generic map (
instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
name => "b_replace_we",
data_bits => 1
)
port map (
clk => clk,
data => b_replace_we_mon
);
end block;
--monitor : block is
-- signal b_inst_fetch_valid : std_ulogic_vector(0 downto 0);
-- signal b_inst_fetch_cacheen : std_ulogic;
-- signal b_inst_fetch_mmuen : std_ulogic;
-- signal b_inst_fetch_vpc : cpu_ivaddr_type;
-- signal b_inst_fetch_ppc : cpu_ipaddr_type;
-- signal b_inst_fetch_cache_hit : std_ulogic_vector(0 downto 0);
-- signal b_inst_fetch_cache_miss : std_ulogic_vector(0 downto 0);
-- signal b_inst_fetch_fill_forward : std_ulogic_vector(0 downto 0);
--begin
--b_fetch(0) <= (
-- r.b_request.code(cpu_l1mem_inst_request_code_index_fetch) and
-- c.b_request_complete and
-- c.b_result_code(cpu_l1mem_inst_result_code_index_valid)
-- );
--b_cached(0) <= r.b_request.cacheen;
--b_cache_hit(0) <= c.b_request_cache_hit;
--b_cache_fill(0) <= c.b_fill_start;
--b_cache_invalidate(0) <= r.b_request.code(cpu_l1mem_inst_request_code_index_invalidate);
--b_fetch_monitor : entity sim.monitor_sync_watch(behav)
-- generic map (
-- instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
-- name => "b_fetch",
-- data_bits => 1
-- )
-- port map (
-- clk => clk,
-- data => b_fetch
-- );
--b_cached_monitor : entity sim.monitor_sync_watch(behav)
-- generic map (
-- instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
-- name => "b_cached",
-- data_bits => 1
-- )
-- port map (
-- clk => clk,
-- data => b_cached
-- );
--b_cache_hit_monitor : entity sim.monitor_sync_watch(behav)
-- generic map (
-- instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
-- name => "b_cache_hit",
-- data_bits => 1
-- )
-- port map (
-- clk => clk,
-- data => b_cache_hit
-- );
--b_invalidate_monitor : entity sim.monitor_sync_watch(behav)
-- generic map (
-- instance => entity_path_name(cpu_l1mem_inst_cache_ctrl'path_name),
-- name => "b_cache_invalidate",
-- data_bits => 1
-- )
-- port map (
-- clk => clk,
-- data => b_cache_invalidate
-- );
--end block;
--pragma translate_on
end;
| apache-2.0 | 607ec2a64bfa6692974876ca5a6d460c | 0.524822 | 3.336919 | false | false | false | false |
loa-org/loa-hdl | modules/utils/hdl/event_hold_stage.vhd | 2 | 1,968 | -------------------------------------------------------------------------------
-- Title : Event Hold Stage
-- Project : Loa
-------------------------------------------------------------------------------
-- Author : Fabian Greif <[email protected]>
-- Company : Roboterclub Aachen e.V.
-- Platform : Xilinx Spartan 3
-------------------------------------------------------------------------------
-- Description:
--
-- Extends and stores an event.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity event_hold_stage is
port(
dout_p : out std_logic; -- Data output
din_p : in std_logic; -- Data input
period_p : in std_logic; -- Next period
clk : in std_logic -- Clock input
);
end event_hold_stage;
-------------------------------------------------------------------------------
architecture behavioral of event_hold_stage is
type event_hold_stage_type is record
found : std_logic;
output : std_logic;
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : event_hold_stage_type := (found => '0',
output => '0');
begin
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
comb_proc : process(r, din_p, period_p)
variable v : event_hold_stage_type;
begin
v := r;
if din_p = '1' then
v.found := '1';
end if;
if period_p = '1' then
v.output := v.found;
v.found := din_p;
end if;
rin <= v;
end process comb_proc;
dout_p <= r.output;
end behavioral;
| bsd-3-clause | a305b6e2e31e22a26a42b0dfaccac1aa | 0.388211 | 4.696897 | false | false | false | false |
loa-org/loa-hdl | modules/utils/hdl/dff.vhd | 2 | 1,566 | -------------------------------------------------------------------------------
-- Title : D Flip-Flop with synchronous Reset, Set and Clock Enable
-- Project : Loa
-------------------------------------------------------------------------------
-- Author : Fabian Greif <[email protected]>
-- Company : Roboterclub Aachen e.V.
-- Platform : Xilinx Spartan 3
-------------------------------------------------------------------------------
-- Description:
--
-- D Flip-Flop with Synchronous Reset, Set and Clock Enable.
-- Priority (high to low): reset_p, set_p, ce_p
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity dff is
port(
dout_p : out std_logic; -- Data output
din_p : in std_logic; -- Data input
set_p : in std_logic; -- Synchronous set input
reset_p : in std_logic; -- Synchronous reset input
ce_p : in std_logic; -- Clock enable input
clk : in std_logic -- Clock input
);
end dff;
-------------------------------------------------------------------------------
architecture behavioral of dff is
begin
process (clk)
begin
if rising_edge(clk) then
if reset_p = '1' then
dout_p <= '0';
elsif set_p = '1' then
dout_p <= '1';
elsif ce_p = '1' then
dout_p <= din_p;
end if;
end if;
end process;
end behavioral;
| bsd-3-clause | 993e3eedf57f59ae3c415fd94aa4bf76 | 0.4106 | 4.592375 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_debounce_0_0/system_debounce_0_0_sim_netlist.vhdl | 1 | 18,057 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun May 28 18:34:35 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_debounce_0_0 -prefix
-- system_debounce_0_0_ system_debounce_0_0_sim_netlist.vhdl
-- Design : system_debounce_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_debounce_0_0_debounce is
port (
signal_out : out STD_LOGIC;
clk : in STD_LOGIC;
signal_in : in STD_LOGIC
);
end system_debounce_0_0_debounce;
architecture STRUCTURE of system_debounce_0_0_debounce is
signal \c[0]_i_3_n_0\ : STD_LOGIC;
signal \c[0]_i_4_n_0\ : STD_LOGIC;
signal \c[0]_i_5_n_0\ : STD_LOGIC;
signal \c[0]_i_6_n_0\ : STD_LOGIC;
signal \c[12]_i_2_n_0\ : STD_LOGIC;
signal \c[12]_i_3_n_0\ : STD_LOGIC;
signal \c[12]_i_4_n_0\ : STD_LOGIC;
signal \c[12]_i_5_n_0\ : STD_LOGIC;
signal \c[16]_i_2_n_0\ : STD_LOGIC;
signal \c[16]_i_3_n_0\ : STD_LOGIC;
signal \c[16]_i_4_n_0\ : STD_LOGIC;
signal \c[16]_i_5_n_0\ : STD_LOGIC;
signal \c[20]_i_2_n_0\ : STD_LOGIC;
signal \c[20]_i_3_n_0\ : STD_LOGIC;
signal \c[20]_i_4_n_0\ : STD_LOGIC;
signal \c[20]_i_5_n_0\ : STD_LOGIC;
signal \c[4]_i_2_n_0\ : STD_LOGIC;
signal \c[4]_i_3_n_0\ : STD_LOGIC;
signal \c[4]_i_4_n_0\ : STD_LOGIC;
signal \c[4]_i_5_n_0\ : STD_LOGIC;
signal \c[8]_i_2_n_0\ : STD_LOGIC;
signal \c[8]_i_3_n_0\ : STD_LOGIC;
signal \c[8]_i_4_n_0\ : STD_LOGIC;
signal \c[8]_i_5_n_0\ : STD_LOGIC;
signal c_reg : STD_LOGIC_VECTOR ( 23 downto 0 );
signal \c_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_4\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_5\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_6\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_7\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_7\ : STD_LOGIC;
signal clear : STD_LOGIC;
signal signal_out_i_1_n_0 : STD_LOGIC;
signal signal_out_i_2_n_0 : STD_LOGIC;
signal signal_out_i_3_n_0 : STD_LOGIC;
signal signal_out_i_4_n_0 : STD_LOGIC;
signal signal_out_i_5_n_0 : STD_LOGIC;
signal \NLW_c_reg[20]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
\c[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => signal_in,
O => clear
);
\c[0]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(3),
O => \c[0]_i_3_n_0\
);
\c[0]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(2),
O => \c[0]_i_4_n_0\
);
\c[0]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(1),
O => \c[0]_i_5_n_0\
);
\c[0]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => c_reg(0),
O => \c[0]_i_6_n_0\
);
\c[12]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(15),
O => \c[12]_i_2_n_0\
);
\c[12]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(14),
O => \c[12]_i_3_n_0\
);
\c[12]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(13),
O => \c[12]_i_4_n_0\
);
\c[12]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(12),
O => \c[12]_i_5_n_0\
);
\c[16]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(19),
O => \c[16]_i_2_n_0\
);
\c[16]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(18),
O => \c[16]_i_3_n_0\
);
\c[16]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(17),
O => \c[16]_i_4_n_0\
);
\c[16]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(16),
O => \c[16]_i_5_n_0\
);
\c[20]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(23),
O => \c[20]_i_2_n_0\
);
\c[20]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(22),
O => \c[20]_i_3_n_0\
);
\c[20]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(21),
O => \c[20]_i_4_n_0\
);
\c[20]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(20),
O => \c[20]_i_5_n_0\
);
\c[4]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(7),
O => \c[4]_i_2_n_0\
);
\c[4]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(6),
O => \c[4]_i_3_n_0\
);
\c[4]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(5),
O => \c[4]_i_4_n_0\
);
\c[4]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(4),
O => \c[4]_i_5_n_0\
);
\c[8]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(11),
O => \c[8]_i_2_n_0\
);
\c[8]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(10),
O => \c[8]_i_3_n_0\
);
\c[8]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(9),
O => \c[8]_i_4_n_0\
);
\c[8]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(8),
O => \c[8]_i_5_n_0\
);
\c_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_7\,
Q => c_reg(0),
R => clear
);
\c_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \c_reg[0]_i_2_n_0\,
CO(2) => \c_reg[0]_i_2_n_1\,
CO(1) => \c_reg[0]_i_2_n_2\,
CO(0) => \c_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0001",
O(3) => \c_reg[0]_i_2_n_4\,
O(2) => \c_reg[0]_i_2_n_5\,
O(1) => \c_reg[0]_i_2_n_6\,
O(0) => \c_reg[0]_i_2_n_7\,
S(3) => \c[0]_i_3_n_0\,
S(2) => \c[0]_i_4_n_0\,
S(1) => \c[0]_i_5_n_0\,
S(0) => \c[0]_i_6_n_0\
);
\c_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_5\,
Q => c_reg(10),
R => clear
);
\c_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_4\,
Q => c_reg(11),
R => clear
);
\c_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_7\,
Q => c_reg(12),
R => clear
);
\c_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[8]_i_1_n_0\,
CO(3) => \c_reg[12]_i_1_n_0\,
CO(2) => \c_reg[12]_i_1_n_1\,
CO(1) => \c_reg[12]_i_1_n_2\,
CO(0) => \c_reg[12]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[12]_i_1_n_4\,
O(2) => \c_reg[12]_i_1_n_5\,
O(1) => \c_reg[12]_i_1_n_6\,
O(0) => \c_reg[12]_i_1_n_7\,
S(3) => \c[12]_i_2_n_0\,
S(2) => \c[12]_i_3_n_0\,
S(1) => \c[12]_i_4_n_0\,
S(0) => \c[12]_i_5_n_0\
);
\c_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_6\,
Q => c_reg(13),
R => clear
);
\c_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_5\,
Q => c_reg(14),
R => clear
);
\c_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_4\,
Q => c_reg(15),
R => clear
);
\c_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_7\,
Q => c_reg(16),
R => clear
);
\c_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[12]_i_1_n_0\,
CO(3) => \c_reg[16]_i_1_n_0\,
CO(2) => \c_reg[16]_i_1_n_1\,
CO(1) => \c_reg[16]_i_1_n_2\,
CO(0) => \c_reg[16]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[16]_i_1_n_4\,
O(2) => \c_reg[16]_i_1_n_5\,
O(1) => \c_reg[16]_i_1_n_6\,
O(0) => \c_reg[16]_i_1_n_7\,
S(3) => \c[16]_i_2_n_0\,
S(2) => \c[16]_i_3_n_0\,
S(1) => \c[16]_i_4_n_0\,
S(0) => \c[16]_i_5_n_0\
);
\c_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_6\,
Q => c_reg(17),
R => clear
);
\c_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_5\,
Q => c_reg(18),
R => clear
);
\c_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_4\,
Q => c_reg(19),
R => clear
);
\c_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_6\,
Q => c_reg(1),
R => clear
);
\c_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_7\,
Q => c_reg(20),
R => clear
);
\c_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[16]_i_1_n_0\,
CO(3) => \NLW_c_reg[20]_i_1_CO_UNCONNECTED\(3),
CO(2) => \c_reg[20]_i_1_n_1\,
CO(1) => \c_reg[20]_i_1_n_2\,
CO(0) => \c_reg[20]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[20]_i_1_n_4\,
O(2) => \c_reg[20]_i_1_n_5\,
O(1) => \c_reg[20]_i_1_n_6\,
O(0) => \c_reg[20]_i_1_n_7\,
S(3) => \c[20]_i_2_n_0\,
S(2) => \c[20]_i_3_n_0\,
S(1) => \c[20]_i_4_n_0\,
S(0) => \c[20]_i_5_n_0\
);
\c_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_6\,
Q => c_reg(21),
R => clear
);
\c_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_5\,
Q => c_reg(22),
R => clear
);
\c_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_4\,
Q => c_reg(23),
R => clear
);
\c_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_5\,
Q => c_reg(2),
R => clear
);
\c_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_4\,
Q => c_reg(3),
R => clear
);
\c_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_7\,
Q => c_reg(4),
R => clear
);
\c_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[0]_i_2_n_0\,
CO(3) => \c_reg[4]_i_1_n_0\,
CO(2) => \c_reg[4]_i_1_n_1\,
CO(1) => \c_reg[4]_i_1_n_2\,
CO(0) => \c_reg[4]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[4]_i_1_n_4\,
O(2) => \c_reg[4]_i_1_n_5\,
O(1) => \c_reg[4]_i_1_n_6\,
O(0) => \c_reg[4]_i_1_n_7\,
S(3) => \c[4]_i_2_n_0\,
S(2) => \c[4]_i_3_n_0\,
S(1) => \c[4]_i_4_n_0\,
S(0) => \c[4]_i_5_n_0\
);
\c_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_6\,
Q => c_reg(5),
R => clear
);
\c_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_5\,
Q => c_reg(6),
R => clear
);
\c_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_4\,
Q => c_reg(7),
R => clear
);
\c_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_7\,
Q => c_reg(8),
R => clear
);
\c_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[4]_i_1_n_0\,
CO(3) => \c_reg[8]_i_1_n_0\,
CO(2) => \c_reg[8]_i_1_n_1\,
CO(1) => \c_reg[8]_i_1_n_2\,
CO(0) => \c_reg[8]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[8]_i_1_n_4\,
O(2) => \c_reg[8]_i_1_n_5\,
O(1) => \c_reg[8]_i_1_n_6\,
O(0) => \c_reg[8]_i_1_n_7\,
S(3) => \c[8]_i_2_n_0\,
S(2) => \c[8]_i_3_n_0\,
S(1) => \c[8]_i_4_n_0\,
S(0) => \c[8]_i_5_n_0\
);
\c_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_6\,
Q => c_reg(9),
R => clear
);
signal_out_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => signal_out_i_2_n_0,
I1 => signal_out_i_3_n_0,
I2 => signal_out_i_4_n_0,
I3 => c_reg(0),
I4 => signal_out_i_5_n_0,
O => signal_out_i_1_n_0
);
signal_out_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(3),
I1 => c_reg(4),
I2 => c_reg(1),
I3 => c_reg(2),
I4 => c_reg(6),
I5 => c_reg(5),
O => signal_out_i_2_n_0
);
signal_out_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(21),
I1 => c_reg(22),
I2 => c_reg(19),
I3 => c_reg(20),
I4 => signal_in,
I5 => c_reg(23),
O => signal_out_i_3_n_0
);
signal_out_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(15),
I1 => c_reg(16),
I2 => c_reg(13),
I3 => c_reg(14),
I4 => c_reg(18),
I5 => c_reg(17),
O => signal_out_i_4_n_0
);
signal_out_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(9),
I1 => c_reg(10),
I2 => c_reg(7),
I3 => c_reg(8),
I4 => c_reg(12),
I5 => c_reg(11),
O => signal_out_i_5_n_0
);
signal_out_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => signal_out_i_1_n_0,
Q => signal_out,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_debounce_0_0 is
port (
clk : in STD_LOGIC;
signal_in : in STD_LOGIC;
signal_out : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_debounce_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_debounce_0_0 : entity is "system_debounce_0_0,debounce,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_debounce_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_debounce_0_0 : entity is "debounce,Vivado 2016.4";
end system_debounce_0_0;
architecture STRUCTURE of system_debounce_0_0 is
begin
U0: entity work.system_debounce_0_0_debounce
port map (
clk => clk,
signal_in => signal_in,
signal_out => signal_out
);
end STRUCTURE;
| mit | 35b30ece5edb6335e867213923fab62a | 0.461428 | 2.369685 | false | false | false | false |
pgavin/carpe | hdl/mem/cache/replace/cache_replace_lru-rtl.vhdl | 1 | 6,132 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
-- LRU Cache Replacement Algorithm
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library util;
use util.numeric_pkg.all;
use util.logic_pkg.all;
library tech;
architecture rtl of cache_replace_lru is
constant assoc : natural := 2**log2_assoc;
constant state_bits : natural := (assoc-1)*log2_assoc;
type way_vector_type is array (natural range <>) of std_ulogic_vector(assoc-1 downto 0);
type statein_mru_way_tmp_type is array (assoc-1 downto 0) of std_ulogic_vector(assoc-2 downto 0);
type stateout_dec_sel_type is array (assoc-1 downto 0) of std_ulogic_vector(1 downto 0);
type comb_type is record
statein : std_ulogic_vector((assoc-1)*log2_assoc-1 downto 0);
wayin : std_ulogic_vector(assoc-1 downto 0);
statein_dec : way_vector_type(assoc-1 downto 0);
statein_mru_way_tmp : statein_mru_way_tmp_type;
statein_mru_way_unpri : std_ulogic_vector(assoc-1 downto 0);
statein_mru_way : std_ulogic_vector(assoc-1 downto 0);
stateout : std_ulogic_vector((assoc-1)*log2_assoc-1 downto 0);
stateout_dec_sel : std_ulogic_vector(assoc-2 downto 0);
stateout_dec : way_vector_type(assoc-2 downto 0);
sram_we : std_ulogic;
sram_waddr : std_ulogic_vector(index_bits-1 downto 0);
sram_wdata : std_ulogic_vector(state_bits-1 downto 0);
sram_re : std_ulogic;
sram_raddr : std_ulogic_vector(index_bits-1 downto 0);
sram_rdata : std_ulogic_vector(state_bits-1 downto 0);
end record;
signal c : comb_type;
begin
-- state is a list of queue orders
-- least significant bits -> least recently used
-- most significant bits -> most recently used
-- bits indicating MRU are not stored
-- bits are in (assoc-1) groups of size log2_assoc
-- so statein(log2_assoc-1 downto 0) is the encoded LRU way
-- stateout is statein after wayin has become the MRU way
-- stateout is generated by removing the new MRU way and shifting down less recently used ways in the decoded vector
c.statein <= wstate;
c.wayin <= wway;
statein_dec_loop : for n in assoc-2 downto 0 generate
statein_dec_decoder : entity tech.decoder(rtl)
generic map (
output_bits => assoc
)
port map (
datain => c.statein(log2_assoc*(n+1)-1 downto log2_assoc*n),
dataout => c.statein_dec(n)
);
end generate;
-- calculate the MRU way from the stored state.
-- this is just the way that isn't located at any position in the list of ways.
statein_mru_way_loop : for n in assoc-1 downto 0 generate
tmp_loop : for m in assoc-2 downto 0 generate
c.statein_mru_way_tmp(n)(m) <= c.statein_dec(m)(n);
end generate;
c.statein_mru_way(n) <= not reduce_or(c.statein_mru_way_tmp(n));
end generate;
-- if the state is uninitialized, there might be multiple ways not present in the list.
-- the prioritizer chooses the lowest of those ways as the MRU.
c.statein_dec(assoc-1) <= prioritize_least(c.statein_mru_way);
c.stateout_dec_sel(0) <= reduce_or(c.wayin and c.statein_dec(0));
stateout_dec_sel_loop : for n in assoc-2 downto 1 generate
c.stateout_dec_sel(n) <= reduce_or(c.wayin and c.statein_dec(n)) or c.stateout_dec_sel(n-1);
end generate;
with c.stateout_dec_sel(0) select
c.stateout_dec(0) <= c.statein_dec(0) when '0',
c.statein_dec(1) when '1',
(others => 'X') when others;
stateout_dec_loop : for n in assoc-2 downto 1 generate
with c.stateout_dec_sel(n) select
c.stateout_dec(n) <= c.statein_dec(n) when '0',
c.statein_dec(n+1) when '1',
(others => 'X') when others;
end generate;
stateout_loop : for n in assoc-2 downto 0 generate
stateout_encoder : entity tech.encoder(rtl)
generic map (
input_bits => assoc
)
port map (
datain => c.stateout_dec(n),
dataout => c.stateout(log2_assoc*(n+1)-1 downto log2_assoc*n)
);
end generate;
c.sram_we <= we;
c.sram_waddr <= windex;
c.sram_wdata <= c.stateout;
c.sram_re <= re;
c.sram_raddr <= rindex;
rstate <= c.sram_rdata;
-- if the stored state hasn't yet been initialized, the encoded LRU way might me larger than the total number of ways.
-- if that's the case, then return way 0 as the LRU way.
rway_decoder : entity tech.decoder(rtl)
generic map (
output_bits => 2**log2_assoc
)
port map (
datain => c.sram_rdata(log2_assoc-1 downto 0),
dataout => rway
);
sram : entity tech.syncram_1r1w(rtl)
generic map (
addr_bits => index_bits,
data_bits => state_bits,
write_first => true
)
port map (
clk => clk,
we => c.sram_we,
waddr => c.sram_waddr,
wdata => c.sram_wdata,
re => c.sram_re,
raddr => c.sram_raddr,
rdata => c.sram_rdata
);
end;
| apache-2.0 | 29c99a8f2bac0037d2c15f979bab1346 | 0.60225 | 3.573427 | false | false | false | false |
smithe0/GestureControlInterface | DE2Component_FLASH/niosII_system/synthesis/niosii_system_width_adapter.vhd | 1 | 10,477 | -- niosii_system_width_adapter.vhd
-- Generated using ACDS version 13.0sp1 232 at 2016.04.06.21:13:30
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity niosii_system_width_adapter is
generic (
IN_PKT_ADDR_H : integer := 60;
IN_PKT_ADDR_L : integer := 36;
IN_PKT_DATA_H : integer := 31;
IN_PKT_DATA_L : integer := 0;
IN_PKT_BYTEEN_H : integer := 35;
IN_PKT_BYTEEN_L : integer := 32;
IN_PKT_BYTE_CNT_H : integer := 69;
IN_PKT_BYTE_CNT_L : integer := 67;
IN_PKT_TRANS_COMPRESSED_READ : integer := 61;
IN_PKT_BURSTWRAP_H : integer := 72;
IN_PKT_BURSTWRAP_L : integer := 70;
IN_PKT_BURST_SIZE_H : integer := 75;
IN_PKT_BURST_SIZE_L : integer := 73;
IN_PKT_RESPONSE_STATUS_H : integer := 99;
IN_PKT_RESPONSE_STATUS_L : integer := 98;
IN_PKT_TRANS_EXCLUSIVE : integer := 66;
IN_PKT_BURST_TYPE_H : integer := 77;
IN_PKT_BURST_TYPE_L : integer := 76;
IN_ST_DATA_W : integer := 100;
OUT_PKT_ADDR_H : integer := 42;
OUT_PKT_ADDR_L : integer := 18;
OUT_PKT_DATA_H : integer := 15;
OUT_PKT_DATA_L : integer := 0;
OUT_PKT_BYTEEN_H : integer := 17;
OUT_PKT_BYTEEN_L : integer := 16;
OUT_PKT_BYTE_CNT_H : integer := 51;
OUT_PKT_BYTE_CNT_L : integer := 49;
OUT_PKT_TRANS_COMPRESSED_READ : integer := 43;
OUT_PKT_BURST_SIZE_H : integer := 57;
OUT_PKT_BURST_SIZE_L : integer := 55;
OUT_PKT_RESPONSE_STATUS_H : integer := 81;
OUT_PKT_RESPONSE_STATUS_L : integer := 80;
OUT_PKT_TRANS_EXCLUSIVE : integer := 48;
OUT_PKT_BURST_TYPE_H : integer := 59;
OUT_PKT_BURST_TYPE_L : integer := 58;
OUT_ST_DATA_W : integer := 82;
ST_CHANNEL_W : integer := 13;
OPTIMIZE_FOR_RSP : integer := 0;
RESPONSE_PATH : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- clk_reset.reset
in_valid : in std_logic := '0'; -- sink.valid
in_channel : in std_logic_vector(12 downto 0) := (others => '0'); -- .channel
in_startofpacket : in std_logic := '0'; -- .startofpacket
in_endofpacket : in std_logic := '0'; -- .endofpacket
in_ready : out std_logic; -- .ready
in_data : in std_logic_vector(99 downto 0) := (others => '0'); -- .data
out_endofpacket : out std_logic; -- src.endofpacket
out_data : out std_logic_vector(81 downto 0); -- .data
out_channel : out std_logic_vector(12 downto 0); -- .channel
out_valid : out std_logic; -- .valid
out_ready : in std_logic := '0'; -- .ready
out_startofpacket : out std_logic; -- .startofpacket
in_command_size_data : in std_logic_vector(2 downto 0) := (others => '0')
);
end entity niosii_system_width_adapter;
architecture rtl of niosii_system_width_adapter is
component altera_merlin_width_adapter is
generic (
IN_PKT_ADDR_H : integer := 60;
IN_PKT_ADDR_L : integer := 36;
IN_PKT_DATA_H : integer := 31;
IN_PKT_DATA_L : integer := 0;
IN_PKT_BYTEEN_H : integer := 35;
IN_PKT_BYTEEN_L : integer := 32;
IN_PKT_BYTE_CNT_H : integer := 63;
IN_PKT_BYTE_CNT_L : integer := 61;
IN_PKT_TRANS_COMPRESSED_READ : integer := 65;
IN_PKT_BURSTWRAP_H : integer := 67;
IN_PKT_BURSTWRAP_L : integer := 66;
IN_PKT_BURST_SIZE_H : integer := 70;
IN_PKT_BURST_SIZE_L : integer := 68;
IN_PKT_RESPONSE_STATUS_H : integer := 72;
IN_PKT_RESPONSE_STATUS_L : integer := 71;
IN_PKT_TRANS_EXCLUSIVE : integer := 73;
IN_PKT_BURST_TYPE_H : integer := 75;
IN_PKT_BURST_TYPE_L : integer := 74;
IN_ST_DATA_W : integer := 76;
OUT_PKT_ADDR_H : integer := 60;
OUT_PKT_ADDR_L : integer := 36;
OUT_PKT_DATA_H : integer := 31;
OUT_PKT_DATA_L : integer := 0;
OUT_PKT_BYTEEN_H : integer := 35;
OUT_PKT_BYTEEN_L : integer := 32;
OUT_PKT_BYTE_CNT_H : integer := 63;
OUT_PKT_BYTE_CNT_L : integer := 61;
OUT_PKT_TRANS_COMPRESSED_READ : integer := 65;
OUT_PKT_BURST_SIZE_H : integer := 68;
OUT_PKT_BURST_SIZE_L : integer := 66;
OUT_PKT_RESPONSE_STATUS_H : integer := 70;
OUT_PKT_RESPONSE_STATUS_L : integer := 69;
OUT_PKT_TRANS_EXCLUSIVE : integer := 71;
OUT_PKT_BURST_TYPE_H : integer := 73;
OUT_PKT_BURST_TYPE_L : integer := 72;
OUT_ST_DATA_W : integer := 74;
ST_CHANNEL_W : integer := 32;
OPTIMIZE_FOR_RSP : integer := 0;
RESPONSE_PATH : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
in_valid : in std_logic := 'X'; -- valid
in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel
in_startofpacket : in std_logic := 'X'; -- startofpacket
in_endofpacket : in std_logic := 'X'; -- endofpacket
in_ready : out std_logic; -- ready
in_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data
out_endofpacket : out std_logic; -- endofpacket
out_data : out std_logic_vector(81 downto 0); -- data
out_channel : out std_logic_vector(12 downto 0); -- channel
out_valid : out std_logic; -- valid
out_ready : in std_logic := 'X'; -- ready
out_startofpacket : out std_logic; -- startofpacket
in_command_size_data : in std_logic_vector(2 downto 0) := (others => 'X') -- data
);
end component altera_merlin_width_adapter;
begin
width_adapter : component altera_merlin_width_adapter
generic map (
IN_PKT_ADDR_H => IN_PKT_ADDR_H,
IN_PKT_ADDR_L => IN_PKT_ADDR_L,
IN_PKT_DATA_H => IN_PKT_DATA_H,
IN_PKT_DATA_L => IN_PKT_DATA_L,
IN_PKT_BYTEEN_H => IN_PKT_BYTEEN_H,
IN_PKT_BYTEEN_L => IN_PKT_BYTEEN_L,
IN_PKT_BYTE_CNT_H => IN_PKT_BYTE_CNT_H,
IN_PKT_BYTE_CNT_L => IN_PKT_BYTE_CNT_L,
IN_PKT_TRANS_COMPRESSED_READ => IN_PKT_TRANS_COMPRESSED_READ,
IN_PKT_BURSTWRAP_H => IN_PKT_BURSTWRAP_H,
IN_PKT_BURSTWRAP_L => IN_PKT_BURSTWRAP_L,
IN_PKT_BURST_SIZE_H => IN_PKT_BURST_SIZE_H,
IN_PKT_BURST_SIZE_L => IN_PKT_BURST_SIZE_L,
IN_PKT_RESPONSE_STATUS_H => IN_PKT_RESPONSE_STATUS_H,
IN_PKT_RESPONSE_STATUS_L => IN_PKT_RESPONSE_STATUS_L,
IN_PKT_TRANS_EXCLUSIVE => IN_PKT_TRANS_EXCLUSIVE,
IN_PKT_BURST_TYPE_H => IN_PKT_BURST_TYPE_H,
IN_PKT_BURST_TYPE_L => IN_PKT_BURST_TYPE_L,
IN_ST_DATA_W => IN_ST_DATA_W,
OUT_PKT_ADDR_H => OUT_PKT_ADDR_H,
OUT_PKT_ADDR_L => OUT_PKT_ADDR_L,
OUT_PKT_DATA_H => OUT_PKT_DATA_H,
OUT_PKT_DATA_L => OUT_PKT_DATA_L,
OUT_PKT_BYTEEN_H => OUT_PKT_BYTEEN_H,
OUT_PKT_BYTEEN_L => OUT_PKT_BYTEEN_L,
OUT_PKT_BYTE_CNT_H => OUT_PKT_BYTE_CNT_H,
OUT_PKT_BYTE_CNT_L => OUT_PKT_BYTE_CNT_L,
OUT_PKT_TRANS_COMPRESSED_READ => OUT_PKT_TRANS_COMPRESSED_READ,
OUT_PKT_BURST_SIZE_H => OUT_PKT_BURST_SIZE_H,
OUT_PKT_BURST_SIZE_L => OUT_PKT_BURST_SIZE_L,
OUT_PKT_RESPONSE_STATUS_H => OUT_PKT_RESPONSE_STATUS_H,
OUT_PKT_RESPONSE_STATUS_L => OUT_PKT_RESPONSE_STATUS_L,
OUT_PKT_TRANS_EXCLUSIVE => OUT_PKT_TRANS_EXCLUSIVE,
OUT_PKT_BURST_TYPE_H => OUT_PKT_BURST_TYPE_H,
OUT_PKT_BURST_TYPE_L => OUT_PKT_BURST_TYPE_L,
OUT_ST_DATA_W => OUT_ST_DATA_W,
ST_CHANNEL_W => ST_CHANNEL_W,
OPTIMIZE_FOR_RSP => OPTIMIZE_FOR_RSP,
RESPONSE_PATH => RESPONSE_PATH
)
port map (
clk => clk, -- clk.clk
reset => reset, -- clk_reset.reset
in_valid => in_valid, -- sink.valid
in_channel => in_channel, -- .channel
in_startofpacket => in_startofpacket, -- .startofpacket
in_endofpacket => in_endofpacket, -- .endofpacket
in_ready => in_ready, -- .ready
in_data => in_data, -- .data
out_endofpacket => out_endofpacket, -- src.endofpacket
out_data => out_data, -- .data
out_channel => out_channel, -- .channel
out_valid => out_valid, -- .valid
out_ready => out_ready, -- .ready
out_startofpacket => out_startofpacket, -- .startofpacket
in_command_size_data => "000" -- (terminated)
);
end architecture rtl; -- of niosii_system_width_adapter
| apache-2.0 | ff45df493cdd10fc1d0736b512875e30 | 0.460914 | 3.378588 | false | false | false | false |
loa-org/loa-hdl | modules/motor_control/hdl/bldc_motor_module.vhd | 2 | 3,955 | -------------------------------------------------------------------------------
-- Title : Motor control
-- Project : Loa
-------------------------------------------------------------------------------
-- Author : Fabian Greif <[email protected]>
-- Company : Roboterclub Aachen e.V.
-- Platform : Spartan 3-400
-------------------------------------------------------------------------------
-- Description:
--
-- Generates a symmetric (center-aligned) PWM with deadtime
--
-- Memory Map:
-- Base Address + 0 | W | PWM value
-- Base Address + 0 | R | unused
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.bus_pkg.all;
use work.utils_pkg.all;
use work.motor_control_pkg.all;
use work.symmetric_pwm_deadtime_pkg.all;
use work.commutation_pkg.all;
entity bldc_motor_module is
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF#;
-- Number of bits for the PWM generation (e.g. 12 => 0..4095)
WIDTH : positive := 12;
PRESCALER : positive
);
port (
driver_stage_p : out bldc_driver_stage_type;
hall_p : in hall_sensor_type;
-- Disable switching
break_p : in std_logic;
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
clk : in std_logic
);
end bldc_motor_module;
-------------------------------------------------------------------------------
architecture behavioral of bldc_motor_module is
type bldc_motor_module_type is record
data_out : std_logic_vector(15 downto 0); -- currently not used
pwm_value : std_logic_vector(WIDTH - 1 downto 0); -- PWM value
sd : std_logic; -- Shutdown
dir : std_logic;
end record;
signal clk_en : std_logic := '1';
signal center : std_logic; -- currently not used
signal pwm : half_bridge_type;
signal r, rin : bldc_motor_module_type := (
data_out => (others => '0'),
pwm_value => (others => '0'),
sd => '1',
dir => '0'
);
begin
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
comb_proc : process(bus_i.addr, bus_i.data(15),
bus_i.data(WIDTH - 1 downto 0), bus_i.re, bus_i.we, r)
variable v : bldc_motor_module_type;
begin
v := r;
-- Set default values
v.data_out := (others => '0');
-- Check Bus Address
if bus_i.addr = std_logic_vector(to_unsigned(BASE_ADDRESS, 15)) then
if bus_i.we = '1' then
v.pwm_value := bus_i.data(WIDTH - 1 downto 0);
v.sd := bus_i.data(15);
v.dir := bus_i.data(14);
elsif bus_i.re = '1' then
-- v.data_out := r.counter;
end if;
end if;
rin <= v;
end process comb_proc;
bus_o.data <= r.data_out;
-- Generate clock for the PWM generator
divider : clock_divider
generic map (
DIV => PRESCALER)
port map (
clk_out_p => clk_en,
clk => clk);
pwm_generator : symmetric_pwm_deadtime
generic map (
WIDTH => WIDTH,
-- Deadtime settings:
-- 50 MHz clock => 20ns per cycle
-- T_DEAD = 20 * 20ns = 400ns
T_DEAD => 20)
port map (
pwm_p => pwm,
center_p => center,
clk_en_p => clk_en,
value_p => r.pwm_value,
break_p => break_p,
reset => '0',
clk => clk);
commutation_1 : commutation
port map (
driver_stage_p => driver_stage_p,
hall_p => hall_p,
pwm_p => pwm,
dir_p => r.dir,
sd_p => r.sd,
clk => clk);
end behavioral;
| bsd-3-clause | 490048621c434f10064ff0a8b6992520 | 0.468774 | 3.781071 | false | false | false | false |
pgavin/carpe | hdl/sim/uart/uart-behav.vhdl | 1 | 5,550 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- DEBUG
--use std.textio.all;
--library util;
--use util.io_pkg.all;
-- END DEBUG
library util;
use util.numeric_pkg.all;
use util.types_pkg.all;
use work.uart_pkg.all;
architecture behav of uart is
type uartfile is file of character;
file ifile : uartfile;
file ofile : uartfile;
type register_type is record
dataout : std_ulogic_vector2(data_bytes-1 downto 0, byte_bits-1 downto 0);
end record;
signal r : register_type;
begin
dataout <= r.dataout;
seq : process (clk) is
variable v_byte_address : std_ulogic_vector(uart_rsel_bits-1 downto 0);
variable v_status : file_open_status;
variable v_r_next : register_type;
variable v_char : character;
-- DEBUG
--variable tmpline : line;
-- END DEBUG
begin
if rising_edge(clk) then
if rstn = '0' then
file_close (ifile);
file_close (ofile);
file_open (v_status, ifile, ifilename, read_mode);
if (v_status /= open_ok) then
report "could not open UART input file " & ifilename severity error;
end if;
file_open (v_status, ofile, ofilename, write_mode);
if (v_status /= open_ok) then
report "could not open UART output file " & ofilename severity error;
end if;
r <= (
dataout => (others => (others => 'X'))
);
else
v_r_next := r;
if enable = '1' then
if wenable = '1' then
v_r_next.dataout := (others => (others => 'X'));
for n in 0 to data_bytes-1 loop
v_byte_address := address & std_ulogic_vector(to_unsigned(n, log2(data_bytes)));
if wmask(n) = '1' then
case v_byte_address is
when uart_rsel_tx => -- uart_rsel_dll
v_char := character'val(to_integer(unsigned(std_ulogic_vector2_row(datain, n))));
write(ofile, v_char);
when uart_rsel_dlm => -- uart_rsel_ier
when uart_rsel_fcr => -- uart_rsel_efr
when uart_rsel_lcr =>
when uart_rsel_mcr =>
when uart_rsel_scr =>
when others =>
end case;
end if;
end loop;
else
-- DEBUG
--write(tmpline, string'("reading address "));
--write(tmpline, address);
--write(tmpline, string'(" wmask "));
--write(tmpline, wmask);
--report tmpline.all;
--deallocate(tmpline);
-- END DEBUG
for n in 0 to data_bytes-1 loop
v_byte_address := address & std_ulogic_vector(to_unsigned(n, log2(data_bytes)));
-- DEBUG
--write(tmpline, string'("checking byte address "));
--write(tmpline, v_byte_address);
--report tmpline.all;
--deallocate(tmpline);
-- END DEBUG
if wmask(n) = '1' then
set_std_ulogic_vector2_row(v_r_next.dataout, n, (byte_bits-1 downto 0 => 'X'));
case v_byte_address is
when uart_rsel_rx =>
when uart_rsel_iir => -- uart_rsel_efr
when uart_rsel_lsr =>
set_std_ulogic_vector2_row(v_r_next.dataout, n, uart_lsr_temt or uart_lsr_thre);
-- DEBUG
--write(tmpline, string'("outputting "));
--write(tmpline, std_ulogic_vector2_row(v_r_next.dataout, n));
--report tmpline.all;
--deallocate(tmpline);
-- END DEBUG
when uart_rsel_msr =>
when uart_rsel_scr =>
when others =>
end case;
else
set_std_ulogic_vector2_row(v_r_next.dataout, n, (byte_bits-1 downto 0 => 'X'));
end if;
end loop;
end if;
end if;
r <= v_r_next;
end if;
end if;
end process;
end;
| apache-2.0 | bca43a7464a09ecd37209ea0ecf36607 | 0.478018 | 4.335938 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_hessian_0_0/system_vga_hessian_0_0_sim_netlist.vhdl | 1 | 760,068 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Jun 05 10:58:36 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_hessian_0_0/system_vga_hessian_0_0_sim_netlist.vhdl
-- Design : system_vga_hessian_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_bindec is
port (
ena_array : out STD_LOGIC_VECTOR ( 2 downto 0 );
ena : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_bindec : entity is "bindec";
end system_vga_hessian_0_0_bindec;
architecture STRUCTURE of system_vga_hessian_0_0_bindec is
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => ena,
I1 => addra(0),
I2 => addra(1),
O => ena_array(0)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => addra(1),
I1 => addra(0),
I2 => ena,
O => ena_array(1)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => addra(0),
I1 => ena,
I2 => addra(1),
O => ena_array(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_bindec_0 is
port (
enb_array : out STD_LOGIC_VECTOR ( 2 downto 0 );
enb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_bindec_0 : entity is "bindec";
end system_vga_hessian_0_0_bindec_0;
architecture STRUCTURE of system_vga_hessian_0_0_bindec_0 is
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => enb,
I1 => addrb(0),
I2 => addrb(1),
O => enb_array(0)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => addrb(1),
I1 => addrb(0),
I2 => enb,
O => enb_array(1)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => addrb(0),
I1 => enb,
I2 => addrb(1),
O => enb_array(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_mux is
port (
douta : out STD_LOGIC_VECTOR ( 8 downto 0 );
ena : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
DOADO : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
DOPADOP : in STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_mux : entity is "blk_mem_gen_mux";
end system_vga_hessian_0_0_blk_mem_gen_mux;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_mux is
signal sel_pipe : STD_LOGIC_VECTOR ( 1 downto 0 );
signal sel_pipe_d1 : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
\douta[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(3),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(3),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(3),
I5 => sel_pipe_d1(0),
O => douta(3)
);
\douta[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(4),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(4),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(4),
I5 => sel_pipe_d1(0),
O => douta(4)
);
\douta[12]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(5),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(5),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(5),
I5 => sel_pipe_d1(0),
O => douta(5)
);
\douta[13]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(6),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(6),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(6),
I5 => sel_pipe_d1(0),
O => douta(6)
);
\douta[14]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(7),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(7),
I5 => sel_pipe_d1(0),
O => douta(7)
);
\douta[15]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOPADOP(0),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2\(0),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3\(0),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4\(0),
I5 => sel_pipe_d1(0),
O => douta(8)
);
\douta[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(0),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(0),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(0),
I5 => sel_pipe_d1(0),
O => douta(0)
);
\douta[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(1),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(1),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(1),
I5 => sel_pipe_d1(0),
O => douta(1)
);
\douta[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOADO(2),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(2),
I3 => sel_pipe_d1(1),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(2),
I5 => sel_pipe_d1(0),
O => douta(2)
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => ena,
D => sel_pipe(0),
Q => sel_pipe_d1(0),
R => '0'
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => ena,
D => sel_pipe(1),
Q => sel_pipe_d1(1),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => ena,
D => addra(0),
Q => sel_pipe(0),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => ena,
D => addra(1),
Q => sel_pipe(1),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_mux__parameterized0\ is
port (
doutb : out STD_LOGIC_VECTOR ( 8 downto 0 );
enb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 1 downto 0 );
clkb : in STD_LOGIC;
DOBDO : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
DOPBDOP : in STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_mux__parameterized0\ : entity is "blk_mem_gen_mux";
end \system_vga_hessian_0_0_blk_mem_gen_mux__parameterized0\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_mux__parameterized0\ is
signal \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\ : STD_LOGIC;
signal \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\ : STD_LOGIC;
signal \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0]\ : STD_LOGIC;
signal \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1]\ : STD_LOGIC;
begin
\doutb[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(3),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(3),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(3),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(3)
);
\doutb[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(4),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(4),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(4),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(4)
);
\doutb[12]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(5),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(5),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(5),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(5)
);
\doutb[13]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(6),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(6),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(6),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(6)
);
\doutb[14]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(7),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(7),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(7)
);
\doutb[15]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOPBDOP(0),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2\(0),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3\(0),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4\(0),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(8)
);
\doutb[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(0),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(0),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(0),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(0)
);
\doutb[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(1),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(1),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(1),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(1)
);
\doutb[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => DOBDO(2),
I1 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2),
I2 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(2),
I3 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(2),
I5 => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
O => doutb(2)
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => enb,
D => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0]\,
Q => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0]\,
R => '0'
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => enb,
D => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1]\,
Q => \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1]\,
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => enb,
D => addrb(0),
Q => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0]\,
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => enb,
D => addrb(1),
Q => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_prim_wrapper is
port (
douta : out STD_LOGIC_VECTOR ( 0 to 0 );
doutb : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 0 to 0 );
dinb : in STD_LOGIC_VECTOR ( 0 to 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end system_vga_hessian_0_0_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_prim_wrapper is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 1,
READ_WIDTH_B => 1,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 1,
WRITE_WIDTH_B => 1
)
port map (
ADDRARDADDR(13 downto 0) => addra(13 downto 0),
ADDRBWRADDR(13 downto 0) => addrb(13 downto 0),
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DIADI(15 downto 1) => B"000000000000000",
DIADI(0) => dina(0),
DIBDI(15 downto 1) => B"000000000000000",
DIBDI(0) => dinb(0),
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"00",
DOADO(15 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 1),
DOADO(0) => douta(0),
DOBDO(15 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 1),
DOBDO(0) => doutb(0),
DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0),
DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0),
ENARDEN => ena,
ENBWREN => enb,
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(3 downto 2) => B"00",
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized0\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized0\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized0\ is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized1\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized1\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized1\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized1\ is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized2\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized2\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized2\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized2\ is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized3\ is
port (
\bottom_left_0_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\top_right_1_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\bottom_left_0_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\top_right_1_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena_array : in STD_LOGIC_VECTOR ( 0 to 0 );
enb_array : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized3\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized3\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized3\ is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 8) => B"000000000000000000000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(3 downto 1) => B"000",
DIPADIP(0) => dina(8),
DIPBDIP(3 downto 1) => B"000",
DIPBDIP(0) => dinb(8),
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => \bottom_left_0_reg[14]\(7 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \top_right_1_reg[14]\(7 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \bottom_left_0_reg[15]\(0),
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => \top_right_1_reg[15]\(0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena_array(0),
ENBWREN => enb_array(0),
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized4\ is
port (
\bottom_left_0_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\top_right_1_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\bottom_left_0_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\top_right_1_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena_array : in STD_LOGIC_VECTOR ( 0 to 0 );
enb_array : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized4\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized4\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized4\ is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 8) => B"000000000000000000000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(3 downto 1) => B"000",
DIPADIP(0) => dina(8),
DIPBDIP(3 downto 1) => B"000",
DIPBDIP(0) => dinb(8),
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => \bottom_left_0_reg[14]\(7 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \top_right_1_reg[14]\(7 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \bottom_left_0_reg[15]\(0),
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => \top_right_1_reg[15]\(0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena_array(0),
ENBWREN => enb_array(0),
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized5\ is
port (
\bottom_left_0_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\top_right_1_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\bottom_left_0_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\top_right_1_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena_array : in STD_LOGIC_VECTOR ( 0 to 0 );
enb_array : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized5\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized5\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized5\ is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 8) => B"000000000000000000000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(3 downto 1) => B"000",
DIPADIP(0) => dina(8),
DIPBDIP(3 downto 1) => B"000",
DIPBDIP(0) => dinb(8),
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => \bottom_left_0_reg[14]\(7 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \top_right_1_reg[14]\(7 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \bottom_left_0_reg[15]\(0),
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => \top_right_1_reg[15]\(0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena_array(0),
ENBWREN => enb_array(0),
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized6\ is
port (
DOADO : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOBDO : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOPADOP : out STD_LOGIC_VECTOR ( 0 to 0 );
DOPBDOP : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized6\ : entity is "blk_mem_gen_prim_wrapper";
end \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized6\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized6\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 8) => B"000000000000000000000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(3 downto 1) => B"000",
DIPADIP(0) => dina(8),
DIPBDIP(3 downto 1) => B"000",
DIPBDIP(0) => dinb(8),
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => DOADO(7 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => DOBDO(7 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => DOPADOP(0),
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => DOPBDOP(0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0\,
ENBWREN => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0\,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => ena,
REGCEB => enb,
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => addra(13),
I1 => addra(12),
I2 => ena,
O => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0\
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => addrb(13),
I1 => addrb(12),
I2 => enb,
O => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_prim_width is
port (
douta : out STD_LOGIC_VECTOR ( 0 to 0 );
doutb : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 0 to 0 );
dinb : in STD_LOGIC_VECTOR ( 0 to 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end system_vga_hessian_0_0_blk_mem_gen_prim_width;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.system_vga_hessian_0_0_blk_mem_gen_prim_wrapper
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(0) => dina(0),
dinb(0) => dinb(0),
douta(0) => douta(0),
doutb(0) => doutb(0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized0\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized1\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized1\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized1\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized1\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized2\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized2\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized2\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized2\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized3\ is
port (
\bottom_left_0_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\top_right_1_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\bottom_left_0_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\top_right_1_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena_array : in STD_LOGIC_VECTOR ( 0 to 0 );
enb_array : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized3\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized3\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized3\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized3\
port map (
addra(11 downto 0) => addra(11 downto 0),
addrb(11 downto 0) => addrb(11 downto 0),
\bottom_left_0_reg[14]\(7 downto 0) => \bottom_left_0_reg[14]\(7 downto 0),
\bottom_left_0_reg[15]\(0) => \bottom_left_0_reg[15]\(0),
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(8 downto 0),
dinb(8 downto 0) => dinb(8 downto 0),
ena => ena,
ena_array(0) => ena_array(0),
enb => enb,
enb_array(0) => enb_array(0),
\top_right_1_reg[14]\(7 downto 0) => \top_right_1_reg[14]\(7 downto 0),
\top_right_1_reg[15]\(0) => \top_right_1_reg[15]\(0),
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized4\ is
port (
\bottom_left_0_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\top_right_1_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\bottom_left_0_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\top_right_1_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena_array : in STD_LOGIC_VECTOR ( 0 to 0 );
enb_array : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized4\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized4\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized4\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized4\
port map (
addra(11 downto 0) => addra(11 downto 0),
addrb(11 downto 0) => addrb(11 downto 0),
\bottom_left_0_reg[14]\(7 downto 0) => \bottom_left_0_reg[14]\(7 downto 0),
\bottom_left_0_reg[15]\(0) => \bottom_left_0_reg[15]\(0),
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(8 downto 0),
dinb(8 downto 0) => dinb(8 downto 0),
ena => ena,
ena_array(0) => ena_array(0),
enb => enb,
enb_array(0) => enb_array(0),
\top_right_1_reg[14]\(7 downto 0) => \top_right_1_reg[14]\(7 downto 0),
\top_right_1_reg[15]\(0) => \top_right_1_reg[15]\(0),
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized5\ is
port (
\bottom_left_0_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\top_right_1_reg[14]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\bottom_left_0_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\top_right_1_reg[15]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena_array : in STD_LOGIC_VECTOR ( 0 to 0 );
enb_array : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized5\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized5\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized5\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized5\
port map (
addra(11 downto 0) => addra(11 downto 0),
addrb(11 downto 0) => addrb(11 downto 0),
\bottom_left_0_reg[14]\(7 downto 0) => \bottom_left_0_reg[14]\(7 downto 0),
\bottom_left_0_reg[15]\(0) => \bottom_left_0_reg[15]\(0),
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(8 downto 0),
dinb(8 downto 0) => dinb(8 downto 0),
ena => ena,
ena_array(0) => ena_array(0),
enb => enb,
enb_array(0) => enb_array(0),
\top_right_1_reg[14]\(7 downto 0) => \top_right_1_reg[14]\(7 downto 0),
\top_right_1_reg[15]\(0) => \top_right_1_reg[15]\(0),
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized6\ is
port (
DOADO : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOBDO : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOPADOP : out STD_LOGIC_VECTOR ( 0 to 0 );
DOPBDOP : out STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 8 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 8 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized6\ : entity is "blk_mem_gen_prim_width";
end \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized6\;
architecture STRUCTURE of \system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized6\ is
begin
\prim_noinit.ram\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_wrapper__parameterized6\
port map (
DOADO(7 downto 0) => DOADO(7 downto 0),
DOBDO(7 downto 0) => DOBDO(7 downto 0),
DOPADOP(0) => DOPADOP(0),
DOPBDOP(0) => DOPBDOP(0),
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(8 downto 0),
dinb(8 downto 0) => dinb(8 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_generic_cstr is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
ena : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
enb : in STD_LOGIC;
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end system_vga_hessian_0_0_blk_mem_gen_generic_cstr;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_generic_cstr is
signal ena_array : STD_LOGIC_VECTOR ( 2 downto 0 );
signal enb_array : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \ramloop[4].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_10\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_11\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_12\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_13\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_14\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_15\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_16\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_17\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_8\ : STD_LOGIC;
signal \ramloop[4].ram.r_n_9\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_10\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_11\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_12\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_13\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_14\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_15\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_16\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_17\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_8\ : STD_LOGIC;
signal \ramloop[5].ram.r_n_9\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_10\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_11\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_12\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_13\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_14\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_15\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_16\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_17\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_8\ : STD_LOGIC;
signal \ramloop[6].ram.r_n_9\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_10\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_11\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_12\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_13\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_14\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_15\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_16\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_17\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_8\ : STD_LOGIC;
signal \ramloop[7].ram.r_n_9\ : STD_LOGIC;
begin
\bindec_a.bindec_inst_a\: entity work.system_vga_hessian_0_0_bindec
port map (
addra(1 downto 0) => addra(13 downto 12),
ena => ena,
ena_array(2 downto 0) => ena_array(2 downto 0)
);
\bindec_b.bindec_inst_b\: entity work.system_vga_hessian_0_0_bindec_0
port map (
addrb(1 downto 0) => addrb(13 downto 12),
enb => enb,
enb_array(2 downto 0) => enb_array(2 downto 0)
);
\has_mux_a.A\: entity work.system_vga_hessian_0_0_blk_mem_gen_mux
port map (
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7) => \ramloop[5].ram.r_n_0\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6) => \ramloop[5].ram.r_n_1\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5) => \ramloop[5].ram.r_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4) => \ramloop[5].ram.r_n_3\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3) => \ramloop[5].ram.r_n_4\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2) => \ramloop[5].ram.r_n_5\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1) => \ramloop[5].ram.r_n_6\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0) => \ramloop[5].ram.r_n_7\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7) => \ramloop[6].ram.r_n_0\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(6) => \ramloop[6].ram.r_n_1\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(5) => \ramloop[6].ram.r_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(4) => \ramloop[6].ram.r_n_3\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(3) => \ramloop[6].ram.r_n_4\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(2) => \ramloop[6].ram.r_n_5\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(1) => \ramloop[6].ram.r_n_6\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(0) => \ramloop[6].ram.r_n_7\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(7) => \ramloop[4].ram.r_n_0\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(6) => \ramloop[4].ram.r_n_1\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(5) => \ramloop[4].ram.r_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(4) => \ramloop[4].ram.r_n_3\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(3) => \ramloop[4].ram.r_n_4\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(2) => \ramloop[4].ram.r_n_5\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(1) => \ramloop[4].ram.r_n_6\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(0) => \ramloop[4].ram.r_n_7\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2\(0) => \ramloop[5].ram.r_n_16\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3\(0) => \ramloop[6].ram.r_n_16\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4\(0) => \ramloop[4].ram.r_n_16\,
DOADO(7) => \ramloop[7].ram.r_n_0\,
DOADO(6) => \ramloop[7].ram.r_n_1\,
DOADO(5) => \ramloop[7].ram.r_n_2\,
DOADO(4) => \ramloop[7].ram.r_n_3\,
DOADO(3) => \ramloop[7].ram.r_n_4\,
DOADO(2) => \ramloop[7].ram.r_n_5\,
DOADO(1) => \ramloop[7].ram.r_n_6\,
DOADO(0) => \ramloop[7].ram.r_n_7\,
DOPADOP(0) => \ramloop[7].ram.r_n_16\,
addra(1 downto 0) => addra(13 downto 12),
clka => clka,
douta(8 downto 0) => douta(15 downto 7),
ena => ena
);
\has_mux_b.B\: entity work.\system_vga_hessian_0_0_blk_mem_gen_mux__parameterized0\
port map (
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7) => \ramloop[5].ram.r_n_8\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6) => \ramloop[5].ram.r_n_9\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5) => \ramloop[5].ram.r_n_10\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4) => \ramloop[5].ram.r_n_11\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3) => \ramloop[5].ram.r_n_12\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2) => \ramloop[5].ram.r_n_13\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1) => \ramloop[5].ram.r_n_14\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0) => \ramloop[5].ram.r_n_15\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7) => \ramloop[6].ram.r_n_8\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(6) => \ramloop[6].ram.r_n_9\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(5) => \ramloop[6].ram.r_n_10\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(4) => \ramloop[6].ram.r_n_11\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(3) => \ramloop[6].ram.r_n_12\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(2) => \ramloop[6].ram.r_n_13\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(1) => \ramloop[6].ram.r_n_14\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(0) => \ramloop[6].ram.r_n_15\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(7) => \ramloop[4].ram.r_n_8\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(6) => \ramloop[4].ram.r_n_9\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(5) => \ramloop[4].ram.r_n_10\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(4) => \ramloop[4].ram.r_n_11\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(3) => \ramloop[4].ram.r_n_12\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(2) => \ramloop[4].ram.r_n_13\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(1) => \ramloop[4].ram.r_n_14\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1\(0) => \ramloop[4].ram.r_n_15\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2\(0) => \ramloop[5].ram.r_n_17\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3\(0) => \ramloop[6].ram.r_n_17\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4\(0) => \ramloop[4].ram.r_n_17\,
DOBDO(7) => \ramloop[7].ram.r_n_8\,
DOBDO(6) => \ramloop[7].ram.r_n_9\,
DOBDO(5) => \ramloop[7].ram.r_n_10\,
DOBDO(4) => \ramloop[7].ram.r_n_11\,
DOBDO(3) => \ramloop[7].ram.r_n_12\,
DOBDO(2) => \ramloop[7].ram.r_n_13\,
DOBDO(1) => \ramloop[7].ram.r_n_14\,
DOBDO(0) => \ramloop[7].ram.r_n_15\,
DOPBDOP(0) => \ramloop[7].ram.r_n_17\,
addrb(1 downto 0) => addrb(13 downto 12),
clkb => clkb,
doutb(8 downto 0) => doutb(15 downto 7),
enb => enb
);
\ramloop[0].ram.r\: entity work.system_vga_hessian_0_0_blk_mem_gen_prim_width
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(0) => dina(0),
dinb(0) => dinb(0),
douta(0) => douta(0),
doutb(0) => doutb(0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[1].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(2 downto 1),
dinb(1 downto 0) => dinb(2 downto 1),
douta(1 downto 0) => douta(2 downto 1),
doutb(1 downto 0) => doutb(2 downto 1),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[2].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized1\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(4 downto 3),
dinb(1 downto 0) => dinb(4 downto 3),
douta(1 downto 0) => douta(4 downto 3),
doutb(1 downto 0) => doutb(4 downto 3),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[3].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized2\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(6 downto 5),
dinb(1 downto 0) => dinb(6 downto 5),
douta(1 downto 0) => douta(6 downto 5),
doutb(1 downto 0) => doutb(6 downto 5),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[4].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized3\
port map (
addra(11 downto 0) => addra(11 downto 0),
addrb(11 downto 0) => addrb(11 downto 0),
\bottom_left_0_reg[14]\(7) => \ramloop[4].ram.r_n_0\,
\bottom_left_0_reg[14]\(6) => \ramloop[4].ram.r_n_1\,
\bottom_left_0_reg[14]\(5) => \ramloop[4].ram.r_n_2\,
\bottom_left_0_reg[14]\(4) => \ramloop[4].ram.r_n_3\,
\bottom_left_0_reg[14]\(3) => \ramloop[4].ram.r_n_4\,
\bottom_left_0_reg[14]\(2) => \ramloop[4].ram.r_n_5\,
\bottom_left_0_reg[14]\(1) => \ramloop[4].ram.r_n_6\,
\bottom_left_0_reg[14]\(0) => \ramloop[4].ram.r_n_7\,
\bottom_left_0_reg[15]\(0) => \ramloop[4].ram.r_n_16\,
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(15 downto 7),
dinb(8 downto 0) => dinb(15 downto 7),
ena => ena,
ena_array(0) => ena_array(0),
enb => enb,
enb_array(0) => enb_array(0),
\top_right_1_reg[14]\(7) => \ramloop[4].ram.r_n_8\,
\top_right_1_reg[14]\(6) => \ramloop[4].ram.r_n_9\,
\top_right_1_reg[14]\(5) => \ramloop[4].ram.r_n_10\,
\top_right_1_reg[14]\(4) => \ramloop[4].ram.r_n_11\,
\top_right_1_reg[14]\(3) => \ramloop[4].ram.r_n_12\,
\top_right_1_reg[14]\(2) => \ramloop[4].ram.r_n_13\,
\top_right_1_reg[14]\(1) => \ramloop[4].ram.r_n_14\,
\top_right_1_reg[14]\(0) => \ramloop[4].ram.r_n_15\,
\top_right_1_reg[15]\(0) => \ramloop[4].ram.r_n_17\,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[5].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized4\
port map (
addra(11 downto 0) => addra(11 downto 0),
addrb(11 downto 0) => addrb(11 downto 0),
\bottom_left_0_reg[14]\(7) => \ramloop[5].ram.r_n_0\,
\bottom_left_0_reg[14]\(6) => \ramloop[5].ram.r_n_1\,
\bottom_left_0_reg[14]\(5) => \ramloop[5].ram.r_n_2\,
\bottom_left_0_reg[14]\(4) => \ramloop[5].ram.r_n_3\,
\bottom_left_0_reg[14]\(3) => \ramloop[5].ram.r_n_4\,
\bottom_left_0_reg[14]\(2) => \ramloop[5].ram.r_n_5\,
\bottom_left_0_reg[14]\(1) => \ramloop[5].ram.r_n_6\,
\bottom_left_0_reg[14]\(0) => \ramloop[5].ram.r_n_7\,
\bottom_left_0_reg[15]\(0) => \ramloop[5].ram.r_n_16\,
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(15 downto 7),
dinb(8 downto 0) => dinb(15 downto 7),
ena => ena,
ena_array(0) => ena_array(1),
enb => enb,
enb_array(0) => enb_array(1),
\top_right_1_reg[14]\(7) => \ramloop[5].ram.r_n_8\,
\top_right_1_reg[14]\(6) => \ramloop[5].ram.r_n_9\,
\top_right_1_reg[14]\(5) => \ramloop[5].ram.r_n_10\,
\top_right_1_reg[14]\(4) => \ramloop[5].ram.r_n_11\,
\top_right_1_reg[14]\(3) => \ramloop[5].ram.r_n_12\,
\top_right_1_reg[14]\(2) => \ramloop[5].ram.r_n_13\,
\top_right_1_reg[14]\(1) => \ramloop[5].ram.r_n_14\,
\top_right_1_reg[14]\(0) => \ramloop[5].ram.r_n_15\,
\top_right_1_reg[15]\(0) => \ramloop[5].ram.r_n_17\,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[6].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized5\
port map (
addra(11 downto 0) => addra(11 downto 0),
addrb(11 downto 0) => addrb(11 downto 0),
\bottom_left_0_reg[14]\(7) => \ramloop[6].ram.r_n_0\,
\bottom_left_0_reg[14]\(6) => \ramloop[6].ram.r_n_1\,
\bottom_left_0_reg[14]\(5) => \ramloop[6].ram.r_n_2\,
\bottom_left_0_reg[14]\(4) => \ramloop[6].ram.r_n_3\,
\bottom_left_0_reg[14]\(3) => \ramloop[6].ram.r_n_4\,
\bottom_left_0_reg[14]\(2) => \ramloop[6].ram.r_n_5\,
\bottom_left_0_reg[14]\(1) => \ramloop[6].ram.r_n_6\,
\bottom_left_0_reg[14]\(0) => \ramloop[6].ram.r_n_7\,
\bottom_left_0_reg[15]\(0) => \ramloop[6].ram.r_n_16\,
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(15 downto 7),
dinb(8 downto 0) => dinb(15 downto 7),
ena => ena,
ena_array(0) => ena_array(2),
enb => enb,
enb_array(0) => enb_array(2),
\top_right_1_reg[14]\(7) => \ramloop[6].ram.r_n_8\,
\top_right_1_reg[14]\(6) => \ramloop[6].ram.r_n_9\,
\top_right_1_reg[14]\(5) => \ramloop[6].ram.r_n_10\,
\top_right_1_reg[14]\(4) => \ramloop[6].ram.r_n_11\,
\top_right_1_reg[14]\(3) => \ramloop[6].ram.r_n_12\,
\top_right_1_reg[14]\(2) => \ramloop[6].ram.r_n_13\,
\top_right_1_reg[14]\(1) => \ramloop[6].ram.r_n_14\,
\top_right_1_reg[14]\(0) => \ramloop[6].ram.r_n_15\,
\top_right_1_reg[15]\(0) => \ramloop[6].ram.r_n_17\,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[7].ram.r\: entity work.\system_vga_hessian_0_0_blk_mem_gen_prim_width__parameterized6\
port map (
DOADO(7) => \ramloop[7].ram.r_n_0\,
DOADO(6) => \ramloop[7].ram.r_n_1\,
DOADO(5) => \ramloop[7].ram.r_n_2\,
DOADO(4) => \ramloop[7].ram.r_n_3\,
DOADO(3) => \ramloop[7].ram.r_n_4\,
DOADO(2) => \ramloop[7].ram.r_n_5\,
DOADO(1) => \ramloop[7].ram.r_n_6\,
DOADO(0) => \ramloop[7].ram.r_n_7\,
DOBDO(7) => \ramloop[7].ram.r_n_8\,
DOBDO(6) => \ramloop[7].ram.r_n_9\,
DOBDO(5) => \ramloop[7].ram.r_n_10\,
DOBDO(4) => \ramloop[7].ram.r_n_11\,
DOBDO(3) => \ramloop[7].ram.r_n_12\,
DOBDO(2) => \ramloop[7].ram.r_n_13\,
DOBDO(1) => \ramloop[7].ram.r_n_14\,
DOBDO(0) => \ramloop[7].ram.r_n_15\,
DOPADOP(0) => \ramloop[7].ram.r_n_16\,
DOPBDOP(0) => \ramloop[7].ram.r_n_17\,
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(8 downto 0) => dina(15 downto 7),
dinb(8 downto 0) => dinb(15 downto 7),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_top is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
ena : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
enb : in STD_LOGIC;
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_top : entity is "blk_mem_gen_top";
end system_vga_hessian_0_0_blk_mem_gen_top;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_top is
begin
\valid.cstr\: entity work.system_vga_hessian_0_0_blk_mem_gen_generic_cstr
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_v8_3_5_synth is
port (
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
ena : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
enb : in STD_LOGIC;
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_v8_3_5_synth : entity is "blk_mem_gen_v8_3_5_synth";
end system_vga_hessian_0_0_blk_mem_gen_v8_3_5_synth;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5_synth is
begin
\gnbram.gnativebmg.native_blk_mem_gen\: entity work.system_vga_hessian_0_0_blk_mem_gen_top
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_v8_3_5 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 );
sleep : in STD_LOGIC;
deepsleep : in STD_LOGIC;
shutdown : in STD_LOGIC;
rsta_busy : out STD_LOGIC;
rstb_busy : out STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 )
);
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 14;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 14;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 9;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "7";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "Estimated Power for IP : 22.1485 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "blk_mem_gen_0.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16384;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16384;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16384;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16384;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is 16;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "zynq";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "blk_mem_gen_v8_3_5";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 : entity is "yes";
end system_vga_hessian_0_0_blk_mem_gen_v8_3_5;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_v8_3_5 is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
rdaddrecc(13) <= \<const0>\;
rdaddrecc(12) <= \<const0>\;
rdaddrecc(11) <= \<const0>\;
rdaddrecc(10) <= \<const0>\;
rdaddrecc(9) <= \<const0>\;
rdaddrecc(8) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
rsta_busy <= \<const0>\;
rstb_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(13) <= \<const0>\;
s_axi_rdaddrecc(12) <= \<const0>\;
s_axi_rdaddrecc(11) <= \<const0>\;
s_axi_rdaddrecc(10) <= \<const0>\;
s_axi_rdaddrecc(9) <= \<const0>\;
s_axi_rdaddrecc(8) <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.system_vga_hessian_0_0_blk_mem_gen_v8_3_5_synth
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
ena => ena,
enb => enb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_blk_mem_gen_0 is
port (
clka : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
clkb : in STD_LOGIC;
enb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_hessian_0_0_blk_mem_gen_0 : entity is "blk_mem_gen_0,blk_mem_gen_v8_3_5,{}";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_blk_mem_gen_0 : entity is "blk_mem_gen_0";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_hessian_0_0_blk_mem_gen_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_hessian_0_0_blk_mem_gen_0 : entity is "blk_mem_gen_v8_3_5,Vivado 2016.4";
end system_vga_hessian_0_0_blk_mem_gen_0;
architecture STRUCTURE of system_vga_hessian_0_0_blk_mem_gen_0 is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 14;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 14;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 9;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "7";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of U0 : label is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of U0 : label is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 22.1485 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 1;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 1;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 1;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "blk_mem_gen_0.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 16384;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 16384;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 16;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 16;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 0;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 16384;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 16384;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 16;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 16;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.system_vga_hessian_0_0_blk_mem_gen_v8_3_5
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
deepsleep => '0',
dina(15 downto 0) => dina(15 downto 0),
dinb(15 downto 0) => dinb(15 downto 0),
douta(15 downto 0) => douta(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
eccpipece => '0',
ena => ena,
enb => enb,
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(13 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(13 downto 0),
regcea => '0',
regceb => '0',
rsta => '0',
rsta_busy => NLW_U0_rsta_busy_UNCONNECTED,
rstb => '0',
rstb_busy => NLW_U0_rstb_busy_UNCONNECTED,
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arid(3 downto 0) => B"0000",
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2 downto 0) => B"000",
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awid(3 downto 0) => B"0000",
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(13 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(13 downto 0),
s_axi_rdata(15 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(15 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(15 downto 0) => B"0000000000000000",
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(0) => '0',
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
shutdown => '0',
sleep => '0',
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0_vga_hessian is
port (
hessian_out : out STD_LOGIC_VECTOR ( 31 downto 0 );
clk_x16 : in STD_LOGIC;
rst : in STD_LOGIC;
active : in STD_LOGIC;
x_addr : in STD_LOGIC_VECTOR ( 9 downto 0 );
y_addr : in STD_LOGIC_VECTOR ( 9 downto 0 );
g_in : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_hessian_0_0_vga_hessian : entity is "vga_hessian";
end system_vga_hessian_0_0_vga_hessian;
architecture STRUCTURE of system_vga_hessian_0_0_vga_hessian is
signal A : STD_LOGIC_VECTOR ( 15 downto 0 );
signal B : STD_LOGIC_VECTOR ( 15 downto 0 );
signal Lxx : STD_LOGIC;
signal \Lxx0_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_n_0\ : STD_LOGIC;
signal \Lxx0_carry__0_n_1\ : STD_LOGIC;
signal \Lxx0_carry__0_n_2\ : STD_LOGIC;
signal \Lxx0_carry__0_n_3\ : STD_LOGIC;
signal \Lxx0_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_n_0\ : STD_LOGIC;
signal \Lxx0_carry__1_n_1\ : STD_LOGIC;
signal \Lxx0_carry__1_n_2\ : STD_LOGIC;
signal \Lxx0_carry__1_n_3\ : STD_LOGIC;
signal \Lxx0_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lxx0_carry__2_n_1\ : STD_LOGIC;
signal \Lxx0_carry__2_n_2\ : STD_LOGIC;
signal \Lxx0_carry__2_n_3\ : STD_LOGIC;
signal Lxx0_carry_i_1_n_0 : STD_LOGIC;
signal Lxx0_carry_i_2_n_0 : STD_LOGIC;
signal Lxx0_carry_i_3_n_0 : STD_LOGIC;
signal Lxx0_carry_i_4_n_0 : STD_LOGIC;
signal Lxx0_carry_i_5_n_0 : STD_LOGIC;
signal Lxx0_carry_i_6_n_0 : STD_LOGIC;
signal Lxx0_carry_n_0 : STD_LOGIC;
signal Lxx0_carry_n_1 : STD_LOGIC;
signal Lxx0_carry_n_2 : STD_LOGIC;
signal Lxx0_carry_n_3 : STD_LOGIC;
signal Lxx_0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal Lxx_00 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \Lxx_00__1_carry__0_i_10_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_11_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_12_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_i_9_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_n_1\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_n_2\ : STD_LOGIC;
signal \Lxx_00__1_carry__0_n_3\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_10_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_11_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_12_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_i_9_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_n_1\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_n_2\ : STD_LOGIC;
signal \Lxx_00__1_carry__1_n_3\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_10_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_11_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_12_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_8_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_i_9_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_n_1\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_n_2\ : STD_LOGIC;
signal \Lxx_00__1_carry__2_n_3\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_1_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_2_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_3_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_4_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_5_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_6_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_7_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_8_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_i_9_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_n_0\ : STD_LOGIC;
signal \Lxx_00__1_carry_n_1\ : STD_LOGIC;
signal \Lxx_00__1_carry_n_2\ : STD_LOGIC;
signal \Lxx_00__1_carry_n_3\ : STD_LOGIC;
signal Lxx_1 : STD_LOGIC_VECTOR ( 15 downto 1 );
signal Lxx_11 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \Lxx_11__1_carry__0_i_10_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_11_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_12_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_i_9_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_n_1\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_n_2\ : STD_LOGIC;
signal \Lxx_11__1_carry__0_n_3\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_10_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_11_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_12_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_i_9_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_n_1\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_n_2\ : STD_LOGIC;
signal \Lxx_11__1_carry__1_n_3\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_10_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_11_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_12_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_8_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_i_9_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_n_1\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_n_2\ : STD_LOGIC;
signal \Lxx_11__1_carry__2_n_3\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_1_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_2_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_3_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_4_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_5_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_6_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_7_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_8_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_i_9_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_n_0\ : STD_LOGIC;
signal \Lxx_11__1_carry_n_1\ : STD_LOGIC;
signal \Lxx_11__1_carry_n_2\ : STD_LOGIC;
signal \Lxx_11__1_carry_n_3\ : STD_LOGIC;
signal \Lxx_2[15]_i_1_n_0\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[0]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[10]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[11]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[12]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[13]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[14]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[15]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[1]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[2]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[3]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[4]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[5]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[6]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[7]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[8]\ : STD_LOGIC;
signal \Lxx_2_reg_n_0_[9]\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_10_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_11_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_12_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_i_9_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_1\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_2\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_3\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_4\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_5\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_6\ : STD_LOGIC;
signal \Lxy0__1_carry__0_n_7\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_10_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_11_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_12_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_i_9_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_1\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_2\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_3\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_4\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_5\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_6\ : STD_LOGIC;
signal \Lxy0__1_carry__1_n_7\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_10_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_11_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_12_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_8_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_i_9_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_1\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_2\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_3\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_4\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_5\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_6\ : STD_LOGIC;
signal \Lxy0__1_carry__2_n_7\ : STD_LOGIC;
signal \Lxy0__1_carry_i_10_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_1_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_2_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_3_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_4_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_5_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_6_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_7_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_8_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_i_9_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_n_0\ : STD_LOGIC;
signal \Lxy0__1_carry_n_1\ : STD_LOGIC;
signal \Lxy0__1_carry_n_2\ : STD_LOGIC;
signal \Lxy0__1_carry_n_3\ : STD_LOGIC;
signal \Lxy0__1_carry_n_4\ : STD_LOGIC;
signal \Lxy0__1_carry_n_5\ : STD_LOGIC;
signal \Lxy0__1_carry_n_6\ : STD_LOGIC;
signal \Lxy0__1_carry_n_7\ : STD_LOGIC;
signal \Lxy_0[15]_i_1_n_0\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[0]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[10]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[11]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[12]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[13]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[14]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[15]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[1]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[2]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[3]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[4]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[5]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[6]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[7]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[8]\ : STD_LOGIC;
signal \Lxy_0_reg_n_0_[9]\ : STD_LOGIC;
signal Lxy_1 : STD_LOGIC;
signal \Lxy_1_reg_n_0_[0]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[10]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[11]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[12]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[13]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[14]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[15]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[1]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[2]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[3]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[4]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[5]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[6]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[7]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[8]\ : STD_LOGIC;
signal \Lxy_1_reg_n_0_[9]\ : STD_LOGIC;
signal Lxy_2 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal Lxy_3 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \Lyy0_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_n_0\ : STD_LOGIC;
signal \Lyy0_carry__0_n_1\ : STD_LOGIC;
signal \Lyy0_carry__0_n_2\ : STD_LOGIC;
signal \Lyy0_carry__0_n_3\ : STD_LOGIC;
signal \Lyy0_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_n_0\ : STD_LOGIC;
signal \Lyy0_carry__1_n_1\ : STD_LOGIC;
signal \Lyy0_carry__1_n_2\ : STD_LOGIC;
signal \Lyy0_carry__1_n_3\ : STD_LOGIC;
signal \Lyy0_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lyy0_carry__2_n_1\ : STD_LOGIC;
signal \Lyy0_carry__2_n_2\ : STD_LOGIC;
signal \Lyy0_carry__2_n_3\ : STD_LOGIC;
signal Lyy0_carry_i_1_n_0 : STD_LOGIC;
signal Lyy0_carry_i_2_n_0 : STD_LOGIC;
signal Lyy0_carry_i_3_n_0 : STD_LOGIC;
signal Lyy0_carry_i_4_n_0 : STD_LOGIC;
signal Lyy0_carry_i_5_n_0 : STD_LOGIC;
signal Lyy0_carry_i_6_n_0 : STD_LOGIC;
signal Lyy0_carry_n_0 : STD_LOGIC;
signal Lyy0_carry_n_1 : STD_LOGIC;
signal Lyy0_carry_n_2 : STD_LOGIC;
signal Lyy0_carry_n_3 : STD_LOGIC;
signal Lyy_0 : STD_LOGIC;
signal \Lyy_0_reg_n_0_[0]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[10]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[11]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[12]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[13]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[14]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[15]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[1]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[2]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[3]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[4]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[5]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[6]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[7]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[8]\ : STD_LOGIC;
signal \Lyy_0_reg_n_0_[9]\ : STD_LOGIC;
signal Lyy_1 : STD_LOGIC_VECTOR ( 15 downto 1 );
signal Lyy_20 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \Lyy_20__1_carry__0_i_10_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_11_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_12_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_i_9_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_n_1\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_n_2\ : STD_LOGIC;
signal \Lyy_20__1_carry__0_n_3\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_10_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_11_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_12_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_i_9_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_n_1\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_n_2\ : STD_LOGIC;
signal \Lyy_20__1_carry__1_n_3\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_10_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_11_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_8_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_i_9_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_n_1\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_n_2\ : STD_LOGIC;
signal \Lyy_20__1_carry__2_n_3\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_1_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_2_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_3_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_4_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_5_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_6_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_7_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_8_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_i_9_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_n_0\ : STD_LOGIC;
signal \Lyy_20__1_carry_n_1\ : STD_LOGIC;
signal \Lyy_20__1_carry_n_2\ : STD_LOGIC;
signal \Lyy_20__1_carry_n_3\ : STD_LOGIC;
signal \Lyy_2[15]_i_1_n_0\ : STD_LOGIC;
signal Lyy_2_bottom_left : STD_LOGIC_VECTOR ( 15 downto 0 );
signal Lyy_2_bottom_right : STD_LOGIC_VECTOR ( 15 downto 0 );
signal Lyy_2_bottom_right01_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \Lyy_2_bottom_right0__0_carry__0_i_10_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_11_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_12_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_1_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_2_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_3_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_4_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_5_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_6_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_7_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_8_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_i_9_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_n_1\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_n_2\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__0_n_3\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_10_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_11_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_12_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_1_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_2_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_3_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_4_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_5_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_6_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_7_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_8_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_i_9_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_n_1\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_n_2\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__1_n_3\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_10_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_11_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_12_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_1_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_2_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_3_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_4_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_5_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_6_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_7_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_8_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_i_9_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_n_1\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_n_2\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry__2_n_3\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_10_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_11_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_1_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_2_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_3_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_4_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_5_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_6_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_7_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_8_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_i_9_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_n_0\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_n_1\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_n_2\ : STD_LOGIC;
signal \Lyy_2_bottom_right0__0_carry_n_3\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[0]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[10]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[11]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[12]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[13]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[14]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[15]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[1]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[2]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[3]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[4]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[5]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[6]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[7]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[8]\ : STD_LOGIC;
signal \Lyy_2_reg_n_0_[9]\ : STD_LOGIC;
signal Lyy_2_top_left : STD_LOGIC_VECTOR ( 15 downto 0 );
signal Lyy_2_top_right : STD_LOGIC_VECTOR ( 15 downto 0 );
signal addr_0 : STD_LOGIC;
signal \addr_0[0]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[10]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[11]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[12]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[13]_i_2_n_0\ : STD_LOGIC;
signal \addr_0[1]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[2]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[3]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[4]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[5]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[6]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[7]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[8]_i_1_n_0\ : STD_LOGIC;
signal \addr_0[9]_i_1_n_0\ : STD_LOGIC;
signal \addr_0_reg_n_0_[0]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[10]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[11]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[12]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[13]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[1]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[2]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[3]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[4]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[5]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[6]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[7]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[8]\ : STD_LOGIC;
signal \addr_0_reg_n_0_[9]\ : STD_LOGIC;
signal addr_1 : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \addr_1[0]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[10]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[11]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[12]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[13]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[1]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[2]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[3]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[4]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[5]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[6]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[7]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[8]_i_1_n_0\ : STD_LOGIC;
signal \addr_1[9]_i_1_n_0\ : STD_LOGIC;
signal bottom_left_0 : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[0]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[10]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[11]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[12]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[13]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[14]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[15]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[1]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[2]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[3]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[4]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[5]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[6]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[7]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[8]\ : STD_LOGIC;
signal \bottom_left_0_reg_n_0_[9]\ : STD_LOGIC;
signal bottom_left_1 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \bottom_right_0[0]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[10]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[11]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[12]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[13]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[14]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[15]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_0[15]_i_3_n_0\ : STD_LOGIC;
signal \bottom_right_0[15]_i_4_n_0\ : STD_LOGIC;
signal \bottom_right_0[15]_i_5_n_0\ : STD_LOGIC;
signal \bottom_right_0[1]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[2]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[3]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[4]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[5]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[6]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[7]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[8]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0[9]_i_2_n_0\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[0]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[10]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[11]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[12]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[13]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[14]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[15]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[1]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[2]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[3]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[4]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[5]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[6]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[7]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[8]\ : STD_LOGIC;
signal \bottom_right_0_reg_n_0_[9]\ : STD_LOGIC;
signal bottom_right_1 : STD_LOGIC;
signal \bottom_right_1[0]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[10]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[11]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[12]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[13]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[14]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[15]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[1]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[2]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[3]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[4]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[5]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[6]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[7]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[8]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1[9]_i_1_n_0\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[0]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[10]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[11]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[12]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[13]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[14]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[15]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[1]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[2]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[3]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[4]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[5]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[6]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[7]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[8]\ : STD_LOGIC;
signal \bottom_right_1_reg_n_0_[9]\ : STD_LOGIC;
signal \cache[10]_5\ : STD_LOGIC;
signal \cache[9][15]_i_1_n_0\ : STD_LOGIC;
signal \cache_reg[0]_4\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \cache_reg[10]_3\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \cache_reg[2][0]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][10]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][11]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][12]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][13]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][14]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][15]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][1]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][2]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][3]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][4]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][5]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][6]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][7]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][8]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[2][9]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[3][0]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][10]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][11]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][12]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][13]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][14]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][15]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][1]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][2]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][3]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][4]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][5]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][6]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][7]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][8]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[3][9]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[4]_0\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \cache_reg[6][0]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][10]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][11]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][12]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][13]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][14]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][15]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][1]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][2]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][3]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][4]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][5]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][6]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][7]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][8]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[6][9]_srl2___U0_cache_reg_r_0_n_0\ : STD_LOGIC;
signal \cache_reg[7][0]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][10]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][11]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][12]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][13]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][14]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][15]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][1]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][2]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][3]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][4]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][5]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][6]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][7]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][8]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[7][9]_U0_cache_reg_r_1_n_0\ : STD_LOGIC;
signal \cache_reg[8]_1\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \cache_reg[9]_2\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \cache_reg_gate__0_n_0\ : STD_LOGIC;
signal \cache_reg_gate__10_n_0\ : STD_LOGIC;
signal \cache_reg_gate__11_n_0\ : STD_LOGIC;
signal \cache_reg_gate__12_n_0\ : STD_LOGIC;
signal \cache_reg_gate__13_n_0\ : STD_LOGIC;
signal \cache_reg_gate__14_n_0\ : STD_LOGIC;
signal \cache_reg_gate__15_n_0\ : STD_LOGIC;
signal \cache_reg_gate__16_n_0\ : STD_LOGIC;
signal \cache_reg_gate__17_n_0\ : STD_LOGIC;
signal \cache_reg_gate__18_n_0\ : STD_LOGIC;
signal \cache_reg_gate__19_n_0\ : STD_LOGIC;
signal \cache_reg_gate__1_n_0\ : STD_LOGIC;
signal \cache_reg_gate__20_n_0\ : STD_LOGIC;
signal \cache_reg_gate__21_n_0\ : STD_LOGIC;
signal \cache_reg_gate__22_n_0\ : STD_LOGIC;
signal \cache_reg_gate__23_n_0\ : STD_LOGIC;
signal \cache_reg_gate__24_n_0\ : STD_LOGIC;
signal \cache_reg_gate__25_n_0\ : STD_LOGIC;
signal \cache_reg_gate__26_n_0\ : STD_LOGIC;
signal \cache_reg_gate__27_n_0\ : STD_LOGIC;
signal \cache_reg_gate__28_n_0\ : STD_LOGIC;
signal \cache_reg_gate__29_n_0\ : STD_LOGIC;
signal \cache_reg_gate__2_n_0\ : STD_LOGIC;
signal \cache_reg_gate__30_n_0\ : STD_LOGIC;
signal \cache_reg_gate__3_n_0\ : STD_LOGIC;
signal \cache_reg_gate__4_n_0\ : STD_LOGIC;
signal \cache_reg_gate__5_n_0\ : STD_LOGIC;
signal \cache_reg_gate__6_n_0\ : STD_LOGIC;
signal \cache_reg_gate__7_n_0\ : STD_LOGIC;
signal \cache_reg_gate__8_n_0\ : STD_LOGIC;
signal \cache_reg_gate__9_n_0\ : STD_LOGIC;
signal cache_reg_gate_n_0 : STD_LOGIC;
signal cache_reg_r_0_n_0 : STD_LOGIC;
signal cache_reg_r_1_n_0 : STD_LOGIC;
signal cache_reg_r_n_0 : STD_LOGIC;
signal compute_addr_0 : STD_LOGIC;
signal \compute_addr_0[0]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[10]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[10]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_0[11]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[11]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_0[11]_i_3_n_0\ : STD_LOGIC;
signal \compute_addr_0[12]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[12]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_0[13]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_0[13]_i_3_n_0\ : STD_LOGIC;
signal \compute_addr_0[1]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[2]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[3]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[4]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[5]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[6]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[7]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[8]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0[9]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[0]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[10]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[11]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[12]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[13]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[1]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[2]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[3]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[4]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[5]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[6]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[7]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[8]\ : STD_LOGIC;
signal \compute_addr_0_reg_n_0_[9]\ : STD_LOGIC;
signal compute_addr_1 : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \compute_addr_1[0]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[10]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[10]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_1[11]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[11]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_1[12]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[12]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_1[13]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[13]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_1[1]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[2]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[3]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[4]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[5]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[6]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[7]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[8]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_1[9]_i_1_n_0\ : STD_LOGIC;
signal compute_addr_2 : STD_LOGIC;
signal \compute_addr_2[10]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_2[10]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_2[11]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_2[11]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_2[12]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_2[12]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_2[13]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_2[13]_i_3_n_0\ : STD_LOGIC;
signal \compute_addr_2[13]_i_4_n_0\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[0]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[10]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[11]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[12]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[13]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[1]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[2]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[3]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[4]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[5]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[6]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[7]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[8]\ : STD_LOGIC;
signal \compute_addr_2_reg_n_0_[9]\ : STD_LOGIC;
signal compute_addr_3 : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \compute_addr_3[0]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[10]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[10]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_3[11]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[11]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_3[12]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[12]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_3[13]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[13]_i_2_n_0\ : STD_LOGIC;
signal \compute_addr_3[1]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[2]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[3]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[4]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[5]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[6]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[7]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[8]_i_1_n_0\ : STD_LOGIC;
signal \compute_addr_3[9]_i_1_n_0\ : STD_LOGIC;
signal corner : STD_LOGIC;
signal \corner_reg_n_0_[0]\ : STD_LOGIC;
signal \corner_reg_n_0_[10]\ : STD_LOGIC;
signal \corner_reg_n_0_[11]\ : STD_LOGIC;
signal \corner_reg_n_0_[12]\ : STD_LOGIC;
signal \corner_reg_n_0_[13]\ : STD_LOGIC;
signal \corner_reg_n_0_[14]\ : STD_LOGIC;
signal \corner_reg_n_0_[15]\ : STD_LOGIC;
signal \corner_reg_n_0_[1]\ : STD_LOGIC;
signal \corner_reg_n_0_[2]\ : STD_LOGIC;
signal \corner_reg_n_0_[3]\ : STD_LOGIC;
signal \corner_reg_n_0_[4]\ : STD_LOGIC;
signal \corner_reg_n_0_[5]\ : STD_LOGIC;
signal \corner_reg_n_0_[6]\ : STD_LOGIC;
signal \corner_reg_n_0_[7]\ : STD_LOGIC;
signal \corner_reg_n_0_[8]\ : STD_LOGIC;
signal \corner_reg_n_0_[9]\ : STD_LOGIC;
signal cycle : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cycle[0]_i_1_n_0\ : STD_LOGIC;
signal \cycle[0]_rep_i_1_n_0\ : STD_LOGIC;
signal \cycle[1]_i_1_n_0\ : STD_LOGIC;
signal \cycle[1]_rep_i_1__0_n_0\ : STD_LOGIC;
signal \cycle[1]_rep_i_1_n_0\ : STD_LOGIC;
signal \cycle[2]_i_1_n_0\ : STD_LOGIC;
signal \cycle[2]_rep_i_1_n_0\ : STD_LOGIC;
signal \cycle[3]_i_1_n_0\ : STD_LOGIC;
signal \cycle[3]_i_2_n_0\ : STD_LOGIC;
signal \cycle_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cycle_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cycle_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cycle_reg[2]_rep_n_0\ : STD_LOGIC;
signal data1 : STD_LOGIC_VECTOR ( 13 downto 0 );
signal data2 : STD_LOGIC_VECTOR ( 13 downto 0 );
signal data5 : STD_LOGIC_VECTOR ( 13 downto 10 );
signal det_0 : STD_LOGIC;
signal det_0_reg_i_2_n_0 : STD_LOGIC;
signal det_0_reg_n_106 : STD_LOGIC;
signal det_0_reg_n_107 : STD_LOGIC;
signal det_0_reg_n_108 : STD_LOGIC;
signal det_0_reg_n_109 : STD_LOGIC;
signal det_0_reg_n_110 : STD_LOGIC;
signal det_0_reg_n_111 : STD_LOGIC;
signal det_0_reg_n_112 : STD_LOGIC;
signal det_0_reg_n_113 : STD_LOGIC;
signal det_0_reg_n_114 : STD_LOGIC;
signal det_0_reg_n_115 : STD_LOGIC;
signal det_0_reg_n_116 : STD_LOGIC;
signal det_0_reg_n_117 : STD_LOGIC;
signal det_0_reg_n_118 : STD_LOGIC;
signal det_0_reg_n_119 : STD_LOGIC;
signal det_0_reg_n_120 : STD_LOGIC;
signal det_0_reg_n_121 : STD_LOGIC;
signal det_0_reg_n_122 : STD_LOGIC;
signal det_0_reg_n_123 : STD_LOGIC;
signal det_0_reg_n_124 : STD_LOGIC;
signal det_0_reg_n_125 : STD_LOGIC;
signal det_0_reg_n_126 : STD_LOGIC;
signal det_0_reg_n_127 : STD_LOGIC;
signal det_0_reg_n_128 : STD_LOGIC;
signal det_0_reg_n_129 : STD_LOGIC;
signal det_0_reg_n_130 : STD_LOGIC;
signal det_0_reg_n_131 : STD_LOGIC;
signal det_0_reg_n_132 : STD_LOGIC;
signal det_0_reg_n_133 : STD_LOGIC;
signal det_0_reg_n_134 : STD_LOGIC;
signal det_0_reg_n_135 : STD_LOGIC;
signal det_0_reg_n_136 : STD_LOGIC;
signal det_0_reg_n_137 : STD_LOGIC;
signal det_0_reg_n_138 : STD_LOGIC;
signal det_0_reg_n_139 : STD_LOGIC;
signal det_0_reg_n_140 : STD_LOGIC;
signal det_0_reg_n_141 : STD_LOGIC;
signal det_0_reg_n_142 : STD_LOGIC;
signal det_0_reg_n_143 : STD_LOGIC;
signal det_0_reg_n_144 : STD_LOGIC;
signal det_0_reg_n_145 : STD_LOGIC;
signal det_0_reg_n_146 : STD_LOGIC;
signal det_0_reg_n_147 : STD_LOGIC;
signal det_0_reg_n_148 : STD_LOGIC;
signal det_0_reg_n_149 : STD_LOGIC;
signal det_0_reg_n_150 : STD_LOGIC;
signal det_0_reg_n_151 : STD_LOGIC;
signal det_0_reg_n_152 : STD_LOGIC;
signal det_0_reg_n_153 : STD_LOGIC;
signal det_abs : STD_LOGIC_VECTOR ( 31 downto 0 );
signal det_abs0 : STD_LOGIC_VECTOR ( 31 downto 1 );
signal \det_abs[10]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[11]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[12]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[12]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[12]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[12]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[12]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[13]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[14]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[15]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[16]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[16]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[16]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[16]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[16]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[17]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[18]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[19]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[1]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[20]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[20]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[20]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[20]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[20]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[21]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[22]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[23]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[24]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[24]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[24]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[24]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[24]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[25]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[26]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[27]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[28]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[28]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[28]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[28]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[28]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[29]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[2]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[30]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[31]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[31]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[31]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[31]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[3]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[4]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[4]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[4]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[4]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[4]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[4]_i_7_n_0\ : STD_LOGIC;
signal \det_abs[5]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[6]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[7]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[8]_i_1_n_0\ : STD_LOGIC;
signal \det_abs[8]_i_3_n_0\ : STD_LOGIC;
signal \det_abs[8]_i_4_n_0\ : STD_LOGIC;
signal \det_abs[8]_i_5_n_0\ : STD_LOGIC;
signal \det_abs[8]_i_6_n_0\ : STD_LOGIC;
signal \det_abs[9]_i_1_n_0\ : STD_LOGIC;
signal \det_abs_reg[12]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[12]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[12]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[12]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[16]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[16]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[16]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[16]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[20]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[20]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[20]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[20]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[24]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[24]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[24]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[24]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[28]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[28]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[28]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[28]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[31]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[31]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[4]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[4]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[4]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[4]_i_2_n_3\ : STD_LOGIC;
signal \det_abs_reg[8]_i_2_n_0\ : STD_LOGIC;
signal \det_abs_reg[8]_i_2_n_1\ : STD_LOGIC;
signal \det_abs_reg[8]_i_2_n_2\ : STD_LOGIC;
signal \det_abs_reg[8]_i_2_n_3\ : STD_LOGIC;
signal det_reg_n_100 : STD_LOGIC;
signal det_reg_n_101 : STD_LOGIC;
signal det_reg_n_102 : STD_LOGIC;
signal det_reg_n_103 : STD_LOGIC;
signal det_reg_n_104 : STD_LOGIC;
signal det_reg_n_105 : STD_LOGIC;
signal det_reg_n_74 : STD_LOGIC;
signal det_reg_n_75 : STD_LOGIC;
signal det_reg_n_76 : STD_LOGIC;
signal det_reg_n_77 : STD_LOGIC;
signal det_reg_n_78 : STD_LOGIC;
signal det_reg_n_79 : STD_LOGIC;
signal det_reg_n_80 : STD_LOGIC;
signal det_reg_n_81 : STD_LOGIC;
signal det_reg_n_82 : STD_LOGIC;
signal det_reg_n_83 : STD_LOGIC;
signal det_reg_n_84 : STD_LOGIC;
signal det_reg_n_85 : STD_LOGIC;
signal det_reg_n_86 : STD_LOGIC;
signal det_reg_n_87 : STD_LOGIC;
signal det_reg_n_88 : STD_LOGIC;
signal det_reg_n_89 : STD_LOGIC;
signal det_reg_n_90 : STD_LOGIC;
signal det_reg_n_91 : STD_LOGIC;
signal det_reg_n_92 : STD_LOGIC;
signal det_reg_n_93 : STD_LOGIC;
signal det_reg_n_94 : STD_LOGIC;
signal det_reg_n_95 : STD_LOGIC;
signal det_reg_n_96 : STD_LOGIC;
signal det_reg_n_97 : STD_LOGIC;
signal det_reg_n_98 : STD_LOGIC;
signal det_reg_n_99 : STD_LOGIC;
signal \din_reg_n_0_[0]\ : STD_LOGIC;
signal \din_reg_n_0_[10]\ : STD_LOGIC;
signal \din_reg_n_0_[11]\ : STD_LOGIC;
signal \din_reg_n_0_[12]\ : STD_LOGIC;
signal \din_reg_n_0_[13]\ : STD_LOGIC;
signal \din_reg_n_0_[14]\ : STD_LOGIC;
signal \din_reg_n_0_[15]\ : STD_LOGIC;
signal \din_reg_n_0_[1]\ : STD_LOGIC;
signal \din_reg_n_0_[2]\ : STD_LOGIC;
signal \din_reg_n_0_[3]\ : STD_LOGIC;
signal \din_reg_n_0_[4]\ : STD_LOGIC;
signal \din_reg_n_0_[5]\ : STD_LOGIC;
signal \din_reg_n_0_[6]\ : STD_LOGIC;
signal \din_reg_n_0_[7]\ : STD_LOGIC;
signal \din_reg_n_0_[8]\ : STD_LOGIC;
signal \din_reg_n_0_[9]\ : STD_LOGIC;
signal dout_0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal dout_1 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \i__carry__0_i_1_n_0\ : STD_LOGIC;
signal \i__carry__0_i_2_n_0\ : STD_LOGIC;
signal \i__carry__0_i_3_n_0\ : STD_LOGIC;
signal \i__carry__0_i_4_n_0\ : STD_LOGIC;
signal \i__carry__0_i_5_n_0\ : STD_LOGIC;
signal \i__carry__1_i_1_n_0\ : STD_LOGIC;
signal \i__carry__1_i_2_n_0\ : STD_LOGIC;
signal \i__carry_i_1_n_0\ : STD_LOGIC;
signal \i__carry_i_2_n_0\ : STD_LOGIC;
signal \i__carry_i_3_n_0\ : STD_LOGIC;
signal \i__carry_i_4_n_0\ : STD_LOGIC;
signal last_value : STD_LOGIC_VECTOR ( 7 downto 0 );
signal left : STD_LOGIC;
signal \left[15]_i_2_n_0\ : STD_LOGIC;
signal \left[15]_i_3_n_0\ : STD_LOGIC;
signal \left_reg_n_0_[0]\ : STD_LOGIC;
signal \left_reg_n_0_[10]\ : STD_LOGIC;
signal \left_reg_n_0_[11]\ : STD_LOGIC;
signal \left_reg_n_0_[12]\ : STD_LOGIC;
signal \left_reg_n_0_[13]\ : STD_LOGIC;
signal \left_reg_n_0_[14]\ : STD_LOGIC;
signal \left_reg_n_0_[15]\ : STD_LOGIC;
signal \left_reg_n_0_[1]\ : STD_LOGIC;
signal \left_reg_n_0_[2]\ : STD_LOGIC;
signal \left_reg_n_0_[3]\ : STD_LOGIC;
signal \left_reg_n_0_[4]\ : STD_LOGIC;
signal \left_reg_n_0_[5]\ : STD_LOGIC;
signal \left_reg_n_0_[6]\ : STD_LOGIC;
signal \left_reg_n_0_[7]\ : STD_LOGIC;
signal \left_reg_n_0_[8]\ : STD_LOGIC;
signal \left_reg_n_0_[9]\ : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \plusOp_inferred__0/i__carry__0_n_0\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_1\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_2\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_3\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_4\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_5\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_6\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__0_n_7\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__1_n_3\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__1_n_6\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry__1_n_7\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_0\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_1\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_2\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_3\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_4\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_5\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_6\ : STD_LOGIC;
signal \plusOp_inferred__0/i__carry_n_7\ : STD_LOGIC;
signal top : STD_LOGIC;
signal \top[15]_i_2_n_0\ : STD_LOGIC;
signal top_left_0 : STD_LOGIC;
signal \top_left_0[0]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[10]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[11]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[12]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[13]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[14]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[15]_i_2_n_0\ : STD_LOGIC;
signal \top_left_0[1]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[2]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[3]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[4]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[5]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[6]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[7]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[8]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0[9]_i_1_n_0\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[0]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[10]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[11]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[12]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[13]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[14]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[15]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[1]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[2]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[3]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[4]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[5]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[6]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[7]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[8]\ : STD_LOGIC;
signal \top_left_0_reg_n_0_[9]\ : STD_LOGIC;
signal top_left_1 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \top_left_1[0]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[10]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[11]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[12]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[13]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[14]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[15]_i_2_n_0\ : STD_LOGIC;
signal \top_left_1[1]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[2]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[3]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[4]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[5]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[6]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[7]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[8]_i_1_n_0\ : STD_LOGIC;
signal \top_left_1[9]_i_1_n_0\ : STD_LOGIC;
signal \top_reg_n_0_[0]\ : STD_LOGIC;
signal \top_reg_n_0_[10]\ : STD_LOGIC;
signal \top_reg_n_0_[11]\ : STD_LOGIC;
signal \top_reg_n_0_[12]\ : STD_LOGIC;
signal \top_reg_n_0_[13]\ : STD_LOGIC;
signal \top_reg_n_0_[14]\ : STD_LOGIC;
signal \top_reg_n_0_[15]\ : STD_LOGIC;
signal \top_reg_n_0_[1]\ : STD_LOGIC;
signal \top_reg_n_0_[2]\ : STD_LOGIC;
signal \top_reg_n_0_[3]\ : STD_LOGIC;
signal \top_reg_n_0_[4]\ : STD_LOGIC;
signal \top_reg_n_0_[5]\ : STD_LOGIC;
signal \top_reg_n_0_[6]\ : STD_LOGIC;
signal \top_reg_n_0_[7]\ : STD_LOGIC;
signal \top_reg_n_0_[8]\ : STD_LOGIC;
signal \top_reg_n_0_[9]\ : STD_LOGIC;
signal top_right_0 : STD_LOGIC;
signal \top_right_0[0]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[10]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[11]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[12]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[13]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[14]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[15]_i_2_n_0\ : STD_LOGIC;
signal \top_right_0[1]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[2]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[3]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[4]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[5]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[6]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[7]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[8]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0[9]_i_1_n_0\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[0]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[10]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[11]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[12]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[13]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[14]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[15]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[1]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[2]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[3]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[4]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[5]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[6]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[7]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[8]\ : STD_LOGIC;
signal \top_right_0_reg_n_0_[9]\ : STD_LOGIC;
signal top_right_1 : STD_LOGIC;
signal \top_right_1[0]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[10]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[11]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[12]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[13]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[14]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[15]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[15]_i_2_n_0\ : STD_LOGIC;
signal \top_right_1[1]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[2]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[3]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[4]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[5]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[6]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[7]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[8]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1[9]_i_1_n_0\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[0]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[10]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[11]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[12]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[13]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[14]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[15]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[1]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[2]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[3]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[4]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[5]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[6]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[7]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[8]\ : STD_LOGIC;
signal \top_right_1_reg_n_0_[9]\ : STD_LOGIC;
signal \value_reg_n_0_[0]\ : STD_LOGIC;
signal \value_reg_n_0_[1]\ : STD_LOGIC;
signal \value_reg_n_0_[2]\ : STD_LOGIC;
signal \value_reg_n_0_[3]\ : STD_LOGIC;
signal \value_reg_n_0_[4]\ : STD_LOGIC;
signal \value_reg_n_0_[5]\ : STD_LOGIC;
signal \value_reg_n_0_[6]\ : STD_LOGIC;
signal \value_reg_n_0_[7]\ : STD_LOGIC;
signal wen_i_1_n_0 : STD_LOGIC;
signal wen_i_2_n_0 : STD_LOGIC;
signal wen_reg_n_0 : STD_LOGIC;
signal x : STD_LOGIC;
signal \x0[0]_i_2_n_0\ : STD_LOGIC;
signal \x0[0]_i_3_n_0\ : STD_LOGIC;
signal \x0[1]_i_2_n_0\ : STD_LOGIC;
signal \x0[1]_i_3_n_0\ : STD_LOGIC;
signal \x0[1]_i_4_n_0\ : STD_LOGIC;
signal \x0[2]_i_1_n_0\ : STD_LOGIC;
signal \x0[2]_i_2_n_0\ : STD_LOGIC;
signal \x0[2]_i_3_n_0\ : STD_LOGIC;
signal \x0[2]_i_4_n_0\ : STD_LOGIC;
signal \x0[2]_i_5_n_0\ : STD_LOGIC;
signal \x0[3]_i_1_n_0\ : STD_LOGIC;
signal \x0[3]_i_2_n_0\ : STD_LOGIC;
signal \x0[3]_i_3_n_0\ : STD_LOGIC;
signal \x0[3]_i_4_n_0\ : STD_LOGIC;
signal \x0[3]_i_5_n_0\ : STD_LOGIC;
signal \x0[3]_i_6_n_0\ : STD_LOGIC;
signal \x0[4]_i_1_n_0\ : STD_LOGIC;
signal \x0[4]_i_2_n_0\ : STD_LOGIC;
signal \x0[4]_i_3_n_0\ : STD_LOGIC;
signal \x0[4]_i_4_n_0\ : STD_LOGIC;
signal \x0[4]_i_5_n_0\ : STD_LOGIC;
signal \x0[5]_i_1_n_0\ : STD_LOGIC;
signal \x0[5]_i_2_n_0\ : STD_LOGIC;
signal \x0[5]_i_3_n_0\ : STD_LOGIC;
signal \x0[5]_i_4_n_0\ : STD_LOGIC;
signal \x0[5]_i_5_n_0\ : STD_LOGIC;
signal \x0[6]_i_1_n_0\ : STD_LOGIC;
signal \x0[6]_i_2_n_0\ : STD_LOGIC;
signal \x0[6]_i_3_n_0\ : STD_LOGIC;
signal \x0[6]_i_4_n_0\ : STD_LOGIC;
signal \x0[6]_i_5_n_0\ : STD_LOGIC;
signal \x0[7]_i_1_n_0\ : STD_LOGIC;
signal \x0[7]_i_2_n_0\ : STD_LOGIC;
signal \x0[7]_i_3_n_0\ : STD_LOGIC;
signal \x0[7]_i_4_n_0\ : STD_LOGIC;
signal \x0[7]_i_5_n_0\ : STD_LOGIC;
signal \x0[7]_i_6_n_0\ : STD_LOGIC;
signal \x0[7]_i_7_n_0\ : STD_LOGIC;
signal \x0[8]_i_1_n_0\ : STD_LOGIC;
signal \x0[8]_i_2_n_0\ : STD_LOGIC;
signal \x0[8]_i_3_n_0\ : STD_LOGIC;
signal \x0[8]_i_4_n_0\ : STD_LOGIC;
signal \x0[8]_i_5_n_0\ : STD_LOGIC;
signal \x0[8]_i_6_n_0\ : STD_LOGIC;
signal \x0[8]_i_7_n_0\ : STD_LOGIC;
signal \x0[9]_i_1_n_0\ : STD_LOGIC;
signal \x0[9]_i_2_n_0\ : STD_LOGIC;
signal \x0[9]_i_3_n_0\ : STD_LOGIC;
signal \x0[9]_i_4_n_0\ : STD_LOGIC;
signal \x0[9]_i_5_n_0\ : STD_LOGIC;
signal \x0[9]_i_6_n_0\ : STD_LOGIC;
signal \x0[9]_i_7_n_0\ : STD_LOGIC;
signal \x0_reg[0]_i_1_n_0\ : STD_LOGIC;
signal \x0_reg[1]_i_1_n_0\ : STD_LOGIC;
signal x1 : STD_LOGIC;
signal \x1[0]_i_1_n_0\ : STD_LOGIC;
signal \x1[1]_i_1_n_0\ : STD_LOGIC;
signal \x1[2]_i_1_n_0\ : STD_LOGIC;
signal \x1[2]_i_2_n_0\ : STD_LOGIC;
signal \x1[2]_i_3_n_0\ : STD_LOGIC;
signal \x1[3]_i_1_n_0\ : STD_LOGIC;
signal \x1[3]_i_2_n_0\ : STD_LOGIC;
signal \x1[3]_i_3_n_0\ : STD_LOGIC;
signal \x1[3]_i_4_n_0\ : STD_LOGIC;
signal \x1[4]_i_1_n_0\ : STD_LOGIC;
signal \x1[4]_i_2_n_0\ : STD_LOGIC;
signal \x1[4]_i_3_n_0\ : STD_LOGIC;
signal \x1[4]_i_4_n_0\ : STD_LOGIC;
signal \x1[4]_i_5_n_0\ : STD_LOGIC;
signal \x1[5]_i_1_n_0\ : STD_LOGIC;
signal \x1[5]_i_2_n_0\ : STD_LOGIC;
signal \x1[5]_i_3_n_0\ : STD_LOGIC;
signal \x1[5]_i_4_n_0\ : STD_LOGIC;
signal \x1[5]_i_5_n_0\ : STD_LOGIC;
signal \x1[6]_i_1_n_0\ : STD_LOGIC;
signal \x1[6]_i_2_n_0\ : STD_LOGIC;
signal \x1[6]_i_3_n_0\ : STD_LOGIC;
signal \x1[6]_i_4_n_0\ : STD_LOGIC;
signal \x1[6]_i_5_n_0\ : STD_LOGIC;
signal \x1[6]_i_6_n_0\ : STD_LOGIC;
signal \x1[6]_i_7_n_0\ : STD_LOGIC;
signal \x1[6]_i_8_n_0\ : STD_LOGIC;
signal \x1[7]_i_1_n_0\ : STD_LOGIC;
signal \x1[7]_i_2_n_0\ : STD_LOGIC;
signal \x1[7]_i_3_n_0\ : STD_LOGIC;
signal \x1[7]_i_4_n_0\ : STD_LOGIC;
signal \x1[7]_i_5_n_0\ : STD_LOGIC;
signal \x1[8]_i_1_n_0\ : STD_LOGIC;
signal \x1[8]_i_2_n_0\ : STD_LOGIC;
signal \x1[8]_i_3_n_0\ : STD_LOGIC;
signal \x1[8]_i_4_n_0\ : STD_LOGIC;
signal \x1[8]_i_5_n_0\ : STD_LOGIC;
signal \x1[8]_i_6_n_0\ : STD_LOGIC;
signal \x1[9]_i_2_n_0\ : STD_LOGIC;
signal \x1[9]_i_3_n_0\ : STD_LOGIC;
signal \x1[9]_i_4_n_0\ : STD_LOGIC;
signal \x1[9]_i_5_n_0\ : STD_LOGIC;
signal \x1[9]_i_6_n_0\ : STD_LOGIC;
signal \x1[9]_i_7_n_0\ : STD_LOGIC;
signal \x1[9]_i_8_n_0\ : STD_LOGIC;
signal \x_reg_n_0_[0]\ : STD_LOGIC;
signal \x_reg_n_0_[1]\ : STD_LOGIC;
signal \x_reg_n_0_[2]\ : STD_LOGIC;
signal \x_reg_n_0_[3]\ : STD_LOGIC;
signal \x_reg_n_0_[4]\ : STD_LOGIC;
signal \x_reg_n_0_[5]\ : STD_LOGIC;
signal \x_reg_n_0_[6]\ : STD_LOGIC;
signal \x_reg_n_0_[7]\ : STD_LOGIC;
signal \x_reg_n_0_[8]\ : STD_LOGIC;
signal \x_reg_n_0_[9]\ : STD_LOGIC;
signal y1 : STD_LOGIC;
signal \y1[2]_i_1_n_0\ : STD_LOGIC;
signal \y1[3]_i_1_n_0\ : STD_LOGIC;
signal \y1_reg_n_0_[0]\ : STD_LOGIC;
signal \y1_reg_n_0_[1]\ : STD_LOGIC;
signal \y1_reg_n_0_[2]\ : STD_LOGIC;
signal \y1_reg_n_0_[3]\ : STD_LOGIC;
signal y2 : STD_LOGIC;
signal \y2[1]_i_1_n_0\ : STD_LOGIC;
signal \y2[2]_i_1_n_0\ : STD_LOGIC;
signal \y2[3]_i_1_n_0\ : STD_LOGIC;
signal \y2_reg_n_0_[0]\ : STD_LOGIC;
signal \y2_reg_n_0_[1]\ : STD_LOGIC;
signal \y2_reg_n_0_[2]\ : STD_LOGIC;
signal \y2_reg_n_0_[3]\ : STD_LOGIC;
signal y3 : STD_LOGIC;
signal \y3[1]_i_1_n_0\ : STD_LOGIC;
signal \y3[2]_i_1_n_0\ : STD_LOGIC;
signal \y3[3]_i_1_n_0\ : STD_LOGIC;
signal \y3_reg_n_0_[0]\ : STD_LOGIC;
signal \y3_reg_n_0_[1]\ : STD_LOGIC;
signal \y3_reg_n_0_[2]\ : STD_LOGIC;
signal \y3_reg_n_0_[3]\ : STD_LOGIC;
signal \y4[2]_i_1_n_0\ : STD_LOGIC;
signal \y4[3]_i_1_n_0\ : STD_LOGIC;
signal y5 : STD_LOGIC;
signal \y5[0]_i_1_n_0\ : STD_LOGIC;
signal \y5[1]_i_1_n_0\ : STD_LOGIC;
signal \y5[2]_i_1_n_0\ : STD_LOGIC;
signal \y5[3]_i_1_n_0\ : STD_LOGIC;
signal y6 : STD_LOGIC;
signal \y6[2]_i_1_n_0\ : STD_LOGIC;
signal \y6[3]_i_1_n_0\ : STD_LOGIC;
signal \y6_reg_n_0_[0]\ : STD_LOGIC;
signal \y6_reg_n_0_[1]\ : STD_LOGIC;
signal \y6_reg_n_0_[2]\ : STD_LOGIC;
signal \y6_reg_n_0_[3]\ : STD_LOGIC;
signal y7 : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \y7[2]_i_1_n_0\ : STD_LOGIC;
signal \y7[3]_i_1_n_0\ : STD_LOGIC;
signal y8 : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \y8[3]_i_1_n_0\ : STD_LOGIC;
signal y9 : STD_LOGIC;
signal \y9[3]_i_1_n_0\ : STD_LOGIC;
signal \y_actual_reg_n_0_[0]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[1]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[2]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[3]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[4]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[5]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[6]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[7]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[8]\ : STD_LOGIC;
signal \y_actual_reg_n_0_[9]\ : STD_LOGIC;
signal \NLW_Lxx0_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Lxx_00__1_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Lxx_11__1_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Lxy0__1_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Lyy0_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Lyy_20__1_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Lyy_2_bottom_right0__0_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal NLW_det_0_reg_CARRYCASCOUT_UNCONNECTED : STD_LOGIC;
signal NLW_det_0_reg_MULTSIGNOUT_UNCONNECTED : STD_LOGIC;
signal NLW_det_0_reg_OVERFLOW_UNCONNECTED : STD_LOGIC;
signal NLW_det_0_reg_PATTERNBDETECT_UNCONNECTED : STD_LOGIC;
signal NLW_det_0_reg_PATTERNDETECT_UNCONNECTED : STD_LOGIC;
signal NLW_det_0_reg_UNDERFLOW_UNCONNECTED : STD_LOGIC;
signal NLW_det_0_reg_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 );
signal NLW_det_0_reg_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 );
signal NLW_det_0_reg_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_det_0_reg_P_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal \NLW_det_abs_reg[31]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_det_abs_reg[31]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal NLW_det_reg_CARRYCASCOUT_UNCONNECTED : STD_LOGIC;
signal NLW_det_reg_MULTSIGNOUT_UNCONNECTED : STD_LOGIC;
signal NLW_det_reg_OVERFLOW_UNCONNECTED : STD_LOGIC;
signal NLW_det_reg_PATTERNBDETECT_UNCONNECTED : STD_LOGIC;
signal NLW_det_reg_PATTERNDETECT_UNCONNECTED : STD_LOGIC;
signal NLW_det_reg_UNDERFLOW_UNCONNECTED : STD_LOGIC;
signal NLW_det_reg_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 );
signal NLW_det_reg_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 );
signal NLW_det_reg_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_det_reg_P_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 32 );
signal NLW_det_reg_PCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal \NLW_plusOp_inferred__0/i__carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_plusOp_inferred__0/i__carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
attribute HLUTNM : string;
attribute HLUTNM of \Lxx0_carry__0_i_1\ : label is "lutpair4";
attribute HLUTNM of \Lxx0_carry__0_i_2\ : label is "lutpair3";
attribute HLUTNM of \Lxx0_carry__0_i_3\ : label is "lutpair2";
attribute HLUTNM of \Lxx0_carry__0_i_4\ : label is "lutpair1";
attribute HLUTNM of \Lxx0_carry__0_i_5\ : label is "lutpair5";
attribute HLUTNM of \Lxx0_carry__0_i_6\ : label is "lutpair4";
attribute HLUTNM of \Lxx0_carry__0_i_7\ : label is "lutpair3";
attribute HLUTNM of \Lxx0_carry__0_i_8\ : label is "lutpair2";
attribute HLUTNM of \Lxx0_carry__1_i_1\ : label is "lutpair8";
attribute HLUTNM of \Lxx0_carry__1_i_2\ : label is "lutpair7";
attribute HLUTNM of \Lxx0_carry__1_i_3\ : label is "lutpair6";
attribute HLUTNM of \Lxx0_carry__1_i_4\ : label is "lutpair5";
attribute HLUTNM of \Lxx0_carry__1_i_5\ : label is "lutpair9";
attribute HLUTNM of \Lxx0_carry__1_i_6\ : label is "lutpair8";
attribute HLUTNM of \Lxx0_carry__1_i_7\ : label is "lutpair7";
attribute HLUTNM of \Lxx0_carry__1_i_8\ : label is "lutpair6";
attribute HLUTNM of \Lxx0_carry__2_i_1\ : label is "lutpair11";
attribute HLUTNM of \Lxx0_carry__2_i_2\ : label is "lutpair10";
attribute HLUTNM of \Lxx0_carry__2_i_3\ : label is "lutpair9";
attribute HLUTNM of \Lxx0_carry__2_i_6\ : label is "lutpair11";
attribute HLUTNM of \Lxx0_carry__2_i_7\ : label is "lutpair10";
attribute HLUTNM of Lxx0_carry_i_1 : label is "lutpair0";
attribute HLUTNM of Lxx0_carry_i_2 : label is "lutpair24";
attribute HLUTNM of Lxx0_carry_i_3 : label is "lutpair1";
attribute HLUTNM of Lxx0_carry_i_4 : label is "lutpair0";
attribute HLUTNM of Lxx0_carry_i_5 : label is "lutpair24";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \Lxx_00__1_carry__2_i_10\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \Lxx_00__1_carry__2_i_8\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \Lxx_11__1_carry__2_i_10\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \Lxx_11__1_carry__2_i_8\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \Lxy0__1_carry__2_i_10\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \Lxy0__1_carry__2_i_8\ : label is "soft_lutpair32";
attribute HLUTNM of \Lyy0_carry__0_i_1\ : label is "lutpair16";
attribute HLUTNM of \Lyy0_carry__0_i_2\ : label is "lutpair15";
attribute HLUTNM of \Lyy0_carry__0_i_3\ : label is "lutpair14";
attribute HLUTNM of \Lyy0_carry__0_i_4\ : label is "lutpair13";
attribute HLUTNM of \Lyy0_carry__0_i_5\ : label is "lutpair17";
attribute HLUTNM of \Lyy0_carry__0_i_6\ : label is "lutpair16";
attribute HLUTNM of \Lyy0_carry__0_i_7\ : label is "lutpair15";
attribute HLUTNM of \Lyy0_carry__0_i_8\ : label is "lutpair14";
attribute HLUTNM of \Lyy0_carry__1_i_1\ : label is "lutpair20";
attribute HLUTNM of \Lyy0_carry__1_i_2\ : label is "lutpair19";
attribute HLUTNM of \Lyy0_carry__1_i_3\ : label is "lutpair18";
attribute HLUTNM of \Lyy0_carry__1_i_4\ : label is "lutpair17";
attribute HLUTNM of \Lyy0_carry__1_i_5\ : label is "lutpair21";
attribute HLUTNM of \Lyy0_carry__1_i_6\ : label is "lutpair20";
attribute HLUTNM of \Lyy0_carry__1_i_7\ : label is "lutpair19";
attribute HLUTNM of \Lyy0_carry__1_i_8\ : label is "lutpair18";
attribute HLUTNM of \Lyy0_carry__2_i_1\ : label is "lutpair23";
attribute HLUTNM of \Lyy0_carry__2_i_2\ : label is "lutpair22";
attribute HLUTNM of \Lyy0_carry__2_i_3\ : label is "lutpair21";
attribute HLUTNM of \Lyy0_carry__2_i_6\ : label is "lutpair23";
attribute HLUTNM of \Lyy0_carry__2_i_7\ : label is "lutpair22";
attribute HLUTNM of Lyy0_carry_i_1 : label is "lutpair12";
attribute HLUTNM of Lyy0_carry_i_2 : label is "lutpair25";
attribute HLUTNM of Lyy0_carry_i_3 : label is "lutpair13";
attribute HLUTNM of Lyy0_carry_i_4 : label is "lutpair12";
attribute HLUTNM of Lyy0_carry_i_5 : label is "lutpair25";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__0_i_10\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__0_i_11\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__0_i_9\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__1_i_10\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__1_i_9\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__2_i_10\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \Lyy_20__1_carry__2_i_8\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \Lyy_20__1_carry_i_8\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \Lyy_2_bottom_right0__0_carry__2_i_11\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \Lyy_2_bottom_right0__0_carry__2_i_8\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \addr_0[0]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \addr_0[10]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \addr_0[11]_i_1\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \addr_0[12]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \addr_0[13]_i_2\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \addr_0[1]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \addr_0[2]_i_1\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \addr_0[3]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \addr_0[4]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \addr_0[5]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \addr_0[6]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \addr_0[7]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \addr_0[8]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \addr_0[9]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \addr_1[0]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \addr_1[10]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \addr_1[11]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \addr_1[12]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \addr_1[13]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \addr_1[1]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \addr_1[2]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \addr_1[3]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \addr_1[4]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \addr_1[5]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \addr_1[6]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \addr_1[7]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \addr_1[8]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \addr_1[9]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \bottom_right_0[0]_i_2\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \bottom_right_0[14]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \bottom_right_0[15]_i_3\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \bottom_right_0[15]_i_4\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \bottom_right_0[15]_i_5\ : label is "soft_lutpair12";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of bram_0 : label is "blk_mem_gen_0,blk_mem_gen_v8_3_5,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of bram_0 : label is "yes";
attribute x_core_info : string;
attribute x_core_info of bram_0 : label is "blk_mem_gen_v8_3_5,Vivado 2016.4";
attribute srl_bus_name : string;
attribute srl_bus_name of \cache_reg[2][0]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name : string;
attribute srl_name of \cache_reg[2][0]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][0]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][10]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][10]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][10]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][11]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][11]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][11]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][12]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][12]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][12]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][13]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][13]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][13]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][14]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][14]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][14]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][15]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][15]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][15]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][1]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][1]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][1]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][2]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][2]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][2]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][3]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][3]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][3]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][4]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][4]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][4]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][5]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][5]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][5]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][6]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][6]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][6]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][7]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][7]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][7]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][8]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][8]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][8]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[2][9]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2] ";
attribute srl_name of \cache_reg[2][9]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[2][9]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][0]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][0]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][0]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][10]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][10]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][10]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][11]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][11]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][11]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][12]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][12]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][12]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][13]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][13]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][13]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][14]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][14]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][14]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][15]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][15]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][15]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][1]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][1]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][1]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][2]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][2]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][2]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][3]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][3]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][3]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][4]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][4]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][4]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][5]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][5]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][5]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][6]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][6]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][6]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][7]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][7]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][7]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][8]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][8]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][8]_srl2___U0_cache_reg_r_0 ";
attribute srl_bus_name of \cache_reg[6][9]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6] ";
attribute srl_name of \cache_reg[6][9]_srl2___U0_cache_reg_r_0\ : label is "\U0/cache_reg[6][9]_srl2___U0_cache_reg_r_0 ";
attribute SOFT_HLUTNM of cache_reg_gate : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \cache_reg_gate__0\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \cache_reg_gate__1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \cache_reg_gate__10\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \cache_reg_gate__11\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \cache_reg_gate__12\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \cache_reg_gate__13\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \cache_reg_gate__14\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \cache_reg_gate__15\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \cache_reg_gate__16\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \cache_reg_gate__17\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \cache_reg_gate__18\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \cache_reg_gate__19\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \cache_reg_gate__2\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \cache_reg_gate__20\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \cache_reg_gate__21\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \cache_reg_gate__22\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \cache_reg_gate__23\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \cache_reg_gate__24\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \cache_reg_gate__25\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \cache_reg_gate__26\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \cache_reg_gate__27\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \cache_reg_gate__28\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \cache_reg_gate__29\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \cache_reg_gate__3\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \cache_reg_gate__30\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \cache_reg_gate__4\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \cache_reg_gate__5\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \cache_reg_gate__6\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \cache_reg_gate__7\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \cache_reg_gate__8\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \cache_reg_gate__9\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \compute_addr_0[11]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \compute_addr_2[10]_i_2\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \compute_addr_2[11]_i_2\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \compute_addr_2[12]_i_2\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \compute_addr_2[13]_i_3\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \compute_addr_2[13]_i_4\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \compute_addr_3[10]_i_2\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \compute_addr_3[11]_i_2\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \compute_addr_3[12]_i_2\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \compute_addr_3[13]_i_2\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \cycle[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \cycle[1]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \cycle[2]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \cycle[3]_i_2\ : label is "soft_lutpair15";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cycle_reg[0]\ : label is "cycle_reg[0]";
attribute ORIG_CELL_NAME of \cycle_reg[0]_rep\ : label is "cycle_reg[0]";
attribute ORIG_CELL_NAME of \cycle_reg[1]\ : label is "cycle_reg[1]";
attribute ORIG_CELL_NAME of \cycle_reg[1]_rep\ : label is "cycle_reg[1]";
attribute ORIG_CELL_NAME of \cycle_reg[1]_rep__0\ : label is "cycle_reg[1]";
attribute ORIG_CELL_NAME of \cycle_reg[2]\ : label is "cycle_reg[2]";
attribute ORIG_CELL_NAME of \cycle_reg[2]_rep\ : label is "cycle_reg[2]";
attribute SOFT_HLUTNM of \det_abs[10]_i_1\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \det_abs[11]_i_1\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \det_abs[12]_i_1\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \det_abs[13]_i_1\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \det_abs[14]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \det_abs[15]_i_1\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \det_abs[16]_i_1\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \det_abs[17]_i_1\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \det_abs[18]_i_1\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \det_abs[19]_i_1\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \det_abs[1]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \det_abs[20]_i_1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \det_abs[21]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \det_abs[22]_i_1\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \det_abs[23]_i_1\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \det_abs[24]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \det_abs[25]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \det_abs[26]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \det_abs[27]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \det_abs[28]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \det_abs[29]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \det_abs[2]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \det_abs[30]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \det_abs[3]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \det_abs[4]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \det_abs[5]_i_1\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \det_abs[6]_i_1\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \det_abs[7]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \det_abs[8]_i_1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \det_abs[9]_i_1\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \left[15]_i_2\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \left[15]_i_3\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \x0[1]_i_4\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \x0[2]_i_4\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \x0[2]_i_5\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \x0[3]_i_4\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \x0[3]_i_5\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \x0[4]_i_4\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \x0[4]_i_5\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \x0[5]_i_4\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \x0[5]_i_5\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \x0[7]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \x0[7]_i_4\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \x0[7]_i_6\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \x0[8]_i_4\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \x0[8]_i_5\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \x0[8]_i_6\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \x0[8]_i_7\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \x0[9]_i_6\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \x1[3]_i_4\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \x1[4]_i_4\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \x1[4]_i_5\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \x1[5]_i_3\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \x1[5]_i_5\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \x1[6]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \x1[6]_i_4\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \x1[6]_i_7\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \x1[6]_i_8\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \x1[7]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \x1[7]_i_4\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \x1[8]_i_6\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \x1[9]_i_3\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \x1[9]_i_7\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \y1[2]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \y1[3]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \y2[2]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \y2[3]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \y3[1]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \y3[2]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \y3[3]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \y4[2]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \y4[3]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \y5[0]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \y5[1]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \y5[2]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \y5[3]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \y6[2]_i_1\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \y6[3]_i_1\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \y7[2]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \y7[3]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \y9[3]_i_1\ : label is "soft_lutpair20";
begin
Lxx0_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => Lxx0_carry_n_0,
CO(2) => Lxx0_carry_n_1,
CO(1) => Lxx0_carry_n_2,
CO(0) => Lxx0_carry_n_3,
CYINIT => '0',
DI(3) => Lxx0_carry_i_1_n_0,
DI(2) => Lxx0_carry_i_2_n_0,
DI(1) => '1',
DI(0) => \Lxx_2_reg_n_0_[0]\,
O(3 downto 0) => A(3 downto 0),
S(3) => Lxx0_carry_i_3_n_0,
S(2) => Lxx0_carry_i_4_n_0,
S(1) => Lxx0_carry_i_5_n_0,
S(0) => Lxx0_carry_i_6_n_0
);
\Lxx0_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => Lxx0_carry_n_0,
CO(3) => \Lxx0_carry__0_n_0\,
CO(2) => \Lxx0_carry__0_n_1\,
CO(1) => \Lxx0_carry__0_n_2\,
CO(0) => \Lxx0_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lxx0_carry__0_i_1_n_0\,
DI(2) => \Lxx0_carry__0_i_2_n_0\,
DI(1) => \Lxx0_carry__0_i_3_n_0\,
DI(0) => \Lxx0_carry__0_i_4_n_0\,
O(3 downto 0) => A(7 downto 4),
S(3) => \Lxx0_carry__0_i_5_n_0\,
S(2) => \Lxx0_carry__0_i_6_n_0\,
S(1) => \Lxx0_carry__0_i_7_n_0\,
S(0) => \Lxx0_carry__0_i_8_n_0\
);
\Lxx0_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(6),
I1 => \Lxx_2_reg_n_0_[6]\,
I2 => Lxx_0(6),
O => \Lxx0_carry__0_i_1_n_0\
);
\Lxx0_carry__0_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(5),
I1 => \Lxx_2_reg_n_0_[5]\,
I2 => Lxx_0(5),
O => \Lxx0_carry__0_i_2_n_0\
);
\Lxx0_carry__0_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(4),
I1 => \Lxx_2_reg_n_0_[4]\,
I2 => Lxx_0(4),
O => \Lxx0_carry__0_i_3_n_0\
);
\Lxx0_carry__0_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(3),
I1 => \Lxx_2_reg_n_0_[3]\,
I2 => Lxx_0(3),
O => \Lxx0_carry__0_i_4_n_0\
);
\Lxx0_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(7),
I1 => \Lxx_2_reg_n_0_[7]\,
I2 => Lxx_0(7),
I3 => \Lxx0_carry__0_i_1_n_0\,
O => \Lxx0_carry__0_i_5_n_0\
);
\Lxx0_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(6),
I1 => \Lxx_2_reg_n_0_[6]\,
I2 => Lxx_0(6),
I3 => \Lxx0_carry__0_i_2_n_0\,
O => \Lxx0_carry__0_i_6_n_0\
);
\Lxx0_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(5),
I1 => \Lxx_2_reg_n_0_[5]\,
I2 => Lxx_0(5),
I3 => \Lxx0_carry__0_i_3_n_0\,
O => \Lxx0_carry__0_i_7_n_0\
);
\Lxx0_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(4),
I1 => \Lxx_2_reg_n_0_[4]\,
I2 => Lxx_0(4),
I3 => \Lxx0_carry__0_i_4_n_0\,
O => \Lxx0_carry__0_i_8_n_0\
);
\Lxx0_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx0_carry__0_n_0\,
CO(3) => \Lxx0_carry__1_n_0\,
CO(2) => \Lxx0_carry__1_n_1\,
CO(1) => \Lxx0_carry__1_n_2\,
CO(0) => \Lxx0_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lxx0_carry__1_i_1_n_0\,
DI(2) => \Lxx0_carry__1_i_2_n_0\,
DI(1) => \Lxx0_carry__1_i_3_n_0\,
DI(0) => \Lxx0_carry__1_i_4_n_0\,
O(3 downto 0) => A(11 downto 8),
S(3) => \Lxx0_carry__1_i_5_n_0\,
S(2) => \Lxx0_carry__1_i_6_n_0\,
S(1) => \Lxx0_carry__1_i_7_n_0\,
S(0) => \Lxx0_carry__1_i_8_n_0\
);
\Lxx0_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(10),
I1 => \Lxx_2_reg_n_0_[10]\,
I2 => Lxx_0(10),
O => \Lxx0_carry__1_i_1_n_0\
);
\Lxx0_carry__1_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(9),
I1 => \Lxx_2_reg_n_0_[9]\,
I2 => Lxx_0(9),
O => \Lxx0_carry__1_i_2_n_0\
);
\Lxx0_carry__1_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(8),
I1 => \Lxx_2_reg_n_0_[8]\,
I2 => Lxx_0(8),
O => \Lxx0_carry__1_i_3_n_0\
);
\Lxx0_carry__1_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(7),
I1 => \Lxx_2_reg_n_0_[7]\,
I2 => Lxx_0(7),
O => \Lxx0_carry__1_i_4_n_0\
);
\Lxx0_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(11),
I1 => \Lxx_2_reg_n_0_[11]\,
I2 => Lxx_0(11),
I3 => \Lxx0_carry__1_i_1_n_0\,
O => \Lxx0_carry__1_i_5_n_0\
);
\Lxx0_carry__1_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(10),
I1 => \Lxx_2_reg_n_0_[10]\,
I2 => Lxx_0(10),
I3 => \Lxx0_carry__1_i_2_n_0\,
O => \Lxx0_carry__1_i_6_n_0\
);
\Lxx0_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(9),
I1 => \Lxx_2_reg_n_0_[9]\,
I2 => Lxx_0(9),
I3 => \Lxx0_carry__1_i_3_n_0\,
O => \Lxx0_carry__1_i_7_n_0\
);
\Lxx0_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(8),
I1 => \Lxx_2_reg_n_0_[8]\,
I2 => Lxx_0(8),
I3 => \Lxx0_carry__1_i_4_n_0\,
O => \Lxx0_carry__1_i_8_n_0\
);
\Lxx0_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx0_carry__1_n_0\,
CO(3) => \NLW_Lxx0_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lxx0_carry__2_n_1\,
CO(1) => \Lxx0_carry__2_n_2\,
CO(0) => \Lxx0_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lxx0_carry__2_i_1_n_0\,
DI(1) => \Lxx0_carry__2_i_2_n_0\,
DI(0) => \Lxx0_carry__2_i_3_n_0\,
O(3 downto 0) => A(15 downto 12),
S(3) => \Lxx0_carry__2_i_4_n_0\,
S(2) => \Lxx0_carry__2_i_5_n_0\,
S(1) => \Lxx0_carry__2_i_6_n_0\,
S(0) => \Lxx0_carry__2_i_7_n_0\
);
\Lxx0_carry__2_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(13),
I1 => \Lxx_2_reg_n_0_[13]\,
I2 => Lxx_0(13),
O => \Lxx0_carry__2_i_1_n_0\
);
\Lxx0_carry__2_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(12),
I1 => \Lxx_2_reg_n_0_[12]\,
I2 => Lxx_0(12),
O => \Lxx0_carry__2_i_2_n_0\
);
\Lxx0_carry__2_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(11),
I1 => \Lxx_2_reg_n_0_[11]\,
I2 => Lxx_0(11),
O => \Lxx0_carry__2_i_3_n_0\
);
\Lxx0_carry__2_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"8E71718E718E8E71"
)
port map (
I0 => Lxx_0(14),
I1 => \Lxx_2_reg_n_0_[14]\,
I2 => Lxx_1(14),
I3 => \Lxx_2_reg_n_0_[15]\,
I4 => Lxx_1(15),
I5 => Lxx_0(15),
O => \Lxx0_carry__2_i_4_n_0\
);
\Lxx0_carry__2_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \Lxx0_carry__2_i_1_n_0\,
I1 => \Lxx_2_reg_n_0_[14]\,
I2 => Lxx_1(14),
I3 => Lxx_0(14),
O => \Lxx0_carry__2_i_5_n_0\
);
\Lxx0_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(13),
I1 => \Lxx_2_reg_n_0_[13]\,
I2 => Lxx_0(13),
I3 => \Lxx0_carry__2_i_2_n_0\,
O => \Lxx0_carry__2_i_6_n_0\
);
\Lxx0_carry__2_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(12),
I1 => \Lxx_2_reg_n_0_[12]\,
I2 => Lxx_0(12),
I3 => \Lxx0_carry__2_i_3_n_0\,
O => \Lxx0_carry__2_i_7_n_0\
);
Lxx0_carry_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(2),
I1 => \Lxx_2_reg_n_0_[2]\,
I2 => Lxx_0(2),
O => Lxx0_carry_i_1_n_0
);
Lxx0_carry_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lxx_1(1),
I1 => \Lxx_2_reg_n_0_[1]\,
I2 => Lxx_0(1),
O => Lxx0_carry_i_2_n_0
);
Lxx0_carry_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(3),
I1 => \Lxx_2_reg_n_0_[3]\,
I2 => Lxx_0(3),
I3 => Lxx0_carry_i_1_n_0,
O => Lxx0_carry_i_3_n_0
);
Lxx0_carry_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lxx_1(2),
I1 => \Lxx_2_reg_n_0_[2]\,
I2 => Lxx_0(2),
I3 => Lxx0_carry_i_2_n_0,
O => Lxx0_carry_i_4_n_0
);
Lxx0_carry_i_5: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxx_1(1),
I1 => \Lxx_2_reg_n_0_[1]\,
I2 => Lxx_0(1),
O => Lxx0_carry_i_5_n_0
);
Lxx0_carry_i_6: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \Lxx_2_reg_n_0_[0]\,
I1 => Lxx_0(0),
O => Lxx0_carry_i_6_n_0
);
\Lxx_00__1_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \Lxx_00__1_carry_n_0\,
CO(2) => \Lxx_00__1_carry_n_1\,
CO(1) => \Lxx_00__1_carry_n_2\,
CO(0) => \Lxx_00__1_carry_n_3\,
CYINIT => '0',
DI(3) => \Lxx_00__1_carry_i_1_n_0\,
DI(2) => \Lxx_00__1_carry_i_2_n_0\,
DI(1) => \Lxx_00__1_carry_i_3_n_0\,
DI(0) => \bottom_right_0_reg_n_0_[0]\,
O(3 downto 0) => Lxx_00(3 downto 0),
S(3) => \Lxx_00__1_carry_i_4_n_0\,
S(2) => \Lxx_00__1_carry_i_5_n_0\,
S(1) => \Lxx_00__1_carry_i_6_n_0\,
S(0) => \Lxx_00__1_carry_i_7_n_0\
);
\Lxx_00__1_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx_00__1_carry_n_0\,
CO(3) => \Lxx_00__1_carry__0_n_0\,
CO(2) => \Lxx_00__1_carry__0_n_1\,
CO(1) => \Lxx_00__1_carry__0_n_2\,
CO(0) => \Lxx_00__1_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lxx_00__1_carry__0_i_1_n_0\,
DI(2) => \Lxx_00__1_carry__0_i_2_n_0\,
DI(1) => \Lxx_00__1_carry__0_i_3_n_0\,
DI(0) => \Lxx_00__1_carry__0_i_4_n_0\,
O(3 downto 0) => Lxx_00(7 downto 4),
S(3) => \Lxx_00__1_carry__0_i_5_n_0\,
S(2) => \Lxx_00__1_carry__0_i_6_n_0\,
S(1) => \Lxx_00__1_carry__0_i_7_n_0\,
S(0) => \Lxx_00__1_carry__0_i_8_n_0\
);
\Lxx_00__1_carry__0_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[6]\,
I1 => \Lxx_00__1_carry__0_i_9_n_0\,
I2 => \top_left_0_reg_n_0_[5]\,
I3 => \top_right_0_reg_n_0_[5]\,
I4 => \bottom_left_0_reg_n_0_[5]\,
O => \Lxx_00__1_carry__0_i_1_n_0\
);
\Lxx_00__1_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[5]\,
I1 => \top_right_0_reg_n_0_[5]\,
I2 => \top_left_0_reg_n_0_[5]\,
O => \Lxx_00__1_carry__0_i_10_n_0\
);
\Lxx_00__1_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[4]\,
I1 => \top_right_0_reg_n_0_[4]\,
I2 => \top_left_0_reg_n_0_[4]\,
O => \Lxx_00__1_carry__0_i_11_n_0\
);
\Lxx_00__1_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[7]\,
I1 => \top_right_0_reg_n_0_[7]\,
I2 => \top_left_0_reg_n_0_[7]\,
O => \Lxx_00__1_carry__0_i_12_n_0\
);
\Lxx_00__1_carry__0_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[5]\,
I1 => \Lxx_00__1_carry__0_i_10_n_0\,
I2 => \top_left_0_reg_n_0_[4]\,
I3 => \top_right_0_reg_n_0_[4]\,
I4 => \bottom_left_0_reg_n_0_[4]\,
O => \Lxx_00__1_carry__0_i_2_n_0\
);
\Lxx_00__1_carry__0_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[4]\,
I1 => \Lxx_00__1_carry__0_i_11_n_0\,
I2 => \top_left_0_reg_n_0_[3]\,
I3 => \top_right_0_reg_n_0_[3]\,
I4 => \bottom_left_0_reg_n_0_[3]\,
O => \Lxx_00__1_carry__0_i_3_n_0\
);
\Lxx_00__1_carry__0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[3]\,
I1 => \Lxx_00__1_carry_i_8_n_0\,
I2 => \top_left_0_reg_n_0_[2]\,
I3 => \top_right_0_reg_n_0_[2]\,
I4 => \bottom_left_0_reg_n_0_[2]\,
O => \Lxx_00__1_carry__0_i_4_n_0\
);
\Lxx_00__1_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__0_i_1_n_0\,
I1 => \top_left_0_reg_n_0_[6]\,
I2 => \top_right_0_reg_n_0_[6]\,
I3 => \bottom_left_0_reg_n_0_[6]\,
I4 => \bottom_right_0_reg_n_0_[7]\,
I5 => \Lxx_00__1_carry__0_i_12_n_0\,
O => \Lxx_00__1_carry__0_i_5_n_0\
);
\Lxx_00__1_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__0_i_2_n_0\,
I1 => \top_left_0_reg_n_0_[5]\,
I2 => \top_right_0_reg_n_0_[5]\,
I3 => \bottom_left_0_reg_n_0_[5]\,
I4 => \bottom_right_0_reg_n_0_[6]\,
I5 => \Lxx_00__1_carry__0_i_9_n_0\,
O => \Lxx_00__1_carry__0_i_6_n_0\
);
\Lxx_00__1_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__0_i_3_n_0\,
I1 => \top_left_0_reg_n_0_[4]\,
I2 => \top_right_0_reg_n_0_[4]\,
I3 => \bottom_left_0_reg_n_0_[4]\,
I4 => \bottom_right_0_reg_n_0_[5]\,
I5 => \Lxx_00__1_carry__0_i_10_n_0\,
O => \Lxx_00__1_carry__0_i_7_n_0\
);
\Lxx_00__1_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__0_i_4_n_0\,
I1 => \top_left_0_reg_n_0_[3]\,
I2 => \top_right_0_reg_n_0_[3]\,
I3 => \bottom_left_0_reg_n_0_[3]\,
I4 => \bottom_right_0_reg_n_0_[4]\,
I5 => \Lxx_00__1_carry__0_i_11_n_0\,
O => \Lxx_00__1_carry__0_i_8_n_0\
);
\Lxx_00__1_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[6]\,
I1 => \top_right_0_reg_n_0_[6]\,
I2 => \top_left_0_reg_n_0_[6]\,
O => \Lxx_00__1_carry__0_i_9_n_0\
);
\Lxx_00__1_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx_00__1_carry__0_n_0\,
CO(3) => \Lxx_00__1_carry__1_n_0\,
CO(2) => \Lxx_00__1_carry__1_n_1\,
CO(1) => \Lxx_00__1_carry__1_n_2\,
CO(0) => \Lxx_00__1_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lxx_00__1_carry__1_i_1_n_0\,
DI(2) => \Lxx_00__1_carry__1_i_2_n_0\,
DI(1) => \Lxx_00__1_carry__1_i_3_n_0\,
DI(0) => \Lxx_00__1_carry__1_i_4_n_0\,
O(3 downto 0) => Lxx_00(11 downto 8),
S(3) => \Lxx_00__1_carry__1_i_5_n_0\,
S(2) => \Lxx_00__1_carry__1_i_6_n_0\,
S(1) => \Lxx_00__1_carry__1_i_7_n_0\,
S(0) => \Lxx_00__1_carry__1_i_8_n_0\
);
\Lxx_00__1_carry__1_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[10]\,
I1 => \Lxx_00__1_carry__1_i_9_n_0\,
I2 => \top_left_0_reg_n_0_[9]\,
I3 => \top_right_0_reg_n_0_[9]\,
I4 => \bottom_left_0_reg_n_0_[9]\,
O => \Lxx_00__1_carry__1_i_1_n_0\
);
\Lxx_00__1_carry__1_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[9]\,
I1 => \top_right_0_reg_n_0_[9]\,
I2 => \top_left_0_reg_n_0_[9]\,
O => \Lxx_00__1_carry__1_i_10_n_0\
);
\Lxx_00__1_carry__1_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[8]\,
I1 => \top_right_0_reg_n_0_[8]\,
I2 => \top_left_0_reg_n_0_[8]\,
O => \Lxx_00__1_carry__1_i_11_n_0\
);
\Lxx_00__1_carry__1_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[11]\,
I1 => \top_right_0_reg_n_0_[11]\,
I2 => \top_left_0_reg_n_0_[11]\,
O => \Lxx_00__1_carry__1_i_12_n_0\
);
\Lxx_00__1_carry__1_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[9]\,
I1 => \Lxx_00__1_carry__1_i_10_n_0\,
I2 => \top_left_0_reg_n_0_[8]\,
I3 => \top_right_0_reg_n_0_[8]\,
I4 => \bottom_left_0_reg_n_0_[8]\,
O => \Lxx_00__1_carry__1_i_2_n_0\
);
\Lxx_00__1_carry__1_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[8]\,
I1 => \Lxx_00__1_carry__1_i_11_n_0\,
I2 => \top_left_0_reg_n_0_[7]\,
I3 => \top_right_0_reg_n_0_[7]\,
I4 => \bottom_left_0_reg_n_0_[7]\,
O => \Lxx_00__1_carry__1_i_3_n_0\
);
\Lxx_00__1_carry__1_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[7]\,
I1 => \Lxx_00__1_carry__0_i_12_n_0\,
I2 => \top_left_0_reg_n_0_[6]\,
I3 => \top_right_0_reg_n_0_[6]\,
I4 => \bottom_left_0_reg_n_0_[6]\,
O => \Lxx_00__1_carry__1_i_4_n_0\
);
\Lxx_00__1_carry__1_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__1_i_1_n_0\,
I1 => \top_left_0_reg_n_0_[10]\,
I2 => \top_right_0_reg_n_0_[10]\,
I3 => \bottom_left_0_reg_n_0_[10]\,
I4 => \bottom_right_0_reg_n_0_[11]\,
I5 => \Lxx_00__1_carry__1_i_12_n_0\,
O => \Lxx_00__1_carry__1_i_5_n_0\
);
\Lxx_00__1_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__1_i_2_n_0\,
I1 => \top_left_0_reg_n_0_[9]\,
I2 => \top_right_0_reg_n_0_[9]\,
I3 => \bottom_left_0_reg_n_0_[9]\,
I4 => \bottom_right_0_reg_n_0_[10]\,
I5 => \Lxx_00__1_carry__1_i_9_n_0\,
O => \Lxx_00__1_carry__1_i_6_n_0\
);
\Lxx_00__1_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__1_i_3_n_0\,
I1 => \top_left_0_reg_n_0_[8]\,
I2 => \top_right_0_reg_n_0_[8]\,
I3 => \bottom_left_0_reg_n_0_[8]\,
I4 => \bottom_right_0_reg_n_0_[9]\,
I5 => \Lxx_00__1_carry__1_i_10_n_0\,
O => \Lxx_00__1_carry__1_i_7_n_0\
);
\Lxx_00__1_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__1_i_4_n_0\,
I1 => \top_left_0_reg_n_0_[7]\,
I2 => \top_right_0_reg_n_0_[7]\,
I3 => \bottom_left_0_reg_n_0_[7]\,
I4 => \bottom_right_0_reg_n_0_[8]\,
I5 => \Lxx_00__1_carry__1_i_11_n_0\,
O => \Lxx_00__1_carry__1_i_8_n_0\
);
\Lxx_00__1_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[10]\,
I1 => \top_right_0_reg_n_0_[10]\,
I2 => \top_left_0_reg_n_0_[10]\,
O => \Lxx_00__1_carry__1_i_9_n_0\
);
\Lxx_00__1_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx_00__1_carry__1_n_0\,
CO(3) => \NLW_Lxx_00__1_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lxx_00__1_carry__2_n_1\,
CO(1) => \Lxx_00__1_carry__2_n_2\,
CO(0) => \Lxx_00__1_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lxx_00__1_carry__2_i_1_n_0\,
DI(1) => \Lxx_00__1_carry__2_i_2_n_0\,
DI(0) => \Lxx_00__1_carry__2_i_3_n_0\,
O(3 downto 0) => Lxx_00(15 downto 12),
S(3) => \Lxx_00__1_carry__2_i_4_n_0\,
S(2) => \Lxx_00__1_carry__2_i_5_n_0\,
S(1) => \Lxx_00__1_carry__2_i_6_n_0\,
S(0) => \Lxx_00__1_carry__2_i_7_n_0\
);
\Lxx_00__1_carry__2_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[13]\,
I1 => \Lxx_00__1_carry__2_i_8_n_0\,
I2 => \top_left_0_reg_n_0_[12]\,
I3 => \top_right_0_reg_n_0_[12]\,
I4 => \bottom_left_0_reg_n_0_[12]\,
O => \Lxx_00__1_carry__2_i_1_n_0\
);
\Lxx_00__1_carry__2_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"2B"
)
port map (
I0 => \top_left_0_reg_n_0_[13]\,
I1 => \top_right_0_reg_n_0_[13]\,
I2 => \bottom_left_0_reg_n_0_[13]\,
O => \Lxx_00__1_carry__2_i_10_n_0\
);
\Lxx_00__1_carry__2_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \top_right_0_reg_n_0_[15]\,
I1 => \bottom_left_0_reg_n_0_[15]\,
I2 => \bottom_right_0_reg_n_0_[15]\,
I3 => \top_left_0_reg_n_0_[15]\,
O => \Lxx_00__1_carry__2_i_11_n_0\
);
\Lxx_00__1_carry__2_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[14]\,
I1 => \top_right_0_reg_n_0_[14]\,
I2 => \top_left_0_reg_n_0_[14]\,
O => \Lxx_00__1_carry__2_i_12_n_0\
);
\Lxx_00__1_carry__2_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[12]\,
I1 => \Lxx_00__1_carry__2_i_9_n_0\,
I2 => \top_left_0_reg_n_0_[11]\,
I3 => \top_right_0_reg_n_0_[11]\,
I4 => \bottom_left_0_reg_n_0_[11]\,
O => \Lxx_00__1_carry__2_i_2_n_0\
);
\Lxx_00__1_carry__2_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[11]\,
I1 => \Lxx_00__1_carry__1_i_12_n_0\,
I2 => \top_left_0_reg_n_0_[10]\,
I3 => \top_right_0_reg_n_0_[10]\,
I4 => \bottom_left_0_reg_n_0_[10]\,
O => \Lxx_00__1_carry__2_i_3_n_0\
);
\Lxx_00__1_carry__2_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"178181E8E87E7E17"
)
port map (
I0 => \Lxx_00__1_carry__2_i_10_n_0\,
I1 => \bottom_right_0_reg_n_0_[14]\,
I2 => \top_left_0_reg_n_0_[14]\,
I3 => \top_right_0_reg_n_0_[14]\,
I4 => \bottom_left_0_reg_n_0_[14]\,
I5 => \Lxx_00__1_carry__2_i_11_n_0\,
O => \Lxx_00__1_carry__2_i_4_n_0\
);
\Lxx_00__1_carry__2_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__2_i_1_n_0\,
I1 => \top_left_0_reg_n_0_[13]\,
I2 => \top_right_0_reg_n_0_[13]\,
I3 => \bottom_left_0_reg_n_0_[13]\,
I4 => \bottom_right_0_reg_n_0_[14]\,
I5 => \Lxx_00__1_carry__2_i_12_n_0\,
O => \Lxx_00__1_carry__2_i_5_n_0\
);
\Lxx_00__1_carry__2_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__2_i_2_n_0\,
I1 => \top_left_0_reg_n_0_[12]\,
I2 => \top_right_0_reg_n_0_[12]\,
I3 => \bottom_left_0_reg_n_0_[12]\,
I4 => \bottom_right_0_reg_n_0_[13]\,
I5 => \Lxx_00__1_carry__2_i_8_n_0\,
O => \Lxx_00__1_carry__2_i_6_n_0\
);
\Lxx_00__1_carry__2_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry__2_i_3_n_0\,
I1 => \top_left_0_reg_n_0_[11]\,
I2 => \top_right_0_reg_n_0_[11]\,
I3 => \bottom_left_0_reg_n_0_[11]\,
I4 => \bottom_right_0_reg_n_0_[12]\,
I5 => \Lxx_00__1_carry__2_i_9_n_0\,
O => \Lxx_00__1_carry__2_i_7_n_0\
);
\Lxx_00__1_carry__2_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[13]\,
I1 => \top_right_0_reg_n_0_[13]\,
I2 => \top_left_0_reg_n_0_[13]\,
O => \Lxx_00__1_carry__2_i_8_n_0\
);
\Lxx_00__1_carry__2_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[12]\,
I1 => \top_right_0_reg_n_0_[12]\,
I2 => \top_left_0_reg_n_0_[12]\,
O => \Lxx_00__1_carry__2_i_9_n_0\
);
\Lxx_00__1_carry_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8228EBBEEBBEEBBE"
)
port map (
I0 => \bottom_right_0_reg_n_0_[2]\,
I1 => \top_left_0_reg_n_0_[2]\,
I2 => \top_right_0_reg_n_0_[2]\,
I3 => \bottom_left_0_reg_n_0_[2]\,
I4 => \bottom_left_0_reg_n_0_[1]\,
I5 => \top_right_0_reg_n_0_[1]\,
O => \Lxx_00__1_carry_i_1_n_0\
);
\Lxx_00__1_carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F990"
)
port map (
I0 => \bottom_left_0_reg_n_0_[1]\,
I1 => \top_right_0_reg_n_0_[1]\,
I2 => \top_left_0_reg_n_0_[1]\,
I3 => \bottom_right_0_reg_n_0_[1]\,
O => \Lxx_00__1_carry_i_2_n_0\
);
\Lxx_00__1_carry_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \top_right_0_reg_n_0_[1]\,
I1 => \bottom_left_0_reg_n_0_[1]\,
I2 => \bottom_right_0_reg_n_0_[1]\,
I3 => \top_left_0_reg_n_0_[1]\,
O => \Lxx_00__1_carry_i_3_n_0\
);
\Lxx_00__1_carry_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_00__1_carry_i_1_n_0\,
I1 => \top_left_0_reg_n_0_[2]\,
I2 => \top_right_0_reg_n_0_[2]\,
I3 => \bottom_left_0_reg_n_0_[2]\,
I4 => \bottom_right_0_reg_n_0_[3]\,
I5 => \Lxx_00__1_carry_i_8_n_0\,
O => \Lxx_00__1_carry_i_4_n_0\
);
\Lxx_00__1_carry_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696969"
)
port map (
I0 => \Lxx_00__1_carry_i_2_n_0\,
I1 => \bottom_right_0_reg_n_0_[2]\,
I2 => \Lxx_00__1_carry_i_9_n_0\,
I3 => \bottom_left_0_reg_n_0_[1]\,
I4 => \top_right_0_reg_n_0_[1]\,
O => \Lxx_00__1_carry_i_5_n_0\
);
\Lxx_00__1_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"A665"
)
port map (
I0 => \Lxx_00__1_carry_i_3_n_0\,
I1 => \top_left_0_reg_n_0_[0]\,
I2 => \top_right_0_reg_n_0_[0]\,
I3 => \bottom_left_0_reg_n_0_[0]\,
O => \Lxx_00__1_carry_i_6_n_0\
);
\Lxx_00__1_carry_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \bottom_left_0_reg_n_0_[0]\,
I1 => \top_right_0_reg_n_0_[0]\,
I2 => \top_left_0_reg_n_0_[0]\,
I3 => \bottom_right_0_reg_n_0_[0]\,
O => \Lxx_00__1_carry_i_7_n_0\
);
\Lxx_00__1_carry_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[3]\,
I1 => \top_right_0_reg_n_0_[3]\,
I2 => \top_left_0_reg_n_0_[3]\,
O => \Lxx_00__1_carry_i_8_n_0\
);
\Lxx_00__1_carry_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \bottom_left_0_reg_n_0_[2]\,
I1 => \top_right_0_reg_n_0_[2]\,
I2 => \top_left_0_reg_n_0_[2]\,
O => \Lxx_00__1_carry_i_9_n_0\
);
\Lxx_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(0),
Q => Lxx_0(0),
R => '0'
);
\Lxx_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(10),
Q => Lxx_0(10),
R => '0'
);
\Lxx_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(11),
Q => Lxx_0(11),
R => '0'
);
\Lxx_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(12),
Q => Lxx_0(12),
R => '0'
);
\Lxx_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(13),
Q => Lxx_0(13),
R => '0'
);
\Lxx_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(14),
Q => Lxx_0(14),
R => '0'
);
\Lxx_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(15),
Q => Lxx_0(15),
R => '0'
);
\Lxx_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(1),
Q => Lxx_0(1),
R => '0'
);
\Lxx_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(2),
Q => Lxx_0(2),
R => '0'
);
\Lxx_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(3),
Q => Lxx_0(3),
R => '0'
);
\Lxx_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(4),
Q => Lxx_0(4),
R => '0'
);
\Lxx_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(5),
Q => Lxx_0(5),
R => '0'
);
\Lxx_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(6),
Q => Lxx_0(6),
R => '0'
);
\Lxx_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(7),
Q => Lxx_0(7),
R => '0'
);
\Lxx_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(8),
Q => Lxx_0(8),
R => '0'
);
\Lxx_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => Lxx_00(9),
Q => Lxx_0(9),
R => '0'
);
\Lxx_11__1_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \Lxx_11__1_carry_n_0\,
CO(2) => \Lxx_11__1_carry_n_1\,
CO(1) => \Lxx_11__1_carry_n_2\,
CO(0) => \Lxx_11__1_carry_n_3\,
CYINIT => '0',
DI(3) => \Lxx_11__1_carry_i_1_n_0\,
DI(2) => \Lxx_11__1_carry_i_2_n_0\,
DI(1) => \Lxx_11__1_carry_i_3_n_0\,
DI(0) => \bottom_right_1_reg_n_0_[0]\,
O(3 downto 0) => Lxx_11(3 downto 0),
S(3) => \Lxx_11__1_carry_i_4_n_0\,
S(2) => \Lxx_11__1_carry_i_5_n_0\,
S(1) => \Lxx_11__1_carry_i_6_n_0\,
S(0) => \Lxx_11__1_carry_i_7_n_0\
);
\Lxx_11__1_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx_11__1_carry_n_0\,
CO(3) => \Lxx_11__1_carry__0_n_0\,
CO(2) => \Lxx_11__1_carry__0_n_1\,
CO(1) => \Lxx_11__1_carry__0_n_2\,
CO(0) => \Lxx_11__1_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lxx_11__1_carry__0_i_1_n_0\,
DI(2) => \Lxx_11__1_carry__0_i_2_n_0\,
DI(1) => \Lxx_11__1_carry__0_i_3_n_0\,
DI(0) => \Lxx_11__1_carry__0_i_4_n_0\,
O(3 downto 0) => Lxx_11(7 downto 4),
S(3) => \Lxx_11__1_carry__0_i_5_n_0\,
S(2) => \Lxx_11__1_carry__0_i_6_n_0\,
S(1) => \Lxx_11__1_carry__0_i_7_n_0\,
S(0) => \Lxx_11__1_carry__0_i_8_n_0\
);
\Lxx_11__1_carry__0_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[6]\,
I1 => \Lxx_11__1_carry__0_i_9_n_0\,
I2 => top_left_1(5),
I3 => \top_right_1_reg_n_0_[5]\,
I4 => bottom_left_1(5),
O => \Lxx_11__1_carry__0_i_1_n_0\
);
\Lxx_11__1_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(5),
I1 => \top_right_1_reg_n_0_[5]\,
I2 => top_left_1(5),
O => \Lxx_11__1_carry__0_i_10_n_0\
);
\Lxx_11__1_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(4),
I1 => \top_right_1_reg_n_0_[4]\,
I2 => top_left_1(4),
O => \Lxx_11__1_carry__0_i_11_n_0\
);
\Lxx_11__1_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(7),
I1 => \top_right_1_reg_n_0_[7]\,
I2 => top_left_1(7),
O => \Lxx_11__1_carry__0_i_12_n_0\
);
\Lxx_11__1_carry__0_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[5]\,
I1 => \Lxx_11__1_carry__0_i_10_n_0\,
I2 => top_left_1(4),
I3 => \top_right_1_reg_n_0_[4]\,
I4 => bottom_left_1(4),
O => \Lxx_11__1_carry__0_i_2_n_0\
);
\Lxx_11__1_carry__0_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[4]\,
I1 => \Lxx_11__1_carry__0_i_11_n_0\,
I2 => top_left_1(3),
I3 => \top_right_1_reg_n_0_[3]\,
I4 => bottom_left_1(3),
O => \Lxx_11__1_carry__0_i_3_n_0\
);
\Lxx_11__1_carry__0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[3]\,
I1 => \Lxx_11__1_carry_i_8_n_0\,
I2 => top_left_1(2),
I3 => \top_right_1_reg_n_0_[2]\,
I4 => bottom_left_1(2),
O => \Lxx_11__1_carry__0_i_4_n_0\
);
\Lxx_11__1_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__0_i_1_n_0\,
I1 => top_left_1(6),
I2 => \top_right_1_reg_n_0_[6]\,
I3 => bottom_left_1(6),
I4 => \bottom_right_1_reg_n_0_[7]\,
I5 => \Lxx_11__1_carry__0_i_12_n_0\,
O => \Lxx_11__1_carry__0_i_5_n_0\
);
\Lxx_11__1_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__0_i_2_n_0\,
I1 => top_left_1(5),
I2 => \top_right_1_reg_n_0_[5]\,
I3 => bottom_left_1(5),
I4 => \bottom_right_1_reg_n_0_[6]\,
I5 => \Lxx_11__1_carry__0_i_9_n_0\,
O => \Lxx_11__1_carry__0_i_6_n_0\
);
\Lxx_11__1_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__0_i_3_n_0\,
I1 => top_left_1(4),
I2 => \top_right_1_reg_n_0_[4]\,
I3 => bottom_left_1(4),
I4 => \bottom_right_1_reg_n_0_[5]\,
I5 => \Lxx_11__1_carry__0_i_10_n_0\,
O => \Lxx_11__1_carry__0_i_7_n_0\
);
\Lxx_11__1_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__0_i_4_n_0\,
I1 => top_left_1(3),
I2 => \top_right_1_reg_n_0_[3]\,
I3 => bottom_left_1(3),
I4 => \bottom_right_1_reg_n_0_[4]\,
I5 => \Lxx_11__1_carry__0_i_11_n_0\,
O => \Lxx_11__1_carry__0_i_8_n_0\
);
\Lxx_11__1_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(6),
I1 => \top_right_1_reg_n_0_[6]\,
I2 => top_left_1(6),
O => \Lxx_11__1_carry__0_i_9_n_0\
);
\Lxx_11__1_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx_11__1_carry__0_n_0\,
CO(3) => \Lxx_11__1_carry__1_n_0\,
CO(2) => \Lxx_11__1_carry__1_n_1\,
CO(1) => \Lxx_11__1_carry__1_n_2\,
CO(0) => \Lxx_11__1_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lxx_11__1_carry__1_i_1_n_0\,
DI(2) => \Lxx_11__1_carry__1_i_2_n_0\,
DI(1) => \Lxx_11__1_carry__1_i_3_n_0\,
DI(0) => \Lxx_11__1_carry__1_i_4_n_0\,
O(3 downto 0) => Lxx_11(11 downto 8),
S(3) => \Lxx_11__1_carry__1_i_5_n_0\,
S(2) => \Lxx_11__1_carry__1_i_6_n_0\,
S(1) => \Lxx_11__1_carry__1_i_7_n_0\,
S(0) => \Lxx_11__1_carry__1_i_8_n_0\
);
\Lxx_11__1_carry__1_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[10]\,
I1 => \Lxx_11__1_carry__1_i_9_n_0\,
I2 => top_left_1(9),
I3 => \top_right_1_reg_n_0_[9]\,
I4 => bottom_left_1(9),
O => \Lxx_11__1_carry__1_i_1_n_0\
);
\Lxx_11__1_carry__1_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(9),
I1 => \top_right_1_reg_n_0_[9]\,
I2 => top_left_1(9),
O => \Lxx_11__1_carry__1_i_10_n_0\
);
\Lxx_11__1_carry__1_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(8),
I1 => \top_right_1_reg_n_0_[8]\,
I2 => top_left_1(8),
O => \Lxx_11__1_carry__1_i_11_n_0\
);
\Lxx_11__1_carry__1_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(11),
I1 => \top_right_1_reg_n_0_[11]\,
I2 => top_left_1(11),
O => \Lxx_11__1_carry__1_i_12_n_0\
);
\Lxx_11__1_carry__1_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[9]\,
I1 => \Lxx_11__1_carry__1_i_10_n_0\,
I2 => top_left_1(8),
I3 => \top_right_1_reg_n_0_[8]\,
I4 => bottom_left_1(8),
O => \Lxx_11__1_carry__1_i_2_n_0\
);
\Lxx_11__1_carry__1_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[8]\,
I1 => \Lxx_11__1_carry__1_i_11_n_0\,
I2 => top_left_1(7),
I3 => \top_right_1_reg_n_0_[7]\,
I4 => bottom_left_1(7),
O => \Lxx_11__1_carry__1_i_3_n_0\
);
\Lxx_11__1_carry__1_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[7]\,
I1 => \Lxx_11__1_carry__0_i_12_n_0\,
I2 => top_left_1(6),
I3 => \top_right_1_reg_n_0_[6]\,
I4 => bottom_left_1(6),
O => \Lxx_11__1_carry__1_i_4_n_0\
);
\Lxx_11__1_carry__1_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__1_i_1_n_0\,
I1 => top_left_1(10),
I2 => \top_right_1_reg_n_0_[10]\,
I3 => bottom_left_1(10),
I4 => \bottom_right_1_reg_n_0_[11]\,
I5 => \Lxx_11__1_carry__1_i_12_n_0\,
O => \Lxx_11__1_carry__1_i_5_n_0\
);
\Lxx_11__1_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__1_i_2_n_0\,
I1 => top_left_1(9),
I2 => \top_right_1_reg_n_0_[9]\,
I3 => bottom_left_1(9),
I4 => \bottom_right_1_reg_n_0_[10]\,
I5 => \Lxx_11__1_carry__1_i_9_n_0\,
O => \Lxx_11__1_carry__1_i_6_n_0\
);
\Lxx_11__1_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__1_i_3_n_0\,
I1 => top_left_1(8),
I2 => \top_right_1_reg_n_0_[8]\,
I3 => bottom_left_1(8),
I4 => \bottom_right_1_reg_n_0_[9]\,
I5 => \Lxx_11__1_carry__1_i_10_n_0\,
O => \Lxx_11__1_carry__1_i_7_n_0\
);
\Lxx_11__1_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__1_i_4_n_0\,
I1 => top_left_1(7),
I2 => \top_right_1_reg_n_0_[7]\,
I3 => bottom_left_1(7),
I4 => \bottom_right_1_reg_n_0_[8]\,
I5 => \Lxx_11__1_carry__1_i_11_n_0\,
O => \Lxx_11__1_carry__1_i_8_n_0\
);
\Lxx_11__1_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(10),
I1 => \top_right_1_reg_n_0_[10]\,
I2 => top_left_1(10),
O => \Lxx_11__1_carry__1_i_9_n_0\
);
\Lxx_11__1_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lxx_11__1_carry__1_n_0\,
CO(3) => \NLW_Lxx_11__1_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lxx_11__1_carry__2_n_1\,
CO(1) => \Lxx_11__1_carry__2_n_2\,
CO(0) => \Lxx_11__1_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lxx_11__1_carry__2_i_1_n_0\,
DI(1) => \Lxx_11__1_carry__2_i_2_n_0\,
DI(0) => \Lxx_11__1_carry__2_i_3_n_0\,
O(3 downto 0) => Lxx_11(15 downto 12),
S(3) => \Lxx_11__1_carry__2_i_4_n_0\,
S(2) => \Lxx_11__1_carry__2_i_5_n_0\,
S(1) => \Lxx_11__1_carry__2_i_6_n_0\,
S(0) => \Lxx_11__1_carry__2_i_7_n_0\
);
\Lxx_11__1_carry__2_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[13]\,
I1 => \Lxx_11__1_carry__2_i_8_n_0\,
I2 => top_left_1(12),
I3 => \top_right_1_reg_n_0_[12]\,
I4 => bottom_left_1(12),
O => \Lxx_11__1_carry__2_i_1_n_0\
);
\Lxx_11__1_carry__2_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"2B"
)
port map (
I0 => top_left_1(13),
I1 => \top_right_1_reg_n_0_[13]\,
I2 => bottom_left_1(13),
O => \Lxx_11__1_carry__2_i_10_n_0\
);
\Lxx_11__1_carry__2_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \top_right_1_reg_n_0_[15]\,
I1 => bottom_left_1(15),
I2 => \bottom_right_1_reg_n_0_[15]\,
I3 => top_left_1(15),
O => \Lxx_11__1_carry__2_i_11_n_0\
);
\Lxx_11__1_carry__2_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(14),
I1 => \top_right_1_reg_n_0_[14]\,
I2 => top_left_1(14),
O => \Lxx_11__1_carry__2_i_12_n_0\
);
\Lxx_11__1_carry__2_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[12]\,
I1 => \Lxx_11__1_carry__2_i_9_n_0\,
I2 => top_left_1(11),
I3 => \top_right_1_reg_n_0_[11]\,
I4 => bottom_left_1(11),
O => \Lxx_11__1_carry__2_i_2_n_0\
);
\Lxx_11__1_carry__2_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"88E8E8EE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[11]\,
I1 => \Lxx_11__1_carry__1_i_12_n_0\,
I2 => top_left_1(10),
I3 => \top_right_1_reg_n_0_[10]\,
I4 => bottom_left_1(10),
O => \Lxx_11__1_carry__2_i_3_n_0\
);
\Lxx_11__1_carry__2_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"178181E8E87E7E17"
)
port map (
I0 => \Lxx_11__1_carry__2_i_10_n_0\,
I1 => \bottom_right_1_reg_n_0_[14]\,
I2 => top_left_1(14),
I3 => \top_right_1_reg_n_0_[14]\,
I4 => bottom_left_1(14),
I5 => \Lxx_11__1_carry__2_i_11_n_0\,
O => \Lxx_11__1_carry__2_i_4_n_0\
);
\Lxx_11__1_carry__2_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__2_i_1_n_0\,
I1 => top_left_1(13),
I2 => \top_right_1_reg_n_0_[13]\,
I3 => bottom_left_1(13),
I4 => \bottom_right_1_reg_n_0_[14]\,
I5 => \Lxx_11__1_carry__2_i_12_n_0\,
O => \Lxx_11__1_carry__2_i_5_n_0\
);
\Lxx_11__1_carry__2_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__2_i_2_n_0\,
I1 => top_left_1(12),
I2 => \top_right_1_reg_n_0_[12]\,
I3 => bottom_left_1(12),
I4 => \bottom_right_1_reg_n_0_[13]\,
I5 => \Lxx_11__1_carry__2_i_8_n_0\,
O => \Lxx_11__1_carry__2_i_6_n_0\
);
\Lxx_11__1_carry__2_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry__2_i_3_n_0\,
I1 => top_left_1(11),
I2 => \top_right_1_reg_n_0_[11]\,
I3 => bottom_left_1(11),
I4 => \bottom_right_1_reg_n_0_[12]\,
I5 => \Lxx_11__1_carry__2_i_9_n_0\,
O => \Lxx_11__1_carry__2_i_7_n_0\
);
\Lxx_11__1_carry__2_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(13),
I1 => \top_right_1_reg_n_0_[13]\,
I2 => top_left_1(13),
O => \Lxx_11__1_carry__2_i_8_n_0\
);
\Lxx_11__1_carry__2_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(12),
I1 => \top_right_1_reg_n_0_[12]\,
I2 => top_left_1(12),
O => \Lxx_11__1_carry__2_i_9_n_0\
);
\Lxx_11__1_carry_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8228EBBEEBBEEBBE"
)
port map (
I0 => \bottom_right_1_reg_n_0_[2]\,
I1 => top_left_1(2),
I2 => \top_right_1_reg_n_0_[2]\,
I3 => bottom_left_1(2),
I4 => bottom_left_1(1),
I5 => \top_right_1_reg_n_0_[1]\,
O => \Lxx_11__1_carry_i_1_n_0\
);
\Lxx_11__1_carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F990"
)
port map (
I0 => bottom_left_1(1),
I1 => \top_right_1_reg_n_0_[1]\,
I2 => top_left_1(1),
I3 => \bottom_right_1_reg_n_0_[1]\,
O => \Lxx_11__1_carry_i_2_n_0\
);
\Lxx_11__1_carry_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \top_right_1_reg_n_0_[1]\,
I1 => bottom_left_1(1),
I2 => \bottom_right_1_reg_n_0_[1]\,
I3 => top_left_1(1),
O => \Lxx_11__1_carry_i_3_n_0\
);
\Lxx_11__1_carry_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"A665599A599AA665"
)
port map (
I0 => \Lxx_11__1_carry_i_1_n_0\,
I1 => top_left_1(2),
I2 => \top_right_1_reg_n_0_[2]\,
I3 => bottom_left_1(2),
I4 => \bottom_right_1_reg_n_0_[3]\,
I5 => \Lxx_11__1_carry_i_8_n_0\,
O => \Lxx_11__1_carry_i_4_n_0\
);
\Lxx_11__1_carry_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696969"
)
port map (
I0 => \Lxx_11__1_carry_i_2_n_0\,
I1 => \bottom_right_1_reg_n_0_[2]\,
I2 => \Lxx_11__1_carry_i_9_n_0\,
I3 => bottom_left_1(1),
I4 => \top_right_1_reg_n_0_[1]\,
O => \Lxx_11__1_carry_i_5_n_0\
);
\Lxx_11__1_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"A665"
)
port map (
I0 => \Lxx_11__1_carry_i_3_n_0\,
I1 => top_left_1(0),
I2 => \top_right_1_reg_n_0_[0]\,
I3 => bottom_left_1(0),
O => \Lxx_11__1_carry_i_6_n_0\
);
\Lxx_11__1_carry_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => bottom_left_1(0),
I1 => \top_right_1_reg_n_0_[0]\,
I2 => top_left_1(0),
I3 => \bottom_right_1_reg_n_0_[0]\,
O => \Lxx_11__1_carry_i_7_n_0\
);
\Lxx_11__1_carry_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(3),
I1 => \top_right_1_reg_n_0_[3]\,
I2 => top_left_1(3),
O => \Lxx_11__1_carry_i_8_n_0\
);
\Lxx_11__1_carry_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => bottom_left_1(2),
I1 => \top_right_1_reg_n_0_[2]\,
I2 => top_left_1(2),
O => \Lxx_11__1_carry_i_9_n_0\
);
\Lxx_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(9),
Q => Lxx_1(10),
R => '0'
);
\Lxx_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(10),
Q => Lxx_1(11),
R => '0'
);
\Lxx_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(11),
Q => Lxx_1(12),
R => '0'
);
\Lxx_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(12),
Q => Lxx_1(13),
R => '0'
);
\Lxx_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(13),
Q => Lxx_1(14),
R => '0'
);
\Lxx_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(14),
Q => Lxx_1(15),
R => '0'
);
\Lxx_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(0),
Q => Lxx_1(1),
R => '0'
);
\Lxx_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(1),
Q => Lxx_1(2),
R => '0'
);
\Lxx_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(2),
Q => Lxx_1(3),
R => '0'
);
\Lxx_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(3),
Q => Lxx_1(4),
R => '0'
);
\Lxx_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(4),
Q => Lxx_1(5),
R => '0'
);
\Lxx_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(5),
Q => Lxx_1(6),
R => '0'
);
\Lxx_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(6),
Q => Lxx_1(7),
R => '0'
);
\Lxx_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(7),
Q => Lxx_1(8),
R => '0'
);
\Lxx_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lxx_11(8),
Q => Lxx_1(9),
R => '0'
);
\Lxx_2[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0010000000000000"
)
port map (
I0 => cycle(3),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \cycle_reg[1]_rep_n_0\,
I3 => cycle(2),
I4 => rst,
I5 => active,
O => \Lxx_2[15]_i_1_n_0\
);
\Lxx_2_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(0),
Q => \Lxx_2_reg_n_0_[0]\,
R => '0'
);
\Lxx_2_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(10),
Q => \Lxx_2_reg_n_0_[10]\,
R => '0'
);
\Lxx_2_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(11),
Q => \Lxx_2_reg_n_0_[11]\,
R => '0'
);
\Lxx_2_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(12),
Q => \Lxx_2_reg_n_0_[12]\,
R => '0'
);
\Lxx_2_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(13),
Q => \Lxx_2_reg_n_0_[13]\,
R => '0'
);
\Lxx_2_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(14),
Q => \Lxx_2_reg_n_0_[14]\,
R => '0'
);
\Lxx_2_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(15),
Q => \Lxx_2_reg_n_0_[15]\,
R => '0'
);
\Lxx_2_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(1),
Q => \Lxx_2_reg_n_0_[1]\,
R => '0'
);
\Lxx_2_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(2),
Q => \Lxx_2_reg_n_0_[2]\,
R => '0'
);
\Lxx_2_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(3),
Q => \Lxx_2_reg_n_0_[3]\,
R => '0'
);
\Lxx_2_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(4),
Q => \Lxx_2_reg_n_0_[4]\,
R => '0'
);
\Lxx_2_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(5),
Q => \Lxx_2_reg_n_0_[5]\,
R => '0'
);
\Lxx_2_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(6),
Q => \Lxx_2_reg_n_0_[6]\,
R => '0'
);
\Lxx_2_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(7),
Q => \Lxx_2_reg_n_0_[7]\,
R => '0'
);
\Lxx_2_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(8),
Q => \Lxx_2_reg_n_0_[8]\,
R => '0'
);
\Lxx_2_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxx_2[15]_i_1_n_0\,
D => Lxx_00(9),
Q => \Lxx_2_reg_n_0_[9]\,
R => '0'
);
\Lxy0__1_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \Lxy0__1_carry_n_0\,
CO(2) => \Lxy0__1_carry_n_1\,
CO(1) => \Lxy0__1_carry_n_2\,
CO(0) => \Lxy0__1_carry_n_3\,
CYINIT => '0',
DI(3) => \Lxy0__1_carry_i_1_n_0\,
DI(2) => \Lxy0__1_carry_i_2_n_0\,
DI(1) => \Lxy0__1_carry_i_3_n_0\,
DI(0) => \Lxy_0_reg_n_0_[0]\,
O(3) => \Lxy0__1_carry_n_4\,
O(2) => \Lxy0__1_carry_n_5\,
O(1) => \Lxy0__1_carry_n_6\,
O(0) => \Lxy0__1_carry_n_7\,
S(3) => \Lxy0__1_carry_i_4_n_0\,
S(2) => \Lxy0__1_carry_i_5_n_0\,
S(1) => \Lxy0__1_carry_i_6_n_0\,
S(0) => \Lxy0__1_carry_i_7_n_0\
);
\Lxy0__1_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \Lxy0__1_carry_n_0\,
CO(3) => \Lxy0__1_carry__0_n_0\,
CO(2) => \Lxy0__1_carry__0_n_1\,
CO(1) => \Lxy0__1_carry__0_n_2\,
CO(0) => \Lxy0__1_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lxy0__1_carry__0_i_1_n_0\,
DI(2) => \Lxy0__1_carry__0_i_2_n_0\,
DI(1) => \Lxy0__1_carry__0_i_3_n_0\,
DI(0) => \Lxy0__1_carry__0_i_4_n_0\,
O(3) => \Lxy0__1_carry__0_n_4\,
O(2) => \Lxy0__1_carry__0_n_5\,
O(1) => \Lxy0__1_carry__0_n_6\,
O(0) => \Lxy0__1_carry__0_n_7\,
S(3) => \Lxy0__1_carry__0_i_5_n_0\,
S(2) => \Lxy0__1_carry__0_i_6_n_0\,
S(1) => \Lxy0__1_carry__0_i_7_n_0\,
S(0) => \Lxy0__1_carry__0_i_8_n_0\
);
\Lxy0__1_carry__0_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[6]\,
I1 => \Lxy0__1_carry__0_i_9_n_0\,
I2 => Lxy_3(5),
I3 => Lxy_2(5),
I4 => \Lxy_1_reg_n_0_[5]\,
O => \Lxy0__1_carry__0_i_1_n_0\
);
\Lxy0__1_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(5),
I1 => \Lxy_1_reg_n_0_[5]\,
I2 => Lxy_2(5),
O => \Lxy0__1_carry__0_i_10_n_0\
);
\Lxy0__1_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(4),
I1 => \Lxy_1_reg_n_0_[4]\,
I2 => Lxy_2(4),
O => \Lxy0__1_carry__0_i_11_n_0\
);
\Lxy0__1_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(7),
I1 => \Lxy_1_reg_n_0_[7]\,
I2 => Lxy_2(7),
O => \Lxy0__1_carry__0_i_12_n_0\
);
\Lxy0__1_carry__0_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[5]\,
I1 => \Lxy0__1_carry__0_i_10_n_0\,
I2 => Lxy_3(4),
I3 => Lxy_2(4),
I4 => \Lxy_1_reg_n_0_[4]\,
O => \Lxy0__1_carry__0_i_2_n_0\
);
\Lxy0__1_carry__0_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[4]\,
I1 => \Lxy0__1_carry__0_i_11_n_0\,
I2 => Lxy_3(3),
I3 => Lxy_2(3),
I4 => \Lxy_1_reg_n_0_[3]\,
O => \Lxy0__1_carry__0_i_3_n_0\
);
\Lxy0__1_carry__0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[3]\,
I1 => \Lxy0__1_carry_i_8_n_0\,
I2 => Lxy_3(2),
I3 => Lxy_2(2),
I4 => \Lxy_1_reg_n_0_[2]\,
O => \Lxy0__1_carry__0_i_4_n_0\
);
\Lxy0__1_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__0_i_1_n_0\,
I1 => \Lxy0__1_carry__0_i_12_n_0\,
I2 => \Lxy_0_reg_n_0_[7]\,
I3 => \Lxy_1_reg_n_0_[6]\,
I4 => Lxy_2(6),
I5 => Lxy_3(6),
O => \Lxy0__1_carry__0_i_5_n_0\
);
\Lxy0__1_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__0_i_2_n_0\,
I1 => \Lxy0__1_carry__0_i_9_n_0\,
I2 => \Lxy_0_reg_n_0_[6]\,
I3 => \Lxy_1_reg_n_0_[5]\,
I4 => Lxy_2(5),
I5 => Lxy_3(5),
O => \Lxy0__1_carry__0_i_6_n_0\
);
\Lxy0__1_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__0_i_3_n_0\,
I1 => \Lxy0__1_carry__0_i_10_n_0\,
I2 => \Lxy_0_reg_n_0_[5]\,
I3 => \Lxy_1_reg_n_0_[4]\,
I4 => Lxy_2(4),
I5 => Lxy_3(4),
O => \Lxy0__1_carry__0_i_7_n_0\
);
\Lxy0__1_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__0_i_4_n_0\,
I1 => \Lxy0__1_carry__0_i_11_n_0\,
I2 => \Lxy_0_reg_n_0_[4]\,
I3 => \Lxy_1_reg_n_0_[3]\,
I4 => Lxy_2(3),
I5 => Lxy_3(3),
O => \Lxy0__1_carry__0_i_8_n_0\
);
\Lxy0__1_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(6),
I1 => \Lxy_1_reg_n_0_[6]\,
I2 => Lxy_2(6),
O => \Lxy0__1_carry__0_i_9_n_0\
);
\Lxy0__1_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lxy0__1_carry__0_n_0\,
CO(3) => \Lxy0__1_carry__1_n_0\,
CO(2) => \Lxy0__1_carry__1_n_1\,
CO(1) => \Lxy0__1_carry__1_n_2\,
CO(0) => \Lxy0__1_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lxy0__1_carry__1_i_1_n_0\,
DI(2) => \Lxy0__1_carry__1_i_2_n_0\,
DI(1) => \Lxy0__1_carry__1_i_3_n_0\,
DI(0) => \Lxy0__1_carry__1_i_4_n_0\,
O(3) => \Lxy0__1_carry__1_n_4\,
O(2) => \Lxy0__1_carry__1_n_5\,
O(1) => \Lxy0__1_carry__1_n_6\,
O(0) => \Lxy0__1_carry__1_n_7\,
S(3) => \Lxy0__1_carry__1_i_5_n_0\,
S(2) => \Lxy0__1_carry__1_i_6_n_0\,
S(1) => \Lxy0__1_carry__1_i_7_n_0\,
S(0) => \Lxy0__1_carry__1_i_8_n_0\
);
\Lxy0__1_carry__1_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[10]\,
I1 => \Lxy0__1_carry__1_i_9_n_0\,
I2 => Lxy_3(9),
I3 => Lxy_2(9),
I4 => \Lxy_1_reg_n_0_[9]\,
O => \Lxy0__1_carry__1_i_1_n_0\
);
\Lxy0__1_carry__1_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(9),
I1 => \Lxy_1_reg_n_0_[9]\,
I2 => Lxy_2(9),
O => \Lxy0__1_carry__1_i_10_n_0\
);
\Lxy0__1_carry__1_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(8),
I1 => \Lxy_1_reg_n_0_[8]\,
I2 => Lxy_2(8),
O => \Lxy0__1_carry__1_i_11_n_0\
);
\Lxy0__1_carry__1_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(11),
I1 => \Lxy_1_reg_n_0_[11]\,
I2 => Lxy_2(11),
O => \Lxy0__1_carry__1_i_12_n_0\
);
\Lxy0__1_carry__1_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[9]\,
I1 => \Lxy0__1_carry__1_i_10_n_0\,
I2 => Lxy_3(8),
I3 => Lxy_2(8),
I4 => \Lxy_1_reg_n_0_[8]\,
O => \Lxy0__1_carry__1_i_2_n_0\
);
\Lxy0__1_carry__1_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[8]\,
I1 => \Lxy0__1_carry__1_i_11_n_0\,
I2 => Lxy_3(7),
I3 => Lxy_2(7),
I4 => \Lxy_1_reg_n_0_[7]\,
O => \Lxy0__1_carry__1_i_3_n_0\
);
\Lxy0__1_carry__1_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[7]\,
I1 => \Lxy0__1_carry__0_i_12_n_0\,
I2 => Lxy_3(6),
I3 => Lxy_2(6),
I4 => \Lxy_1_reg_n_0_[6]\,
O => \Lxy0__1_carry__1_i_4_n_0\
);
\Lxy0__1_carry__1_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__1_i_1_n_0\,
I1 => \Lxy0__1_carry__1_i_12_n_0\,
I2 => \Lxy_0_reg_n_0_[11]\,
I3 => \Lxy_1_reg_n_0_[10]\,
I4 => Lxy_2(10),
I5 => Lxy_3(10),
O => \Lxy0__1_carry__1_i_5_n_0\
);
\Lxy0__1_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__1_i_2_n_0\,
I1 => \Lxy0__1_carry__1_i_9_n_0\,
I2 => \Lxy_0_reg_n_0_[10]\,
I3 => \Lxy_1_reg_n_0_[9]\,
I4 => Lxy_2(9),
I5 => Lxy_3(9),
O => \Lxy0__1_carry__1_i_6_n_0\
);
\Lxy0__1_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__1_i_3_n_0\,
I1 => \Lxy0__1_carry__1_i_10_n_0\,
I2 => \Lxy_0_reg_n_0_[9]\,
I3 => \Lxy_1_reg_n_0_[8]\,
I4 => Lxy_2(8),
I5 => Lxy_3(8),
O => \Lxy0__1_carry__1_i_7_n_0\
);
\Lxy0__1_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__1_i_4_n_0\,
I1 => \Lxy0__1_carry__1_i_11_n_0\,
I2 => \Lxy_0_reg_n_0_[8]\,
I3 => \Lxy_1_reg_n_0_[7]\,
I4 => Lxy_2(7),
I5 => Lxy_3(7),
O => \Lxy0__1_carry__1_i_8_n_0\
);
\Lxy0__1_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(10),
I1 => \Lxy_1_reg_n_0_[10]\,
I2 => Lxy_2(10),
O => \Lxy0__1_carry__1_i_9_n_0\
);
\Lxy0__1_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lxy0__1_carry__1_n_0\,
CO(3) => \NLW_Lxy0__1_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lxy0__1_carry__2_n_1\,
CO(1) => \Lxy0__1_carry__2_n_2\,
CO(0) => \Lxy0__1_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lxy0__1_carry__2_i_1_n_0\,
DI(1) => \Lxy0__1_carry__2_i_2_n_0\,
DI(0) => \Lxy0__1_carry__2_i_3_n_0\,
O(3) => \Lxy0__1_carry__2_n_4\,
O(2) => \Lxy0__1_carry__2_n_5\,
O(1) => \Lxy0__1_carry__2_n_6\,
O(0) => \Lxy0__1_carry__2_n_7\,
S(3) => \Lxy0__1_carry__2_i_4_n_0\,
S(2) => \Lxy0__1_carry__2_i_5_n_0\,
S(1) => \Lxy0__1_carry__2_i_6_n_0\,
S(0) => \Lxy0__1_carry__2_i_7_n_0\
);
\Lxy0__1_carry__2_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[13]\,
I1 => \Lxy0__1_carry__2_i_8_n_0\,
I2 => Lxy_3(12),
I3 => Lxy_2(12),
I4 => \Lxy_1_reg_n_0_[12]\,
O => \Lxy0__1_carry__2_i_1_n_0\
);
\Lxy0__1_carry__2_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"4D"
)
port map (
I0 => \Lxy_1_reg_n_0_[13]\,
I1 => Lxy_2(13),
I2 => Lxy_3(13),
O => \Lxy0__1_carry__2_i_10_n_0\
);
\Lxy0__1_carry__2_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Lxy_2(15),
I1 => \Lxy_1_reg_n_0_[15]\,
I2 => Lxy_3(15),
I3 => \Lxy_0_reg_n_0_[15]\,
O => \Lxy0__1_carry__2_i_11_n_0\
);
\Lxy0__1_carry__2_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(14),
I1 => \Lxy_1_reg_n_0_[14]\,
I2 => Lxy_2(14),
O => \Lxy0__1_carry__2_i_12_n_0\
);
\Lxy0__1_carry__2_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[12]\,
I1 => \Lxy0__1_carry__2_i_9_n_0\,
I2 => Lxy_3(11),
I3 => Lxy_2(11),
I4 => \Lxy_1_reg_n_0_[11]\,
O => \Lxy0__1_carry__2_i_2_n_0\
);
\Lxy0__1_carry__2_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"8E88EE8E"
)
port map (
I0 => \Lxy_0_reg_n_0_[11]\,
I1 => \Lxy0__1_carry__1_i_12_n_0\,
I2 => Lxy_3(10),
I3 => Lxy_2(10),
I4 => \Lxy_1_reg_n_0_[10]\,
O => \Lxy0__1_carry__2_i_3_n_0\
);
\Lxy0__1_carry__2_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"1E87781E87E11E87"
)
port map (
I0 => \Lxy0__1_carry__2_i_10_n_0\,
I1 => \Lxy_0_reg_n_0_[14]\,
I2 => \Lxy0__1_carry__2_i_11_n_0\,
I3 => \Lxy_1_reg_n_0_[14]\,
I4 => Lxy_2(14),
I5 => Lxy_3(14),
O => \Lxy0__1_carry__2_i_4_n_0\
);
\Lxy0__1_carry__2_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__2_i_1_n_0\,
I1 => \Lxy0__1_carry__2_i_12_n_0\,
I2 => \Lxy_0_reg_n_0_[14]\,
I3 => \Lxy_1_reg_n_0_[13]\,
I4 => Lxy_2(13),
I5 => Lxy_3(13),
O => \Lxy0__1_carry__2_i_5_n_0\
);
\Lxy0__1_carry__2_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__2_i_2_n_0\,
I1 => \Lxy0__1_carry__2_i_8_n_0\,
I2 => \Lxy_0_reg_n_0_[13]\,
I3 => \Lxy_1_reg_n_0_[12]\,
I4 => Lxy_2(12),
I5 => Lxy_3(12),
O => \Lxy0__1_carry__2_i_6_n_0\
);
\Lxy0__1_carry__2_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry__2_i_3_n_0\,
I1 => \Lxy0__1_carry__2_i_9_n_0\,
I2 => \Lxy_0_reg_n_0_[12]\,
I3 => \Lxy_1_reg_n_0_[11]\,
I4 => Lxy_2(11),
I5 => Lxy_3(11),
O => \Lxy0__1_carry__2_i_7_n_0\
);
\Lxy0__1_carry__2_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(13),
I1 => \Lxy_1_reg_n_0_[13]\,
I2 => Lxy_2(13),
O => \Lxy0__1_carry__2_i_8_n_0\
);
\Lxy0__1_carry__2_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(12),
I1 => \Lxy_1_reg_n_0_[12]\,
I2 => Lxy_2(12),
O => \Lxy0__1_carry__2_i_9_n_0\
);
\Lxy0__1_carry_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EBBEEBBE8228EBBE"
)
port map (
I0 => \Lxy_0_reg_n_0_[2]\,
I1 => Lxy_2(2),
I2 => \Lxy_1_reg_n_0_[2]\,
I3 => Lxy_3(2),
I4 => \Lxy_1_reg_n_0_[1]\,
I5 => Lxy_2(1),
O => \Lxy0__1_carry_i_1_n_0\
);
\Lxy0__1_carry_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => Lxy_2(1),
I1 => \Lxy_1_reg_n_0_[1]\,
O => \Lxy0__1_carry_i_10_n_0\
);
\Lxy0__1_carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4DD4"
)
port map (
I0 => Lxy_3(1),
I1 => \Lxy_0_reg_n_0_[1]\,
I2 => \Lxy_1_reg_n_0_[1]\,
I3 => Lxy_2(1),
O => \Lxy0__1_carry_i_2_n_0\
);
\Lxy0__1_carry_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \Lxy_1_reg_n_0_[1]\,
I1 => Lxy_2(1),
I2 => Lxy_3(1),
I3 => \Lxy_0_reg_n_0_[1]\,
O => \Lxy0__1_carry_i_3_n_0\
);
\Lxy0__1_carry_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy0__1_carry_i_1_n_0\,
I1 => \Lxy0__1_carry_i_8_n_0\,
I2 => \Lxy_0_reg_n_0_[3]\,
I3 => \Lxy_1_reg_n_0_[2]\,
I4 => Lxy_2(2),
I5 => Lxy_3(2),
O => \Lxy0__1_carry_i_4_n_0\
);
\Lxy0__1_carry_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"69966969"
)
port map (
I0 => \Lxy0__1_carry_i_2_n_0\,
I1 => \Lxy0__1_carry_i_9_n_0\,
I2 => \Lxy_0_reg_n_0_[2]\,
I3 => Lxy_2(1),
I4 => \Lxy_1_reg_n_0_[1]\,
O => \Lxy0__1_carry_i_5_n_0\
);
\Lxy0__1_carry_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lxy_0_reg_n_0_[1]\,
I1 => Lxy_3(1),
I2 => \Lxy0__1_carry_i_10_n_0\,
I3 => Lxy_3(0),
I4 => Lxy_2(0),
I5 => \Lxy_1_reg_n_0_[0]\,
O => \Lxy0__1_carry_i_6_n_0\
);
\Lxy0__1_carry_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Lxy_2(0),
I1 => \Lxy_1_reg_n_0_[0]\,
I2 => Lxy_3(0),
I3 => \Lxy_0_reg_n_0_[0]\,
O => \Lxy0__1_carry_i_7_n_0\
);
\Lxy0__1_carry_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(3),
I1 => \Lxy_1_reg_n_0_[3]\,
I2 => Lxy_2(3),
O => \Lxy0__1_carry_i_8_n_0\
);
\Lxy0__1_carry_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lxy_3(2),
I1 => \Lxy_1_reg_n_0_[2]\,
I2 => Lxy_2(2),
O => \Lxy0__1_carry_i_9_n_0\
);
\Lxy_0[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000004000"
)
port map (
I0 => \cycle_reg[0]_rep_n_0\,
I1 => cycle(3),
I2 => active,
I3 => rst,
I4 => \cycle_reg[1]_rep_n_0\,
I5 => cycle(2),
O => \Lxy_0[15]_i_1_n_0\
);
\Lxy_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(0),
Q => \Lxy_0_reg_n_0_[0]\,
R => '0'
);
\Lxy_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(10),
Q => \Lxy_0_reg_n_0_[10]\,
R => '0'
);
\Lxy_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(11),
Q => \Lxy_0_reg_n_0_[11]\,
R => '0'
);
\Lxy_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(12),
Q => \Lxy_0_reg_n_0_[12]\,
R => '0'
);
\Lxy_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(13),
Q => \Lxy_0_reg_n_0_[13]\,
R => '0'
);
\Lxy_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(14),
Q => \Lxy_0_reg_n_0_[14]\,
R => '0'
);
\Lxy_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(15),
Q => \Lxy_0_reg_n_0_[15]\,
R => '0'
);
\Lxy_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(1),
Q => \Lxy_0_reg_n_0_[1]\,
R => '0'
);
\Lxy_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(2),
Q => \Lxy_0_reg_n_0_[2]\,
R => '0'
);
\Lxy_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(3),
Q => \Lxy_0_reg_n_0_[3]\,
R => '0'
);
\Lxy_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(4),
Q => \Lxy_0_reg_n_0_[4]\,
R => '0'
);
\Lxy_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(5),
Q => \Lxy_0_reg_n_0_[5]\,
R => '0'
);
\Lxy_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(6),
Q => \Lxy_0_reg_n_0_[6]\,
R => '0'
);
\Lxy_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(7),
Q => \Lxy_0_reg_n_0_[7]\,
R => '0'
);
\Lxy_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(8),
Q => \Lxy_0_reg_n_0_[8]\,
R => '0'
);
\Lxy_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lxy_0[15]_i_1_n_0\,
D => Lxx_00(9),
Q => \Lxy_0_reg_n_0_[9]\,
R => '0'
);
\Lxy_1[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000400000000000"
)
port map (
I0 => \cycle_reg[0]_rep_n_0\,
I1 => cycle(3),
I2 => active,
I3 => rst,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => Lxy_1
);
\Lxy_1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(0),
Q => \Lxy_1_reg_n_0_[0]\,
R => '0'
);
\Lxy_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(10),
Q => \Lxy_1_reg_n_0_[10]\,
R => '0'
);
\Lxy_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(11),
Q => \Lxy_1_reg_n_0_[11]\,
R => '0'
);
\Lxy_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(12),
Q => \Lxy_1_reg_n_0_[12]\,
R => '0'
);
\Lxy_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(13),
Q => \Lxy_1_reg_n_0_[13]\,
R => '0'
);
\Lxy_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(14),
Q => \Lxy_1_reg_n_0_[14]\,
R => '0'
);
\Lxy_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(15),
Q => \Lxy_1_reg_n_0_[15]\,
R => '0'
);
\Lxy_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(1),
Q => \Lxy_1_reg_n_0_[1]\,
R => '0'
);
\Lxy_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(2),
Q => \Lxy_1_reg_n_0_[2]\,
R => '0'
);
\Lxy_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(3),
Q => \Lxy_1_reg_n_0_[3]\,
R => '0'
);
\Lxy_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(4),
Q => \Lxy_1_reg_n_0_[4]\,
R => '0'
);
\Lxy_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(5),
Q => \Lxy_1_reg_n_0_[5]\,
R => '0'
);
\Lxy_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(6),
Q => \Lxy_1_reg_n_0_[6]\,
R => '0'
);
\Lxy_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(7),
Q => \Lxy_1_reg_n_0_[7]\,
R => '0'
);
\Lxy_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(8),
Q => \Lxy_1_reg_n_0_[8]\,
R => '0'
);
\Lxy_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lxy_1,
D => Lxx_11(9),
Q => \Lxy_1_reg_n_0_[9]\,
R => '0'
);
\Lxy_2_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(0),
Q => Lxy_2(0),
R => '0'
);
\Lxy_2_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(10),
Q => Lxy_2(10),
R => '0'
);
\Lxy_2_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(11),
Q => Lxy_2(11),
R => '0'
);
\Lxy_2_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(12),
Q => Lxy_2(12),
R => '0'
);
\Lxy_2_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(13),
Q => Lxy_2(13),
R => '0'
);
\Lxy_2_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(14),
Q => Lxy_2(14),
R => '0'
);
\Lxy_2_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(15),
Q => Lxy_2(15),
R => '0'
);
\Lxy_2_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(1),
Q => Lxy_2(1),
R => '0'
);
\Lxy_2_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(2),
Q => Lxy_2(2),
R => '0'
);
\Lxy_2_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(3),
Q => Lxy_2(3),
R => '0'
);
\Lxy_2_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(4),
Q => Lxy_2(4),
R => '0'
);
\Lxy_2_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(5),
Q => Lxy_2(5),
R => '0'
);
\Lxy_2_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(6),
Q => Lxy_2(6),
R => '0'
);
\Lxy_2_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(7),
Q => Lxy_2(7),
R => '0'
);
\Lxy_2_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(8),
Q => Lxy_2(8),
R => '0'
);
\Lxy_2_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0,
D => Lxx_00(9),
Q => Lxy_2(9),
R => '0'
);
\Lxy_3[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"4000000000000000"
)
port map (
I0 => cycle(0),
I1 => active,
I2 => rst,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => cycle(3),
O => y6
);
\Lxy_3_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(0),
Q => Lxy_3(0),
R => '0'
);
\Lxy_3_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(10),
Q => Lxy_3(10),
R => '0'
);
\Lxy_3_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(11),
Q => Lxy_3(11),
R => '0'
);
\Lxy_3_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(12),
Q => Lxy_3(12),
R => '0'
);
\Lxy_3_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(13),
Q => Lxy_3(13),
R => '0'
);
\Lxy_3_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(14),
Q => Lxy_3(14),
R => '0'
);
\Lxy_3_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(15),
Q => Lxy_3(15),
R => '0'
);
\Lxy_3_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(1),
Q => Lxy_3(1),
R => '0'
);
\Lxy_3_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(2),
Q => Lxy_3(2),
R => '0'
);
\Lxy_3_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(3),
Q => Lxy_3(3),
R => '0'
);
\Lxy_3_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(4),
Q => Lxy_3(4),
R => '0'
);
\Lxy_3_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(5),
Q => Lxy_3(5),
R => '0'
);
\Lxy_3_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(6),
Q => Lxy_3(6),
R => '0'
);
\Lxy_3_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(7),
Q => Lxy_3(7),
R => '0'
);
\Lxy_3_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(8),
Q => Lxy_3(8),
R => '0'
);
\Lxy_3_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => Lxx_11(9),
Q => Lxy_3(9),
R => '0'
);
Lyy0_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => Lyy0_carry_n_0,
CO(2) => Lyy0_carry_n_1,
CO(1) => Lyy0_carry_n_2,
CO(0) => Lyy0_carry_n_3,
CYINIT => '0',
DI(3) => Lyy0_carry_i_1_n_0,
DI(2) => Lyy0_carry_i_2_n_0,
DI(1) => '1',
DI(0) => \Lyy_2_reg_n_0_[0]\,
O(3 downto 0) => B(3 downto 0),
S(3) => Lyy0_carry_i_3_n_0,
S(2) => Lyy0_carry_i_4_n_0,
S(1) => Lyy0_carry_i_5_n_0,
S(0) => Lyy0_carry_i_6_n_0
);
\Lyy0_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => Lyy0_carry_n_0,
CO(3) => \Lyy0_carry__0_n_0\,
CO(2) => \Lyy0_carry__0_n_1\,
CO(1) => \Lyy0_carry__0_n_2\,
CO(0) => \Lyy0_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lyy0_carry__0_i_1_n_0\,
DI(2) => \Lyy0_carry__0_i_2_n_0\,
DI(1) => \Lyy0_carry__0_i_3_n_0\,
DI(0) => \Lyy0_carry__0_i_4_n_0\,
O(3 downto 0) => B(7 downto 4),
S(3) => \Lyy0_carry__0_i_5_n_0\,
S(2) => \Lyy0_carry__0_i_6_n_0\,
S(1) => \Lyy0_carry__0_i_7_n_0\,
S(0) => \Lyy0_carry__0_i_8_n_0\
);
\Lyy0_carry__0_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(6),
I1 => \Lyy_2_reg_n_0_[6]\,
I2 => \Lyy_0_reg_n_0_[6]\,
O => \Lyy0_carry__0_i_1_n_0\
);
\Lyy0_carry__0_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(5),
I1 => \Lyy_2_reg_n_0_[5]\,
I2 => \Lyy_0_reg_n_0_[5]\,
O => \Lyy0_carry__0_i_2_n_0\
);
\Lyy0_carry__0_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(4),
I1 => \Lyy_2_reg_n_0_[4]\,
I2 => \Lyy_0_reg_n_0_[4]\,
O => \Lyy0_carry__0_i_3_n_0\
);
\Lyy0_carry__0_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(3),
I1 => \Lyy_2_reg_n_0_[3]\,
I2 => \Lyy_0_reg_n_0_[3]\,
O => \Lyy0_carry__0_i_4_n_0\
);
\Lyy0_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(7),
I1 => \Lyy_2_reg_n_0_[7]\,
I2 => \Lyy_0_reg_n_0_[7]\,
I3 => \Lyy0_carry__0_i_1_n_0\,
O => \Lyy0_carry__0_i_5_n_0\
);
\Lyy0_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(6),
I1 => \Lyy_2_reg_n_0_[6]\,
I2 => \Lyy_0_reg_n_0_[6]\,
I3 => \Lyy0_carry__0_i_2_n_0\,
O => \Lyy0_carry__0_i_6_n_0\
);
\Lyy0_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(5),
I1 => \Lyy_2_reg_n_0_[5]\,
I2 => \Lyy_0_reg_n_0_[5]\,
I3 => \Lyy0_carry__0_i_3_n_0\,
O => \Lyy0_carry__0_i_7_n_0\
);
\Lyy0_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(4),
I1 => \Lyy_2_reg_n_0_[4]\,
I2 => \Lyy_0_reg_n_0_[4]\,
I3 => \Lyy0_carry__0_i_4_n_0\,
O => \Lyy0_carry__0_i_8_n_0\
);
\Lyy0_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy0_carry__0_n_0\,
CO(3) => \Lyy0_carry__1_n_0\,
CO(2) => \Lyy0_carry__1_n_1\,
CO(1) => \Lyy0_carry__1_n_2\,
CO(0) => \Lyy0_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lyy0_carry__1_i_1_n_0\,
DI(2) => \Lyy0_carry__1_i_2_n_0\,
DI(1) => \Lyy0_carry__1_i_3_n_0\,
DI(0) => \Lyy0_carry__1_i_4_n_0\,
O(3 downto 0) => B(11 downto 8),
S(3) => \Lyy0_carry__1_i_5_n_0\,
S(2) => \Lyy0_carry__1_i_6_n_0\,
S(1) => \Lyy0_carry__1_i_7_n_0\,
S(0) => \Lyy0_carry__1_i_8_n_0\
);
\Lyy0_carry__1_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(10),
I1 => \Lyy_2_reg_n_0_[10]\,
I2 => \Lyy_0_reg_n_0_[10]\,
O => \Lyy0_carry__1_i_1_n_0\
);
\Lyy0_carry__1_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(9),
I1 => \Lyy_2_reg_n_0_[9]\,
I2 => \Lyy_0_reg_n_0_[9]\,
O => \Lyy0_carry__1_i_2_n_0\
);
\Lyy0_carry__1_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(8),
I1 => \Lyy_2_reg_n_0_[8]\,
I2 => \Lyy_0_reg_n_0_[8]\,
O => \Lyy0_carry__1_i_3_n_0\
);
\Lyy0_carry__1_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(7),
I1 => \Lyy_2_reg_n_0_[7]\,
I2 => \Lyy_0_reg_n_0_[7]\,
O => \Lyy0_carry__1_i_4_n_0\
);
\Lyy0_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(11),
I1 => \Lyy_2_reg_n_0_[11]\,
I2 => \Lyy_0_reg_n_0_[11]\,
I3 => \Lyy0_carry__1_i_1_n_0\,
O => \Lyy0_carry__1_i_5_n_0\
);
\Lyy0_carry__1_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(10),
I1 => \Lyy_2_reg_n_0_[10]\,
I2 => \Lyy_0_reg_n_0_[10]\,
I3 => \Lyy0_carry__1_i_2_n_0\,
O => \Lyy0_carry__1_i_6_n_0\
);
\Lyy0_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(9),
I1 => \Lyy_2_reg_n_0_[9]\,
I2 => \Lyy_0_reg_n_0_[9]\,
I3 => \Lyy0_carry__1_i_3_n_0\,
O => \Lyy0_carry__1_i_7_n_0\
);
\Lyy0_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(8),
I1 => \Lyy_2_reg_n_0_[8]\,
I2 => \Lyy_0_reg_n_0_[8]\,
I3 => \Lyy0_carry__1_i_4_n_0\,
O => \Lyy0_carry__1_i_8_n_0\
);
\Lyy0_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy0_carry__1_n_0\,
CO(3) => \NLW_Lyy0_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lyy0_carry__2_n_1\,
CO(1) => \Lyy0_carry__2_n_2\,
CO(0) => \Lyy0_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lyy0_carry__2_i_1_n_0\,
DI(1) => \Lyy0_carry__2_i_2_n_0\,
DI(0) => \Lyy0_carry__2_i_3_n_0\,
O(3 downto 0) => B(15 downto 12),
S(3) => \Lyy0_carry__2_i_4_n_0\,
S(2) => \Lyy0_carry__2_i_5_n_0\,
S(1) => \Lyy0_carry__2_i_6_n_0\,
S(0) => \Lyy0_carry__2_i_7_n_0\
);
\Lyy0_carry__2_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(13),
I1 => \Lyy_2_reg_n_0_[13]\,
I2 => \Lyy_0_reg_n_0_[13]\,
O => \Lyy0_carry__2_i_1_n_0\
);
\Lyy0_carry__2_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(12),
I1 => \Lyy_2_reg_n_0_[12]\,
I2 => \Lyy_0_reg_n_0_[12]\,
O => \Lyy0_carry__2_i_2_n_0\
);
\Lyy0_carry__2_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(11),
I1 => \Lyy_2_reg_n_0_[11]\,
I2 => \Lyy_0_reg_n_0_[11]\,
O => \Lyy0_carry__2_i_3_n_0\
);
\Lyy0_carry__2_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"8E71718E718E8E71"
)
port map (
I0 => \Lyy_0_reg_n_0_[14]\,
I1 => \Lyy_2_reg_n_0_[14]\,
I2 => Lyy_1(14),
I3 => \Lyy_2_reg_n_0_[15]\,
I4 => Lyy_1(15),
I5 => \Lyy_0_reg_n_0_[15]\,
O => \Lyy0_carry__2_i_4_n_0\
);
\Lyy0_carry__2_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \Lyy0_carry__2_i_1_n_0\,
I1 => \Lyy_2_reg_n_0_[14]\,
I2 => Lyy_1(14),
I3 => \Lyy_0_reg_n_0_[14]\,
O => \Lyy0_carry__2_i_5_n_0\
);
\Lyy0_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(13),
I1 => \Lyy_2_reg_n_0_[13]\,
I2 => \Lyy_0_reg_n_0_[13]\,
I3 => \Lyy0_carry__2_i_2_n_0\,
O => \Lyy0_carry__2_i_6_n_0\
);
\Lyy0_carry__2_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(12),
I1 => \Lyy_2_reg_n_0_[12]\,
I2 => \Lyy_0_reg_n_0_[12]\,
I3 => \Lyy0_carry__2_i_3_n_0\,
O => \Lyy0_carry__2_i_7_n_0\
);
Lyy0_carry_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(2),
I1 => \Lyy_2_reg_n_0_[2]\,
I2 => \Lyy_0_reg_n_0_[2]\,
O => Lyy0_carry_i_1_n_0
);
Lyy0_carry_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => Lyy_1(1),
I1 => \Lyy_2_reg_n_0_[1]\,
I2 => \Lyy_0_reg_n_0_[1]\,
O => Lyy0_carry_i_2_n_0
);
Lyy0_carry_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(3),
I1 => \Lyy_2_reg_n_0_[3]\,
I2 => \Lyy_0_reg_n_0_[3]\,
I3 => Lyy0_carry_i_1_n_0,
O => Lyy0_carry_i_3_n_0
);
Lyy0_carry_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_1(2),
I1 => \Lyy_2_reg_n_0_[2]\,
I2 => \Lyy_0_reg_n_0_[2]\,
I3 => Lyy0_carry_i_2_n_0,
O => Lyy0_carry_i_4_n_0
);
Lyy0_carry_i_5: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_1(1),
I1 => \Lyy_2_reg_n_0_[1]\,
I2 => \Lyy_0_reg_n_0_[1]\,
O => Lyy0_carry_i_5_n_0
);
Lyy0_carry_i_6: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \Lyy_2_reg_n_0_[0]\,
I1 => \Lyy_0_reg_n_0_[0]\,
O => Lyy0_carry_i_6_n_0
);
\Lyy_0[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000080"
)
port map (
I0 => rst,
I1 => active,
I2 => cycle(2),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(3),
I5 => \cycle_reg[0]_rep_n_0\,
O => Lyy_0
);
\Lyy_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(0),
Q => \Lyy_0_reg_n_0_[0]\,
R => '0'
);
\Lyy_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(10),
Q => \Lyy_0_reg_n_0_[10]\,
R => '0'
);
\Lyy_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(11),
Q => \Lyy_0_reg_n_0_[11]\,
R => '0'
);
\Lyy_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(12),
Q => \Lyy_0_reg_n_0_[12]\,
R => '0'
);
\Lyy_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(13),
Q => \Lyy_0_reg_n_0_[13]\,
R => '0'
);
\Lyy_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(14),
Q => \Lyy_0_reg_n_0_[14]\,
R => '0'
);
\Lyy_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(15),
Q => \Lyy_0_reg_n_0_[15]\,
R => '0'
);
\Lyy_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(1),
Q => \Lyy_0_reg_n_0_[1]\,
R => '0'
);
\Lyy_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(2),
Q => \Lyy_0_reg_n_0_[2]\,
R => '0'
);
\Lyy_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(3),
Q => \Lyy_0_reg_n_0_[3]\,
R => '0'
);
\Lyy_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(4),
Q => \Lyy_0_reg_n_0_[4]\,
R => '0'
);
\Lyy_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(5),
Q => \Lyy_0_reg_n_0_[5]\,
R => '0'
);
\Lyy_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(6),
Q => \Lyy_0_reg_n_0_[6]\,
R => '0'
);
\Lyy_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(7),
Q => \Lyy_0_reg_n_0_[7]\,
R => '0'
);
\Lyy_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(8),
Q => \Lyy_0_reg_n_0_[8]\,
R => '0'
);
\Lyy_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => Lyy_0,
D => Lxx_00(9),
Q => \Lyy_0_reg_n_0_[9]\,
R => '0'
);
\Lyy_1[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000040000000"
)
port map (
I0 => cycle(3),
I1 => \cycle_reg[2]_rep_n_0\,
I2 => rst,
I3 => active,
I4 => cycle(0),
I5 => \cycle_reg[1]_rep__0_n_0\,
O => y1
);
\Lyy_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(9),
Q => Lyy_1(10),
R => '0'
);
\Lyy_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(10),
Q => Lyy_1(11),
R => '0'
);
\Lyy_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(11),
Q => Lyy_1(12),
R => '0'
);
\Lyy_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(12),
Q => Lyy_1(13),
R => '0'
);
\Lyy_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(13),
Q => Lyy_1(14),
R => '0'
);
\Lyy_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(14),
Q => Lyy_1(15),
R => '0'
);
\Lyy_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(0),
Q => Lyy_1(1),
R => '0'
);
\Lyy_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(1),
Q => Lyy_1(2),
R => '0'
);
\Lyy_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(2),
Q => Lyy_1(3),
R => '0'
);
\Lyy_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(3),
Q => Lyy_1(4),
R => '0'
);
\Lyy_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(4),
Q => Lyy_1(5),
R => '0'
);
\Lyy_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(5),
Q => Lyy_1(6),
R => '0'
);
\Lyy_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(6),
Q => Lyy_1(7),
R => '0'
);
\Lyy_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(7),
Q => Lyy_1(8),
R => '0'
);
\Lyy_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => Lxx_11(8),
Q => Lyy_1(9),
R => '0'
);
\Lyy_20__1_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \Lyy_20__1_carry_n_0\,
CO(2) => \Lyy_20__1_carry_n_1\,
CO(1) => \Lyy_20__1_carry_n_2\,
CO(0) => \Lyy_20__1_carry_n_3\,
CYINIT => '0',
DI(3) => \Lyy_20__1_carry_i_1_n_0\,
DI(2) => \Lyy_20__1_carry_i_2_n_0\,
DI(1) => \Lyy_20__1_carry_i_3_n_0\,
DI(0) => Lyy_2_bottom_right(0),
O(3 downto 0) => Lyy_20(3 downto 0),
S(3) => \Lyy_20__1_carry_i_4_n_0\,
S(2) => \Lyy_20__1_carry_i_5_n_0\,
S(1) => \Lyy_20__1_carry_i_6_n_0\,
S(0) => \Lyy_20__1_carry_i_7_n_0\
);
\Lyy_20__1_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy_20__1_carry_n_0\,
CO(3) => \Lyy_20__1_carry__0_n_0\,
CO(2) => \Lyy_20__1_carry__0_n_1\,
CO(1) => \Lyy_20__1_carry__0_n_2\,
CO(0) => \Lyy_20__1_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lyy_20__1_carry__0_i_1_n_0\,
DI(2) => \Lyy_20__1_carry__0_i_2_n_0\,
DI(1) => \Lyy_20__1_carry__0_i_3_n_0\,
DI(0) => \Lyy_20__1_carry__0_i_4_n_0\,
O(3 downto 0) => Lyy_20(7 downto 4),
S(3) => \Lyy_20__1_carry__0_i_5_n_0\,
S(2) => \Lyy_20__1_carry__0_i_6_n_0\,
S(1) => \Lyy_20__1_carry__0_i_7_n_0\,
S(0) => \Lyy_20__1_carry__0_i_8_n_0\
);
\Lyy_20__1_carry__0_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF969600"
)
port map (
I0 => Lyy_2_top_left(6),
I1 => Lyy_2_bottom_left(6),
I2 => Lyy_2_top_right(6),
I3 => \Lyy_20__1_carry__0_i_9_n_0\,
I4 => Lyy_2_bottom_right(6),
O => \Lyy_20__1_carry__0_i_1_n_0\
);
\Lyy_20__1_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(5),
I1 => Lyy_2_bottom_left(5),
I2 => Lyy_2_top_right(5),
O => \Lyy_20__1_carry__0_i_10_n_0\
);
\Lyy_20__1_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"4D"
)
port map (
I0 => Lyy_2_top_right(3),
I1 => Lyy_2_top_left(3),
I2 => Lyy_2_bottom_left(3),
O => \Lyy_20__1_carry__0_i_11_n_0\
);
\Lyy_20__1_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(7),
I1 => Lyy_2_bottom_left(7),
I2 => Lyy_2_top_right(7),
O => \Lyy_20__1_carry__0_i_12_n_0\
);
\Lyy_20__1_carry__0_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(5),
I1 => Lyy_2_bottom_left(4),
I2 => Lyy_2_top_left(4),
I3 => Lyy_2_top_right(4),
I4 => \Lyy_20__1_carry__0_i_10_n_0\,
O => \Lyy_20__1_carry__0_i_2_n_0\
);
\Lyy_20__1_carry__0_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF969600"
)
port map (
I0 => Lyy_2_top_left(4),
I1 => Lyy_2_bottom_left(4),
I2 => Lyy_2_top_right(4),
I3 => \Lyy_20__1_carry__0_i_11_n_0\,
I4 => Lyy_2_bottom_right(4),
O => \Lyy_20__1_carry__0_i_3_n_0\
);
\Lyy_20__1_carry__0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(3),
I1 => Lyy_2_bottom_left(2),
I2 => Lyy_2_top_left(2),
I3 => Lyy_2_top_right(2),
I4 => \Lyy_20__1_carry_i_8_n_0\,
O => \Lyy_20__1_carry__0_i_4_n_0\
);
\Lyy_20__1_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry__0_i_1_n_0\,
I1 => \Lyy_20__1_carry__0_i_12_n_0\,
I2 => Lyy_2_bottom_right(7),
I3 => Lyy_2_top_right(6),
I4 => Lyy_2_top_left(6),
I5 => Lyy_2_bottom_left(6),
O => \Lyy_20__1_carry__0_i_5_n_0\
);
\Lyy_20__1_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \Lyy_20__1_carry__0_i_2_n_0\,
I1 => Lyy_2_top_right(6),
I2 => Lyy_2_bottom_left(6),
I3 => Lyy_2_top_left(6),
I4 => Lyy_2_bottom_right(6),
I5 => \Lyy_20__1_carry__0_i_9_n_0\,
O => \Lyy_20__1_carry__0_i_6_n_0\
);
\Lyy_20__1_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry__0_i_3_n_0\,
I1 => \Lyy_20__1_carry__0_i_10_n_0\,
I2 => Lyy_2_bottom_right(5),
I3 => Lyy_2_top_right(4),
I4 => Lyy_2_top_left(4),
I5 => Lyy_2_bottom_left(4),
O => \Lyy_20__1_carry__0_i_7_n_0\
);
\Lyy_20__1_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \Lyy_20__1_carry__0_i_4_n_0\,
I1 => Lyy_2_top_right(4),
I2 => Lyy_2_bottom_left(4),
I3 => Lyy_2_top_left(4),
I4 => Lyy_2_bottom_right(4),
I5 => \Lyy_20__1_carry__0_i_11_n_0\,
O => \Lyy_20__1_carry__0_i_8_n_0\
);
\Lyy_20__1_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"4D"
)
port map (
I0 => Lyy_2_top_right(5),
I1 => Lyy_2_top_left(5),
I2 => Lyy_2_bottom_left(5),
O => \Lyy_20__1_carry__0_i_9_n_0\
);
\Lyy_20__1_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy_20__1_carry__0_n_0\,
CO(3) => \Lyy_20__1_carry__1_n_0\,
CO(2) => \Lyy_20__1_carry__1_n_1\,
CO(1) => \Lyy_20__1_carry__1_n_2\,
CO(0) => \Lyy_20__1_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lyy_20__1_carry__1_i_1_n_0\,
DI(2) => \Lyy_20__1_carry__1_i_2_n_0\,
DI(1) => \Lyy_20__1_carry__1_i_3_n_0\,
DI(0) => \Lyy_20__1_carry__1_i_4_n_0\,
O(3 downto 0) => Lyy_20(11 downto 8),
S(3) => \Lyy_20__1_carry__1_i_5_n_0\,
S(2) => \Lyy_20__1_carry__1_i_6_n_0\,
S(1) => \Lyy_20__1_carry__1_i_7_n_0\,
S(0) => \Lyy_20__1_carry__1_i_8_n_0\
);
\Lyy_20__1_carry__1_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF969600"
)
port map (
I0 => Lyy_2_top_left(10),
I1 => Lyy_2_bottom_left(10),
I2 => Lyy_2_top_right(10),
I3 => \Lyy_20__1_carry__1_i_9_n_0\,
I4 => Lyy_2_bottom_right(10),
O => \Lyy_20__1_carry__1_i_1_n_0\
);
\Lyy_20__1_carry__1_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(9),
I1 => Lyy_2_bottom_left(9),
I2 => Lyy_2_top_right(9),
O => \Lyy_20__1_carry__1_i_10_n_0\
);
\Lyy_20__1_carry__1_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(8),
I1 => Lyy_2_bottom_left(8),
I2 => Lyy_2_top_right(8),
O => \Lyy_20__1_carry__1_i_11_n_0\
);
\Lyy_20__1_carry__1_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"4D"
)
port map (
I0 => Lyy_2_top_right(10),
I1 => Lyy_2_top_left(10),
I2 => Lyy_2_bottom_left(10),
O => \Lyy_20__1_carry__1_i_12_n_0\
);
\Lyy_20__1_carry__1_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(9),
I1 => Lyy_2_bottom_left(8),
I2 => Lyy_2_top_left(8),
I3 => Lyy_2_top_right(8),
I4 => \Lyy_20__1_carry__1_i_10_n_0\,
O => \Lyy_20__1_carry__1_i_2_n_0\
);
\Lyy_20__1_carry__1_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(8),
I1 => Lyy_2_bottom_left(7),
I2 => Lyy_2_top_left(7),
I3 => Lyy_2_top_right(7),
I4 => \Lyy_20__1_carry__1_i_11_n_0\,
O => \Lyy_20__1_carry__1_i_3_n_0\
);
\Lyy_20__1_carry__1_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(7),
I1 => Lyy_2_bottom_left(6),
I2 => Lyy_2_top_left(6),
I3 => Lyy_2_top_right(6),
I4 => \Lyy_20__1_carry__0_i_12_n_0\,
O => \Lyy_20__1_carry__1_i_4_n_0\
);
\Lyy_20__1_carry__1_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \Lyy_20__1_carry__1_i_1_n_0\,
I1 => Lyy_2_top_right(11),
I2 => Lyy_2_bottom_left(11),
I3 => Lyy_2_top_left(11),
I4 => Lyy_2_bottom_right(11),
I5 => \Lyy_20__1_carry__1_i_12_n_0\,
O => \Lyy_20__1_carry__1_i_5_n_0\
);
\Lyy_20__1_carry__1_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \Lyy_20__1_carry__1_i_2_n_0\,
I1 => Lyy_2_top_right(10),
I2 => Lyy_2_bottom_left(10),
I3 => Lyy_2_top_left(10),
I4 => Lyy_2_bottom_right(10),
I5 => \Lyy_20__1_carry__1_i_9_n_0\,
O => \Lyy_20__1_carry__1_i_6_n_0\
);
\Lyy_20__1_carry__1_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry__1_i_3_n_0\,
I1 => \Lyy_20__1_carry__1_i_10_n_0\,
I2 => Lyy_2_bottom_right(9),
I3 => Lyy_2_top_right(8),
I4 => Lyy_2_top_left(8),
I5 => Lyy_2_bottom_left(8),
O => \Lyy_20__1_carry__1_i_7_n_0\
);
\Lyy_20__1_carry__1_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry__1_i_4_n_0\,
I1 => \Lyy_20__1_carry__1_i_11_n_0\,
I2 => Lyy_2_bottom_right(8),
I3 => Lyy_2_top_right(7),
I4 => Lyy_2_top_left(7),
I5 => Lyy_2_bottom_left(7),
O => \Lyy_20__1_carry__1_i_8_n_0\
);
\Lyy_20__1_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"4D"
)
port map (
I0 => Lyy_2_top_right(9),
I1 => Lyy_2_top_left(9),
I2 => Lyy_2_bottom_left(9),
O => \Lyy_20__1_carry__1_i_9_n_0\
);
\Lyy_20__1_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy_20__1_carry__1_n_0\,
CO(3) => \NLW_Lyy_20__1_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lyy_20__1_carry__2_n_1\,
CO(1) => \Lyy_20__1_carry__2_n_2\,
CO(0) => \Lyy_20__1_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lyy_20__1_carry__2_i_1_n_0\,
DI(1) => \Lyy_20__1_carry__2_i_2_n_0\,
DI(0) => \Lyy_20__1_carry__2_i_3_n_0\,
O(3 downto 0) => Lyy_20(15 downto 12),
S(3) => \Lyy_20__1_carry__2_i_4_n_0\,
S(2) => \Lyy_20__1_carry__2_i_5_n_0\,
S(1) => \Lyy_20__1_carry__2_i_6_n_0\,
S(0) => \Lyy_20__1_carry__2_i_7_n_0\
);
\Lyy_20__1_carry__2_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(13),
I1 => Lyy_2_top_right(12),
I2 => Lyy_2_top_left(12),
I3 => Lyy_2_bottom_left(12),
I4 => \Lyy_20__1_carry__2_i_8_n_0\,
O => \Lyy_20__1_carry__2_i_1_n_0\
);
\Lyy_20__1_carry__2_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"2B"
)
port map (
I0 => Lyy_2_top_left(13),
I1 => Lyy_2_bottom_left(13),
I2 => Lyy_2_top_right(13),
O => \Lyy_20__1_carry__2_i_10_n_0\
);
\Lyy_20__1_carry__2_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Lyy_2_top_right(15),
I1 => Lyy_2_bottom_left(15),
I2 => Lyy_2_top_left(15),
I3 => Lyy_2_bottom_right(15),
O => \Lyy_20__1_carry__2_i_11_n_0\
);
\Lyy_20__1_carry__2_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"BAFB20A2"
)
port map (
I0 => Lyy_2_bottom_right(12),
I1 => Lyy_2_bottom_left(11),
I2 => Lyy_2_top_left(11),
I3 => Lyy_2_top_right(11),
I4 => \Lyy_20__1_carry__2_i_9_n_0\,
O => \Lyy_20__1_carry__2_i_2_n_0\
);
\Lyy_20__1_carry__2_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF969600"
)
port map (
I0 => Lyy_2_top_left(11),
I1 => Lyy_2_bottom_left(11),
I2 => Lyy_2_top_right(11),
I3 => \Lyy_20__1_carry__1_i_12_n_0\,
I4 => Lyy_2_bottom_right(11),
O => \Lyy_20__1_carry__2_i_3_n_0\
);
\Lyy_20__1_carry__2_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"1E78871E871EE187"
)
port map (
I0 => Lyy_2_bottom_right(14),
I1 => \Lyy_20__1_carry__2_i_10_n_0\,
I2 => \Lyy_20__1_carry__2_i_11_n_0\,
I3 => Lyy_2_top_left(14),
I4 => Lyy_2_bottom_left(14),
I5 => Lyy_2_top_right(14),
O => \Lyy_20__1_carry__2_i_4_n_0\
);
\Lyy_20__1_carry__2_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \Lyy_20__1_carry__2_i_1_n_0\,
I1 => Lyy_2_top_right(14),
I2 => Lyy_2_bottom_left(14),
I3 => Lyy_2_top_left(14),
I4 => Lyy_2_bottom_right(14),
I5 => \Lyy_20__1_carry__2_i_10_n_0\,
O => \Lyy_20__1_carry__2_i_5_n_0\
);
\Lyy_20__1_carry__2_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry__2_i_2_n_0\,
I1 => \Lyy_20__1_carry__2_i_8_n_0\,
I2 => Lyy_2_bottom_right(13),
I3 => Lyy_2_bottom_left(12),
I4 => Lyy_2_top_left(12),
I5 => Lyy_2_top_right(12),
O => \Lyy_20__1_carry__2_i_6_n_0\
);
\Lyy_20__1_carry__2_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry__2_i_3_n_0\,
I1 => \Lyy_20__1_carry__2_i_9_n_0\,
I2 => Lyy_2_bottom_right(12),
I3 => Lyy_2_top_right(11),
I4 => Lyy_2_top_left(11),
I5 => Lyy_2_bottom_left(11),
O => \Lyy_20__1_carry__2_i_7_n_0\
);
\Lyy_20__1_carry__2_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(13),
I1 => Lyy_2_bottom_left(13),
I2 => Lyy_2_top_right(13),
O => \Lyy_20__1_carry__2_i_8_n_0\
);
\Lyy_20__1_carry__2_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(12),
I1 => Lyy_2_bottom_left(12),
I2 => Lyy_2_top_right(12),
O => \Lyy_20__1_carry__2_i_9_n_0\
);
\Lyy_20__1_carry_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"96FFFFFF00969696"
)
port map (
I0 => Lyy_2_top_left(2),
I1 => Lyy_2_bottom_left(2),
I2 => Lyy_2_top_right(2),
I3 => Lyy_2_top_right(1),
I4 => Lyy_2_bottom_left(1),
I5 => Lyy_2_bottom_right(2),
O => \Lyy_20__1_carry_i_1_n_0\
);
\Lyy_20__1_carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F990"
)
port map (
I0 => Lyy_2_top_right(1),
I1 => Lyy_2_bottom_left(1),
I2 => Lyy_2_top_left(1),
I3 => Lyy_2_bottom_right(1),
O => \Lyy_20__1_carry_i_2_n_0\
);
\Lyy_20__1_carry_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => Lyy_2_bottom_left(1),
I1 => Lyy_2_top_right(1),
I2 => Lyy_2_top_left(1),
I3 => Lyy_2_bottom_right(1),
O => \Lyy_20__1_carry_i_3_n_0\
);
\Lyy_20__1_carry_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669969669699669"
)
port map (
I0 => \Lyy_20__1_carry_i_1_n_0\,
I1 => \Lyy_20__1_carry_i_8_n_0\,
I2 => Lyy_2_bottom_right(3),
I3 => Lyy_2_top_right(2),
I4 => Lyy_2_top_left(2),
I5 => Lyy_2_bottom_left(2),
O => \Lyy_20__1_carry_i_4_n_0\
);
\Lyy_20__1_carry_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \Lyy_20__1_carry_i_2_n_0\,
I1 => Lyy_2_top_right(2),
I2 => Lyy_2_bottom_left(2),
I3 => Lyy_2_top_left(2),
I4 => Lyy_2_bottom_right(2),
I5 => \Lyy_20__1_carry_i_9_n_0\,
O => \Lyy_20__1_carry_i_5_n_0\
);
\Lyy_20__1_carry_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9A59"
)
port map (
I0 => \Lyy_20__1_carry_i_3_n_0\,
I1 => Lyy_2_bottom_left(0),
I2 => Lyy_2_top_left(0),
I3 => Lyy_2_top_right(0),
O => \Lyy_20__1_carry_i_6_n_0\
);
\Lyy_20__1_carry_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Lyy_2_top_right(0),
I1 => Lyy_2_bottom_left(0),
I2 => Lyy_2_top_left(0),
I3 => Lyy_2_bottom_right(0),
O => \Lyy_20__1_carry_i_7_n_0\
);
\Lyy_20__1_carry_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Lyy_2_top_left(3),
I1 => Lyy_2_bottom_left(3),
I2 => Lyy_2_top_right(3),
O => \Lyy_20__1_carry_i_8_n_0\
);
\Lyy_20__1_carry_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => Lyy_2_bottom_left(1),
I1 => Lyy_2_top_right(1),
O => \Lyy_20__1_carry_i_9_n_0\
);
\Lyy_2[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0020000000000000"
)
port map (
I0 => \cycle_reg[1]_rep_n_0\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => rst,
I5 => active,
O => \Lyy_2[15]_i_1_n_0\
);
\Lyy_2_bottom_left_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(0),
Q => Lyy_2_bottom_left(0),
R => '0'
);
\Lyy_2_bottom_left_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(10),
Q => Lyy_2_bottom_left(10),
R => '0'
);
\Lyy_2_bottom_left_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(11),
Q => Lyy_2_bottom_left(11),
R => '0'
);
\Lyy_2_bottom_left_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(12),
Q => Lyy_2_bottom_left(12),
R => '0'
);
\Lyy_2_bottom_left_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(13),
Q => Lyy_2_bottom_left(13),
R => '0'
);
\Lyy_2_bottom_left_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(14),
Q => Lyy_2_bottom_left(14),
R => '0'
);
\Lyy_2_bottom_left_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(15),
Q => Lyy_2_bottom_left(15),
R => '0'
);
\Lyy_2_bottom_left_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(1),
Q => Lyy_2_bottom_left(1),
R => '0'
);
\Lyy_2_bottom_left_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(2),
Q => Lyy_2_bottom_left(2),
R => '0'
);
\Lyy_2_bottom_left_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(3),
Q => Lyy_2_bottom_left(3),
R => '0'
);
\Lyy_2_bottom_left_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(4),
Q => Lyy_2_bottom_left(4),
R => '0'
);
\Lyy_2_bottom_left_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(5),
Q => Lyy_2_bottom_left(5),
R => '0'
);
\Lyy_2_bottom_left_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(6),
Q => Lyy_2_bottom_left(6),
R => '0'
);
\Lyy_2_bottom_left_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(7),
Q => Lyy_2_bottom_left(7),
R => '0'
);
\Lyy_2_bottom_left_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(8),
Q => Lyy_2_bottom_left(8),
R => '0'
);
\Lyy_2_bottom_left_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => \cache_reg[4]_0\(9),
Q => Lyy_2_bottom_left(9),
R => '0'
);
\Lyy_2_bottom_right0__0_carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \Lyy_2_bottom_right0__0_carry_n_0\,
CO(2) => \Lyy_2_bottom_right0__0_carry_n_1\,
CO(1) => \Lyy_2_bottom_right0__0_carry_n_2\,
CO(0) => \Lyy_2_bottom_right0__0_carry_n_3\,
CYINIT => '0',
DI(3) => \Lyy_2_bottom_right0__0_carry_i_1_n_0\,
DI(2) => \Lyy_2_bottom_right0__0_carry_i_2_n_0\,
DI(1) => \Lyy_2_bottom_right0__0_carry_i_3_n_0\,
DI(0) => \Lyy_2_bottom_right0__0_carry_i_4_n_0\,
O(3 downto 0) => Lyy_2_bottom_right01_out(3 downto 0),
S(3) => \Lyy_2_bottom_right0__0_carry_i_5_n_0\,
S(2) => \Lyy_2_bottom_right0__0_carry_i_6_n_0\,
S(1) => \Lyy_2_bottom_right0__0_carry_i_7_n_0\,
S(0) => \Lyy_2_bottom_right0__0_carry_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy_2_bottom_right0__0_carry_n_0\,
CO(3) => \Lyy_2_bottom_right0__0_carry__0_n_0\,
CO(2) => \Lyy_2_bottom_right0__0_carry__0_n_1\,
CO(1) => \Lyy_2_bottom_right0__0_carry__0_n_2\,
CO(0) => \Lyy_2_bottom_right0__0_carry__0_n_3\,
CYINIT => '0',
DI(3) => \Lyy_2_bottom_right0__0_carry__0_i_1_n_0\,
DI(2) => \Lyy_2_bottom_right0__0_carry__0_i_2_n_0\,
DI(1) => \Lyy_2_bottom_right0__0_carry__0_i_3_n_0\,
DI(0) => \Lyy_2_bottom_right0__0_carry__0_i_4_n_0\,
O(3 downto 0) => Lyy_2_bottom_right01_out(7 downto 4),
S(3) => \Lyy_2_bottom_right0__0_carry__0_i_5_n_0\,
S(2) => \Lyy_2_bottom_right0__0_carry__0_i_6_n_0\,
S(1) => \Lyy_2_bottom_right0__0_carry__0_i_7_n_0\,
S(0) => \Lyy_2_bottom_right0__0_carry__0_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EE8E8E88"
)
port map (
I0 => last_value(6),
I1 => \Lyy_2_bottom_right0__0_carry__0_i_9_n_0\,
I2 => \corner_reg_n_0_[5]\,
I3 => \top_reg_n_0_[5]\,
I4 => \left_reg_n_0_[5]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_1_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[5]\,
I1 => \left_reg_n_0_[5]\,
I2 => \top_reg_n_0_[5]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_10_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[4]\,
I1 => \left_reg_n_0_[4]\,
I2 => \top_reg_n_0_[4]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_11_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[7]\,
I1 => \left_reg_n_0_[7]\,
I2 => \top_reg_n_0_[7]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_12_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"EE8E8E88"
)
port map (
I0 => last_value(5),
I1 => \Lyy_2_bottom_right0__0_carry__0_i_10_n_0\,
I2 => \corner_reg_n_0_[4]\,
I3 => \top_reg_n_0_[4]\,
I4 => \left_reg_n_0_[4]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_2_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"EE8E8E88"
)
port map (
I0 => last_value(4),
I1 => \Lyy_2_bottom_right0__0_carry__0_i_11_n_0\,
I2 => \corner_reg_n_0_[3]\,
I3 => \top_reg_n_0_[3]\,
I4 => \left_reg_n_0_[3]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_3_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"EE8E8E88"
)
port map (
I0 => last_value(3),
I1 => \Lyy_2_bottom_right0__0_carry_i_10_n_0\,
I2 => \corner_reg_n_0_[2]\,
I3 => \top_reg_n_0_[2]\,
I4 => \left_reg_n_0_[2]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_4_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996969669696996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__0_i_1_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__0_i_12_n_0\,
I2 => last_value(7),
I3 => \left_reg_n_0_[6]\,
I4 => \top_reg_n_0_[6]\,
I5 => \corner_reg_n_0_[6]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_5_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996969669696996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__0_i_2_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__0_i_9_n_0\,
I2 => last_value(6),
I3 => \left_reg_n_0_[5]\,
I4 => \top_reg_n_0_[5]\,
I5 => \corner_reg_n_0_[5]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_6_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996969669696996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__0_i_3_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__0_i_10_n_0\,
I2 => last_value(5),
I3 => \left_reg_n_0_[4]\,
I4 => \top_reg_n_0_[4]\,
I5 => \corner_reg_n_0_[4]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_7_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996969669696996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__0_i_4_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__0_i_11_n_0\,
I2 => last_value(4),
I3 => \left_reg_n_0_[3]\,
I4 => \top_reg_n_0_[3]\,
I5 => \corner_reg_n_0_[3]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry__0_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[6]\,
I1 => \left_reg_n_0_[6]\,
I2 => \top_reg_n_0_[6]\,
O => \Lyy_2_bottom_right0__0_carry__0_i_9_n_0\
);
\Lyy_2_bottom_right0__0_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy_2_bottom_right0__0_carry__0_n_0\,
CO(3) => \Lyy_2_bottom_right0__0_carry__1_n_0\,
CO(2) => \Lyy_2_bottom_right0__0_carry__1_n_1\,
CO(1) => \Lyy_2_bottom_right0__0_carry__1_n_2\,
CO(0) => \Lyy_2_bottom_right0__0_carry__1_n_3\,
CYINIT => '0',
DI(3) => \Lyy_2_bottom_right0__0_carry__1_i_1_n_0\,
DI(2) => \Lyy_2_bottom_right0__0_carry__1_i_2_n_0\,
DI(1) => \Lyy_2_bottom_right0__0_carry__1_i_3_n_0\,
DI(0) => \Lyy_2_bottom_right0__0_carry__1_i_4_n_0\,
O(3 downto 0) => Lyy_2_bottom_right01_out(11 downto 8),
S(3) => \Lyy_2_bottom_right0__0_carry__1_i_5_n_0\,
S(2) => \Lyy_2_bottom_right0__0_carry__1_i_6_n_0\,
S(1) => \Lyy_2_bottom_right0__0_carry__1_i_7_n_0\,
S(0) => \Lyy_2_bottom_right0__0_carry__1_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969006900690000"
)
port map (
I0 => \top_reg_n_0_[10]\,
I1 => \left_reg_n_0_[10]\,
I2 => \corner_reg_n_0_[10]\,
I3 => \corner_reg_n_0_[9]\,
I4 => \top_reg_n_0_[9]\,
I5 => \left_reg_n_0_[9]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_1_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[10]\,
I1 => \left_reg_n_0_[10]\,
I2 => \top_reg_n_0_[10]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_10_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[9]\,
I1 => \left_reg_n_0_[9]\,
I2 => \top_reg_n_0_[9]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_11_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[8]\,
I1 => \left_reg_n_0_[8]\,
I2 => \top_reg_n_0_[8]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_12_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969006900690000"
)
port map (
I0 => \top_reg_n_0_[9]\,
I1 => \left_reg_n_0_[9]\,
I2 => \corner_reg_n_0_[9]\,
I3 => \corner_reg_n_0_[8]\,
I4 => \top_reg_n_0_[8]\,
I5 => \left_reg_n_0_[8]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_2_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969006900690000"
)
port map (
I0 => \top_reg_n_0_[8]\,
I1 => \left_reg_n_0_[8]\,
I2 => \corner_reg_n_0_[8]\,
I3 => \corner_reg_n_0_[7]\,
I4 => \top_reg_n_0_[7]\,
I5 => \left_reg_n_0_[7]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_3_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"EE8E8E88"
)
port map (
I0 => last_value(7),
I1 => \Lyy_2_bottom_right0__0_carry__0_i_12_n_0\,
I2 => \corner_reg_n_0_[6]\,
I3 => \top_reg_n_0_[6]\,
I4 => \left_reg_n_0_[6]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_4_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__1_i_1_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__1_i_9_n_0\,
I2 => \left_reg_n_0_[10]\,
I3 => \top_reg_n_0_[10]\,
I4 => \corner_reg_n_0_[10]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_5_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__1_i_2_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__1_i_10_n_0\,
I2 => \left_reg_n_0_[9]\,
I3 => \top_reg_n_0_[9]\,
I4 => \corner_reg_n_0_[9]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_6_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__1_i_3_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__1_i_11_n_0\,
I2 => \left_reg_n_0_[8]\,
I3 => \top_reg_n_0_[8]\,
I4 => \corner_reg_n_0_[8]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_7_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__1_i_4_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__1_i_12_n_0\,
I2 => \left_reg_n_0_[7]\,
I3 => \top_reg_n_0_[7]\,
I4 => \corner_reg_n_0_[7]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry__1_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[11]\,
I1 => \left_reg_n_0_[11]\,
I2 => \top_reg_n_0_[11]\,
O => \Lyy_2_bottom_right0__0_carry__1_i_9_n_0\
);
\Lyy_2_bottom_right0__0_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \Lyy_2_bottom_right0__0_carry__1_n_0\,
CO(3) => \NLW_Lyy_2_bottom_right0__0_carry__2_CO_UNCONNECTED\(3),
CO(2) => \Lyy_2_bottom_right0__0_carry__2_n_1\,
CO(1) => \Lyy_2_bottom_right0__0_carry__2_n_2\,
CO(0) => \Lyy_2_bottom_right0__0_carry__2_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \Lyy_2_bottom_right0__0_carry__2_i_1_n_0\,
DI(1) => \Lyy_2_bottom_right0__0_carry__2_i_2_n_0\,
DI(0) => \Lyy_2_bottom_right0__0_carry__2_i_3_n_0\,
O(3 downto 0) => Lyy_2_bottom_right01_out(15 downto 12),
S(3) => \Lyy_2_bottom_right0__0_carry__2_i_4_n_0\,
S(2) => \Lyy_2_bottom_right0__0_carry__2_i_5_n_0\,
S(1) => \Lyy_2_bottom_right0__0_carry__2_i_6_n_0\,
S(0) => \Lyy_2_bottom_right0__0_carry__2_i_7_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969006900690000"
)
port map (
I0 => \top_reg_n_0_[13]\,
I1 => \left_reg_n_0_[13]\,
I2 => \corner_reg_n_0_[13]\,
I3 => \corner_reg_n_0_[12]\,
I4 => \top_reg_n_0_[12]\,
I5 => \left_reg_n_0_[12]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_1_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[14]\,
I1 => \left_reg_n_0_[14]\,
I2 => \top_reg_n_0_[14]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_10_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[13]\,
I1 => \left_reg_n_0_[13]\,
I2 => \top_reg_n_0_[13]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_11_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[12]\,
I1 => \left_reg_n_0_[12]\,
I2 => \top_reg_n_0_[12]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_12_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969006900690000"
)
port map (
I0 => \top_reg_n_0_[12]\,
I1 => \left_reg_n_0_[12]\,
I2 => \corner_reg_n_0_[12]\,
I3 => \corner_reg_n_0_[11]\,
I4 => \top_reg_n_0_[11]\,
I5 => \left_reg_n_0_[11]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_2_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969006900690000"
)
port map (
I0 => \top_reg_n_0_[11]\,
I1 => \left_reg_n_0_[11]\,
I2 => \corner_reg_n_0_[11]\,
I3 => \corner_reg_n_0_[10]\,
I4 => \top_reg_n_0_[10]\,
I5 => \left_reg_n_0_[10]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_3_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"D77D2882"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__2_i_8_n_0\,
I1 => \corner_reg_n_0_[14]\,
I2 => \left_reg_n_0_[14]\,
I3 => \top_reg_n_0_[14]\,
I4 => \Lyy_2_bottom_right0__0_carry__2_i_9_n_0\,
O => \Lyy_2_bottom_right0__0_carry__2_i_4_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__2_i_1_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__2_i_10_n_0\,
I2 => \left_reg_n_0_[13]\,
I3 => \top_reg_n_0_[13]\,
I4 => \corner_reg_n_0_[13]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_5_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__2_i_2_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__2_i_11_n_0\,
I2 => \left_reg_n_0_[12]\,
I3 => \top_reg_n_0_[12]\,
I4 => \corner_reg_n_0_[12]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_6_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry__2_i_3_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry__2_i_12_n_0\,
I2 => \left_reg_n_0_[11]\,
I3 => \top_reg_n_0_[11]\,
I4 => \corner_reg_n_0_[11]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_7_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"8E"
)
port map (
I0 => \left_reg_n_0_[13]\,
I1 => \top_reg_n_0_[13]\,
I2 => \corner_reg_n_0_[13]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry__2_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"D42B2BD42BD4D42B"
)
port map (
I0 => \corner_reg_n_0_[14]\,
I1 => \top_reg_n_0_[14]\,
I2 => \left_reg_n_0_[14]\,
I3 => \top_reg_n_0_[15]\,
I4 => \left_reg_n_0_[15]\,
I5 => \corner_reg_n_0_[15]\,
O => \Lyy_2_bottom_right0__0_carry__2_i_9_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EE8E8E88"
)
port map (
I0 => last_value(2),
I1 => \Lyy_2_bottom_right0__0_carry_i_9_n_0\,
I2 => \corner_reg_n_0_[1]\,
I3 => \top_reg_n_0_[1]\,
I4 => \left_reg_n_0_[1]\,
O => \Lyy_2_bottom_right0__0_carry_i_1_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[3]\,
I1 => \left_reg_n_0_[3]\,
I2 => \top_reg_n_0_[3]\,
O => \Lyy_2_bottom_right0__0_carry_i_10_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[1]\,
I1 => \left_reg_n_0_[1]\,
I2 => \top_reg_n_0_[1]\,
O => \Lyy_2_bottom_right0__0_carry_i_11_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"20BABA20BA2020BA"
)
port map (
I0 => last_value(1),
I1 => \corner_reg_n_0_[0]\,
I2 => last_value(0),
I3 => \top_reg_n_0_[1]\,
I4 => \left_reg_n_0_[1]\,
I5 => \corner_reg_n_0_[1]\,
O => \Lyy_2_bottom_right0__0_carry_i_2_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669966969969669"
)
port map (
I0 => \top_reg_n_0_[1]\,
I1 => \left_reg_n_0_[1]\,
I2 => \corner_reg_n_0_[1]\,
I3 => last_value(1),
I4 => last_value(0),
I5 => \corner_reg_n_0_[0]\,
O => \Lyy_2_bottom_right0__0_carry_i_3_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \left_reg_n_0_[0]\,
I1 => \top_reg_n_0_[0]\,
O => \Lyy_2_bottom_right0__0_carry_i_4_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996969669696996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry_i_1_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry_i_10_n_0\,
I2 => last_value(3),
I3 => \left_reg_n_0_[2]\,
I4 => \top_reg_n_0_[2]\,
I5 => \corner_reg_n_0_[2]\,
O => \Lyy_2_bottom_right0__0_carry_i_5_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996969669696996"
)
port map (
I0 => \Lyy_2_bottom_right0__0_carry_i_2_n_0\,
I1 => \Lyy_2_bottom_right0__0_carry_i_9_n_0\,
I2 => last_value(2),
I3 => \left_reg_n_0_[1]\,
I4 => \top_reg_n_0_[1]\,
I5 => \corner_reg_n_0_[1]\,
O => \Lyy_2_bottom_right0__0_carry_i_6_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"B44BB44BB44B4BB4"
)
port map (
I0 => \corner_reg_n_0_[0]\,
I1 => last_value(0),
I2 => last_value(1),
I3 => \Lyy_2_bottom_right0__0_carry_i_11_n_0\,
I4 => \left_reg_n_0_[0]\,
I5 => \top_reg_n_0_[0]\,
O => \Lyy_2_bottom_right0__0_carry_i_7_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \left_reg_n_0_[0]\,
I1 => \top_reg_n_0_[0]\,
I2 => \corner_reg_n_0_[0]\,
I3 => last_value(0),
O => \Lyy_2_bottom_right0__0_carry_i_8_n_0\
);
\Lyy_2_bottom_right0__0_carry_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \corner_reg_n_0_[2]\,
I1 => \left_reg_n_0_[2]\,
I2 => \top_reg_n_0_[2]\,
O => \Lyy_2_bottom_right0__0_carry_i_9_n_0\
);
\Lyy_2_bottom_right[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000080"
)
port map (
I0 => cycle(0),
I1 => active,
I2 => rst,
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(3),
I5 => cycle(2),
O => y5
);
\Lyy_2_bottom_right_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(0),
Q => Lyy_2_bottom_right(0),
R => '0'
);
\Lyy_2_bottom_right_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(10),
Q => Lyy_2_bottom_right(10),
R => '0'
);
\Lyy_2_bottom_right_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(11),
Q => Lyy_2_bottom_right(11),
R => '0'
);
\Lyy_2_bottom_right_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(12),
Q => Lyy_2_bottom_right(12),
R => '0'
);
\Lyy_2_bottom_right_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(13),
Q => Lyy_2_bottom_right(13),
R => '0'
);
\Lyy_2_bottom_right_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(14),
Q => Lyy_2_bottom_right(14),
R => '0'
);
\Lyy_2_bottom_right_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(15),
Q => Lyy_2_bottom_right(15),
R => '0'
);
\Lyy_2_bottom_right_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(1),
Q => Lyy_2_bottom_right(1),
R => '0'
);
\Lyy_2_bottom_right_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(2),
Q => Lyy_2_bottom_right(2),
R => '0'
);
\Lyy_2_bottom_right_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(3),
Q => Lyy_2_bottom_right(3),
R => '0'
);
\Lyy_2_bottom_right_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(4),
Q => Lyy_2_bottom_right(4),
R => '0'
);
\Lyy_2_bottom_right_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(5),
Q => Lyy_2_bottom_right(5),
R => '0'
);
\Lyy_2_bottom_right_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(6),
Q => Lyy_2_bottom_right(6),
R => '0'
);
\Lyy_2_bottom_right_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(7),
Q => Lyy_2_bottom_right(7),
R => '0'
);
\Lyy_2_bottom_right_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(8),
Q => Lyy_2_bottom_right(8),
R => '0'
);
\Lyy_2_bottom_right_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y5,
D => Lyy_2_bottom_right01_out(9),
Q => Lyy_2_bottom_right(9),
R => '0'
);
\Lyy_2_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(0),
Q => \Lyy_2_reg_n_0_[0]\,
R => '0'
);
\Lyy_2_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(10),
Q => \Lyy_2_reg_n_0_[10]\,
R => '0'
);
\Lyy_2_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(11),
Q => \Lyy_2_reg_n_0_[11]\,
R => '0'
);
\Lyy_2_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(12),
Q => \Lyy_2_reg_n_0_[12]\,
R => '0'
);
\Lyy_2_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(13),
Q => \Lyy_2_reg_n_0_[13]\,
R => '0'
);
\Lyy_2_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(14),
Q => \Lyy_2_reg_n_0_[14]\,
R => '0'
);
\Lyy_2_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(15),
Q => \Lyy_2_reg_n_0_[15]\,
R => '0'
);
\Lyy_2_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(1),
Q => \Lyy_2_reg_n_0_[1]\,
R => '0'
);
\Lyy_2_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(2),
Q => \Lyy_2_reg_n_0_[2]\,
R => '0'
);
\Lyy_2_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(3),
Q => \Lyy_2_reg_n_0_[3]\,
R => '0'
);
\Lyy_2_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(4),
Q => \Lyy_2_reg_n_0_[4]\,
R => '0'
);
\Lyy_2_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(5),
Q => \Lyy_2_reg_n_0_[5]\,
R => '0'
);
\Lyy_2_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(6),
Q => \Lyy_2_reg_n_0_[6]\,
R => '0'
);
\Lyy_2_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(7),
Q => \Lyy_2_reg_n_0_[7]\,
R => '0'
);
\Lyy_2_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(8),
Q => \Lyy_2_reg_n_0_[8]\,
R => '0'
);
\Lyy_2_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \Lyy_2[15]_i_1_n_0\,
D => Lyy_20(9),
Q => \Lyy_2_reg_n_0_[9]\,
R => '0'
);
\Lyy_2_top_left_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(0),
Q => Lyy_2_top_left(0),
R => '0'
);
\Lyy_2_top_left_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(10),
Q => Lyy_2_top_left(10),
R => '0'
);
\Lyy_2_top_left_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(11),
Q => Lyy_2_top_left(11),
R => '0'
);
\Lyy_2_top_left_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(12),
Q => Lyy_2_top_left(12),
R => '0'
);
\Lyy_2_top_left_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(13),
Q => Lyy_2_top_left(13),
R => '0'
);
\Lyy_2_top_left_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(14),
Q => Lyy_2_top_left(14),
R => '0'
);
\Lyy_2_top_left_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(15),
Q => Lyy_2_top_left(15),
R => '0'
);
\Lyy_2_top_left_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(1),
Q => Lyy_2_top_left(1),
R => '0'
);
\Lyy_2_top_left_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(2),
Q => Lyy_2_top_left(2),
R => '0'
);
\Lyy_2_top_left_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(3),
Q => Lyy_2_top_left(3),
R => '0'
);
\Lyy_2_top_left_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(4),
Q => Lyy_2_top_left(4),
R => '0'
);
\Lyy_2_top_left_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(5),
Q => Lyy_2_top_left(5),
R => '0'
);
\Lyy_2_top_left_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(6),
Q => Lyy_2_top_left(6),
R => '0'
);
\Lyy_2_top_left_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(7),
Q => Lyy_2_top_left(7),
R => '0'
);
\Lyy_2_top_left_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(8),
Q => Lyy_2_top_left(8),
R => '0'
);
\Lyy_2_top_left_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => bottom_left_1(9),
Q => Lyy_2_top_left(9),
R => '0'
);
\Lyy_2_top_right_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[0]\,
Q => Lyy_2_top_right(0),
R => '0'
);
\Lyy_2_top_right_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[10]\,
Q => Lyy_2_top_right(10),
R => '0'
);
\Lyy_2_top_right_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[11]\,
Q => Lyy_2_top_right(11),
R => '0'
);
\Lyy_2_top_right_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[12]\,
Q => Lyy_2_top_right(12),
R => '0'
);
\Lyy_2_top_right_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[13]\,
Q => Lyy_2_top_right(13),
R => '0'
);
\Lyy_2_top_right_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[14]\,
Q => Lyy_2_top_right(14),
R => '0'
);
\Lyy_2_top_right_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[15]\,
Q => Lyy_2_top_right(15),
R => '0'
);
\Lyy_2_top_right_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[1]\,
Q => Lyy_2_top_right(1),
R => '0'
);
\Lyy_2_top_right_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[2]\,
Q => Lyy_2_top_right(2),
R => '0'
);
\Lyy_2_top_right_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[3]\,
Q => Lyy_2_top_right(3),
R => '0'
);
\Lyy_2_top_right_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[4]\,
Q => Lyy_2_top_right(4),
R => '0'
);
\Lyy_2_top_right_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[5]\,
Q => Lyy_2_top_right(5),
R => '0'
);
\Lyy_2_top_right_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[6]\,
Q => Lyy_2_top_right(6),
R => '0'
);
\Lyy_2_top_right_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[7]\,
Q => Lyy_2_top_right(7),
R => '0'
);
\Lyy_2_top_right_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[8]\,
Q => Lyy_2_top_right(8),
R => '0'
);
\Lyy_2_top_right_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y1,
D => \bottom_right_1_reg_n_0_[9]\,
Q => Lyy_2_top_right(9),
R => '0'
);
\addr_0[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[0]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[0]\,
O => \addr_0[0]_i_1_n_0\
);
\addr_0[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[10]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[10]\,
O => \addr_0[10]_i_1_n_0\
);
\addr_0[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[11]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[11]\,
O => \addr_0[11]_i_1_n_0\
);
\addr_0[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[12]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[12]\,
O => \addr_0[12]_i_1_n_0\
);
\addr_0[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888888888808888"
)
port map (
I0 => rst,
I1 => active,
I2 => cycle(3),
I3 => \cycle_reg[0]_rep_n_0\,
I4 => \cycle_reg[1]_rep_n_0\,
I5 => cycle(2),
O => addr_0
);
\addr_0[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[13]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[13]\,
O => \addr_0[13]_i_2_n_0\
);
\addr_0[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[1]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[1]\,
O => \addr_0[1]_i_1_n_0\
);
\addr_0[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[2]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[2]\,
O => \addr_0[2]_i_1_n_0\
);
\addr_0[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[3]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[3]\,
O => \addr_0[3]_i_1_n_0\
);
\addr_0[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[4]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[4]\,
O => \addr_0[4]_i_1_n_0\
);
\addr_0[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[5]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[5]\,
O => \addr_0[5]_i_1_n_0\
);
\addr_0[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[6]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[6]\,
O => \addr_0[6]_i_1_n_0\
);
\addr_0[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[7]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[7]\,
O => \addr_0[7]_i_1_n_0\
);
\addr_0[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[8]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[8]\,
O => \addr_0[8]_i_1_n_0\
);
\addr_0[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \compute_addr_0_reg_n_0_[9]\,
I1 => \cycle_reg[0]_rep_n_0\,
I2 => \compute_addr_2_reg_n_0_[9]\,
O => \addr_0[9]_i_1_n_0\
);
\addr_0_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[0]_i_1_n_0\,
Q => \addr_0_reg_n_0_[0]\,
R => '0'
);
\addr_0_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[10]_i_1_n_0\,
Q => \addr_0_reg_n_0_[10]\,
R => '0'
);
\addr_0_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[11]_i_1_n_0\,
Q => \addr_0_reg_n_0_[11]\,
R => '0'
);
\addr_0_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[12]_i_1_n_0\,
Q => \addr_0_reg_n_0_[12]\,
R => '0'
);
\addr_0_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[13]_i_2_n_0\,
Q => \addr_0_reg_n_0_[13]\,
R => '0'
);
\addr_0_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[1]_i_1_n_0\,
Q => \addr_0_reg_n_0_[1]\,
R => '0'
);
\addr_0_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[2]_i_1_n_0\,
Q => \addr_0_reg_n_0_[2]\,
R => '0'
);
\addr_0_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[3]_i_1_n_0\,
Q => \addr_0_reg_n_0_[3]\,
R => '0'
);
\addr_0_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[4]_i_1_n_0\,
Q => \addr_0_reg_n_0_[4]\,
R => '0'
);
\addr_0_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[5]_i_1_n_0\,
Q => \addr_0_reg_n_0_[5]\,
R => '0'
);
\addr_0_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[6]_i_1_n_0\,
Q => \addr_0_reg_n_0_[6]\,
R => '0'
);
\addr_0_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[7]_i_1_n_0\,
Q => \addr_0_reg_n_0_[7]\,
R => '0'
);
\addr_0_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[8]_i_1_n_0\,
Q => \addr_0_reg_n_0_[8]\,
R => '0'
);
\addr_0_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_0[9]_i_1_n_0\,
Q => \addr_0_reg_n_0_[9]\,
R => '0'
);
\addr_1[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(0),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(0),
O => \addr_1[0]_i_1_n_0\
);
\addr_1[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(10),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(10),
O => \addr_1[10]_i_1_n_0\
);
\addr_1[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(11),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(11),
O => \addr_1[11]_i_1_n_0\
);
\addr_1[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(12),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(12),
O => \addr_1[12]_i_1_n_0\
);
\addr_1[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(13),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(13),
O => \addr_1[13]_i_1_n_0\
);
\addr_1[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(1),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(1),
O => \addr_1[1]_i_1_n_0\
);
\addr_1[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(2),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(2),
O => \addr_1[2]_i_1_n_0\
);
\addr_1[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(3),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(3),
O => \addr_1[3]_i_1_n_0\
);
\addr_1[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(4),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(4),
O => \addr_1[4]_i_1_n_0\
);
\addr_1[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(5),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(5),
O => \addr_1[5]_i_1_n_0\
);
\addr_1[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(6),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(6),
O => \addr_1[6]_i_1_n_0\
);
\addr_1[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(7),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(7),
O => \addr_1[7]_i_1_n_0\
);
\addr_1[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(8),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(8),
O => \addr_1[8]_i_1_n_0\
);
\addr_1[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => compute_addr_1(9),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => compute_addr_3(9),
O => \addr_1[9]_i_1_n_0\
);
\addr_1_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[0]_i_1_n_0\,
Q => addr_1(0),
R => '0'
);
\addr_1_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[10]_i_1_n_0\,
Q => addr_1(10),
R => '0'
);
\addr_1_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[11]_i_1_n_0\,
Q => addr_1(11),
R => '0'
);
\addr_1_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[12]_i_1_n_0\,
Q => addr_1(12),
R => '0'
);
\addr_1_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[13]_i_1_n_0\,
Q => addr_1(13),
R => '0'
);
\addr_1_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[1]_i_1_n_0\,
Q => addr_1(1),
R => '0'
);
\addr_1_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[2]_i_1_n_0\,
Q => addr_1(2),
R => '0'
);
\addr_1_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[3]_i_1_n_0\,
Q => addr_1(3),
R => '0'
);
\addr_1_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[4]_i_1_n_0\,
Q => addr_1(4),
R => '0'
);
\addr_1_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[5]_i_1_n_0\,
Q => addr_1(5),
R => '0'
);
\addr_1_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[6]_i_1_n_0\,
Q => addr_1(6),
R => '0'
);
\addr_1_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[7]_i_1_n_0\,
Q => addr_1(7),
R => '0'
);
\addr_1_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[8]_i_1_n_0\,
Q => addr_1(8),
R => '0'
);
\addr_1_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => addr_0,
D => \addr_1[9]_i_1_n_0\,
Q => addr_1(9),
R => '0'
);
\bottom_left_0[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8800880000000800"
)
port map (
I0 => rst,
I1 => active,
I2 => cycle(2),
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => \cycle_reg[1]_rep_n_0\,
O => bottom_left_0
);
\bottom_left_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(0),
Q => \bottom_left_0_reg_n_0_[0]\,
R => '0'
);
\bottom_left_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(10),
Q => \bottom_left_0_reg_n_0_[10]\,
R => '0'
);
\bottom_left_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(11),
Q => \bottom_left_0_reg_n_0_[11]\,
R => '0'
);
\bottom_left_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(12),
Q => \bottom_left_0_reg_n_0_[12]\,
R => '0'
);
\bottom_left_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(13),
Q => \bottom_left_0_reg_n_0_[13]\,
R => '0'
);
\bottom_left_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(14),
Q => \bottom_left_0_reg_n_0_[14]\,
R => '0'
);
\bottom_left_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(15),
Q => \bottom_left_0_reg_n_0_[15]\,
R => '0'
);
\bottom_left_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(1),
Q => \bottom_left_0_reg_n_0_[1]\,
R => '0'
);
\bottom_left_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(2),
Q => \bottom_left_0_reg_n_0_[2]\,
R => '0'
);
\bottom_left_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(3),
Q => \bottom_left_0_reg_n_0_[3]\,
R => '0'
);
\bottom_left_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(4),
Q => \bottom_left_0_reg_n_0_[4]\,
R => '0'
);
\bottom_left_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(5),
Q => \bottom_left_0_reg_n_0_[5]\,
R => '0'
);
\bottom_left_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(6),
Q => \bottom_left_0_reg_n_0_[6]\,
R => '0'
);
\bottom_left_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(7),
Q => \bottom_left_0_reg_n_0_[7]\,
R => '0'
);
\bottom_left_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(8),
Q => \bottom_left_0_reg_n_0_[8]\,
R => '0'
);
\bottom_left_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_left_0,
D => dout_0(9),
Q => \bottom_left_0_reg_n_0_[9]\,
R => '0'
);
\bottom_left_1[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40000040"
)
port map (
I0 => \cycle_reg[1]_rep_n_0\,
I1 => active,
I2 => rst,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
O => top_right_1
);
\bottom_left_1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(0),
Q => bottom_left_1(0),
R => '0'
);
\bottom_left_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(10),
Q => bottom_left_1(10),
R => '0'
);
\bottom_left_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(11),
Q => bottom_left_1(11),
R => '0'
);
\bottom_left_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(12),
Q => bottom_left_1(12),
R => '0'
);
\bottom_left_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(13),
Q => bottom_left_1(13),
R => '0'
);
\bottom_left_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(14),
Q => bottom_left_1(14),
R => '0'
);
\bottom_left_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(15),
Q => bottom_left_1(15),
R => '0'
);
\bottom_left_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(1),
Q => bottom_left_1(1),
R => '0'
);
\bottom_left_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(2),
Q => bottom_left_1(2),
R => '0'
);
\bottom_left_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(3),
Q => bottom_left_1(3),
R => '0'
);
\bottom_left_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(4),
Q => bottom_left_1(4),
R => '0'
);
\bottom_left_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(5),
Q => bottom_left_1(5),
R => '0'
);
\bottom_left_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(6),
Q => bottom_left_1(6),
R => '0'
);
\bottom_left_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(7),
Q => bottom_left_1(7),
R => '0'
);
\bottom_left_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(8),
Q => bottom_left_1(8),
R => '0'
);
\bottom_left_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => dout_0(9),
Q => bottom_left_1(9),
R => '0'
);
\bottom_right_0[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[0]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(0),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(0),
O => p_0_out(0)
);
\bottom_right_0[0]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(0),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(0),
I3 => cycle(2),
I4 => cycle(0),
O => \bottom_right_0[0]_i_2_n_0\
);
\bottom_right_0[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[10]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(10),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(10),
O => p_0_out(10)
);
\bottom_right_0[10]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(10),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(10),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[10]_i_2_n_0\
);
\bottom_right_0[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[11]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(11),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(11),
O => p_0_out(11)
);
\bottom_right_0[11]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(11),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(11),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[11]_i_2_n_0\
);
\bottom_right_0[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[12]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(12),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(12),
O => p_0_out(12)
);
\bottom_right_0[12]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(12),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(12),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[12]_i_2_n_0\
);
\bottom_right_0[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[13]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(13),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(13),
O => p_0_out(13)
);
\bottom_right_0[13]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(13),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(13),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[13]_i_2_n_0\
);
\bottom_right_0[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[14]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(14),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(14),
O => p_0_out(14)
);
\bottom_right_0[14]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(14),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(14),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[14]_i_2_n_0\
);
\bottom_right_0[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"444A000000000000"
)
port map (
I0 => cycle(0),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => rst,
I5 => active,
O => \bottom_right_0[15]_i_1_n_0\
);
\bottom_right_0[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[15]_i_4_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(15),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(15),
O => p_0_out(15)
);
\bottom_right_0[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cycle_reg[0]_rep_n_0\,
I1 => cycle(2),
O => \bottom_right_0[15]_i_3_n_0\
);
\bottom_right_0[15]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(15),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(15),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[15]_i_4_n_0\
);
\bottom_right_0[15]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => cycle(2),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(0),
O => \bottom_right_0[15]_i_5_n_0\
);
\bottom_right_0[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[1]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(1),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(1),
O => p_0_out(1)
);
\bottom_right_0[1]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(1),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(1),
I3 => cycle(2),
I4 => cycle(0),
O => \bottom_right_0[1]_i_2_n_0\
);
\bottom_right_0[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[2]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(2),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(2),
O => p_0_out(2)
);
\bottom_right_0[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(2),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(2),
I3 => cycle(2),
I4 => cycle(0),
O => \bottom_right_0[2]_i_2_n_0\
);
\bottom_right_0[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[3]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(3),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(3),
O => p_0_out(3)
);
\bottom_right_0[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(3),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(3),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[3]_i_2_n_0\
);
\bottom_right_0[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[4]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(4),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(4),
O => p_0_out(4)
);
\bottom_right_0[4]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(4),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(4),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[4]_i_2_n_0\
);
\bottom_right_0[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[5]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(5),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(5),
O => p_0_out(5)
);
\bottom_right_0[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(5),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(5),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[5]_i_2_n_0\
);
\bottom_right_0[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[6]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(6),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(6),
O => p_0_out(6)
);
\bottom_right_0[6]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(6),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(6),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[6]_i_2_n_0\
);
\bottom_right_0[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[7]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(7),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(7),
O => p_0_out(7)
);
\bottom_right_0[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(7),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(7),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[7]_i_2_n_0\
);
\bottom_right_0[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[8]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(8),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(8),
O => p_0_out(8)
);
\bottom_right_0[8]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(8),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(8),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[8]_i_2_n_0\
);
\bottom_right_0[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0CC880F00CC88"
)
port map (
I0 => \bottom_right_0[15]_i_3_n_0\,
I1 => \bottom_right_0[9]_i_2_n_0\,
I2 => \bottom_right_0[15]_i_5_n_0\,
I3 => dout_0(9),
I4 => cycle(3),
I5 => \cache_reg[8]_1\(9),
O => p_0_out(9)
);
\bottom_right_0[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2FFFF"
)
port map (
I0 => bottom_left_1(9),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => dout_1(9),
I3 => cycle(2),
I4 => \cycle_reg[0]_rep_n_0\,
O => \bottom_right_0[9]_i_2_n_0\
);
\bottom_right_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(0),
Q => \bottom_right_0_reg_n_0_[0]\,
R => '0'
);
\bottom_right_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(10),
Q => \bottom_right_0_reg_n_0_[10]\,
R => '0'
);
\bottom_right_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(11),
Q => \bottom_right_0_reg_n_0_[11]\,
R => '0'
);
\bottom_right_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(12),
Q => \bottom_right_0_reg_n_0_[12]\,
R => '0'
);
\bottom_right_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(13),
Q => \bottom_right_0_reg_n_0_[13]\,
R => '0'
);
\bottom_right_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(14),
Q => \bottom_right_0_reg_n_0_[14]\,
R => '0'
);
\bottom_right_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(15),
Q => \bottom_right_0_reg_n_0_[15]\,
R => '0'
);
\bottom_right_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(1),
Q => \bottom_right_0_reg_n_0_[1]\,
R => '0'
);
\bottom_right_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(2),
Q => \bottom_right_0_reg_n_0_[2]\,
R => '0'
);
\bottom_right_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(3),
Q => \bottom_right_0_reg_n_0_[3]\,
R => '0'
);
\bottom_right_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(4),
Q => \bottom_right_0_reg_n_0_[4]\,
R => '0'
);
\bottom_right_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(5),
Q => \bottom_right_0_reg_n_0_[5]\,
R => '0'
);
\bottom_right_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(6),
Q => \bottom_right_0_reg_n_0_[6]\,
R => '0'
);
\bottom_right_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(7),
Q => \bottom_right_0_reg_n_0_[7]\,
R => '0'
);
\bottom_right_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(8),
Q => \bottom_right_0_reg_n_0_[8]\,
R => '0'
);
\bottom_right_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \bottom_right_0[15]_i_1_n_0\,
D => p_0_out(9),
Q => \bottom_right_0_reg_n_0_[9]\,
R => '0'
);
\bottom_right_1[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(0),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[0]\,
O => \bottom_right_1[0]_i_1_n_0\
);
\bottom_right_1[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(10),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(10),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[10]\,
O => \bottom_right_1[10]_i_1_n_0\
);
\bottom_right_1[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(11),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(11),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[11]\,
O => \bottom_right_1[11]_i_1_n_0\
);
\bottom_right_1[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(12),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(12),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[12]\,
O => \bottom_right_1[12]_i_1_n_0\
);
\bottom_right_1[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(13),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(13),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[13]\,
O => \bottom_right_1[13]_i_1_n_0\
);
\bottom_right_1[14]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(14),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(14),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[14]\,
O => \bottom_right_1[14]_i_1_n_0\
);
\bottom_right_1[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(15),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(15),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[15]\,
O => \bottom_right_1[15]_i_1_n_0\
);
\bottom_right_1[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(1),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(1),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[1]\,
O => \bottom_right_1[1]_i_1_n_0\
);
\bottom_right_1[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(2),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(2),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[2]\,
O => \bottom_right_1[2]_i_1_n_0\
);
\bottom_right_1[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(3),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(3),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[3]\,
O => \bottom_right_1[3]_i_1_n_0\
);
\bottom_right_1[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(4),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(4),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[4]\,
O => \bottom_right_1[4]_i_1_n_0\
);
\bottom_right_1[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(5),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(5),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[5]\,
O => \bottom_right_1[5]_i_1_n_0\
);
\bottom_right_1[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(6),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(6),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[6]\,
O => \bottom_right_1[6]_i_1_n_0\
);
\bottom_right_1[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(7),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(7),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[7]\,
O => \bottom_right_1[7]_i_1_n_0\
);
\bottom_right_1[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(8),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(8),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[8]\,
O => \bottom_right_1[8]_i_1_n_0\
);
\bottom_right_1[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_0(9),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => dout_1(9),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \bottom_left_0_reg_n_0_[9]\,
O => \bottom_right_1[9]_i_1_n_0\
);
\bottom_right_1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[0]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[0]\,
R => '0'
);
\bottom_right_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[10]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[10]\,
R => '0'
);
\bottom_right_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[11]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[11]\,
R => '0'
);
\bottom_right_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[12]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[12]\,
R => '0'
);
\bottom_right_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[13]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[13]\,
R => '0'
);
\bottom_right_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[14]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[14]\,
R => '0'
);
\bottom_right_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[15]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[15]\,
R => '0'
);
\bottom_right_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[1]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[1]\,
R => '0'
);
\bottom_right_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[2]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[2]\,
R => '0'
);
\bottom_right_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[3]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[3]\,
R => '0'
);
\bottom_right_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[4]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[4]\,
R => '0'
);
\bottom_right_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[5]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[5]\,
R => '0'
);
\bottom_right_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[6]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[6]\,
R => '0'
);
\bottom_right_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[7]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[7]\,
R => '0'
);
\bottom_right_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[8]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[8]\,
R => '0'
);
\bottom_right_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \bottom_right_1[9]_i_1_n_0\,
Q => \bottom_right_1_reg_n_0_[9]\,
R => '0'
);
bram_0: entity work.system_vga_hessian_0_0_blk_mem_gen_0
port map (
addra(13) => \addr_0_reg_n_0_[13]\,
addra(12) => \addr_0_reg_n_0_[12]\,
addra(11) => \addr_0_reg_n_0_[11]\,
addra(10) => \addr_0_reg_n_0_[10]\,
addra(9) => \addr_0_reg_n_0_[9]\,
addra(8) => \addr_0_reg_n_0_[8]\,
addra(7) => \addr_0_reg_n_0_[7]\,
addra(6) => \addr_0_reg_n_0_[6]\,
addra(5) => \addr_0_reg_n_0_[5]\,
addra(4) => \addr_0_reg_n_0_[4]\,
addra(3) => \addr_0_reg_n_0_[3]\,
addra(2) => \addr_0_reg_n_0_[2]\,
addra(1) => \addr_0_reg_n_0_[1]\,
addra(0) => \addr_0_reg_n_0_[0]\,
addrb(13 downto 0) => addr_1(13 downto 0),
clka => clk_x16,
clkb => clk_x16,
dina(15) => \din_reg_n_0_[15]\,
dina(14) => \din_reg_n_0_[14]\,
dina(13) => \din_reg_n_0_[13]\,
dina(12) => \din_reg_n_0_[12]\,
dina(11) => \din_reg_n_0_[11]\,
dina(10) => \din_reg_n_0_[10]\,
dina(9) => \din_reg_n_0_[9]\,
dina(8) => \din_reg_n_0_[8]\,
dina(7) => \din_reg_n_0_[7]\,
dina(6) => \din_reg_n_0_[6]\,
dina(5) => \din_reg_n_0_[5]\,
dina(4) => \din_reg_n_0_[4]\,
dina(3) => \din_reg_n_0_[3]\,
dina(2) => \din_reg_n_0_[2]\,
dina(1) => \din_reg_n_0_[1]\,
dina(0) => \din_reg_n_0_[0]\,
dinb(15 downto 0) => B"0000000000000000",
douta(15 downto 0) => dout_0(15 downto 0),
doutb(15 downto 0) => dout_1(15 downto 0),
ena => '1',
enb => '1',
wea(0) => wen_reg_n_0,
web(0) => '0'
);
\cache[9][15]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rst,
O => \cache[9][15]_i_1_n_0\
);
\cache[9][15]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"08000000"
)
port map (
I0 => active,
I1 => cycle(2),
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => \cycle_reg[0]_rep_n_0\,
O => \cache[10]_5\
);
\cache_reg[0][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(0),
Q => \cache_reg[0]_4\(0),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(10),
Q => \cache_reg[0]_4\(10),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(11),
Q => \cache_reg[0]_4\(11),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(12),
Q => \cache_reg[0]_4\(12),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(13),
Q => \cache_reg[0]_4\(13),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(14),
Q => \cache_reg[0]_4\(14),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(15),
Q => \cache_reg[0]_4\(15),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(1),
Q => \cache_reg[0]_4\(1),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(2),
Q => \cache_reg[0]_4\(2),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(3),
Q => \cache_reg[0]_4\(3),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(4),
Q => \cache_reg[0]_4\(4),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(5),
Q => \cache_reg[0]_4\(5),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(6),
Q => \cache_reg[0]_4\(6),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(7),
Q => \cache_reg[0]_4\(7),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(8),
Q => \cache_reg[0]_4\(8),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[0][9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => Lyy_2_bottom_right(9),
Q => \cache_reg[0]_4\(9),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(0),
Q => \cache_reg[10]_3\(0),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(10),
Q => \cache_reg[10]_3\(10),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(11),
Q => \cache_reg[10]_3\(11),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(12),
Q => \cache_reg[10]_3\(12),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(13),
Q => \cache_reg[10]_3\(13),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(14),
Q => \cache_reg[10]_3\(14),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(15),
Q => \cache_reg[10]_3\(15),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(1),
Q => \cache_reg[10]_3\(1),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(2),
Q => \cache_reg[10]_3\(2),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(3),
Q => \cache_reg[10]_3\(3),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(4),
Q => \cache_reg[10]_3\(4),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(5),
Q => \cache_reg[10]_3\(5),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(6),
Q => \cache_reg[10]_3\(6),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(7),
Q => \cache_reg[10]_3\(7),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(8),
Q => \cache_reg[10]_3\(8),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[10][9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[9]_2\(9),
Q => \cache_reg[10]_3\(9),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[2][0]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(0),
Q => \cache_reg[2][0]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][10]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(10),
Q => \cache_reg[2][10]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][11]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(11),
Q => \cache_reg[2][11]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][12]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(12),
Q => \cache_reg[2][12]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][13]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(13),
Q => \cache_reg[2][13]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][14]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(14),
Q => \cache_reg[2][14]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][15]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(15),
Q => \cache_reg[2][15]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][1]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(1),
Q => \cache_reg[2][1]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][2]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(2),
Q => \cache_reg[2][2]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][3]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(3),
Q => \cache_reg[2][3]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][4]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(4),
Q => \cache_reg[2][4]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][5]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(5),
Q => \cache_reg[2][5]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][6]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(6),
Q => \cache_reg[2][6]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][7]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(7),
Q => \cache_reg[2][7]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][8]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(8),
Q => \cache_reg[2][8]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[2][9]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[0]_4\(9),
Q => \cache_reg[2][9]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[3][0]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][0]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][0]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][10]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][10]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][10]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][11]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][11]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][11]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][12]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][12]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][12]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][13]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][13]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][13]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][14]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][14]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][14]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][15]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][15]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][15]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][1]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][1]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][1]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][2]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][2]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][2]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][3]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][3]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][3]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][4]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][4]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][4]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][5]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][5]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][5]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][6]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][6]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][6]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][7]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][7]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][7]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][8]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][8]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][8]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[3][9]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[2][9]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[3][9]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[4][0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__14_n_0\,
Q => \cache_reg[4]_0\(0),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__4_n_0\,
Q => \cache_reg[4]_0\(10),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__3_n_0\,
Q => \cache_reg[4]_0\(11),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__2_n_0\,
Q => \cache_reg[4]_0\(12),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__1_n_0\,
Q => \cache_reg[4]_0\(13),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][14]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__0_n_0\,
Q => \cache_reg[4]_0\(14),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][15]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => cache_reg_gate_n_0,
Q => \cache_reg[4]_0\(15),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__13_n_0\,
Q => \cache_reg[4]_0\(1),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__12_n_0\,
Q => \cache_reg[4]_0\(2),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__11_n_0\,
Q => \cache_reg[4]_0\(3),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__10_n_0\,
Q => \cache_reg[4]_0\(4),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__9_n_0\,
Q => \cache_reg[4]_0\(5),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__8_n_0\,
Q => \cache_reg[4]_0\(6),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__7_n_0\,
Q => \cache_reg[4]_0\(7),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__6_n_0\,
Q => \cache_reg[4]_0\(8),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[4][9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__5_n_0\,
Q => \cache_reg[4]_0\(9),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[6][0]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(0),
Q => \cache_reg[6][0]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][10]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(10),
Q => \cache_reg[6][10]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][11]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(11),
Q => \cache_reg[6][11]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][12]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(12),
Q => \cache_reg[6][12]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][13]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(13),
Q => \cache_reg[6][13]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][14]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(14),
Q => \cache_reg[6][14]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][15]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(15),
Q => \cache_reg[6][15]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][1]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(1),
Q => \cache_reg[6][1]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][2]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(2),
Q => \cache_reg[6][2]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][3]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(3),
Q => \cache_reg[6][3]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][4]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(4),
Q => \cache_reg[6][4]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][5]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(5),
Q => \cache_reg[6][5]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][6]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(6),
Q => \cache_reg[6][6]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][7]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(7),
Q => \cache_reg[6][7]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][8]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(8),
Q => \cache_reg[6][8]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[6][9]_srl2___U0_cache_reg_r_0\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '0',
A3 => '0',
CE => \cache[10]_5\,
CLK => clk_x16,
D => \cache_reg[4]_0\(9),
Q => \cache_reg[6][9]_srl2___U0_cache_reg_r_0_n_0\
);
\cache_reg[7][0]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][0]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][0]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][10]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][10]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][10]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][11]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][11]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][11]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][12]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][12]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][12]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][13]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][13]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][13]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][14]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][14]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][14]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][15]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][15]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][15]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][1]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][1]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][1]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][2]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][2]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][2]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][3]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][3]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][3]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][4]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][4]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][4]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][5]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][5]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][5]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][6]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][6]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][6]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][7]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][7]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][7]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][8]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][8]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][8]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[7][9]_U0_cache_reg_r_1\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[6][9]_srl2___U0_cache_reg_r_0_n_0\,
Q => \cache_reg[7][9]_U0_cache_reg_r_1_n_0\,
R => '0'
);
\cache_reg[8][0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__30_n_0\,
Q => \cache_reg[8]_1\(0),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__20_n_0\,
Q => \cache_reg[8]_1\(10),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__19_n_0\,
Q => \cache_reg[8]_1\(11),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__18_n_0\,
Q => \cache_reg[8]_1\(12),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__17_n_0\,
Q => \cache_reg[8]_1\(13),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][14]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__16_n_0\,
Q => \cache_reg[8]_1\(14),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][15]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__15_n_0\,
Q => \cache_reg[8]_1\(15),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__29_n_0\,
Q => \cache_reg[8]_1\(1),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__28_n_0\,
Q => \cache_reg[8]_1\(2),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__27_n_0\,
Q => \cache_reg[8]_1\(3),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__26_n_0\,
Q => \cache_reg[8]_1\(4),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__25_n_0\,
Q => \cache_reg[8]_1\(5),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__24_n_0\,
Q => \cache_reg[8]_1\(6),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__23_n_0\,
Q => \cache_reg[8]_1\(7),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__22_n_0\,
Q => \cache_reg[8]_1\(8),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[8][9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg_gate__21_n_0\,
Q => \cache_reg[8]_1\(9),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(0),
Q => \cache_reg[9]_2\(0),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(10),
Q => \cache_reg[9]_2\(10),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(11),
Q => \cache_reg[9]_2\(11),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(12),
Q => \cache_reg[9]_2\(12),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(13),
Q => \cache_reg[9]_2\(13),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(14),
Q => \cache_reg[9]_2\(14),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(15),
Q => \cache_reg[9]_2\(15),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(1),
Q => \cache_reg[9]_2\(1),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(2),
Q => \cache_reg[9]_2\(2),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(3),
Q => \cache_reg[9]_2\(3),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(4),
Q => \cache_reg[9]_2\(4),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(5),
Q => \cache_reg[9]_2\(5),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(6),
Q => \cache_reg[9]_2\(6),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(7),
Q => \cache_reg[9]_2\(7),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(8),
Q => \cache_reg[9]_2\(8),
R => \cache[9][15]_i_1_n_0\
);
\cache_reg[9][9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => \cache_reg[8]_1\(9),
Q => \cache_reg[9]_2\(9),
R => \cache[9][15]_i_1_n_0\
);
cache_reg_gate: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][15]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => cache_reg_gate_n_0
);
\cache_reg_gate__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][14]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__0_n_0\
);
\cache_reg_gate__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][13]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__1_n_0\
);
\cache_reg_gate__10\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][4]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__10_n_0\
);
\cache_reg_gate__11\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][3]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__11_n_0\
);
\cache_reg_gate__12\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][2]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__12_n_0\
);
\cache_reg_gate__13\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][1]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__13_n_0\
);
\cache_reg_gate__14\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][0]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__14_n_0\
);
\cache_reg_gate__15\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][15]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__15_n_0\
);
\cache_reg_gate__16\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][14]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__16_n_0\
);
\cache_reg_gate__17\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][13]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__17_n_0\
);
\cache_reg_gate__18\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][12]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__18_n_0\
);
\cache_reg_gate__19\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][11]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__19_n_0\
);
\cache_reg_gate__2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][12]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__2_n_0\
);
\cache_reg_gate__20\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][10]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__20_n_0\
);
\cache_reg_gate__21\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][9]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__21_n_0\
);
\cache_reg_gate__22\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][8]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__22_n_0\
);
\cache_reg_gate__23\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][7]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__23_n_0\
);
\cache_reg_gate__24\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][6]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__24_n_0\
);
\cache_reg_gate__25\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][5]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__25_n_0\
);
\cache_reg_gate__26\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][4]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__26_n_0\
);
\cache_reg_gate__27\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][3]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__27_n_0\
);
\cache_reg_gate__28\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][2]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__28_n_0\
);
\cache_reg_gate__29\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][1]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__29_n_0\
);
\cache_reg_gate__3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][11]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__3_n_0\
);
\cache_reg_gate__30\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[7][0]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__30_n_0\
);
\cache_reg_gate__4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][10]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__4_n_0\
);
\cache_reg_gate__5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][9]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__5_n_0\
);
\cache_reg_gate__6\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][8]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__6_n_0\
);
\cache_reg_gate__7\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][7]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__7_n_0\
);
\cache_reg_gate__8\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][6]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__8_n_0\
);
\cache_reg_gate__9\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cache_reg[3][5]_U0_cache_reg_r_1_n_0\,
I1 => cache_reg_r_1_n_0,
O => \cache_reg_gate__9_n_0\
);
cache_reg_r: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => '1',
Q => cache_reg_r_n_0,
R => \cache[9][15]_i_1_n_0\
);
cache_reg_r_0: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => cache_reg_r_n_0,
Q => cache_reg_r_0_n_0,
R => \cache[9][15]_i_1_n_0\
);
cache_reg_r_1: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \cache[10]_5\,
D => cache_reg_r_0_n_0,
Q => cache_reg_r_1_n_0,
R => \cache[9][15]_i_1_n_0\
);
\compute_addr_0[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[0]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(0),
O => \compute_addr_0[0]_i_1_n_0\
);
\compute_addr_0[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(10),
I1 => cycle(0),
I2 => \compute_addr_2[10]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_0[10]_i_2_n_0\,
O => \compute_addr_0[10]_i_1_n_0\
);
\compute_addr_0[10]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFC000000000FA0A"
)
port map (
I0 => \y3_reg_n_0_[0]\,
I1 => data5(10),
I2 => cycle(3),
I3 => \y1_reg_n_0_[0]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_0[10]_i_2_n_0\
);
\compute_addr_0[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DDDDDDDDCDC88888"
)
port map (
I0 => cycle(0),
I1 => data5(11),
I2 => cycle(3),
I3 => \y1_reg_n_0_[1]\,
I4 => \compute_addr_0[11]_i_2_n_0\,
I5 => \compute_addr_0[11]_i_3_n_0\,
O => \compute_addr_0[11]_i_1_n_0\
);
\compute_addr_0[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => cycle(2),
I1 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_0[11]_i_2_n_0\
);
\compute_addr_0[11]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000AAAAAAAACFC0"
)
port map (
I0 => \compute_addr_2[11]_i_2_n_0\,
I1 => \y1_reg_n_0_[1]\,
I2 => cycle(3),
I3 => \y3_reg_n_0_[1]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_0[11]_i_3_n_0\
);
\compute_addr_0[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(12),
I1 => cycle(0),
I2 => \compute_addr_2[12]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_0[12]_i_2_n_0\,
O => \compute_addr_0[12]_i_1_n_0\
);
\compute_addr_0[12]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFC000000000FA0A"
)
port map (
I0 => \y3_reg_n_0_[2]\,
I1 => data5(12),
I2 => cycle(3),
I3 => \y1_reg_n_0_[2]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_0[12]_i_2_n_0\
);
\compute_addr_0[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => rst,
I1 => active,
I2 => cycle(0),
O => compute_addr_0
);
\compute_addr_0[13]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(13),
I1 => cycle(0),
I2 => \compute_addr_2[13]_i_4_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_0[13]_i_3_n_0\,
O => \compute_addr_0[13]_i_2_n_0\
);
\compute_addr_0[13]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFC000000000FA0A"
)
port map (
I0 => \y3_reg_n_0_[3]\,
I1 => data5(13),
I2 => cycle(3),
I3 => \y1_reg_n_0_[3]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_0[13]_i_3_n_0\
);
\compute_addr_0[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[1]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(1),
O => \compute_addr_0[1]_i_1_n_0\
);
\compute_addr_0[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[2]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(2),
O => \compute_addr_0[2]_i_1_n_0\
);
\compute_addr_0[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(3),
O => \compute_addr_0[3]_i_1_n_0\
);
\compute_addr_0[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(4),
O => \compute_addr_0[4]_i_1_n_0\
);
\compute_addr_0[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[5]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(5),
O => \compute_addr_0[5]_i_1_n_0\
);
\compute_addr_0[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(6),
O => \compute_addr_0[6]_i_1_n_0\
);
\compute_addr_0[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(7),
O => \compute_addr_0[7]_i_1_n_0\
);
\compute_addr_0[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[8]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(8),
O => \compute_addr_0[8]_i_1_n_0\
);
\compute_addr_0[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => \x_reg_n_0_[9]\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => data1(9),
O => \compute_addr_0[9]_i_1_n_0\
);
\compute_addr_0_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[0]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[0]\,
R => '0'
);
\compute_addr_0_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[10]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[10]\,
R => '0'
);
\compute_addr_0_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[11]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[11]\,
R => '0'
);
\compute_addr_0_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[12]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[12]\,
R => '0'
);
\compute_addr_0_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[13]_i_2_n_0\,
Q => \compute_addr_0_reg_n_0_[13]\,
R => '0'
);
\compute_addr_0_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[1]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[1]\,
R => '0'
);
\compute_addr_0_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[2]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[2]\,
R => '0'
);
\compute_addr_0_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[3]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[3]\,
R => '0'
);
\compute_addr_0_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[4]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[4]\,
R => '0'
);
\compute_addr_0_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[5]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[5]\,
R => '0'
);
\compute_addr_0_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[6]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[6]\,
R => '0'
);
\compute_addr_0_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[7]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[7]\,
R => '0'
);
\compute_addr_0_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[8]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[8]\,
R => '0'
);
\compute_addr_0_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_0[9]_i_1_n_0\,
Q => \compute_addr_0_reg_n_0_[9]\,
R => '0'
);
\compute_addr_1[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(0),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(0),
O => \compute_addr_1[0]_i_1_n_0\
);
\compute_addr_1[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(10),
I1 => cycle(0),
I2 => \compute_addr_3[10]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_1[10]_i_2_n_0\,
O => \compute_addr_1[10]_i_1_n_0\
);
\compute_addr_1[10]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACAC00000000CFC0"
)
port map (
I0 => data5(10),
I1 => data2(10),
I2 => cycle(3),
I3 => \y3_reg_n_0_[0]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_1[10]_i_2_n_0\
);
\compute_addr_1[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(11),
I1 => cycle(0),
I2 => \compute_addr_3[11]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_1[11]_i_2_n_0\,
O => \compute_addr_1[11]_i_1_n_0\
);
\compute_addr_1[11]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACAC00000000CFC0"
)
port map (
I0 => data5(11),
I1 => data2(11),
I2 => cycle(3),
I3 => \y3_reg_n_0_[1]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_1[11]_i_2_n_0\
);
\compute_addr_1[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(12),
I1 => cycle(0),
I2 => \compute_addr_3[12]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_1[12]_i_2_n_0\,
O => \compute_addr_1[12]_i_1_n_0\
);
\compute_addr_1[12]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"ACAC00000000CFC0"
)
port map (
I0 => data5(12),
I1 => data2(12),
I2 => cycle(3),
I3 => \y3_reg_n_0_[2]\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_1[12]_i_2_n_0\
);
\compute_addr_1[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBBBBB88B8B888"
)
port map (
I0 => data5(13),
I1 => cycle(0),
I2 => \compute_addr_3[13]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \compute_addr_1[13]_i_2_n_0\,
O => \compute_addr_1[13]_i_1_n_0\
);
\compute_addr_1[13]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFC000000000FA0A"
)
port map (
I0 => \y3_reg_n_0_[3]\,
I1 => data5(13),
I2 => cycle(3),
I3 => data2(13),
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \compute_addr_1[13]_i_2_n_0\
);
\compute_addr_1[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(1),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(1),
O => \compute_addr_1[1]_i_1_n_0\
);
\compute_addr_1[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(2),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(2),
O => \compute_addr_1[2]_i_1_n_0\
);
\compute_addr_1[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(3),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(3),
O => \compute_addr_1[3]_i_1_n_0\
);
\compute_addr_1[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(4),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(4),
O => \compute_addr_1[4]_i_1_n_0\
);
\compute_addr_1[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(5),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(5),
O => \compute_addr_1[5]_i_1_n_0\
);
\compute_addr_1[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(6),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(6),
O => \compute_addr_1[6]_i_1_n_0\
);
\compute_addr_1[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(7),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(7),
O => \compute_addr_1[7]_i_1_n_0\
);
\compute_addr_1[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(8),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(8),
O => \compute_addr_1[8]_i_1_n_0\
);
\compute_addr_1[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEBFF00002800"
)
port map (
I0 => data1(9),
I1 => \cycle_reg[1]_rep_n_0\,
I2 => cycle(2),
I3 => cycle(3),
I4 => cycle(0),
I5 => data2(9),
O => \compute_addr_1[9]_i_1_n_0\
);
\compute_addr_1_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[0]_i_1_n_0\,
Q => compute_addr_1(0),
R => '0'
);
\compute_addr_1_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[10]_i_1_n_0\,
Q => compute_addr_1(10),
R => '0'
);
\compute_addr_1_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[11]_i_1_n_0\,
Q => compute_addr_1(11),
R => '0'
);
\compute_addr_1_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[12]_i_1_n_0\,
Q => compute_addr_1(12),
R => '0'
);
\compute_addr_1_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[13]_i_1_n_0\,
Q => compute_addr_1(13),
R => '0'
);
\compute_addr_1_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[1]_i_1_n_0\,
Q => compute_addr_1(1),
R => '0'
);
\compute_addr_1_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[2]_i_1_n_0\,
Q => compute_addr_1(2),
R => '0'
);
\compute_addr_1_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[3]_i_1_n_0\,
Q => compute_addr_1(3),
R => '0'
);
\compute_addr_1_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[4]_i_1_n_0\,
Q => compute_addr_1(4),
R => '0'
);
\compute_addr_1_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[5]_i_1_n_0\,
Q => compute_addr_1(5),
R => '0'
);
\compute_addr_1_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[6]_i_1_n_0\,
Q => compute_addr_1(6),
R => '0'
);
\compute_addr_1_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[7]_i_1_n_0\,
Q => compute_addr_1(7),
R => '0'
);
\compute_addr_1_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[8]_i_1_n_0\,
Q => compute_addr_1(8),
R => '0'
);
\compute_addr_1_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_0,
D => \compute_addr_1[9]_i_1_n_0\,
Q => compute_addr_1(9),
R => '0'
);
\compute_addr_2[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[0]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_2[10]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \y1_reg_n_0_[0]\,
O => \compute_addr_2[10]_i_1_n_0\
);
\compute_addr_2[10]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \y2_reg_n_0_[0]\,
I1 => cycle(3),
I2 => data1(10),
O => \compute_addr_2[10]_i_2_n_0\
);
\compute_addr_2[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[1]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_2[11]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \y1_reg_n_0_[1]\,
O => \compute_addr_2[11]_i_1_n_0\
);
\compute_addr_2[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \y2_reg_n_0_[1]\,
I1 => cycle(3),
I2 => data1(11),
O => \compute_addr_2[11]_i_2_n_0\
);
\compute_addr_2[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[2]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_2[12]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \y1_reg_n_0_[2]\,
O => \compute_addr_2[12]_i_1_n_0\
);
\compute_addr_2[12]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \y2_reg_n_0_[2]\,
I1 => cycle(3),
I2 => data1(12),
O => \compute_addr_2[12]_i_2_n_0\
);
\compute_addr_2[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8080808080808000"
)
port map (
I0 => \cycle_reg[0]_rep_n_0\,
I1 => active,
I2 => rst,
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(3),
I5 => cycle(2),
O => compute_addr_2
);
\compute_addr_2[13]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[3]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_2[13]_i_4_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => \y1_reg_n_0_[3]\,
O => \compute_addr_2[13]_i_2_n_0\
);
\compute_addr_2[13]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"81FF"
)
port map (
I0 => cycle(3),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
O => \compute_addr_2[13]_i_3_n_0\
);
\compute_addr_2[13]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \y2_reg_n_0_[3]\,
I1 => cycle(3),
I2 => data1(13),
O => \compute_addr_2[13]_i_4_n_0\
);
\compute_addr_2_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(0),
Q => \compute_addr_2_reg_n_0_[0]\,
R => '0'
);
\compute_addr_2_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_2[10]_i_1_n_0\,
Q => \compute_addr_2_reg_n_0_[10]\,
R => '0'
);
\compute_addr_2_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_2[11]_i_1_n_0\,
Q => \compute_addr_2_reg_n_0_[11]\,
R => '0'
);
\compute_addr_2_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_2[12]_i_1_n_0\,
Q => \compute_addr_2_reg_n_0_[12]\,
R => '0'
);
\compute_addr_2_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_2[13]_i_2_n_0\,
Q => \compute_addr_2_reg_n_0_[13]\,
R => '0'
);
\compute_addr_2_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(1),
Q => \compute_addr_2_reg_n_0_[1]\,
R => '0'
);
\compute_addr_2_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(2),
Q => \compute_addr_2_reg_n_0_[2]\,
R => '0'
);
\compute_addr_2_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(3),
Q => \compute_addr_2_reg_n_0_[3]\,
R => '0'
);
\compute_addr_2_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(4),
Q => \compute_addr_2_reg_n_0_[4]\,
R => '0'
);
\compute_addr_2_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(5),
Q => \compute_addr_2_reg_n_0_[5]\,
R => '0'
);
\compute_addr_2_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(6),
Q => \compute_addr_2_reg_n_0_[6]\,
R => '0'
);
\compute_addr_2_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(7),
Q => \compute_addr_2_reg_n_0_[7]\,
R => '0'
);
\compute_addr_2_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(8),
Q => \compute_addr_2_reg_n_0_[8]\,
R => '0'
);
\compute_addr_2_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => data1(9),
Q => \compute_addr_2_reg_n_0_[9]\,
R => '0'
);
\compute_addr_3[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(0),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(0),
O => \compute_addr_3[0]_i_1_n_0\
);
\compute_addr_3[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[0]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_3[10]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => data2(10),
O => \compute_addr_3[10]_i_1_n_0\
);
\compute_addr_3[10]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => y7(0),
I1 => cycle(3),
I2 => y8(0),
O => \compute_addr_3[10]_i_2_n_0\
);
\compute_addr_3[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[1]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_3[11]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => data2(11),
O => \compute_addr_3[11]_i_1_n_0\
);
\compute_addr_3[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => y7(1),
I1 => cycle(3),
I2 => y8(1),
O => \compute_addr_3[11]_i_2_n_0\
);
\compute_addr_3[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[2]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_3[12]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => data2(12),
O => \compute_addr_3[12]_i_1_n_0\
);
\compute_addr_3[12]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => y7(2),
I1 => cycle(3),
I2 => y8(2),
O => \compute_addr_3[12]_i_2_n_0\
);
\compute_addr_3[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBB8B8BB88B8B888"
)
port map (
I0 => \y6_reg_n_0_[3]\,
I1 => \compute_addr_2[13]_i_3_n_0\,
I2 => \compute_addr_3[13]_i_2_n_0\,
I3 => cycle(2),
I4 => \cycle_reg[1]_rep_n_0\,
I5 => data2(13),
O => \compute_addr_3[13]_i_1_n_0\
);
\compute_addr_3[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => y7(3),
I1 => cycle(3),
I2 => y8(3),
O => \compute_addr_3[13]_i_2_n_0\
);
\compute_addr_3[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(1),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(1),
O => \compute_addr_3[1]_i_1_n_0\
);
\compute_addr_3[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(2),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(2),
O => \compute_addr_3[2]_i_1_n_0\
);
\compute_addr_3[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(3),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(3),
O => \compute_addr_3[3]_i_1_n_0\
);
\compute_addr_3[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(4),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(4),
O => \compute_addr_3[4]_i_1_n_0\
);
\compute_addr_3[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(5),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(5),
O => \compute_addr_3[5]_i_1_n_0\
);
\compute_addr_3[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(6),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(6),
O => \compute_addr_3[6]_i_1_n_0\
);
\compute_addr_3[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(7),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(7),
O => \compute_addr_3[7]_i_1_n_0\
);
\compute_addr_3[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(8),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(8),
O => \compute_addr_3[8]_i_1_n_0\
);
\compute_addr_3[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFBFFF00808000"
)
port map (
I0 => data1(9),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(2),
I5 => data2(9),
O => \compute_addr_3[9]_i_1_n_0\
);
\compute_addr_3_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[0]_i_1_n_0\,
Q => compute_addr_3(0),
R => '0'
);
\compute_addr_3_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[10]_i_1_n_0\,
Q => compute_addr_3(10),
R => '0'
);
\compute_addr_3_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[11]_i_1_n_0\,
Q => compute_addr_3(11),
R => '0'
);
\compute_addr_3_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[12]_i_1_n_0\,
Q => compute_addr_3(12),
R => '0'
);
\compute_addr_3_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[13]_i_1_n_0\,
Q => compute_addr_3(13),
R => '0'
);
\compute_addr_3_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[1]_i_1_n_0\,
Q => compute_addr_3(1),
R => '0'
);
\compute_addr_3_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[2]_i_1_n_0\,
Q => compute_addr_3(2),
R => '0'
);
\compute_addr_3_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[3]_i_1_n_0\,
Q => compute_addr_3(3),
R => '0'
);
\compute_addr_3_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[4]_i_1_n_0\,
Q => compute_addr_3(4),
R => '0'
);
\compute_addr_3_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[5]_i_1_n_0\,
Q => compute_addr_3(5),
R => '0'
);
\compute_addr_3_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[6]_i_1_n_0\,
Q => compute_addr_3(6),
R => '0'
);
\compute_addr_3_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[7]_i_1_n_0\,
Q => compute_addr_3(7),
R => '0'
);
\compute_addr_3_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[8]_i_1_n_0\,
Q => compute_addr_3(8),
R => '0'
);
\compute_addr_3_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => compute_addr_2,
D => \compute_addr_3[9]_i_1_n_0\,
Q => compute_addr_3(9),
R => '0'
);
\corner[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00000008"
)
port map (
I0 => \left[15]_i_2_n_0\,
I1 => x,
I2 => \x_reg_n_0_[0]\,
I3 => \x_reg_n_0_[9]\,
I4 => \x_reg_n_0_[8]\,
I5 => top,
O => corner
);
\corner_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(0),
Q => \corner_reg_n_0_[0]\,
R => corner
);
\corner_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(10),
Q => \corner_reg_n_0_[10]\,
R => corner
);
\corner_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(11),
Q => \corner_reg_n_0_[11]\,
R => corner
);
\corner_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(12),
Q => \corner_reg_n_0_[12]\,
R => corner
);
\corner_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(13),
Q => \corner_reg_n_0_[13]\,
R => corner
);
\corner_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(14),
Q => \corner_reg_n_0_[14]\,
R => corner
);
\corner_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(15),
Q => \corner_reg_n_0_[15]\,
R => corner
);
\corner_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(1),
Q => \corner_reg_n_0_[1]\,
R => corner
);
\corner_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(2),
Q => \corner_reg_n_0_[2]\,
R => corner
);
\corner_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(3),
Q => \corner_reg_n_0_[3]\,
R => corner
);
\corner_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(4),
Q => \corner_reg_n_0_[4]\,
R => corner
);
\corner_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(5),
Q => \corner_reg_n_0_[5]\,
R => corner
);
\corner_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(6),
Q => \corner_reg_n_0_[6]\,
R => corner
);
\corner_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(7),
Q => \corner_reg_n_0_[7]\,
R => corner
);
\corner_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(8),
Q => \corner_reg_n_0_[8]\,
R => corner
);
\corner_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[10]_3\(9),
Q => \corner_reg_n_0_[9]\,
R => corner
);
\cycle[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => cycle(0),
O => \cycle[0]_i_1_n_0\
);
\cycle[0]_rep_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => cycle(0),
O => \cycle[0]_rep_i_1_n_0\
);
\cycle[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => cycle(1),
I1 => cycle(0),
O => \cycle[1]_i_1_n_0\
);
\cycle[1]_rep_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => cycle(1),
I1 => cycle(0),
O => \cycle[1]_rep_i_1_n_0\
);
\cycle[1]_rep_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => cycle(1),
I1 => cycle(0),
O => \cycle[1]_rep_i_1__0_n_0\
);
\cycle[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => cycle(1),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(2),
O => \cycle[2]_i_1_n_0\
);
\cycle[2]_rep_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \cycle_reg[1]_rep_n_0\,
I1 => cycle(0),
I2 => cycle(2),
O => \cycle[2]_rep_i_1_n_0\
);
\cycle[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rst,
I1 => active,
O => \cycle[3]_i_1_n_0\
);
\cycle[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => cycle(3),
I1 => cycle(2),
I2 => cycle(1),
I3 => \cycle_reg[0]_rep_n_0\,
O => \cycle[3]_i_2_n_0\
);
\cycle_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[0]_i_1_n_0\,
Q => cycle(0),
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[0]_rep_i_1_n_0\,
Q => \cycle_reg[0]_rep_n_0\,
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[1]_i_1_n_0\,
Q => cycle(1),
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[1]_rep_i_1_n_0\,
Q => \cycle_reg[1]_rep_n_0\,
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[1]_rep__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[1]_rep_i_1__0_n_0\,
Q => \cycle_reg[1]_rep__0_n_0\,
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[2]_i_1_n_0\,
Q => cycle(2),
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[2]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[2]_rep_i_1_n_0\,
Q => \cycle_reg[2]_rep_n_0\,
R => \cycle[3]_i_1_n_0\
);
\cycle_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => \cycle[3]_i_2_n_0\,
Q => cycle(3),
R => \cycle[3]_i_1_n_0\
);
det_0_reg: unisim.vcomponents.DSP48E1
generic map(
ACASCREG => 1,
ADREG => 1,
ALUMODEREG => 0,
AREG => 1,
AUTORESET_PATDET => "NO_RESET",
A_INPUT => "DIRECT",
BCASCREG => 1,
BREG => 1,
B_INPUT => "DIRECT",
CARRYINREG => 0,
CARRYINSELREG => 0,
CREG => 1,
DREG => 1,
INMODEREG => 0,
MASK => X"3FFFFFFFFFFF",
MREG => 1,
OPMODEREG => 0,
PATTERN => X"000000000000",
PREG => 0,
SEL_MASK => "MASK",
SEL_PATTERN => "PATTERN",
USE_DPORT => false,
USE_MULT => "MULTIPLY",
USE_PATTERN_DETECT => "NO_PATDET",
USE_SIMD => "ONE48"
)
port map (
A(29) => A(15),
A(28) => A(15),
A(27) => A(15),
A(26) => A(15),
A(25) => A(15),
A(24) => A(15),
A(23) => A(15),
A(22) => A(15),
A(21) => A(15),
A(20) => A(15),
A(19) => A(15),
A(18) => A(15),
A(17) => A(15),
A(16) => A(15),
A(15 downto 0) => A(15 downto 0),
ACIN(29 downto 0) => B"000000000000000000000000000000",
ACOUT(29 downto 0) => NLW_det_0_reg_ACOUT_UNCONNECTED(29 downto 0),
ALUMODE(3 downto 0) => B"0000",
B(17) => B(15),
B(16) => B(15),
B(15 downto 0) => B(15 downto 0),
BCIN(17 downto 0) => B"000000000000000000",
BCOUT(17 downto 0) => NLW_det_0_reg_BCOUT_UNCONNECTED(17 downto 0),
C(47 downto 0) => B"111111111111111111111111111111111111111111111111",
CARRYCASCIN => '0',
CARRYCASCOUT => NLW_det_0_reg_CARRYCASCOUT_UNCONNECTED,
CARRYIN => '0',
CARRYINSEL(2 downto 0) => B"000",
CARRYOUT(3 downto 0) => NLW_det_0_reg_CARRYOUT_UNCONNECTED(3 downto 0),
CEA1 => '0',
CEA2 => Lxx,
CEAD => '0',
CEALUMODE => '0',
CEB1 => '0',
CEB2 => det_0_reg_i_2_n_0,
CEC => '0',
CECARRYIN => '0',
CECTRL => '0',
CED => '0',
CEINMODE => '0',
CEM => det_0,
CEP => '0',
CLK => clk_x16,
D(24 downto 0) => B"0000000000000000000000000",
INMODE(4 downto 0) => B"00000",
MULTSIGNIN => '0',
MULTSIGNOUT => NLW_det_0_reg_MULTSIGNOUT_UNCONNECTED,
OPMODE(6 downto 0) => B"0000101",
OVERFLOW => NLW_det_0_reg_OVERFLOW_UNCONNECTED,
P(47 downto 0) => NLW_det_0_reg_P_UNCONNECTED(47 downto 0),
PATTERNBDETECT => NLW_det_0_reg_PATTERNBDETECT_UNCONNECTED,
PATTERNDETECT => NLW_det_0_reg_PATTERNDETECT_UNCONNECTED,
PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000",
PCOUT(47) => det_0_reg_n_106,
PCOUT(46) => det_0_reg_n_107,
PCOUT(45) => det_0_reg_n_108,
PCOUT(44) => det_0_reg_n_109,
PCOUT(43) => det_0_reg_n_110,
PCOUT(42) => det_0_reg_n_111,
PCOUT(41) => det_0_reg_n_112,
PCOUT(40) => det_0_reg_n_113,
PCOUT(39) => det_0_reg_n_114,
PCOUT(38) => det_0_reg_n_115,
PCOUT(37) => det_0_reg_n_116,
PCOUT(36) => det_0_reg_n_117,
PCOUT(35) => det_0_reg_n_118,
PCOUT(34) => det_0_reg_n_119,
PCOUT(33) => det_0_reg_n_120,
PCOUT(32) => det_0_reg_n_121,
PCOUT(31) => det_0_reg_n_122,
PCOUT(30) => det_0_reg_n_123,
PCOUT(29) => det_0_reg_n_124,
PCOUT(28) => det_0_reg_n_125,
PCOUT(27) => det_0_reg_n_126,
PCOUT(26) => det_0_reg_n_127,
PCOUT(25) => det_0_reg_n_128,
PCOUT(24) => det_0_reg_n_129,
PCOUT(23) => det_0_reg_n_130,
PCOUT(22) => det_0_reg_n_131,
PCOUT(21) => det_0_reg_n_132,
PCOUT(20) => det_0_reg_n_133,
PCOUT(19) => det_0_reg_n_134,
PCOUT(18) => det_0_reg_n_135,
PCOUT(17) => det_0_reg_n_136,
PCOUT(16) => det_0_reg_n_137,
PCOUT(15) => det_0_reg_n_138,
PCOUT(14) => det_0_reg_n_139,
PCOUT(13) => det_0_reg_n_140,
PCOUT(12) => det_0_reg_n_141,
PCOUT(11) => det_0_reg_n_142,
PCOUT(10) => det_0_reg_n_143,
PCOUT(9) => det_0_reg_n_144,
PCOUT(8) => det_0_reg_n_145,
PCOUT(7) => det_0_reg_n_146,
PCOUT(6) => det_0_reg_n_147,
PCOUT(5) => det_0_reg_n_148,
PCOUT(4) => det_0_reg_n_149,
PCOUT(3) => det_0_reg_n_150,
PCOUT(2) => det_0_reg_n_151,
PCOUT(1) => det_0_reg_n_152,
PCOUT(0) => det_0_reg_n_153,
RSTA => '0',
RSTALLCARRYIN => '0',
RSTALUMODE => '0',
RSTB => '0',
RSTC => '0',
RSTCTRL => '0',
RSTD => '0',
RSTINMODE => '0',
RSTM => '0',
RSTP => '0',
UNDERFLOW => NLW_det_0_reg_UNDERFLOW_UNCONNECTED
);
det_0_reg_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000008000"
)
port map (
I0 => \cycle_reg[0]_rep_n_0\,
I1 => active,
I2 => rst,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => cycle(3),
O => Lxx
);
det_0_reg_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"2000000000000000"
)
port map (
I0 => cycle(2),
I1 => cycle(3),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => rst,
I5 => active,
O => det_0_reg_i_2_n_0
);
det_0_reg_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000008000000"
)
port map (
I0 => cycle(2),
I1 => cycle(3),
I2 => cycle(1),
I3 => rst,
I4 => active,
I5 => \cycle_reg[0]_rep_n_0\,
O => det_0
);
\det_abs[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(10),
I1 => det_reg_n_95,
I2 => det_reg_n_74,
O => \det_abs[10]_i_1_n_0\
);
\det_abs[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(11),
I1 => det_reg_n_94,
I2 => det_reg_n_74,
O => \det_abs[11]_i_1_n_0\
);
\det_abs[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(12),
I1 => det_reg_n_93,
I2 => det_reg_n_74,
O => \det_abs[12]_i_1_n_0\
);
\det_abs[12]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_93,
O => \det_abs[12]_i_3_n_0\
);
\det_abs[12]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_94,
O => \det_abs[12]_i_4_n_0\
);
\det_abs[12]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_95,
O => \det_abs[12]_i_5_n_0\
);
\det_abs[12]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_96,
O => \det_abs[12]_i_6_n_0\
);
\det_abs[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(13),
I1 => det_reg_n_92,
I2 => det_reg_n_74,
O => \det_abs[13]_i_1_n_0\
);
\det_abs[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(14),
I1 => det_reg_n_91,
I2 => det_reg_n_74,
O => \det_abs[14]_i_1_n_0\
);
\det_abs[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(15),
I1 => det_reg_n_90,
I2 => det_reg_n_74,
O => \det_abs[15]_i_1_n_0\
);
\det_abs[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(16),
I1 => det_reg_n_89,
I2 => det_reg_n_74,
O => \det_abs[16]_i_1_n_0\
);
\det_abs[16]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_89,
O => \det_abs[16]_i_3_n_0\
);
\det_abs[16]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_90,
O => \det_abs[16]_i_4_n_0\
);
\det_abs[16]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_91,
O => \det_abs[16]_i_5_n_0\
);
\det_abs[16]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_92,
O => \det_abs[16]_i_6_n_0\
);
\det_abs[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(17),
I1 => det_reg_n_88,
I2 => det_reg_n_74,
O => \det_abs[17]_i_1_n_0\
);
\det_abs[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(18),
I1 => det_reg_n_87,
I2 => det_reg_n_74,
O => \det_abs[18]_i_1_n_0\
);
\det_abs[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(19),
I1 => det_reg_n_86,
I2 => det_reg_n_74,
O => \det_abs[19]_i_1_n_0\
);
\det_abs[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(1),
I1 => det_reg_n_104,
I2 => det_reg_n_74,
O => \det_abs[1]_i_1_n_0\
);
\det_abs[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(20),
I1 => det_reg_n_85,
I2 => det_reg_n_74,
O => \det_abs[20]_i_1_n_0\
);
\det_abs[20]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_85,
O => \det_abs[20]_i_3_n_0\
);
\det_abs[20]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_86,
O => \det_abs[20]_i_4_n_0\
);
\det_abs[20]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_87,
O => \det_abs[20]_i_5_n_0\
);
\det_abs[20]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_88,
O => \det_abs[20]_i_6_n_0\
);
\det_abs[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(21),
I1 => det_reg_n_84,
I2 => det_reg_n_74,
O => \det_abs[21]_i_1_n_0\
);
\det_abs[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(22),
I1 => det_reg_n_83,
I2 => det_reg_n_74,
O => \det_abs[22]_i_1_n_0\
);
\det_abs[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(23),
I1 => det_reg_n_82,
I2 => det_reg_n_74,
O => \det_abs[23]_i_1_n_0\
);
\det_abs[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(24),
I1 => det_reg_n_81,
I2 => det_reg_n_74,
O => \det_abs[24]_i_1_n_0\
);
\det_abs[24]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_81,
O => \det_abs[24]_i_3_n_0\
);
\det_abs[24]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_82,
O => \det_abs[24]_i_4_n_0\
);
\det_abs[24]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_83,
O => \det_abs[24]_i_5_n_0\
);
\det_abs[24]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_84,
O => \det_abs[24]_i_6_n_0\
);
\det_abs[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(25),
I1 => det_reg_n_80,
I2 => det_reg_n_74,
O => \det_abs[25]_i_1_n_0\
);
\det_abs[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(26),
I1 => det_reg_n_79,
I2 => det_reg_n_74,
O => \det_abs[26]_i_1_n_0\
);
\det_abs[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(27),
I1 => det_reg_n_78,
I2 => det_reg_n_74,
O => \det_abs[27]_i_1_n_0\
);
\det_abs[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(28),
I1 => det_reg_n_77,
I2 => det_reg_n_74,
O => \det_abs[28]_i_1_n_0\
);
\det_abs[28]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_77,
O => \det_abs[28]_i_3_n_0\
);
\det_abs[28]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_78,
O => \det_abs[28]_i_4_n_0\
);
\det_abs[28]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_79,
O => \det_abs[28]_i_5_n_0\
);
\det_abs[28]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_80,
O => \det_abs[28]_i_6_n_0\
);
\det_abs[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(29),
I1 => det_reg_n_76,
I2 => det_reg_n_74,
O => \det_abs[29]_i_1_n_0\
);
\det_abs[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(2),
I1 => det_reg_n_103,
I2 => det_reg_n_74,
O => \det_abs[2]_i_1_n_0\
);
\det_abs[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(30),
I1 => det_reg_n_75,
I2 => det_reg_n_74,
O => \det_abs[30]_i_1_n_0\
);
\det_abs[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => det_abs0(31),
I1 => det_reg_n_74,
O => \det_abs[31]_i_1_n_0\
);
\det_abs[31]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_74,
O => \det_abs[31]_i_3_n_0\
);
\det_abs[31]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_75,
O => \det_abs[31]_i_4_n_0\
);
\det_abs[31]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_76,
O => \det_abs[31]_i_5_n_0\
);
\det_abs[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(3),
I1 => det_reg_n_102,
I2 => det_reg_n_74,
O => \det_abs[3]_i_1_n_0\
);
\det_abs[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(4),
I1 => det_reg_n_101,
I2 => det_reg_n_74,
O => \det_abs[4]_i_1_n_0\
);
\det_abs[4]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_105,
O => \det_abs[4]_i_3_n_0\
);
\det_abs[4]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_101,
O => \det_abs[4]_i_4_n_0\
);
\det_abs[4]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_102,
O => \det_abs[4]_i_5_n_0\
);
\det_abs[4]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_103,
O => \det_abs[4]_i_6_n_0\
);
\det_abs[4]_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_104,
O => \det_abs[4]_i_7_n_0\
);
\det_abs[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(5),
I1 => det_reg_n_100,
I2 => det_reg_n_74,
O => \det_abs[5]_i_1_n_0\
);
\det_abs[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(6),
I1 => det_reg_n_99,
I2 => det_reg_n_74,
O => \det_abs[6]_i_1_n_0\
);
\det_abs[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(7),
I1 => det_reg_n_98,
I2 => det_reg_n_74,
O => \det_abs[7]_i_1_n_0\
);
\det_abs[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(8),
I1 => det_reg_n_97,
I2 => det_reg_n_74,
O => \det_abs[8]_i_1_n_0\
);
\det_abs[8]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_97,
O => \det_abs[8]_i_3_n_0\
);
\det_abs[8]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_98,
O => \det_abs[8]_i_4_n_0\
);
\det_abs[8]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_99,
O => \det_abs[8]_i_5_n_0\
);
\det_abs[8]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => det_reg_n_100,
O => \det_abs[8]_i_6_n_0\
);
\det_abs[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => det_abs0(9),
I1 => det_reg_n_96,
I2 => det_reg_n_74,
O => \det_abs[9]_i_1_n_0\
);
\det_abs_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => det_reg_n_105,
Q => det_abs(0),
R => '0'
);
\det_abs_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[10]_i_1_n_0\,
Q => det_abs(10),
R => '0'
);
\det_abs_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[11]_i_1_n_0\,
Q => det_abs(11),
R => '0'
);
\det_abs_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[12]_i_1_n_0\,
Q => det_abs(12),
R => '0'
);
\det_abs_reg[12]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[8]_i_2_n_0\,
CO(3) => \det_abs_reg[12]_i_2_n_0\,
CO(2) => \det_abs_reg[12]_i_2_n_1\,
CO(1) => \det_abs_reg[12]_i_2_n_2\,
CO(0) => \det_abs_reg[12]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(12 downto 9),
S(3) => \det_abs[12]_i_3_n_0\,
S(2) => \det_abs[12]_i_4_n_0\,
S(1) => \det_abs[12]_i_5_n_0\,
S(0) => \det_abs[12]_i_6_n_0\
);
\det_abs_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[13]_i_1_n_0\,
Q => det_abs(13),
R => '0'
);
\det_abs_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[14]_i_1_n_0\,
Q => det_abs(14),
R => '0'
);
\det_abs_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[15]_i_1_n_0\,
Q => det_abs(15),
R => '0'
);
\det_abs_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[16]_i_1_n_0\,
Q => det_abs(16),
R => '0'
);
\det_abs_reg[16]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[12]_i_2_n_0\,
CO(3) => \det_abs_reg[16]_i_2_n_0\,
CO(2) => \det_abs_reg[16]_i_2_n_1\,
CO(1) => \det_abs_reg[16]_i_2_n_2\,
CO(0) => \det_abs_reg[16]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(16 downto 13),
S(3) => \det_abs[16]_i_3_n_0\,
S(2) => \det_abs[16]_i_4_n_0\,
S(1) => \det_abs[16]_i_5_n_0\,
S(0) => \det_abs[16]_i_6_n_0\
);
\det_abs_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[17]_i_1_n_0\,
Q => det_abs(17),
R => '0'
);
\det_abs_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[18]_i_1_n_0\,
Q => det_abs(18),
R => '0'
);
\det_abs_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[19]_i_1_n_0\,
Q => det_abs(19),
R => '0'
);
\det_abs_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[1]_i_1_n_0\,
Q => det_abs(1),
R => '0'
);
\det_abs_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[20]_i_1_n_0\,
Q => det_abs(20),
R => '0'
);
\det_abs_reg[20]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[16]_i_2_n_0\,
CO(3) => \det_abs_reg[20]_i_2_n_0\,
CO(2) => \det_abs_reg[20]_i_2_n_1\,
CO(1) => \det_abs_reg[20]_i_2_n_2\,
CO(0) => \det_abs_reg[20]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(20 downto 17),
S(3) => \det_abs[20]_i_3_n_0\,
S(2) => \det_abs[20]_i_4_n_0\,
S(1) => \det_abs[20]_i_5_n_0\,
S(0) => \det_abs[20]_i_6_n_0\
);
\det_abs_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[21]_i_1_n_0\,
Q => det_abs(21),
R => '0'
);
\det_abs_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[22]_i_1_n_0\,
Q => det_abs(22),
R => '0'
);
\det_abs_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[23]_i_1_n_0\,
Q => det_abs(23),
R => '0'
);
\det_abs_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[24]_i_1_n_0\,
Q => det_abs(24),
R => '0'
);
\det_abs_reg[24]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[20]_i_2_n_0\,
CO(3) => \det_abs_reg[24]_i_2_n_0\,
CO(2) => \det_abs_reg[24]_i_2_n_1\,
CO(1) => \det_abs_reg[24]_i_2_n_2\,
CO(0) => \det_abs_reg[24]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(24 downto 21),
S(3) => \det_abs[24]_i_3_n_0\,
S(2) => \det_abs[24]_i_4_n_0\,
S(1) => \det_abs[24]_i_5_n_0\,
S(0) => \det_abs[24]_i_6_n_0\
);
\det_abs_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[25]_i_1_n_0\,
Q => det_abs(25),
R => '0'
);
\det_abs_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[26]_i_1_n_0\,
Q => det_abs(26),
R => '0'
);
\det_abs_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[27]_i_1_n_0\,
Q => det_abs(27),
R => '0'
);
\det_abs_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[28]_i_1_n_0\,
Q => det_abs(28),
R => '0'
);
\det_abs_reg[28]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[24]_i_2_n_0\,
CO(3) => \det_abs_reg[28]_i_2_n_0\,
CO(2) => \det_abs_reg[28]_i_2_n_1\,
CO(1) => \det_abs_reg[28]_i_2_n_2\,
CO(0) => \det_abs_reg[28]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(28 downto 25),
S(3) => \det_abs[28]_i_3_n_0\,
S(2) => \det_abs[28]_i_4_n_0\,
S(1) => \det_abs[28]_i_5_n_0\,
S(0) => \det_abs[28]_i_6_n_0\
);
\det_abs_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[29]_i_1_n_0\,
Q => det_abs(29),
R => '0'
);
\det_abs_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[2]_i_1_n_0\,
Q => det_abs(2),
R => '0'
);
\det_abs_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[30]_i_1_n_0\,
Q => det_abs(30),
R => '0'
);
\det_abs_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[31]_i_1_n_0\,
Q => det_abs(31),
R => '0'
);
\det_abs_reg[31]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[28]_i_2_n_0\,
CO(3 downto 2) => \NLW_det_abs_reg[31]_i_2_CO_UNCONNECTED\(3 downto 2),
CO(1) => \det_abs_reg[31]_i_2_n_2\,
CO(0) => \det_abs_reg[31]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \NLW_det_abs_reg[31]_i_2_O_UNCONNECTED\(3),
O(2 downto 0) => det_abs0(31 downto 29),
S(3) => '0',
S(2) => \det_abs[31]_i_3_n_0\,
S(1) => \det_abs[31]_i_4_n_0\,
S(0) => \det_abs[31]_i_5_n_0\
);
\det_abs_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[3]_i_1_n_0\,
Q => det_abs(3),
R => '0'
);
\det_abs_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[4]_i_1_n_0\,
Q => det_abs(4),
R => '0'
);
\det_abs_reg[4]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \det_abs_reg[4]_i_2_n_0\,
CO(2) => \det_abs_reg[4]_i_2_n_1\,
CO(1) => \det_abs_reg[4]_i_2_n_2\,
CO(0) => \det_abs_reg[4]_i_2_n_3\,
CYINIT => \det_abs[4]_i_3_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(4 downto 1),
S(3) => \det_abs[4]_i_4_n_0\,
S(2) => \det_abs[4]_i_5_n_0\,
S(1) => \det_abs[4]_i_6_n_0\,
S(0) => \det_abs[4]_i_7_n_0\
);
\det_abs_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[5]_i_1_n_0\,
Q => det_abs(5),
R => '0'
);
\det_abs_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[6]_i_1_n_0\,
Q => det_abs(6),
R => '0'
);
\det_abs_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[7]_i_1_n_0\,
Q => det_abs(7),
R => '0'
);
\det_abs_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[8]_i_1_n_0\,
Q => det_abs(8),
R => '0'
);
\det_abs_reg[8]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \det_abs_reg[4]_i_2_n_0\,
CO(3) => \det_abs_reg[8]_i_2_n_0\,
CO(2) => \det_abs_reg[8]_i_2_n_1\,
CO(1) => \det_abs_reg[8]_i_2_n_2\,
CO(0) => \det_abs_reg[8]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => det_abs0(8 downto 5),
S(3) => \det_abs[8]_i_3_n_0\,
S(2) => \det_abs[8]_i_4_n_0\,
S(1) => \det_abs[8]_i_5_n_0\,
S(0) => \det_abs[8]_i_6_n_0\
);
\det_abs_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => y6,
D => \det_abs[9]_i_1_n_0\,
Q => det_abs(9),
R => '0'
);
det_reg: unisim.vcomponents.DSP48E1
generic map(
ACASCREG => 1,
ADREG => 1,
ALUMODEREG => 0,
AREG => 1,
AUTORESET_PATDET => "NO_RESET",
A_INPUT => "DIRECT",
BCASCREG => 1,
BREG => 1,
B_INPUT => "DIRECT",
CARRYINREG => 0,
CARRYINSELREG => 0,
CREG => 1,
DREG => 1,
INMODEREG => 0,
MASK => X"3FFFFFFFFFFF",
MREG => 1,
OPMODEREG => 0,
PATTERN => X"000000000000",
PREG => 1,
SEL_MASK => "MASK",
SEL_PATTERN => "PATTERN",
USE_DPORT => false,
USE_MULT => "MULTIPLY",
USE_PATTERN_DETECT => "NO_PATDET",
USE_SIMD => "ONE48"
)
port map (
A(29) => \Lxy0__1_carry__2_n_4\,
A(28) => \Lxy0__1_carry__2_n_4\,
A(27) => \Lxy0__1_carry__2_n_4\,
A(26) => \Lxy0__1_carry__2_n_4\,
A(25) => \Lxy0__1_carry__2_n_4\,
A(24) => \Lxy0__1_carry__2_n_4\,
A(23) => \Lxy0__1_carry__2_n_4\,
A(22) => \Lxy0__1_carry__2_n_4\,
A(21) => \Lxy0__1_carry__2_n_4\,
A(20) => \Lxy0__1_carry__2_n_4\,
A(19) => \Lxy0__1_carry__2_n_4\,
A(18) => \Lxy0__1_carry__2_n_4\,
A(17) => \Lxy0__1_carry__2_n_4\,
A(16) => \Lxy0__1_carry__2_n_4\,
A(15) => \Lxy0__1_carry__2_n_4\,
A(14) => \Lxy0__1_carry__2_n_5\,
A(13) => \Lxy0__1_carry__2_n_6\,
A(12) => \Lxy0__1_carry__2_n_7\,
A(11) => \Lxy0__1_carry__1_n_4\,
A(10) => \Lxy0__1_carry__1_n_5\,
A(9) => \Lxy0__1_carry__1_n_6\,
A(8) => \Lxy0__1_carry__1_n_7\,
A(7) => \Lxy0__1_carry__0_n_4\,
A(6) => \Lxy0__1_carry__0_n_5\,
A(5) => \Lxy0__1_carry__0_n_6\,
A(4) => \Lxy0__1_carry__0_n_7\,
A(3) => \Lxy0__1_carry_n_4\,
A(2) => \Lxy0__1_carry_n_5\,
A(1) => \Lxy0__1_carry_n_6\,
A(0) => \Lxy0__1_carry_n_7\,
ACIN(29 downto 0) => B"000000000000000000000000000000",
ACOUT(29 downto 0) => NLW_det_reg_ACOUT_UNCONNECTED(29 downto 0),
ALUMODE(3 downto 0) => B"0011",
B(17) => \Lxy0__1_carry__2_n_4\,
B(16) => \Lxy0__1_carry__2_n_4\,
B(15) => \Lxy0__1_carry__2_n_4\,
B(14) => \Lxy0__1_carry__2_n_5\,
B(13) => \Lxy0__1_carry__2_n_6\,
B(12) => \Lxy0__1_carry__2_n_7\,
B(11) => \Lxy0__1_carry__1_n_4\,
B(10) => \Lxy0__1_carry__1_n_5\,
B(9) => \Lxy0__1_carry__1_n_6\,
B(8) => \Lxy0__1_carry__1_n_7\,
B(7) => \Lxy0__1_carry__0_n_4\,
B(6) => \Lxy0__1_carry__0_n_5\,
B(5) => \Lxy0__1_carry__0_n_6\,
B(4) => \Lxy0__1_carry__0_n_7\,
B(3) => \Lxy0__1_carry_n_4\,
B(2) => \Lxy0__1_carry_n_5\,
B(1) => \Lxy0__1_carry_n_6\,
B(0) => \Lxy0__1_carry_n_7\,
BCIN(17 downto 0) => B"000000000000000000",
BCOUT(17 downto 0) => NLW_det_reg_BCOUT_UNCONNECTED(17 downto 0),
C(47 downto 0) => B"111111111111111111111111111111111111111111111111",
CARRYCASCIN => '0',
CARRYCASCOUT => NLW_det_reg_CARRYCASCOUT_UNCONNECTED,
CARRYIN => '0',
CARRYINSEL(2 downto 0) => B"000",
CARRYOUT(3 downto 0) => NLW_det_reg_CARRYOUT_UNCONNECTED(3 downto 0),
CEA1 => '0',
CEA2 => y3,
CEAD => '0',
CEALUMODE => '0',
CEB1 => '0',
CEB2 => y3,
CEC => '0',
CECARRYIN => '0',
CECTRL => '0',
CED => '0',
CEINMODE => '0',
CEM => y2,
CEP => y9,
CLK => clk_x16,
D(24 downto 0) => B"0000000000000000000000000",
INMODE(4 downto 0) => B"00000",
MULTSIGNIN => '0',
MULTSIGNOUT => NLW_det_reg_MULTSIGNOUT_UNCONNECTED,
OPMODE(6 downto 0) => B"0010101",
OVERFLOW => NLW_det_reg_OVERFLOW_UNCONNECTED,
P(47 downto 32) => NLW_det_reg_P_UNCONNECTED(47 downto 32),
P(31) => det_reg_n_74,
P(30) => det_reg_n_75,
P(29) => det_reg_n_76,
P(28) => det_reg_n_77,
P(27) => det_reg_n_78,
P(26) => det_reg_n_79,
P(25) => det_reg_n_80,
P(24) => det_reg_n_81,
P(23) => det_reg_n_82,
P(22) => det_reg_n_83,
P(21) => det_reg_n_84,
P(20) => det_reg_n_85,
P(19) => det_reg_n_86,
P(18) => det_reg_n_87,
P(17) => det_reg_n_88,
P(16) => det_reg_n_89,
P(15) => det_reg_n_90,
P(14) => det_reg_n_91,
P(13) => det_reg_n_92,
P(12) => det_reg_n_93,
P(11) => det_reg_n_94,
P(10) => det_reg_n_95,
P(9) => det_reg_n_96,
P(8) => det_reg_n_97,
P(7) => det_reg_n_98,
P(6) => det_reg_n_99,
P(5) => det_reg_n_100,
P(4) => det_reg_n_101,
P(3) => det_reg_n_102,
P(2) => det_reg_n_103,
P(1) => det_reg_n_104,
P(0) => det_reg_n_105,
PATTERNBDETECT => NLW_det_reg_PATTERNBDETECT_UNCONNECTED,
PATTERNDETECT => NLW_det_reg_PATTERNDETECT_UNCONNECTED,
PCIN(47) => det_0_reg_n_106,
PCIN(46) => det_0_reg_n_107,
PCIN(45) => det_0_reg_n_108,
PCIN(44) => det_0_reg_n_109,
PCIN(43) => det_0_reg_n_110,
PCIN(42) => det_0_reg_n_111,
PCIN(41) => det_0_reg_n_112,
PCIN(40) => det_0_reg_n_113,
PCIN(39) => det_0_reg_n_114,
PCIN(38) => det_0_reg_n_115,
PCIN(37) => det_0_reg_n_116,
PCIN(36) => det_0_reg_n_117,
PCIN(35) => det_0_reg_n_118,
PCIN(34) => det_0_reg_n_119,
PCIN(33) => det_0_reg_n_120,
PCIN(32) => det_0_reg_n_121,
PCIN(31) => det_0_reg_n_122,
PCIN(30) => det_0_reg_n_123,
PCIN(29) => det_0_reg_n_124,
PCIN(28) => det_0_reg_n_125,
PCIN(27) => det_0_reg_n_126,
PCIN(26) => det_0_reg_n_127,
PCIN(25) => det_0_reg_n_128,
PCIN(24) => det_0_reg_n_129,
PCIN(23) => det_0_reg_n_130,
PCIN(22) => det_0_reg_n_131,
PCIN(21) => det_0_reg_n_132,
PCIN(20) => det_0_reg_n_133,
PCIN(19) => det_0_reg_n_134,
PCIN(18) => det_0_reg_n_135,
PCIN(17) => det_0_reg_n_136,
PCIN(16) => det_0_reg_n_137,
PCIN(15) => det_0_reg_n_138,
PCIN(14) => det_0_reg_n_139,
PCIN(13) => det_0_reg_n_140,
PCIN(12) => det_0_reg_n_141,
PCIN(11) => det_0_reg_n_142,
PCIN(10) => det_0_reg_n_143,
PCIN(9) => det_0_reg_n_144,
PCIN(8) => det_0_reg_n_145,
PCIN(7) => det_0_reg_n_146,
PCIN(6) => det_0_reg_n_147,
PCIN(5) => det_0_reg_n_148,
PCIN(4) => det_0_reg_n_149,
PCIN(3) => det_0_reg_n_150,
PCIN(2) => det_0_reg_n_151,
PCIN(1) => det_0_reg_n_152,
PCIN(0) => det_0_reg_n_153,
PCOUT(47 downto 0) => NLW_det_reg_PCOUT_UNCONNECTED(47 downto 0),
RSTA => '0',
RSTALLCARRYIN => '0',
RSTALUMODE => '0',
RSTB => '0',
RSTC => '0',
RSTCTRL => '0',
RSTD => '0',
RSTINMODE => '0',
RSTM => '0',
RSTP => '0',
UNDERFLOW => NLW_det_reg_UNDERFLOW_UNCONNECTED
);
det_reg_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000040000000"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => cycle(3),
I2 => rst,
I3 => active,
I4 => \cycle_reg[0]_rep_n_0\,
I5 => \cycle_reg[1]_rep__0_n_0\,
O => y2
);
det_reg_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => cycle(3),
I2 => rst,
I3 => active,
I4 => \cycle_reg[0]_rep_n_0\,
I5 => \cycle_reg[1]_rep__0_n_0\,
O => y9
);
\din_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(0),
Q => \din_reg_n_0_[0]\,
R => '0'
);
\din_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(10),
Q => \din_reg_n_0_[10]\,
R => '0'
);
\din_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(11),
Q => \din_reg_n_0_[11]\,
R => '0'
);
\din_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(12),
Q => \din_reg_n_0_[12]\,
R => '0'
);
\din_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(13),
Q => \din_reg_n_0_[13]\,
R => '0'
);
\din_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(14),
Q => \din_reg_n_0_[14]\,
R => '0'
);
\din_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(15),
Q => \din_reg_n_0_[15]\,
R => '0'
);
\din_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(1),
Q => \din_reg_n_0_[1]\,
R => '0'
);
\din_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(2),
Q => \din_reg_n_0_[2]\,
R => '0'
);
\din_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(3),
Q => \din_reg_n_0_[3]\,
R => '0'
);
\din_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(4),
Q => \din_reg_n_0_[4]\,
R => '0'
);
\din_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(5),
Q => \din_reg_n_0_[5]\,
R => '0'
);
\din_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(6),
Q => \din_reg_n_0_[6]\,
R => '0'
);
\din_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(7),
Q => \din_reg_n_0_[7]\,
R => '0'
);
\din_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(8),
Q => \din_reg_n_0_[8]\,
R => '0'
);
\din_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => det_0_reg_i_2_n_0,
D => \cache_reg[8]_1\(9),
Q => \din_reg_n_0_[9]\,
R => '0'
);
\hessian_out[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => rst,
I1 => active,
I2 => cycle(3),
I3 => cycle(0),
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \cycle_reg[1]_rep__0_n_0\,
O => y3
);
\hessian_out_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(0),
Q => hessian_out(0),
R => '0'
);
\hessian_out_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(10),
Q => hessian_out(10),
R => '0'
);
\hessian_out_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(11),
Q => hessian_out(11),
R => '0'
);
\hessian_out_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(12),
Q => hessian_out(12),
R => '0'
);
\hessian_out_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(13),
Q => hessian_out(13),
R => '0'
);
\hessian_out_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(14),
Q => hessian_out(14),
R => '0'
);
\hessian_out_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(15),
Q => hessian_out(15),
R => '0'
);
\hessian_out_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(16),
Q => hessian_out(16),
R => '0'
);
\hessian_out_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(17),
Q => hessian_out(17),
R => '0'
);
\hessian_out_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(18),
Q => hessian_out(18),
R => '0'
);
\hessian_out_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(19),
Q => hessian_out(19),
R => '0'
);
\hessian_out_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(1),
Q => hessian_out(1),
R => '0'
);
\hessian_out_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(20),
Q => hessian_out(20),
R => '0'
);
\hessian_out_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(21),
Q => hessian_out(21),
R => '0'
);
\hessian_out_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(22),
Q => hessian_out(22),
R => '0'
);
\hessian_out_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(23),
Q => hessian_out(23),
R => '0'
);
\hessian_out_reg[24]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(24),
Q => hessian_out(24),
R => '0'
);
\hessian_out_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(25),
Q => hessian_out(25),
R => '0'
);
\hessian_out_reg[26]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(26),
Q => hessian_out(26),
R => '0'
);
\hessian_out_reg[27]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(27),
Q => hessian_out(27),
R => '0'
);
\hessian_out_reg[28]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(28),
Q => hessian_out(28),
R => '0'
);
\hessian_out_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(29),
Q => hessian_out(29),
R => '0'
);
\hessian_out_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(2),
Q => hessian_out(2),
R => '0'
);
\hessian_out_reg[30]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(30),
Q => hessian_out(30),
R => '0'
);
\hessian_out_reg[31]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(31),
Q => hessian_out(31),
R => '0'
);
\hessian_out_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(3),
Q => hessian_out(3),
R => '0'
);
\hessian_out_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(4),
Q => hessian_out(4),
R => '0'
);
\hessian_out_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(5),
Q => hessian_out(5),
R => '0'
);
\hessian_out_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(6),
Q => hessian_out(6),
R => '0'
);
\hessian_out_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(7),
Q => hessian_out(7),
R => '0'
);
\hessian_out_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(8),
Q => hessian_out(8),
R => '0'
);
\hessian_out_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => det_abs(9),
Q => hessian_out(9),
R => '0'
);
\i__carry__0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0400"
)
port map (
I0 => \cycle_reg[1]_rep__0_n_0\,
I1 => cycle(0),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(3),
O => \i__carry__0_i_1_n_0\
);
\i__carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => \x_reg_n_0_[7]\,
O => \i__carry__0_i_2_n_0\
);
\i__carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \x_reg_n_0_[5]\,
I1 => \x_reg_n_0_[6]\,
O => \i__carry__0_i_3_n_0\
);
\i__carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x_reg_n_0_[5]\,
O => \i__carry__0_i_4_n_0\
);
\i__carry__0_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"0020FFDF"
)
port map (
I0 => cycle(3),
I1 => \cycle_reg[2]_rep_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \x_reg_n_0_[4]\,
O => \i__carry__0_i_5_n_0\
);
\i__carry__1_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \x_reg_n_0_[8]\,
I1 => \x_reg_n_0_[9]\,
O => \i__carry__1_i_1_n_0\
);
\i__carry__1_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => \x_reg_n_0_[8]\,
O => \i__carry__1_i_2_n_0\
);
\i__carry_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"0020FFDF"
)
port map (
I0 => cycle(3),
I1 => \cycle_reg[2]_rep_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \x_reg_n_0_[3]\,
O => \i__carry_i_1_n_0\
);
\i__carry_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => \x_reg_n_0_[2]\,
I1 => cycle(3),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => \cycle_reg[2]_rep_n_0\,
O => \i__carry_i_2_n_0\
);
\i__carry_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"55599555"
)
port map (
I0 => \x_reg_n_0_[1]\,
I1 => cycle(3),
I2 => cycle(0),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \cycle_reg[2]_rep_n_0\,
O => \i__carry_i_3_n_0\
);
\i__carry_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"5595"
)
port map (
I0 => \x_reg_n_0_[0]\,
I1 => cycle(3),
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
O => \i__carry_i_4_n_0\
);
\last_value_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[0]\,
Q => last_value(0),
R => '0'
);
\last_value_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[1]\,
Q => last_value(1),
R => '0'
);
\last_value_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[2]\,
Q => last_value(2),
R => '0'
);
\last_value_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[3]\,
Q => last_value(3),
R => '0'
);
\last_value_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[4]\,
Q => last_value(4),
R => '0'
);
\last_value_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[5]\,
Q => last_value(5),
R => '0'
);
\last_value_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[6]\,
Q => last_value(6),
R => '0'
);
\last_value_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \value_reg_n_0_[7]\,
Q => last_value(7),
R => '0'
);
\left[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000008"
)
port map (
I0 => \left[15]_i_2_n_0\,
I1 => x,
I2 => \x_reg_n_0_[0]\,
I3 => \x_reg_n_0_[9]\,
I4 => \x_reg_n_0_[8]\,
O => left
);
\left[15]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => \x_reg_n_0_[5]\,
I2 => \x_reg_n_0_[6]\,
I3 => \left[15]_i_3_n_0\,
O => \left[15]_i_2_n_0\
);
\left[15]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[2]\,
I3 => \x_reg_n_0_[3]\,
O => \left[15]_i_3_n_0\
);
\left_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(0),
Q => \left_reg_n_0_[0]\,
R => left
);
\left_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(10),
Q => \left_reg_n_0_[10]\,
R => left
);
\left_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(11),
Q => \left_reg_n_0_[11]\,
R => left
);
\left_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(12),
Q => \left_reg_n_0_[12]\,
R => left
);
\left_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(13),
Q => \left_reg_n_0_[13]\,
R => left
);
\left_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(14),
Q => \left_reg_n_0_[14]\,
R => left
);
\left_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(15),
Q => \left_reg_n_0_[15]\,
R => left
);
\left_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(1),
Q => \left_reg_n_0_[1]\,
R => left
);
\left_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(2),
Q => \left_reg_n_0_[2]\,
R => left
);
\left_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(3),
Q => \left_reg_n_0_[3]\,
R => left
);
\left_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(4),
Q => \left_reg_n_0_[4]\,
R => left
);
\left_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(5),
Q => \left_reg_n_0_[5]\,
R => left
);
\left_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(6),
Q => \left_reg_n_0_[6]\,
R => left
);
\left_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(7),
Q => \left_reg_n_0_[7]\,
R => left
);
\left_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(8),
Q => \left_reg_n_0_[8]\,
R => left
);
\left_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[0]_4\(9),
Q => \left_reg_n_0_[9]\,
R => left
);
\plusOp_inferred__0/i__carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \plusOp_inferred__0/i__carry_n_0\,
CO(2) => \plusOp_inferred__0/i__carry_n_1\,
CO(1) => \plusOp_inferred__0/i__carry_n_2\,
CO(0) => \plusOp_inferred__0/i__carry_n_3\,
CYINIT => '0',
DI(3) => \x_reg_n_0_[3]\,
DI(2) => \x_reg_n_0_[2]\,
DI(1) => \x_reg_n_0_[1]\,
DI(0) => \x_reg_n_0_[0]\,
O(3) => \plusOp_inferred__0/i__carry_n_4\,
O(2) => \plusOp_inferred__0/i__carry_n_5\,
O(1) => \plusOp_inferred__0/i__carry_n_6\,
O(0) => \plusOp_inferred__0/i__carry_n_7\,
S(3) => \i__carry_i_1_n_0\,
S(2) => \i__carry_i_2_n_0\,
S(1) => \i__carry_i_3_n_0\,
S(0) => \i__carry_i_4_n_0\
);
\plusOp_inferred__0/i__carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \plusOp_inferred__0/i__carry_n_0\,
CO(3) => \plusOp_inferred__0/i__carry__0_n_0\,
CO(2) => \plusOp_inferred__0/i__carry__0_n_1\,
CO(1) => \plusOp_inferred__0/i__carry__0_n_2\,
CO(0) => \plusOp_inferred__0/i__carry__0_n_3\,
CYINIT => '0',
DI(3) => \x_reg_n_0_[6]\,
DI(2) => \x_reg_n_0_[5]\,
DI(1) => \x_reg_n_0_[4]\,
DI(0) => \i__carry__0_i_1_n_0\,
O(3) => \plusOp_inferred__0/i__carry__0_n_4\,
O(2) => \plusOp_inferred__0/i__carry__0_n_5\,
O(1) => \plusOp_inferred__0/i__carry__0_n_6\,
O(0) => \plusOp_inferred__0/i__carry__0_n_7\,
S(3) => \i__carry__0_i_2_n_0\,
S(2) => \i__carry__0_i_3_n_0\,
S(1) => \i__carry__0_i_4_n_0\,
S(0) => \i__carry__0_i_5_n_0\
);
\plusOp_inferred__0/i__carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \plusOp_inferred__0/i__carry__0_n_0\,
CO(3 downto 1) => \NLW_plusOp_inferred__0/i__carry__1_CO_UNCONNECTED\(3 downto 1),
CO(0) => \plusOp_inferred__0/i__carry__1_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \x_reg_n_0_[7]\,
O(3 downto 2) => \NLW_plusOp_inferred__0/i__carry__1_O_UNCONNECTED\(3 downto 2),
O(1) => \plusOp_inferred__0/i__carry__1_n_6\,
O(0) => \plusOp_inferred__0/i__carry__1_n_7\,
S(3 downto 2) => B"00",
S(1) => \i__carry__1_i_1_n_0\,
S(0) => \i__carry__1_i_2_n_0\
);
\top[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000002"
)
port map (
I0 => x,
I1 => \top[15]_i_2_n_0\,
I2 => \y_actual_reg_n_0_[3]\,
I3 => \y_actual_reg_n_0_[0]\,
I4 => \y_actual_reg_n_0_[1]\,
I5 => \y_actual_reg_n_0_[2]\,
O => top
);
\top[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \y_actual_reg_n_0_[8]\,
I1 => \y_actual_reg_n_0_[9]\,
I2 => \y_actual_reg_n_0_[6]\,
I3 => \y_actual_reg_n_0_[7]\,
I4 => \y_actual_reg_n_0_[4]\,
I5 => \y_actual_reg_n_0_[5]\,
O => \top[15]_i_2_n_0\
);
\top_left_0[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(0),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(0),
O => \top_left_0[0]_i_1_n_0\
);
\top_left_0[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(10),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(10),
O => \top_left_0[10]_i_1_n_0\
);
\top_left_0[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(11),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(11),
O => \top_left_0[11]_i_1_n_0\
);
\top_left_0[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(12),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(12),
O => \top_left_0[12]_i_1_n_0\
);
\top_left_0[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(13),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(13),
O => \top_left_0[13]_i_1_n_0\
);
\top_left_0[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(14),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(14),
O => \top_left_0[14]_i_1_n_0\
);
\top_left_0[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000700010000000"
)
port map (
I0 => cycle(2),
I1 => cycle(3),
I2 => rst,
I3 => active,
I4 => \cycle_reg[0]_rep_n_0\,
I5 => \cycle_reg[1]_rep_n_0\,
O => top_left_0
);
\top_left_0[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(15),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(15),
O => \top_left_0[15]_i_2_n_0\
);
\top_left_0[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(1),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(1),
O => \top_left_0[1]_i_1_n_0\
);
\top_left_0[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(2),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(2),
O => \top_left_0[2]_i_1_n_0\
);
\top_left_0[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(3),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(3),
O => \top_left_0[3]_i_1_n_0\
);
\top_left_0[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(4),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(4),
O => \top_left_0[4]_i_1_n_0\
);
\top_left_0[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(5),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(5),
O => \top_left_0[5]_i_1_n_0\
);
\top_left_0[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(6),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(6),
O => \top_left_0[6]_i_1_n_0\
);
\top_left_0[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(7),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(7),
O => \top_left_0[7]_i_1_n_0\
);
\top_left_0[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(8),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(8),
O => \top_left_0[8]_i_1_n_0\
);
\top_left_0[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => dout_0(9),
I1 => cycle(2),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(9),
O => \top_left_0[9]_i_1_n_0\
);
\top_left_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[0]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[0]\,
R => '0'
);
\top_left_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[10]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[10]\,
R => '0'
);
\top_left_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[11]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[11]\,
R => '0'
);
\top_left_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[12]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[12]\,
R => '0'
);
\top_left_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[13]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[13]\,
R => '0'
);
\top_left_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[14]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[14]\,
R => '0'
);
\top_left_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[15]_i_2_n_0\,
Q => \top_left_0_reg_n_0_[15]\,
R => '0'
);
\top_left_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[1]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[1]\,
R => '0'
);
\top_left_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[2]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[2]\,
R => '0'
);
\top_left_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[3]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[3]\,
R => '0'
);
\top_left_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[4]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[4]\,
R => '0'
);
\top_left_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[5]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[5]\,
R => '0'
);
\top_left_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[6]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[6]\,
R => '0'
);
\top_left_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[7]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[7]\,
R => '0'
);
\top_left_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[8]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[8]\,
R => '0'
);
\top_left_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_left_0,
D => \top_left_0[9]_i_1_n_0\,
Q => \top_left_0_reg_n_0_[9]\,
R => '0'
);
\top_left_1[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(0),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[0]\,
O => \top_left_1[0]_i_1_n_0\
);
\top_left_1[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(10),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[10]\,
O => \top_left_1[10]_i_1_n_0\
);
\top_left_1[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(11),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[11]\,
O => \top_left_1[11]_i_1_n_0\
);
\top_left_1[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(12),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \bottom_left_0_reg_n_0_[12]\,
O => \top_left_1[12]_i_1_n_0\
);
\top_left_1[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(13),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \bottom_left_0_reg_n_0_[13]\,
O => \top_left_1[13]_i_1_n_0\
);
\top_left_1[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(14),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \bottom_left_0_reg_n_0_[14]\,
O => \top_left_1[14]_i_1_n_0\
);
\top_left_1[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0040"
)
port map (
I0 => \cycle_reg[0]_rep_n_0\,
I1 => active,
I2 => rst,
I3 => \cycle_reg[1]_rep__0_n_0\,
O => bottom_right_1
);
\top_left_1[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(15),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \bottom_left_0_reg_n_0_[15]\,
O => \top_left_1[15]_i_2_n_0\
);
\top_left_1[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(1),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[1]\,
O => \top_left_1[1]_i_1_n_0\
);
\top_left_1[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(2),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[2]\,
O => \top_left_1[2]_i_1_n_0\
);
\top_left_1[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(3),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[3]\,
O => \top_left_1[3]_i_1_n_0\
);
\top_left_1[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(4),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[4]\,
O => \top_left_1[4]_i_1_n_0\
);
\top_left_1[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(5),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[5]\,
O => \top_left_1[5]_i_1_n_0\
);
\top_left_1[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(6),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[6]\,
O => \top_left_1[6]_i_1_n_0\
);
\top_left_1[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(7),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[7]\,
O => \top_left_1[7]_i_1_n_0\
);
\top_left_1[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(8),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[8]\,
O => \top_left_1[8]_i_1_n_0\
);
\top_left_1[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAA8AAAA"
)
port map (
I0 => dout_1(9),
I1 => \cycle_reg[0]_rep_n_0\,
I2 => cycle(3),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(2),
I5 => \bottom_left_0_reg_n_0_[9]\,
O => \top_left_1[9]_i_1_n_0\
);
\top_left_1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[0]_i_1_n_0\,
Q => top_left_1(0),
R => '0'
);
\top_left_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[10]_i_1_n_0\,
Q => top_left_1(10),
R => '0'
);
\top_left_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[11]_i_1_n_0\,
Q => top_left_1(11),
R => '0'
);
\top_left_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[12]_i_1_n_0\,
Q => top_left_1(12),
R => '0'
);
\top_left_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[13]_i_1_n_0\,
Q => top_left_1(13),
R => '0'
);
\top_left_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[14]_i_1_n_0\,
Q => top_left_1(14),
R => '0'
);
\top_left_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[15]_i_2_n_0\,
Q => top_left_1(15),
R => '0'
);
\top_left_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[1]_i_1_n_0\,
Q => top_left_1(1),
R => '0'
);
\top_left_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[2]_i_1_n_0\,
Q => top_left_1(2),
R => '0'
);
\top_left_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[3]_i_1_n_0\,
Q => top_left_1(3),
R => '0'
);
\top_left_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[4]_i_1_n_0\,
Q => top_left_1(4),
R => '0'
);
\top_left_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[5]_i_1_n_0\,
Q => top_left_1(5),
R => '0'
);
\top_left_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[6]_i_1_n_0\,
Q => top_left_1(6),
R => '0'
);
\top_left_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[7]_i_1_n_0\,
Q => top_left_1(7),
R => '0'
);
\top_left_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[8]_i_1_n_0\,
Q => top_left_1(8),
R => '0'
);
\top_left_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => bottom_right_1,
D => \top_left_1[9]_i_1_n_0\,
Q => top_left_1(9),
R => '0'
);
\top_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(0),
Q => \top_reg_n_0_[0]\,
R => top
);
\top_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(10),
Q => \top_reg_n_0_[10]\,
R => top
);
\top_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(11),
Q => \top_reg_n_0_[11]\,
R => top
);
\top_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(12),
Q => \top_reg_n_0_[12]\,
R => top
);
\top_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(13),
Q => \top_reg_n_0_[13]\,
R => top
);
\top_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(14),
Q => \top_reg_n_0_[14]\,
R => top
);
\top_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(15),
Q => \top_reg_n_0_[15]\,
R => top
);
\top_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(1),
Q => \top_reg_n_0_[1]\,
R => top
);
\top_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(2),
Q => \top_reg_n_0_[2]\,
R => top
);
\top_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(3),
Q => \top_reg_n_0_[3]\,
R => top
);
\top_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(4),
Q => \top_reg_n_0_[4]\,
R => top
);
\top_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(5),
Q => \top_reg_n_0_[5]\,
R => top
);
\top_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(6),
Q => \top_reg_n_0_[6]\,
R => top
);
\top_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(7),
Q => \top_reg_n_0_[7]\,
R => top
);
\top_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(8),
Q => \top_reg_n_0_[8]\,
R => top
);
\top_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => \cache_reg[9]_2\(9),
Q => \top_reg_n_0_[9]\,
R => top
);
\top_right_0[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(0),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(0),
O => \top_right_0[0]_i_1_n_0\
);
\top_right_0[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(10),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(10),
O => \top_right_0[10]_i_1_n_0\
);
\top_right_0[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(11),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(11),
O => \top_right_0[11]_i_1_n_0\
);
\top_right_0[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(12),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(12),
O => \top_right_0[12]_i_1_n_0\
);
\top_right_0[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(13),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(13),
O => \top_right_0[13]_i_1_n_0\
);
\top_right_0[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(14),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(14),
O => \top_right_0[14]_i_1_n_0\
);
\top_right_0[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0880000080080800"
)
port map (
I0 => rst,
I1 => active,
I2 => cycle(3),
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \cycle_reg[2]_rep_n_0\,
O => top_right_0
);
\top_right_0[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(15),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(15),
O => \top_right_0[15]_i_2_n_0\
);
\top_right_0[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(1),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(1),
O => \top_right_0[1]_i_1_n_0\
);
\top_right_0[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(2),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(2),
O => \top_right_0[2]_i_1_n_0\
);
\top_right_0[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(3),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(3),
O => \top_right_0[3]_i_1_n_0\
);
\top_right_0[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(4),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(4),
O => \top_right_0[4]_i_1_n_0\
);
\top_right_0[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(5),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(5),
O => \top_right_0[5]_i_1_n_0\
);
\top_right_0[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(6),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(6),
O => \top_right_0[6]_i_1_n_0\
);
\top_right_0[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(7),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(7),
O => \top_right_0[7]_i_1_n_0\
);
\top_right_0[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(8),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(8),
O => \top_right_0[8]_i_1_n_0\
);
\top_right_0[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEF00000020"
)
port map (
I0 => top_left_1(9),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(3),
I5 => dout_1(9),
O => \top_right_0[9]_i_1_n_0\
);
\top_right_0_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[0]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[0]\,
R => '0'
);
\top_right_0_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[10]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[10]\,
R => '0'
);
\top_right_0_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[11]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[11]\,
R => '0'
);
\top_right_0_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[12]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[12]\,
R => '0'
);
\top_right_0_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[13]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[13]\,
R => '0'
);
\top_right_0_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[14]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[14]\,
R => '0'
);
\top_right_0_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[15]_i_2_n_0\,
Q => \top_right_0_reg_n_0_[15]\,
R => '0'
);
\top_right_0_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[1]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[1]\,
R => '0'
);
\top_right_0_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[2]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[2]\,
R => '0'
);
\top_right_0_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[3]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[3]\,
R => '0'
);
\top_right_0_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[4]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[4]\,
R => '0'
);
\top_right_0_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[5]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[5]\,
R => '0'
);
\top_right_0_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[6]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[6]\,
R => '0'
);
\top_right_0_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[7]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[7]\,
R => '0'
);
\top_right_0_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[8]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[8]\,
R => '0'
);
\top_right_0_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_0,
D => \top_right_0[9]_i_1_n_0\,
Q => \top_right_0_reg_n_0_[9]\,
R => '0'
);
\top_right_1[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(0),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[0]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[0]\,
O => \top_right_1[0]_i_1_n_0\
);
\top_right_1[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(10),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[10]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[10]\,
O => \top_right_1[10]_i_1_n_0\
);
\top_right_1[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(11),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[11]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[11]\,
O => \top_right_1[11]_i_1_n_0\
);
\top_right_1[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(12),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[12]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[12]\,
O => \top_right_1[12]_i_1_n_0\
);
\top_right_1[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(13),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[13]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[13]\,
O => \top_right_1[13]_i_1_n_0\
);
\top_right_1[14]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(14),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[14]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[14]\,
O => \top_right_1[14]_i_1_n_0\
);
\top_right_1[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(15),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[15]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[15]\,
O => \top_right_1[15]_i_1_n_0\
);
\top_right_1[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => cycle(3),
I1 => cycle(0),
I2 => \cycle_reg[1]_rep__0_n_0\,
O => \top_right_1[15]_i_2_n_0\
);
\top_right_1[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(1),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[1]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[1]\,
O => \top_right_1[1]_i_1_n_0\
);
\top_right_1[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(2),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[2]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[2]\,
O => \top_right_1[2]_i_1_n_0\
);
\top_right_1[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(3),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[3]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[3]\,
O => \top_right_1[3]_i_1_n_0\
);
\top_right_1[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(4),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[4]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[4]\,
O => \top_right_1[4]_i_1_n_0\
);
\top_right_1[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(5),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[5]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[5]\,
O => \top_right_1[5]_i_1_n_0\
);
\top_right_1[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(6),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[6]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[6]\,
O => \top_right_1[6]_i_1_n_0\
);
\top_right_1[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(7),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[7]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[7]\,
O => \top_right_1[7]_i_1_n_0\
);
\top_right_1[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(8),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[8]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[8]\,
O => \top_right_1[8]_i_1_n_0\
);
\top_right_1[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => dout_1(9),
I1 => \top_right_1[15]_i_2_n_0\,
I2 => \bottom_right_0_reg_n_0_[9]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \top_left_0_reg_n_0_[9]\,
O => \top_right_1[9]_i_1_n_0\
);
\top_right_1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[0]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[0]\,
R => '0'
);
\top_right_1_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[10]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[10]\,
R => '0'
);
\top_right_1_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[11]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[11]\,
R => '0'
);
\top_right_1_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[12]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[12]\,
R => '0'
);
\top_right_1_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[13]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[13]\,
R => '0'
);
\top_right_1_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[14]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[14]\,
R => '0'
);
\top_right_1_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[15]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[15]\,
R => '0'
);
\top_right_1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[1]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[1]\,
R => '0'
);
\top_right_1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[2]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[2]\,
R => '0'
);
\top_right_1_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[3]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[3]\,
R => '0'
);
\top_right_1_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[4]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[4]\,
R => '0'
);
\top_right_1_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[5]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[5]\,
R => '0'
);
\top_right_1_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[6]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[6]\,
R => '0'
);
\top_right_1_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[7]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[7]\,
R => '0'
);
\top_right_1_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[8]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[8]\,
R => '0'
);
\top_right_1_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => top_right_1,
D => \top_right_1[9]_i_1_n_0\,
Q => \top_right_1_reg_n_0_[9]\,
R => '0'
);
\value_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(0),
Q => \value_reg_n_0_[0]\,
R => '0'
);
\value_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(1),
Q => \value_reg_n_0_[1]\,
R => '0'
);
\value_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(2),
Q => \value_reg_n_0_[2]\,
R => '0'
);
\value_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(3),
Q => \value_reg_n_0_[3]\,
R => '0'
);
\value_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(4),
Q => \value_reg_n_0_[4]\,
R => '0'
);
\value_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(5),
Q => \value_reg_n_0_[5]\,
R => '0'
);
\value_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(6),
Q => \value_reg_n_0_[6]\,
R => '0'
);
\value_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => x,
D => g_in(7),
Q => \value_reg_n_0_[7]\,
R => '0'
);
wen_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAEAAAAAA2AAAA"
)
port map (
I0 => wen_reg_n_0,
I1 => wen_i_2_n_0,
I2 => \cycle_reg[0]_rep_n_0\,
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(3),
I5 => cycle(2),
O => wen_i_1_n_0
);
wen_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => active,
I1 => rst,
O => wen_i_2_n_0
);
wen_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x16,
CE => '1',
D => wen_i_1_n_0,
Q => wen_reg_n_0,
R => '0'
);
\x0[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"3B01FFC53A00FEC4"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => cycle(0),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => data2(0),
I4 => \x_reg_n_0_[0]\,
I5 => \plusOp_inferred__0/i__carry_n_7\,
O => \x0[0]_i_2_n_0\
);
\x0[0]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => data2(0),
I1 => cycle(0),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \plusOp_inferred__0/i__carry_n_7\,
O => \x0[0]_i_3_n_0\
);
\x0[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCEECCEEEEEECCFC"
)
port map (
I0 => data2(1),
I1 => \x0[1]_i_4_n_0\,
I2 => \plusOp_inferred__0/i__carry_n_6\,
I3 => cycle(0),
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \cycle_reg[1]_rep__0_n_0\,
O => \x0[1]_i_2_n_0\
);
\x0[1]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => data2(1),
I1 => cycle(0),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \plusOp_inferred__0/i__carry_n_6\,
O => \x0[1]_i_3_n_0\
);
\x0[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"60600060"
)
port map (
I0 => \x_reg_n_0_[1]\,
I1 => \x_reg_n_0_[0]\,
I2 => cycle(0),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \cycle_reg[1]_rep__0_n_0\,
O => \x0[1]_i_4_n_0\
);
\x0[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBBBFBBBFFBBBBBB"
)
port map (
I0 => \x0[2]_i_2_n_0\,
I1 => \x0[2]_i_3_n_0\,
I2 => data2(2),
I3 => cycle(3),
I4 => \plusOp_inferred__0/i__carry_n_5\,
I5 => \x1[5]_i_3_n_0\,
O => \x0[2]_i_1_n_0\
);
\x0[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"88AA22A0880022A0"
)
port map (
I0 => \x0[7]_i_4_n_0\,
I1 => \x0[2]_i_4_n_0\,
I2 => \plusOp_inferred__0/i__carry_n_5\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data2(2),
O => \x0[2]_i_2_n_0\
);
\x0[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"3FF3F3F377777777"
)
port map (
I0 => data2(2),
I1 => \x0[2]_i_5_n_0\,
I2 => \x_reg_n_0_[2]\,
I3 => \x_reg_n_0_[1]\,
I4 => \x_reg_n_0_[0]\,
I5 => \x1[6]_i_8_n_0\,
O => \x0[2]_i_3_n_0\
);
\x0[2]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \x_reg_n_0_[2]\,
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[0]\,
O => \x0[2]_i_4_n_0\
);
\x0[2]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => cycle(3),
O => \x0[2]_i_5_n_0\
);
\x0[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF100F1"
)
port map (
I0 => \x0[3]_i_2_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => \x0[3]_i_3_n_0\,
I3 => cycle(3),
I4 => \x0[3]_i_4_n_0\,
O => \x0[3]_i_1_n_0\
);
\x0[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"660FFF00660FFFFF"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => \x0[3]_i_5_n_0\,
I2 => data2(3),
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \plusOp_inferred__0/i__carry_n_4\,
O => \x0[3]_i_2_n_0\
);
\x0[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"90F0F9F090000900"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => \x0[3]_i_6_n_0\,
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data2(3),
O => \x0[3]_i_3_n_0\
);
\x0[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => data2(3),
I1 => cycle(0),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \plusOp_inferred__0/i__carry_n_4\,
O => \x0[3]_i_4_n_0\
);
\x0[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \x_reg_n_0_[2]\,
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[0]\,
O => \x0[3]_i_5_n_0\
);
\x0[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => \x_reg_n_0_[2]\,
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[0]\,
O => \x0[3]_i_6_n_0\
);
\x0[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCDDFCDDFFDDCCDD"
)
port map (
I0 => \x0[4]_i_2_n_0\,
I1 => \x0[4]_i_3_n_0\,
I2 => data2(4),
I3 => cycle(3),
I4 => \plusOp_inferred__0/i__carry__0_n_7\,
I5 => \x1[5]_i_3_n_0\,
O => \x0[4]_i_1_n_0\
);
\x0[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"3C555555FFFF3CFF"
)
port map (
I0 => data2(4),
I1 => \x_reg_n_0_[4]\,
I2 => \x0[4]_i_4_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \cycle_reg[2]_rep_n_0\,
O => \x0[4]_i_2_n_0\
);
\x0[4]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"008A0080A08AA080"
)
port map (
I0 => \x0[7]_i_4_n_0\,
I1 => data2(4),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => cycle(0),
I4 => \plusOp_inferred__0/i__carry__0_n_7\,
I5 => \x0[4]_i_5_n_0\,
O => \x0[4]_i_3_n_0\
);
\x0[4]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFEA"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => \x_reg_n_0_[0]\,
I2 => \x_reg_n_0_[1]\,
I3 => \x_reg_n_0_[2]\,
O => \x0[4]_i_4_n_0\
);
\x0[4]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"95555555"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x_reg_n_0_[3]\,
I2 => \x_reg_n_0_[2]\,
I3 => \x_reg_n_0_[1]\,
I4 => \x_reg_n_0_[0]\,
O => \x0[4]_i_5_n_0\
);
\x0[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCDDFCDDFFDDCCDD"
)
port map (
I0 => \x0[5]_i_2_n_0\,
I1 => \x0[5]_i_3_n_0\,
I2 => data2(5),
I3 => cycle(3),
I4 => \plusOp_inferred__0/i__carry__0_n_6\,
I5 => \x1[5]_i_3_n_0\,
O => \x0[5]_i_1_n_0\
);
\x0[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"3C555555FFFF3CFF"
)
port map (
I0 => data2(5),
I1 => \x_reg_n_0_[5]\,
I2 => \x0[8]_i_7_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \cycle_reg[2]_rep_n_0\,
O => \x0[5]_i_2_n_0\
);
\x0[5]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00A80008AAAAAAAA"
)
port map (
I0 => \x0[7]_i_4_n_0\,
I1 => \plusOp_inferred__0/i__carry__0_n_6\,
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => cycle(0),
I4 => data2(5),
I5 => \x0[5]_i_4_n_0\,
O => \x0[5]_i_3_n_0\
);
\x0[5]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"2DFFFFFF"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x0[5]_i_5_n_0\,
I2 => \x_reg_n_0_[5]\,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => cycle(0),
O => \x0[5]_i_4_n_0\
);
\x0[5]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \x_reg_n_0_[0]\,
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[2]\,
I3 => \x_reg_n_0_[3]\,
O => \x0[5]_i_5_n_0\
);
\x0[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF0507"
)
port map (
I0 => \x0[6]_i_2_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => cycle(3),
I3 => \x0[6]_i_3_n_0\,
I4 => \x0[6]_i_4_n_0\,
O => \x0[6]_i_1_n_0\
);
\x0[6]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0707077077777777"
)
port map (
I0 => \x1[9]_i_7_n_0\,
I1 => data2(6),
I2 => \x_reg_n_0_[6]\,
I3 => \x0[8]_i_7_n_0\,
I4 => \x_reg_n_0_[5]\,
I5 => \x0[8]_i_5_n_0\,
O => \x0[6]_i_2_n_0\
);
\x0[6]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"6600FF0F66FFFF0F"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => \x0[6]_i_5_n_0\,
I2 => \plusOp_inferred__0/i__carry__0_n_5\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data2(6),
O => \x0[6]_i_3_n_0\
);
\x0[6]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"C0C0C0C0C0C0C088"
)
port map (
I0 => data2(6),
I1 => cycle(3),
I2 => \plusOp_inferred__0/i__carry__0_n_5\,
I3 => cycle(0),
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \cycle_reg[1]_rep__0_n_0\,
O => \x0[6]_i_4_n_0\
);
\x0[6]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x_reg_n_0_[0]\,
I2 => \x_reg_n_0_[1]\,
I3 => \x_reg_n_0_[2]\,
I4 => \x_reg_n_0_[3]\,
I5 => \x_reg_n_0_[5]\,
O => \x0[6]_i_5_n_0\
);
\x0[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFF020000"
)
port map (
I0 => cycle(0),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => \x0[7]_i_2_n_0\,
I3 => \x0[7]_i_3_n_0\,
I4 => \x0[7]_i_4_n_0\,
I5 => \x0[7]_i_5_n_0\,
O => \x0[7]_i_1_n_0\
);
\x0[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"5556"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => \x0[8]_i_7_n_0\,
I2 => \x_reg_n_0_[5]\,
I3 => \x_reg_n_0_[6]\,
O => \x0[7]_i_2_n_0\
);
\x0[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"99F000FF99F00000"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => \x0[7]_i_6_n_0\,
I2 => data2(7),
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \plusOp_inferred__0/i__carry__0_n_4\,
O => \x0[7]_i_3_n_0\
);
\x0[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => cycle(3),
I1 => \cycle_reg[2]_rep_n_0\,
O => \x0[7]_i_4_n_0\
);
\x0[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0FEECCF000EECC"
)
port map (
I0 => \x1[9]_i_7_n_0\,
I1 => \x0[7]_i_7_n_0\,
I2 => \x1[5]_i_3_n_0\,
I3 => data2(7),
I4 => cycle(3),
I5 => \plusOp_inferred__0/i__carry__0_n_4\,
O => \x0[7]_i_5_n_0\
);
\x0[7]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \x0[6]_i_5_n_0\,
I1 => \x_reg_n_0_[6]\,
O => \x0[7]_i_6_n_0\
);
\x0[7]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888888000000008"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => \x1[6]_i_8_n_0\,
I2 => \x_reg_n_0_[6]\,
I3 => \x_reg_n_0_[5]\,
I4 => \x0[8]_i_7_n_0\,
I5 => \x_reg_n_0_[7]\,
O => \x0[7]_i_7_n_0\
);
\x0[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F0F0F0F0FFF1F1F1"
)
port map (
I0 => \x0[8]_i_2_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => \x0[8]_i_3_n_0\,
I3 => \x0[8]_i_4_n_0\,
I4 => \x0[8]_i_5_n_0\,
I5 => cycle(3),
O => \x0[8]_i_1_n_0\
);
\x0[8]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"990FFF00990FFFFF"
)
port map (
I0 => \x_reg_n_0_[8]\,
I1 => \x0[8]_i_6_n_0\,
I2 => data2(8),
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \plusOp_inferred__0/i__carry__1_n_7\,
O => \x0[8]_i_2_n_0\
);
\x0[8]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888B888B888B8C0"
)
port map (
I0 => \plusOp_inferred__0/i__carry__1_n_7\,
I1 => cycle(3),
I2 => data2(8),
I3 => \cycle_reg[2]_rep_n_0\,
I4 => cycle(0),
I5 => \cycle_reg[1]_rep__0_n_0\,
O => \x0[8]_i_3_n_0\
);
\x0[8]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \x_reg_n_0_[8]\,
I1 => \x_reg_n_0_[6]\,
I2 => \x_reg_n_0_[5]\,
I3 => \x0[8]_i_7_n_0\,
I4 => \x_reg_n_0_[7]\,
O => \x0[8]_i_4_n_0\
);
\x0[8]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"82"
)
port map (
I0 => cycle(0),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => \cycle_reg[2]_rep_n_0\,
O => \x0[8]_i_5_n_0\
);
\x0[8]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => \x_reg_n_0_[6]\,
I2 => \x0[6]_i_5_n_0\,
O => \x0[8]_i_6_n_0\
);
\x0[8]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFEEE"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x_reg_n_0_[2]\,
I2 => \x_reg_n_0_[1]\,
I3 => \x_reg_n_0_[0]\,
I4 => \x_reg_n_0_[3]\,
O => \x0[8]_i_7_n_0\
);
\x0[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"77FE000000000000"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => cycle(3),
I2 => cycle(0),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => active,
I5 => rst,
O => \x0[9]_i_1_n_0\
);
\x0[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"01FF0101"
)
port map (
I0 => \x0[9]_i_3_n_0\,
I1 => cycle(3),
I2 => cycle(2),
I3 => \x0[9]_i_4_n_0\,
I4 => \x0[9]_i_5_n_0\,
O => \x0[9]_i_2_n_0\
);
\x0[9]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AF03AFF3A003A0F3"
)
port map (
I0 => \x0[9]_i_6_n_0\,
I1 => \plusOp_inferred__0/i__carry__1_n_6\,
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => data2(9),
I5 => \x0[9]_i_7_n_0\,
O => \x0[9]_i_3_n_0\
);
\x0[9]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0C0C0C0C0C0C44"
)
port map (
I0 => data2(9),
I1 => cycle(3),
I2 => \plusOp_inferred__0/i__carry__1_n_6\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(2),
I5 => \cycle_reg[1]_rep_n_0\,
O => \x0[9]_i_4_n_0\
);
\x0[9]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF5CCC0000"
)
port map (
I0 => \x0[9]_i_7_n_0\,
I1 => data2(9),
I2 => \cycle_reg[1]_rep_n_0\,
I3 => \cycle_reg[0]_rep_n_0\,
I4 => cycle(2),
I5 => cycle(3),
O => \x0[9]_i_5_n_0\
);
\x0[9]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"55559555"
)
port map (
I0 => \x_reg_n_0_[9]\,
I1 => \x_reg_n_0_[8]\,
I2 => \x_reg_n_0_[7]\,
I3 => \x_reg_n_0_[6]\,
I4 => \x0[6]_i_5_n_0\,
O => \x0[9]_i_6_n_0\
);
\x0[9]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"5555555555555556"
)
port map (
I0 => \x_reg_n_0_[9]\,
I1 => \x_reg_n_0_[8]\,
I2 => \x_reg_n_0_[7]\,
I3 => \x0[8]_i_7_n_0\,
I4 => \x_reg_n_0_[5]\,
I5 => \x_reg_n_0_[6]\,
O => \x0[9]_i_7_n_0\
);
\x0_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0_reg[0]_i_1_n_0\,
Q => data1(0),
R => '0'
);
\x0_reg[0]_i_1\: unisim.vcomponents.MUXF7
port map (
I0 => \x0[0]_i_2_n_0\,
I1 => \x0[0]_i_3_n_0\,
O => \x0_reg[0]_i_1_n_0\,
S => cycle(3)
);
\x0_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0_reg[1]_i_1_n_0\,
Q => data1(1),
R => '0'
);
\x0_reg[1]_i_1\: unisim.vcomponents.MUXF7
port map (
I0 => \x0[1]_i_2_n_0\,
I1 => \x0[1]_i_3_n_0\,
O => \x0_reg[1]_i_1_n_0\,
S => cycle(3)
);
\x0_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[2]_i_1_n_0\,
Q => data1(2),
R => '0'
);
\x0_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[3]_i_1_n_0\,
Q => data1(3),
R => '0'
);
\x0_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[4]_i_1_n_0\,
Q => data1(4),
R => '0'
);
\x0_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[5]_i_1_n_0\,
Q => data1(5),
R => '0'
);
\x0_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[6]_i_1_n_0\,
Q => data1(6),
R => '0'
);
\x0_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[7]_i_1_n_0\,
Q => data1(7),
R => '0'
);
\x0_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[8]_i_1_n_0\,
Q => data1(8),
R => '0'
);
\x0_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => \x0[9]_i_1_n_0\,
D => \x0[9]_i_2_n_0\,
Q => data1(9),
R => '0'
);
\x1[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF01FF4EFE00B100"
)
port map (
I0 => \cycle_reg[1]_rep__0_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => cycle(0),
I3 => \x_reg_n_0_[0]\,
I4 => cycle(3),
I5 => data1(0),
O => \x1[0]_i_1_n_0\
);
\x1[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFEFAAA955565010"
)
port map (
I0 => cycle(3),
I1 => \cycle_reg[2]_rep_n_0\,
I2 => cycle(0),
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => data1(1),
I5 => \x_reg_n_0_[1]\,
O => \x1[1]_i_1_n_0\
);
\x1[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFEFEAEAEAEFEAE"
)
port map (
I0 => \x1[2]_i_2_n_0\,
I1 => \x1[2]_i_3_n_0\,
I2 => cycle(3),
I3 => \x_reg_n_0_[2]\,
I4 => \x1[5]_i_3_n_0\,
I5 => data1(2),
O => \x1[2]_i_1_n_0\
);
\x1[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"8A2A288880202888"
)
port map (
I0 => \x0[7]_i_4_n_0\,
I1 => \x_reg_n_0_[2]\,
I2 => cycle(0),
I3 => \x_reg_n_0_[1]\,
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(2),
O => \x1[2]_i_2_n_0\
);
\x1[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"3CAAAAAA00000000"
)
port map (
I0 => data1(2),
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[2]\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \cycle_reg[2]_rep_n_0\,
O => \x1[2]_i_3_n_0\
);
\x1[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCDDFCDDFFDDCCDD"
)
port map (
I0 => \x1[3]_i_2_n_0\,
I1 => \x1[3]_i_3_n_0\,
I2 => data1(3),
I3 => cycle(3),
I4 => \x_reg_n_0_[3]\,
I5 => \x1[5]_i_3_n_0\,
O => \x1[3]_i_1_n_0\
);
\x1[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0770707077777777"
)
port map (
I0 => \x1[9]_i_7_n_0\,
I1 => data1(3),
I2 => \x_reg_n_0_[3]\,
I3 => \x_reg_n_0_[2]\,
I4 => \x_reg_n_0_[1]\,
I5 => \x0[8]_i_5_n_0\,
O => \x1[3]_i_2_n_0\
);
\x1[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A08A0080008AA080"
)
port map (
I0 => \x0[7]_i_4_n_0\,
I1 => data1(3),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => cycle(0),
I4 => \x_reg_n_0_[3]\,
I5 => \x1[3]_i_4_n_0\,
O => \x1[3]_i_3_n_0\
);
\x1[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \x_reg_n_0_[1]\,
I1 => \x_reg_n_0_[2]\,
O => \x1[3]_i_4_n_0\
);
\x1[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCDDFCDDFFDDCCDD"
)
port map (
I0 => \x1[4]_i_2_n_0\,
I1 => \x1[4]_i_3_n_0\,
I2 => data1(4),
I3 => cycle(3),
I4 => \x_reg_n_0_[4]\,
I5 => \x1[5]_i_3_n_0\,
O => \x1[4]_i_1_n_0\
);
\x1[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"3C555555FFFF3CFF"
)
port map (
I0 => data1(4),
I1 => \x_reg_n_0_[4]\,
I2 => \x1[4]_i_4_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => \cycle_reg[2]_rep_n_0\,
O => \x1[4]_i_2_n_0\
);
\x1[4]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A08A0080008AA080"
)
port map (
I0 => \x0[7]_i_4_n_0\,
I1 => data1(4),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => cycle(0),
I4 => \x_reg_n_0_[4]\,
I5 => \x1[4]_i_5_n_0\,
O => \x1[4]_i_3_n_0\
);
\x1[4]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => \x_reg_n_0_[2]\,
I2 => \x_reg_n_0_[1]\,
O => \x1[4]_i_4_n_0\
);
\x1[4]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => \x_reg_n_0_[2]\,
I2 => \x_reg_n_0_[1]\,
O => \x1[4]_i_5_n_0\
);
\x1[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A80AAAA"
)
port map (
I0 => \x1[5]_i_2_n_0\,
I1 => data1(5),
I2 => \x1[5]_i_3_n_0\,
I3 => \x_reg_n_0_[5]\,
I4 => cycle(3),
O => \x1[5]_i_1_n_0\
);
\x1[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CDFDCDCDFDFDFDCD"
)
port map (
I0 => \x1[5]_i_4_n_0\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \x1[6]_i_8_n_0\,
I4 => data1(5),
I5 => \x1[5]_i_5_n_0\,
O => \x1[5]_i_2_n_0\
);
\x1[5]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => \cycle_reg[1]_rep__0_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => cycle(0),
O => \x1[5]_i_3_n_0\
);
\x1[5]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"0530FA3FF5300A3F"
)
port map (
I0 => \x1[6]_i_7_n_0\,
I1 => data1(5),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => cycle(0),
I4 => \x_reg_n_0_[5]\,
I5 => \left[15]_i_3_n_0\,
O => \x1[5]_i_4_n_0\
);
\x1[5]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"55555666"
)
port map (
I0 => \x_reg_n_0_[5]\,
I1 => \x_reg_n_0_[3]\,
I2 => \x_reg_n_0_[2]\,
I3 => \x_reg_n_0_[1]\,
I4 => \x_reg_n_0_[4]\,
O => \x1[5]_i_5_n_0\
);
\x1[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF100F1"
)
port map (
I0 => \x1[6]_i_2_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => \x1[6]_i_3_n_0\,
I3 => cycle(3),
I4 => \x1[6]_i_4_n_0\,
O => \x1[6]_i_1_n_0\
);
\x1[6]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFC05050CFC05F5F"
)
port map (
I0 => data1(6),
I1 => \x1[6]_i_5_n_0\,
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => \x1[6]_i_6_n_0\,
I4 => cycle(0),
I5 => \x_reg_n_0_[6]\,
O => \x1[6]_i_2_n_0\
);
\x1[6]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"A900FF00A9000000"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => \x1[6]_i_7_n_0\,
I2 => \x_reg_n_0_[5]\,
I3 => \cycle_reg[2]_rep_n_0\,
I4 => \x1[6]_i_8_n_0\,
I5 => data1(6),
O => \x1[6]_i_3_n_0\
);
\x1[6]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => data1(6),
I1 => cycle(0),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \x_reg_n_0_[6]\,
O => \x1[6]_i_4_n_0\
);
\x1[6]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"5555555555555556"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => \x_reg_n_0_[4]\,
I2 => \x_reg_n_0_[1]\,
I3 => \x_reg_n_0_[2]\,
I4 => \x_reg_n_0_[3]\,
I5 => \x_reg_n_0_[5]\,
O => \x1[6]_i_5_n_0\
);
\x1[6]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"5555555555555666"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => \x_reg_n_0_[4]\,
I2 => \x_reg_n_0_[1]\,
I3 => \x_reg_n_0_[2]\,
I4 => \x_reg_n_0_[3]\,
I5 => \x_reg_n_0_[5]\,
O => \x1[6]_i_6_n_0\
);
\x1[6]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFEA"
)
port map (
I0 => \x_reg_n_0_[4]\,
I1 => \x_reg_n_0_[1]\,
I2 => \x_reg_n_0_[2]\,
I3 => \x_reg_n_0_[3]\,
O => \x1[6]_i_7_n_0\
);
\x1[6]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cycle_reg[1]_rep__0_n_0\,
I1 => cycle(0),
O => \x1[6]_i_8_n_0\
);
\x1[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF100F1"
)
port map (
I0 => \x1[7]_i_2_n_0\,
I1 => \cycle_reg[2]_rep_n_0\,
I2 => \x1[7]_i_3_n_0\,
I3 => cycle(3),
I4 => \x1[7]_i_4_n_0\,
O => \x1[7]_i_1_n_0\
);
\x1[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"303F5050CFC05F5F"
)
port map (
I0 => data1(7),
I1 => \x1[7]_i_5_n_0\,
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => \x1[9]_i_6_n_0\,
I4 => cycle(0),
I5 => \x_reg_n_0_[7]\,
O => \x1[7]_i_2_n_0\
);
\x1[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"90F0F0F090000000"
)
port map (
I0 => \x_reg_n_0_[7]\,
I1 => \x1[9]_i_6_n_0\,
I2 => \cycle_reg[2]_rep_n_0\,
I3 => cycle(0),
I4 => \cycle_reg[1]_rep__0_n_0\,
I5 => data1(7),
O => \x1[7]_i_3_n_0\
);
\x1[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => data1(7),
I1 => cycle(0),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \cycle_reg[1]_rep__0_n_0\,
I4 => \x_reg_n_0_[7]\,
O => \x1[7]_i_4_n_0\
);
\x1[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \x_reg_n_0_[3]\,
I1 => \x_reg_n_0_[2]\,
I2 => \x_reg_n_0_[1]\,
I3 => \x_reg_n_0_[4]\,
I4 => \x_reg_n_0_[6]\,
I5 => \x_reg_n_0_[5]\,
O => \x1[7]_i_5_n_0\
);
\x1[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF01"
)
port map (
I0 => \x1[8]_i_2_n_0\,
I1 => cycle(3),
I2 => \cycle_reg[2]_rep_n_0\,
I3 => \x1[8]_i_3_n_0\,
O => \x1[8]_i_1_n_0\
);
\x1[8]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FA300A3F0A30FA3F"
)
port map (
I0 => \x1[8]_i_4_n_0\,
I1 => data1(8),
I2 => \cycle_reg[1]_rep__0_n_0\,
I3 => cycle(0),
I4 => \x_reg_n_0_[8]\,
I5 => \left[15]_i_2_n_0\,
O => \x1[8]_i_2_n_0\
);
\x1[8]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0FEECCF000EECC"
)
port map (
I0 => \x1[9]_i_7_n_0\,
I1 => \x1[8]_i_5_n_0\,
I2 => \x1[5]_i_3_n_0\,
I3 => data1(8),
I4 => cycle(3),
I5 => \x_reg_n_0_[8]\,
O => \x1[8]_i_3_n_0\
);
\x1[8]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55555556"
)
port map (
I0 => \x_reg_n_0_[8]\,
I1 => \x_reg_n_0_[6]\,
I2 => \x_reg_n_0_[5]\,
I3 => \x1[6]_i_7_n_0\,
I4 => \x_reg_n_0_[7]\,
O => \x1[8]_i_4_n_0\
);
\x1[8]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAA800000002"
)
port map (
I0 => \x1[8]_i_6_n_0\,
I1 => \x_reg_n_0_[7]\,
I2 => \x1[6]_i_7_n_0\,
I3 => \x_reg_n_0_[5]\,
I4 => \x_reg_n_0_[6]\,
I5 => \x_reg_n_0_[8]\,
O => \x1[8]_i_5_n_0\
);
\x1[8]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => cycle(0),
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => \cycle_reg[2]_rep_n_0\,
O => \x1[8]_i_6_n_0\
);
\x1[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0088008880880880"
)
port map (
I0 => active,
I1 => rst,
I2 => cycle(0),
I3 => cycle(3),
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \cycle_reg[1]_rep__0_n_0\,
O => x1
);
\x1[9]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00000047"
)
port map (
I0 => \x1[9]_i_3_n_0\,
I1 => \cycle_reg[1]_rep__0_n_0\,
I2 => \x1[9]_i_4_n_0\,
I3 => cycle(3),
I4 => \cycle_reg[2]_rep_n_0\,
I5 => \x1[9]_i_5_n_0\,
O => \x1[9]_i_2_n_0\
);
\x1[9]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"3C335555"
)
port map (
I0 => data1(9),
I1 => \x_reg_n_0_[9]\,
I2 => \x_reg_n_0_[8]\,
I3 => \left[15]_i_2_n_0\,
I4 => cycle(0),
O => \x1[9]_i_3_n_0\
);
\x1[9]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"0100FEFF"
)
port map (
I0 => \x_reg_n_0_[8]\,
I1 => \x_reg_n_0_[7]\,
I2 => \x1[9]_i_6_n_0\,
I3 => cycle(0),
I4 => \x_reg_n_0_[9]\,
O => \x1[9]_i_4_n_0\
);
\x1[9]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0FEECCF000EECC"
)
port map (
I0 => \x1[9]_i_7_n_0\,
I1 => \x1[9]_i_8_n_0\,
I2 => \x1[5]_i_3_n_0\,
I3 => data1(9),
I4 => cycle(3),
I5 => \x_reg_n_0_[9]\,
O => \x1[9]_i_5_n_0\
);
\x1[9]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFEFEFE"
)
port map (
I0 => \x_reg_n_0_[6]\,
I1 => \x_reg_n_0_[5]\,
I2 => \x_reg_n_0_[3]\,
I3 => \x_reg_n_0_[2]\,
I4 => \x_reg_n_0_[1]\,
I5 => \x_reg_n_0_[4]\,
O => \x1[9]_i_6_n_0\
);
\x1[9]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => cycle(0),
I2 => \cycle_reg[1]_rep__0_n_0\,
O => \x1[9]_i_7_n_0\
);
\x1[9]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888888000000008"
)
port map (
I0 => \cycle_reg[2]_rep_n_0\,
I1 => \x1[6]_i_8_n_0\,
I2 => \x1[9]_i_6_n_0\,
I3 => \x_reg_n_0_[7]\,
I4 => \x_reg_n_0_[8]\,
I5 => \x_reg_n_0_[9]\,
O => \x1[9]_i_8_n_0\
);
\x1_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[0]_i_1_n_0\,
Q => data2(0),
R => '0'
);
\x1_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[1]_i_1_n_0\,
Q => data2(1),
R => '0'
);
\x1_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[2]_i_1_n_0\,
Q => data2(2),
R => '0'
);
\x1_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[3]_i_1_n_0\,
Q => data2(3),
R => '0'
);
\x1_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[4]_i_1_n_0\,
Q => data2(4),
R => '0'
);
\x1_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[5]_i_1_n_0\,
Q => data2(5),
R => '0'
);
\x1_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[6]_i_1_n_0\,
Q => data2(6),
R => '0'
);
\x1_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[7]_i_1_n_0\,
Q => data2(7),
R => '0'
);
\x1_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[8]_i_1_n_0\,
Q => data2(8),
R => '0'
);
\x1_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x1,
D => \x1[9]_i_2_n_0\,
Q => data2(9),
R => '0'
);
\x[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => cycle(0),
I1 => active,
I2 => rst,
I3 => \cycle_reg[1]_rep_n_0\,
I4 => cycle(3),
I5 => cycle(2),
O => x
);
\x_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(0),
Q => \x_reg_n_0_[0]\,
R => '0'
);
\x_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(1),
Q => \x_reg_n_0_[1]\,
R => '0'
);
\x_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(2),
Q => \x_reg_n_0_[2]\,
R => '0'
);
\x_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(3),
Q => \x_reg_n_0_[3]\,
R => '0'
);
\x_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(4),
Q => \x_reg_n_0_[4]\,
R => '0'
);
\x_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(5),
Q => \x_reg_n_0_[5]\,
R => '0'
);
\x_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(6),
Q => \x_reg_n_0_[6]\,
R => '0'
);
\x_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(7),
Q => \x_reg_n_0_[7]\,
R => '0'
);
\x_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(8),
Q => \x_reg_n_0_[8]\,
R => '0'
);
\x_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => x_addr(9),
Q => \x_reg_n_0_[9]\,
R => '0'
);
\y1[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"E1"
)
port map (
I0 => \y_actual_reg_n_0_[0]\,
I1 => \y_actual_reg_n_0_[1]\,
I2 => \y_actual_reg_n_0_[2]\,
O => \y1[2]_i_1_n_0\
);
\y1[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FE01"
)
port map (
I0 => \y_actual_reg_n_0_[2]\,
I1 => \y_actual_reg_n_0_[1]\,
I2 => \y_actual_reg_n_0_[0]\,
I3 => \y_actual_reg_n_0_[3]\,
O => \y1[3]_i_1_n_0\
);
\y1_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y5[0]_i_1_n_0\,
Q => \y1_reg_n_0_[0]\,
R => '0'
);
\y1_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y5[1]_i_1_n_0\,
Q => \y1_reg_n_0_[1]\,
R => '0'
);
\y1_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y1[2]_i_1_n_0\,
Q => \y1_reg_n_0_[2]\,
R => '0'
);
\y1_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y1[3]_i_1_n_0\,
Q => \y1_reg_n_0_[3]\,
R => '0'
);
\y2[1]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_actual_reg_n_0_[1]\,
O => \y2[1]_i_1_n_0\
);
\y2[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \y_actual_reg_n_0_[2]\,
I1 => \y_actual_reg_n_0_[1]\,
O => \y2[2]_i_1_n_0\
);
\y2[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[2]\,
I2 => \y_actual_reg_n_0_[1]\,
O => \y2[3]_i_1_n_0\
);
\y2_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y_actual_reg_n_0_[0]\,
Q => \y2_reg_n_0_[0]\,
R => '0'
);
\y2_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y2[1]_i_1_n_0\,
Q => \y2_reg_n_0_[1]\,
R => '0'
);
\y2_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y2[2]_i_1_n_0\,
Q => \y2_reg_n_0_[2]\,
R => '0'
);
\y2_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y2[3]_i_1_n_0\,
Q => \y2_reg_n_0_[3]\,
R => '0'
);
\y3[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_actual_reg_n_0_[0]\,
I1 => \y_actual_reg_n_0_[1]\,
O => \y3[1]_i_1_n_0\
);
\y3[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"87"
)
port map (
I0 => \y_actual_reg_n_0_[0]\,
I1 => \y_actual_reg_n_0_[1]\,
I2 => \y_actual_reg_n_0_[2]\,
O => \y3[2]_i_1_n_0\
);
\y3[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA95"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[0]\,
I2 => \y_actual_reg_n_0_[1]\,
I3 => \y_actual_reg_n_0_[2]\,
O => \y3[3]_i_1_n_0\
);
\y3_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => \y5[0]_i_1_n_0\,
Q => \y3_reg_n_0_[0]\,
R => '0'
);
\y3_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => \y3[1]_i_1_n_0\,
Q => \y3_reg_n_0_[1]\,
R => '0'
);
\y3_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => \y3[2]_i_1_n_0\,
Q => \y3_reg_n_0_[2]\,
R => '0'
);
\y3_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y3,
D => \y3[3]_i_1_n_0\,
Q => \y3_reg_n_0_[3]\,
R => '0'
);
\y4[2]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_actual_reg_n_0_[2]\,
O => \y4[2]_i_1_n_0\
);
\y4[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[2]\,
O => \y4[3]_i_1_n_0\
);
\y4_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y_actual_reg_n_0_[0]\,
Q => data2(10),
R => '0'
);
\y4_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y_actual_reg_n_0_[1]\,
Q => data2(11),
R => '0'
);
\y4_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y4[2]_i_1_n_0\,
Q => data2(12),
R => '0'
);
\y4_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y1,
D => \y4[3]_i_1_n_0\,
Q => data2(13),
R => '0'
);
\y5[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_actual_reg_n_0_[0]\,
O => \y5[0]_i_1_n_0\
);
\y5[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \y_actual_reg_n_0_[1]\,
I1 => \y_actual_reg_n_0_[0]\,
O => \y5[1]_i_1_n_0\
);
\y5[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"56"
)
port map (
I0 => \y_actual_reg_n_0_[2]\,
I1 => \y_actual_reg_n_0_[1]\,
I2 => \y_actual_reg_n_0_[0]\,
O => \y5[2]_i_1_n_0\
);
\y5[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"A955"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[0]\,
I2 => \y_actual_reg_n_0_[1]\,
I3 => \y_actual_reg_n_0_[2]\,
O => \y5[3]_i_1_n_0\
);
\y5_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y5[0]_i_1_n_0\,
Q => data1(10),
R => '0'
);
\y5_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y5[1]_i_1_n_0\,
Q => data1(11),
R => '0'
);
\y5_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y5[2]_i_1_n_0\,
Q => data1(12),
R => '0'
);
\y5_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y5[3]_i_1_n_0\,
Q => data1(13),
R => '0'
);
\y6[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_actual_reg_n_0_[1]\,
I1 => \y_actual_reg_n_0_[2]\,
O => \y6[2]_i_1_n_0\
);
\y6[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"95"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[2]\,
I2 => \y_actual_reg_n_0_[1]\,
O => \y6[3]_i_1_n_0\
);
\y6_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y6,
D => \y_actual_reg_n_0_[0]\,
Q => \y6_reg_n_0_[0]\,
R => '0'
);
\y6_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y6,
D => \y2[1]_i_1_n_0\,
Q => \y6_reg_n_0_[1]\,
R => '0'
);
\y6_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y6,
D => \y6[2]_i_1_n_0\,
Q => \y6_reg_n_0_[2]\,
R => '0'
);
\y6_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y6,
D => \y6[3]_i_1_n_0\,
Q => \y6_reg_n_0_[3]\,
R => '0'
);
\y7[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \y_actual_reg_n_0_[2]\,
I1 => \y_actual_reg_n_0_[1]\,
I2 => \y_actual_reg_n_0_[0]\,
O => \y7[2]_i_1_n_0\
);
\y7[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9555"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[0]\,
I2 => \y_actual_reg_n_0_[1]\,
I3 => \y_actual_reg_n_0_[2]\,
O => \y7[3]_i_1_n_0\
);
\y7_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y5[0]_i_1_n_0\,
Q => y7(0),
R => '0'
);
\y7_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y3[1]_i_1_n_0\,
Q => y7(1),
R => '0'
);
\y7_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y7[2]_i_1_n_0\,
Q => y7(2),
R => '0'
);
\y7_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y2,
D => \y7[3]_i_1_n_0\,
Q => y7(3),
R => '0'
);
\y8[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
O => \y8[3]_i_1_n_0\
);
\y8_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y_actual_reg_n_0_[0]\,
Q => y8(0),
R => '0'
);
\y8_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y_actual_reg_n_0_[1]\,
Q => y8(1),
R => '0'
);
\y8_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y_actual_reg_n_0_[2]\,
Q => y8(2),
R => '0'
);
\y8_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y5,
D => \y8[3]_i_1_n_0\,
Q => y8(3),
R => '0'
);
\y9[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"5556"
)
port map (
I0 => \y_actual_reg_n_0_[3]\,
I1 => \y_actual_reg_n_0_[0]\,
I2 => \y_actual_reg_n_0_[1]\,
I3 => \y_actual_reg_n_0_[2]\,
O => \y9[3]_i_1_n_0\
);
\y9_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y9,
D => \y5[0]_i_1_n_0\,
Q => data5(10),
R => '0'
);
\y9_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y9,
D => \y5[1]_i_1_n_0\,
Q => data5(11),
R => '0'
);
\y9_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y9,
D => \y1[2]_i_1_n_0\,
Q => data5(12),
R => '0'
);
\y9_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => y9,
D => \y9[3]_i_1_n_0\,
Q => data5(13),
R => '0'
);
\y_actual_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(0),
Q => \y_actual_reg_n_0_[0]\,
R => '0'
);
\y_actual_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(1),
Q => \y_actual_reg_n_0_[1]\,
R => '0'
);
\y_actual_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(2),
Q => \y_actual_reg_n_0_[2]\,
R => '0'
);
\y_actual_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(3),
Q => \y_actual_reg_n_0_[3]\,
R => '0'
);
\y_actual_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(4),
Q => \y_actual_reg_n_0_[4]\,
R => '0'
);
\y_actual_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(5),
Q => \y_actual_reg_n_0_[5]\,
R => '0'
);
\y_actual_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(6),
Q => \y_actual_reg_n_0_[6]\,
R => '0'
);
\y_actual_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(7),
Q => \y_actual_reg_n_0_[7]\,
R => '0'
);
\y_actual_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(8),
Q => \y_actual_reg_n_0_[8]\,
R => '0'
);
\y_actual_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x16,
CE => x,
D => y_addr(9),
Q => \y_actual_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_hessian_0_0 is
port (
clk_x16 : in STD_LOGIC;
active : in STD_LOGIC;
rst : in STD_LOGIC;
x_addr : in STD_LOGIC_VECTOR ( 9 downto 0 );
y_addr : in STD_LOGIC_VECTOR ( 9 downto 0 );
g_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
hessian_out : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_vga_hessian_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_hessian_0_0 : entity is "system_vga_hessian_0_0,vga_hessian,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_hessian_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_hessian_0_0 : entity is "vga_hessian,Vivado 2016.4";
end system_vga_hessian_0_0;
architecture STRUCTURE of system_vga_hessian_0_0 is
begin
U0: entity work.system_vga_hessian_0_0_vga_hessian
port map (
active => active,
clk_x16 => clk_x16,
g_in(7 downto 0) => g_in(7 downto 0),
hessian_out(31 downto 0) => hessian_out(31 downto 0),
rst => rst,
x_addr(9 downto 0) => x_addr(9 downto 0),
y_addr(9 downto 0) => y_addr(9 downto 0)
);
end STRUCTURE;
| mit | 2c384ad3b4ed2e0b921c35a4a30a29f9 | 0.546372 | 2.727797 | false | false | false | false |
loa-org/loa-hdl | modules/us_rx/tb/us_rx_module_tb.vhd | 2 | 1,797 | -------------------------------------------------------------------------------
-- Title : Testbench for design "us_rx_module"
-------------------------------------------------------------------------------
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2013 strongly-typed
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.bus_pkg.all;
use work.signalprocessing_pkg.all;
-------------------------------------------------------------------------------
entity us_rx_module_tb is
end entity us_rx_module_tb;
-------------------------------------------------------------------------------
architecture behavourial of us_rx_module_tb is
-- component generics
constant BASE_ADDRESS : natural := 16#0100#;
-- component ports
signal bus_o_p : busdevice_out_type;
signal bus_i_p : busdevice_in_type;
signal timestamp : timestamp_type;
-- clock
signal clk : std_logic := '1';
begin -- architecture behavourial
-- component instantiation
DUT : entity work.us_rx_module
generic map (
BASE_ADDRESS => BASE_ADDRESS)
port map (
bus_o_p => bus_o_p,
bus_i_p => bus_i_p,
clk_sample_en_i_p => '0',
timestamp_i_p => timestamp,
clk => clk);
-- clock generation 50 MHz
clk <= not clk after 20 ns;
-- waveform generation
WaveGen_Proc : process
begin
-- insert signal assignments here
wait until clk = '1';
end process WaveGen_Proc;
end architecture behavourial;
| bsd-3-clause | b2019e98f5ea47da61167f1a24388e16 | 0.423484 | 5.047753 | false | false | false | false |
loa-org/loa-hdl | modules/adc_ad7266/tb/adc_ad7266_module_tb.vhd | 2 | 8,595 | -------------------------------------------------------------------------------
-- Title : Testbench for design "adc_ad7266_single_ended_module"
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Standard: VHDL '87
-- Copyright (c) 2013
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.adc_ad7266_pkg.all;
use work.bus_pkg.all;
use work.reg_file_pkg.all;
-------------------------------------------------------------------------------
entity adc_ad7266_module_tb is
end adc_ad7266_module_tb;
architecture tb of adc_ad7266_module_tb is
component adc_ad7266_single_ended_module
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF#;
CHANNELS : positive);
port (
adc_out_p : out adc_ad7266_spi_out_type;
adc_in_p : in adc_ad7266_spi_in_type;
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
adc_values_o : out adc_ad7266_values_type(CHANNELS - 1 downto 0);
clk : in std_logic);
end component;
-- component generics
constant BASE_ADDRESS : integer range 0 to 16#7FFF# := 0;
constant CHANNELS : positive := 12;
-- component ports
signal adc_out : adc_ad7266_spi_out_type;
signal adc_in : adc_ad7266_spi_in_type;
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type := (addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
signal adc_values_o : adc_ad7266_values_type(CHANNELS - 1 downto 0);
signal clk : std_logic := '1';
-- adc_stimulus parametres (vectors are mirrored)
signal bitstream_a : std_logic_vector(11 downto 0) := "111000111001"; -- result 0x9C7
signal bitstream_b : std_logic_vector(11 downto 0) := "010111100110"; -- result 0x67A
signal bitcounter : integer range 0 to 16;
begin -- tb
-- component instantiation
DUT: adc_ad7266_single_ended_module
generic map (
BASE_ADDRESS => BASE_ADDRESS,
CHANNELS => CHANNELS)
port map (
adc_out_p => adc_out,
adc_in_p => adc_in,
bus_o => bus_o,
bus_i => bus_i,
adc_values_o => open,
clk => clk);
-- clock generation
clk <= not clk after 20 ns;
-- waveform generation
bus_stimulus_proc: process
begin
-- insert signal assignments here
bus_i.addr <= (others => '0');
bus_i.data <= (others => '0');
bus_i.re <= '0';
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
bus_i.addr <= (others => '0');
bus_i.data <= "0000" & "0000" & "0000" & "0001";
bus_i.re <= '0';
bus_i.we <= '1';
wait until Clk = '1';
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.data <= "0000" & "0000" & "0000" & "0001";
bus_i.re <= '0';
bus_i.we <= '1';
wait until Clk = '1';
bus_i.data <= (others => '0');
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
-- read the registers
bus_i.addr(0) <= '0';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
-- do the same reads, but the DUT shouldn't react
bus_i.addr(0) <= '0';
bus_i.addr(8) <= '0'; -- another address
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
wait for 10000 NS;
end process bus_stimulus_proc;
-----------------------------------------------------------------------------
-- ADC side stimulus
-----------------------------------------------------------------------------
-- process
-- begin
-- -- adc_in.d_a <= 'Z';
-- -- adc_in.d_b <= 'Z';
-- wait until adc_out.cs_n = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '0';
-- -- wait until sck_p = '1';
-- -- wait until sck_p = '0';
-- -- wait until sck_p = '0';
-- -- wait until sck_p = '0';
-- -- wait until sck_p = '0';
-- -- wait until sck_p = '0';
-- -- wait until sck_p = '0';
-- -- leading zero of AD7266
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '0';
-- -- actual MSB of conversion
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '0';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '0';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '0';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '1';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '1';
-- adc_in.d_b <= '0';
----trailing TWO zeros
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '0';
-- wait until adc_out.sck = '0';
-- adc_in.d_a <= '0';
-- adc_in.d_b <= '0';
-- ------------------------------------------------------------------------------
-- wait until adc_out.sck = '0';
-- -- adc_in.d_a <= 'Z';
-- -- adc_in.d_b <= 'Z';
-- end process;
-------------------------------------------------------------------------------
-- ADC stimulus
------------------------------------------------------------------------------
ADC_input: process
begin
wait for 14900 ns;
bitstream_a <= "111000111010"; -- result
bitstream_b <= "010111100111"; -- result
end process ADC_input;
ADC_stimulus: process(adc_out.sck, adc_out.cs_n)
-- bitstream with second leading and two trailing zeros
-- DUT should set cs_n HIGH bevor trailing zeros are read in
variable v_bitstream_a : std_logic_vector(14 downto 0) := '0' & bitstream_a(11 downto 0) & "00";
variable v_bitstream_b : std_logic_vector(14 downto 0) := '0' & bitstream_b(11 downto 0) & "00";
variable vbitcounter : integer range 0 to 16 := bitcounter;
begin
v_bitstream_a := '0' & bitstream_a(11 downto 0) & "00";
v_bitstream_b := '0' & bitstream_b(11 downto 0) & "00";
if falling_edge(adc_out.cs_n) then
-- first leading zero
adc_in.d_a <= '0';
adc_in.d_b <= '0';
-- reset bitcounter
vbitcounter := 0;
elsif adc_out.cs_n = '0' then
if vbitcounter < 15 then
if falling_edge(adc_out.sck) then
vbitcounter := vbitcounter + 1;
adc_in.d_a <= v_bitstream_a(vbitcounter);
adc_in.d_b <= v_bitstream_b(vbitcounter);
end if;
end if;
else
adc_in.d_a <= 'Z';
adc_in.d_b <= 'Z';
end if;
bitcounter <= vbitcounter;
end process ADC_stimulus;
end tb;
-------------------------------------------------------------------------------
configuration adc_ad7266_module_tb_cfg of adc_ad7266_module_tb is
for tb
end for;
end adc_ad7266_module_tb_cfg;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
| bsd-3-clause | e926cf70b98307c5cd4837fc87f0af63 | 0.426643 | 3.310863 | false | false | false | false |
smithe0/GestureControlInterface | DE2Component_FLASH/niosII_system/synthesis/niosii_system_switches_s1_translator.vhd | 1 | 14,258 | -- niosii_system_switches_s1_translator.vhd
-- Generated using ACDS version 13.0sp1 232 at 2016.04.06.21:13:31
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity niosii_system_switches_s1_translator is
generic (
AV_ADDRESS_W : integer := 2;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 1;
AV_BYTEENABLE_W : integer := 1;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 25;
UAV_BURSTCOUNT_W : integer := 3;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 0;
USE_WAITREQUEST : integer := 0;
USE_UAV_CLKEN : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 1;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount
uav_read : in std_logic := '0'; -- .read
uav_write : in std_logic := '0'; -- .write
uav_waitrequest : out std_logic; -- .waitrequest
uav_readdatavalid : out std_logic; -- .readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- .readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata
uav_lock : in std_logic := '0'; -- .lock
uav_debugaccess : in std_logic := '0'; -- .debugaccess
av_address : out std_logic_vector(1 downto 0); -- avalon_anti_slave_0.address
av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata
av_beginbursttransfer : out std_logic;
av_begintransfer : out std_logic;
av_burstcount : out std_logic_vector(0 downto 0);
av_byteenable : out std_logic_vector(0 downto 0);
av_chipselect : out std_logic;
av_clken : out std_logic;
av_debugaccess : out std_logic;
av_lock : out std_logic;
av_outputenable : out std_logic;
av_read : out std_logic;
av_readdatavalid : in std_logic := '0';
av_response : in std_logic_vector(1 downto 0) := (others => '0');
av_waitrequest : in std_logic := '0';
av_write : out std_logic;
av_writebyteenable : out std_logic_vector(0 downto 0);
av_writedata : out std_logic_vector(31 downto 0);
av_writeresponserequest : out std_logic;
av_writeresponsevalid : in std_logic := '0';
uav_clken : in std_logic := '0';
uav_response : out std_logic_vector(1 downto 0);
uav_writeresponserequest : in std_logic := '0';
uav_writeresponsevalid : out std_logic
);
end entity niosii_system_switches_s1_translator;
architecture rtl of niosii_system_switches_s1_translator is
component altera_merlin_slave_translator is
generic (
AV_ADDRESS_W : integer := 30;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 4;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 32;
UAV_BURSTCOUNT_W : integer := 4;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 1;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 0;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount
uav_read : in std_logic := 'X'; -- read
uav_write : in std_logic := 'X'; -- write
uav_waitrequest : out std_logic; -- waitrequest
uav_readdatavalid : out std_logic; -- readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
uav_lock : in std_logic := 'X'; -- lock
uav_debugaccess : in std_logic := 'X'; -- debugaccess
av_address : out std_logic_vector(1 downto 0); -- address
av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
av_write : out std_logic; -- write
av_read : out std_logic; -- read
av_writedata : out std_logic_vector(31 downto 0); -- writedata
av_begintransfer : out std_logic; -- begintransfer
av_beginbursttransfer : out std_logic; -- beginbursttransfer
av_burstcount : out std_logic_vector(0 downto 0); -- burstcount
av_byteenable : out std_logic_vector(0 downto 0); -- byteenable
av_readdatavalid : in std_logic := 'X'; -- readdatavalid
av_waitrequest : in std_logic := 'X'; -- waitrequest
av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable
av_lock : out std_logic; -- lock
av_chipselect : out std_logic; -- chipselect
av_clken : out std_logic; -- clken
uav_clken : in std_logic := 'X'; -- clken
av_debugaccess : out std_logic; -- debugaccess
av_outputenable : out std_logic; -- outputenable
uav_response : out std_logic_vector(1 downto 0); -- response
av_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response
uav_writeresponserequest : in std_logic := 'X'; -- writeresponserequest
uav_writeresponsevalid : out std_logic; -- writeresponsevalid
av_writeresponserequest : out std_logic; -- writeresponserequest
av_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid
);
end component altera_merlin_slave_translator;
begin
switches_s1_translator : component altera_merlin_slave_translator
generic map (
AV_ADDRESS_W => AV_ADDRESS_W,
AV_DATA_W => AV_DATA_W,
UAV_DATA_W => UAV_DATA_W,
AV_BURSTCOUNT_W => AV_BURSTCOUNT_W,
AV_BYTEENABLE_W => AV_BYTEENABLE_W,
UAV_BYTEENABLE_W => UAV_BYTEENABLE_W,
UAV_ADDRESS_W => UAV_ADDRESS_W,
UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W,
AV_READLATENCY => AV_READLATENCY,
USE_READDATAVALID => USE_READDATAVALID,
USE_WAITREQUEST => USE_WAITREQUEST,
USE_UAV_CLKEN => USE_UAV_CLKEN,
USE_READRESPONSE => USE_READRESPONSE,
USE_WRITERESPONSE => USE_WRITERESPONSE,
AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD,
AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS,
AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS,
AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR,
UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR,
AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES,
CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY,
AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES,
AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES,
AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES,
AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES
)
port map (
clk => clk, -- clk.clk
reset => reset, -- reset.reset
uav_address => uav_address, -- avalon_universal_slave_0.address
uav_burstcount => uav_burstcount, -- .burstcount
uav_read => uav_read, -- .read
uav_write => uav_write, -- .write
uav_waitrequest => uav_waitrequest, -- .waitrequest
uav_readdatavalid => uav_readdatavalid, -- .readdatavalid
uav_byteenable => uav_byteenable, -- .byteenable
uav_readdata => uav_readdata, -- .readdata
uav_writedata => uav_writedata, -- .writedata
uav_lock => uav_lock, -- .lock
uav_debugaccess => uav_debugaccess, -- .debugaccess
av_address => av_address, -- avalon_anti_slave_0.address
av_readdata => av_readdata, -- .readdata
av_write => open, -- (terminated)
av_read => open, -- (terminated)
av_writedata => open, -- (terminated)
av_begintransfer => open, -- (terminated)
av_beginbursttransfer => open, -- (terminated)
av_burstcount => open, -- (terminated)
av_byteenable => open, -- (terminated)
av_readdatavalid => '0', -- (terminated)
av_waitrequest => '0', -- (terminated)
av_writebyteenable => open, -- (terminated)
av_lock => open, -- (terminated)
av_chipselect => open, -- (terminated)
av_clken => open, -- (terminated)
uav_clken => '0', -- (terminated)
av_debugaccess => open, -- (terminated)
av_outputenable => open, -- (terminated)
uav_response => open, -- (terminated)
av_response => "00", -- (terminated)
uav_writeresponserequest => '0', -- (terminated)
uav_writeresponsevalid => open, -- (terminated)
av_writeresponserequest => open, -- (terminated)
av_writeresponsevalid => '0' -- (terminated)
);
end architecture rtl; -- of niosii_system_switches_s1_translator
| apache-2.0 | c2a5e31ed96296ee1eff698f11cd3c88 | 0.435405 | 4.293285 | false | false | false | false |
loa-org/loa-hdl | modules/ir_canon/tb/ir_canon_tb.vhd | 1 | 2,249 | -------------------------------------------------------------------------------
-- Title : Testbench for design "ir_canon"
-- Project :
-------------------------------------------------------------------------------
-- File : ir_canon_tb.vhd
-- Author : user <calle@alukiste>
-- Company :
-- Created : 2014-12-16
-- Last update: 2014-12-16
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2014
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-12-16 1.0 calle Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.ir_canon_pkg.all;
-------------------------------------------------------------------------------
entity ir_canon_tb is
end ir_canon_tb;
-------------------------------------------------------------------------------
architecture tb of ir_canon_tb is
component ir_canon
port (
ir_canon_in : in ir_canon_in_type;
ir_canon_out : out ir_canon_out_type;
clk : in std_logic);
end component;
-- component ports
signal ir_canon_in : ir_canon_in_type;
signal ir_canon_out : ir_canon_out_type;
-- clock
signal Clk : std_logic := '1';
begin -- tb
-- component instantiation
DUT : ir_canon
port map (
ir_canon_in => ir_canon_in,
ir_canon_out => ir_canon_out,
clk => clk);
-- clock generation
Clk <= not Clk after 10 ns;
-- waveform generation
WaveGen_Proc : process
begin
-- insert signal assignments here
ir_canon_in.trigger <= '0';
wait until Clk = '1';
wait until Clk = '1';
ir_canon_in.trigger <= '1';
wait until Clk = '1';
ir_canon_in.trigger <= '0';
wait for 15 ms;
end process WaveGen_Proc;
end tb;
-------------------------------------------------------------------------------
configuration ir_canon_tb_tb_cfg of ir_canon_tb is
for tb
end for;
end ir_canon_tb_tb_cfg;
| bsd-3-clause | b4808c9c39704d8e9c01d4bac8697785 | 0.408626 | 4.141805 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/sqrt/sqrt_sim_netlist.vhdl | 1 | 678,677 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Tue May 30 11:55:10 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/general_ip/svd_2x2/svd_2x2.runs/sqrt_synth_1/sqrt_sim_netlist.vhdl
-- Design : sqrt
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
aLmCh07kamflOuBaaM0+v7gF3ZQCN4uTPS49jGLZrm9CPd5dKgOoOsd31lVTa39JRx8k8u0RZFFV
nw3upaAZ/Q==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
Aw2ILhM4six9UWZ51f4Gy1qRmB5epLhkXLiUel7/FHhV7ItYiMTQtS+L83Mc+nltIzBz41zx1hg+
tXO5AqTS9y6LHQ1ArWATw/2MxHpqqoQIEm/MMEqmD/Abq3WrBTKsP7RX5Dxj9tAlh7xY+e7JDk+a
sjJqfmxL57ISjzlKoaQ=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
0/1mLFI6+FTTZyqv+sYB352QRZ5wrgfyuO8Nkt+jQDUoTWGXOFvLM95e0B7u7pGyVXEuiRNaS/1C
9K5laxba09UTfWZfUB2hMm6rnfWn8YWcIaVNd02hszTUlzNTayWvVsa2FTdMCLRIiFK8u1RBHLVP
UcX9x/96nygRGOLoIfE=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
TwF12B0FENmte69HLik7RgUzysvY8+HuB8EGjVY6poUa8iBKzPda2TQoHnlJTqGe1+FzZYUJuhGB
clNU6Lk8Bkwu2Zvg4jDN7NVaR9NLeQFwNSRsk3xulCw6V567vcil0zGYyjbOnYYTHzq7HsSH/Bm0
xq4+RgccqurbpDb3jMTCnrT8FdAbNHrYUODBgqb2jIwhD7/OPqJ0SEE3ixLW7nbxBsRKHm9Kma6y
1hzP9cz3Q0EBN5F8DlAfJL6l/k/Fca4GPaKT+xXlCPkuH9S4142Gj3BthEYVN4LNQxtTwa2uY31y
sgCqBN1SJYOxVE7rwfYIV4u6ydorl0NL4b8SIA==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
Dd8zTWz32pUa1MkJJ89cKoEsw+888js7vmFz+G6UXbaPykBi5+zzNJq/ma/zLUevoDTleeS0vnkG
+JIO9/zchHNr4qeCqpsII+gVnZw6HhC58DuHvYGN1Y7TBoUJRH+MKXVyK2yMhoejeeHyO4lNN+gN
S1MgvOyCze3SyHsJ+SIEqHrYsnjDZhaMLEzXqyA22EZM4EzfOyYnjWMgZaxxaMYob5z9jzxpSYIp
TO40Bd6Pm8WauMjFHordqiQfK5Pjpzcdo5mK2zhDq99Ps7biiaBYj2fl31Z9/oKSUs3+8cqx2lgf
9kXg8/E4aiAcL+A6bP9qcYXM24+6CVH25++cBg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
T1OzwxahBz+3DD3Rm3j/gjV9y0afSZCx2fO2ZTfZP7ske+MGwxAEj6thGu3zcWtqmD0GiLn0cY5l
S56WD0icxE6wHjkL4oa4WujMcCwuovMioF6lkvnUzL1+y6Wu503nnT0iCczMIQadO2UcfK1jYsxZ
JhFAghVKjOTgZLvrbU6a9oJbmXaFjPdoVXULO6RJRtupdQ2VPxYp8PFoTxnXXp50G4hGNkviUtRA
KTHBgrmSN0y7lDM3qlsTT4fhiGuveo50Ihz8U+fAZ+maBUixwOJLCGV+jx11R/FO3KUwnuLfoOnp
XIvpC/RD2PuDhUsd27pxO1aeLeOP2B+LsTouLw==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
DU8R7q8/rNg3YN5lUmbfWqYXVyX4g0yTbmqAkFk7SVCIiDvN+yrWthbGR5PLhHKsE9e8zS0L2a9V
/i0bzFcpKIRQE7CW5P8N4jUN5E/TA+xAv22WDD/K7vBB/3K8zCXHEPgm33AUfKURB6WTaM0Okftw
EnVYx/aIZb1vXqRxV3KrcrUHDOo5p4SJEfoIBtj/TUiMwSyrNrmnN0zPmDFdThCENVH22s4ULwl+
YLIXBrHJ/YCbodA+7QrDQNTiZ3FWAnz3WXb/E+3dqngWkGo4ms0HM/HO8M3zf6PpKaXF+iytdvSE
ctccnpPnfIjxCqZL8kdUy6VP5oIbVVS17dNhgw==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
AcWmWDDBH/Yf+XqmPr7Q1TKkbNKBYZB2VABGk/vE0+Zj3MagLoVhw8LWqypDdSJa87ixlRz3IDqv
gTRPyLDLr+PCiTbnipE2gMGMdtSg6NUhP206G8jLRV7rq1Lg+TJVu8pZA9HmN+wQuLvDCfwP2pbc
XfwLyLRuEa+Z4ZMcTyPAl5k5daoUFuwDKLJuIY21vO4tvbrQnOkY8UggwOup9hZzJE8cfHgU5wNa
j0CqgbhWLaVvNToE2v335Q3uiHKrBo52g2crwSLlmN2GlAcD275AvON1ebh4+ejnPaxGyT9Ov9oB
oZeK9lMCvG7eTs3AzgIM2Ru76ScmFXNv6303GA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 489296)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity sqrt_cordic_v6_0_11 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_cartesian_tvalid : in STD_LOGIC;
s_axis_cartesian_tready : out STD_LOGIC;
s_axis_cartesian_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_cartesian_tlast : in STD_LOGIC;
s_axis_cartesian_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_dout_tvalid : out STD_LOGIC;
m_axis_dout_tready : in STD_LOGIC;
m_axis_dout_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_dout_tlast : out STD_LOGIC;
m_axis_dout_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute C_ARCHITECTURE : integer;
attribute C_ARCHITECTURE of sqrt_cordic_v6_0_11 : entity is 2;
attribute C_COARSE_ROTATE : integer;
attribute C_COARSE_ROTATE of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_CORDIC_FUNCTION : integer;
attribute C_CORDIC_FUNCTION of sqrt_cordic_v6_0_11 : entity is 6;
attribute C_DATA_FORMAT : integer;
attribute C_DATA_FORMAT of sqrt_cordic_v6_0_11 : entity is 1;
attribute C_HAS_ACLK : integer;
attribute C_HAS_ACLK of sqrt_cordic_v6_0_11 : entity is 1;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_HAS_S_AXIS_CARTESIAN : integer;
attribute C_HAS_S_AXIS_CARTESIAN of sqrt_cordic_v6_0_11 : entity is 1;
attribute C_HAS_S_AXIS_CARTESIAN_TLAST : integer;
attribute C_HAS_S_AXIS_CARTESIAN_TLAST of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_HAS_S_AXIS_CARTESIAN_TUSER : integer;
attribute C_HAS_S_AXIS_CARTESIAN_TUSER of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_HAS_S_AXIS_PHASE : integer;
attribute C_HAS_S_AXIS_PHASE of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_HAS_S_AXIS_PHASE_TLAST : integer;
attribute C_HAS_S_AXIS_PHASE_TLAST of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_HAS_S_AXIS_PHASE_TUSER : integer;
attribute C_HAS_S_AXIS_PHASE_TUSER of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_INPUT_WIDTH : integer;
attribute C_INPUT_WIDTH of sqrt_cordic_v6_0_11 : entity is 16;
attribute C_ITERATIONS : integer;
attribute C_ITERATIONS of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_M_AXIS_DOUT_TDATA_WIDTH : integer;
attribute C_M_AXIS_DOUT_TDATA_WIDTH of sqrt_cordic_v6_0_11 : entity is 16;
attribute C_M_AXIS_DOUT_TUSER_WIDTH : integer;
attribute C_M_AXIS_DOUT_TUSER_WIDTH of sqrt_cordic_v6_0_11 : entity is 1;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of sqrt_cordic_v6_0_11 : entity is 16;
attribute C_PHASE_FORMAT : integer;
attribute C_PHASE_FORMAT of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_PIPELINE_MODE : integer;
attribute C_PIPELINE_MODE of sqrt_cordic_v6_0_11 : entity is -2;
attribute C_PRECISION : integer;
attribute C_PRECISION of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_ROUND_MODE : integer;
attribute C_ROUND_MODE of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_SCALE_COMP : integer;
attribute C_SCALE_COMP of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_S_AXIS_CARTESIAN_TDATA_WIDTH : integer;
attribute C_S_AXIS_CARTESIAN_TDATA_WIDTH of sqrt_cordic_v6_0_11 : entity is 16;
attribute C_S_AXIS_CARTESIAN_TUSER_WIDTH : integer;
attribute C_S_AXIS_CARTESIAN_TUSER_WIDTH of sqrt_cordic_v6_0_11 : entity is 1;
attribute C_S_AXIS_PHASE_TDATA_WIDTH : integer;
attribute C_S_AXIS_PHASE_TDATA_WIDTH of sqrt_cordic_v6_0_11 : entity is 16;
attribute C_S_AXIS_PHASE_TUSER_WIDTH : integer;
attribute C_S_AXIS_PHASE_TUSER_WIDTH of sqrt_cordic_v6_0_11 : entity is 1;
attribute C_THROTTLE_SCHEME : integer;
attribute C_THROTTLE_SCHEME of sqrt_cordic_v6_0_11 : entity is 3;
attribute C_TLAST_RESOLUTION : integer;
attribute C_TLAST_RESOLUTION of sqrt_cordic_v6_0_11 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of sqrt_cordic_v6_0_11 : entity is "zynq";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of sqrt_cordic_v6_0_11 : entity is "cordic_v6_0_11";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of sqrt_cordic_v6_0_11 : entity is "yes";
end sqrt_cordic_v6_0_11;
architecture STRUCTURE of sqrt_cordic_v6_0_11 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal NLW_i_synth_m_axis_dout_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_cartesian_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_dout_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_HAS_ACLK of i_synth : label is 1;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_S_AXIS_CARTESIAN of i_synth : label is 1;
attribute C_HAS_S_AXIS_CARTESIAN_TLAST of i_synth : label is 0;
attribute C_HAS_S_AXIS_CARTESIAN_TUSER of i_synth : label is 0;
attribute C_HAS_S_AXIS_PHASE of i_synth : label is 0;
attribute C_HAS_S_AXIS_PHASE_TLAST of i_synth : label is 0;
attribute C_HAS_S_AXIS_PHASE_TUSER of i_synth : label is 0;
attribute C_M_AXIS_DOUT_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_AXIS_DOUT_TUSER_WIDTH of i_synth : label is 1;
attribute C_S_AXIS_CARTESIAN_TDATA_WIDTH of i_synth : label is 16;
attribute C_S_AXIS_CARTESIAN_TUSER_WIDTH of i_synth : label is 1;
attribute C_S_AXIS_PHASE_TDATA_WIDTH of i_synth : label is 16;
attribute C_S_AXIS_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_THROTTLE_SCHEME of i_synth : label is 3;
attribute C_TLAST_RESOLUTION of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "zynq";
attribute c_architecture of i_synth : label is 2;
attribute c_coarse_rotate of i_synth : label is 0;
attribute c_cordic_function of i_synth : label is 6;
attribute c_data_format of i_synth : label is 1;
attribute c_input_width of i_synth : label is 16;
attribute c_iterations of i_synth : label is 0;
attribute c_output_width of i_synth : label is 16;
attribute c_phase_format of i_synth : label is 0;
attribute c_pipeline_mode of i_synth : label is -2;
attribute c_precision of i_synth : label is 0;
attribute c_round_mode of i_synth : label is 0;
attribute c_scale_comp of i_synth : label is 0;
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
m_axis_dout_tlast <= \<const0>\;
m_axis_dout_tuser(0) <= \<const0>\;
s_axis_cartesian_tready <= \<const1>\;
s_axis_phase_tready <= \<const1>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.sqrt_cordic_v6_0_11_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
m_axis_dout_tdata(15 downto 0) => m_axis_dout_tdata(15 downto 0),
m_axis_dout_tlast => NLW_i_synth_m_axis_dout_tlast_UNCONNECTED,
m_axis_dout_tready => '0',
m_axis_dout_tuser(0) => NLW_i_synth_m_axis_dout_tuser_UNCONNECTED(0),
m_axis_dout_tvalid => m_axis_dout_tvalid,
s_axis_cartesian_tdata(15 downto 0) => s_axis_cartesian_tdata(15 downto 0),
s_axis_cartesian_tlast => '0',
s_axis_cartesian_tready => NLW_i_synth_s_axis_cartesian_tready_UNCONNECTED,
s_axis_cartesian_tuser(0) => '0',
s_axis_cartesian_tvalid => s_axis_cartesian_tvalid,
s_axis_phase_tdata(15 downto 0) => B"0000000000000000",
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity sqrt is
port (
aclk : in STD_LOGIC;
s_axis_cartesian_tvalid : in STD_LOGIC;
s_axis_cartesian_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_dout_tvalid : out STD_LOGIC;
m_axis_dout_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of sqrt : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of sqrt : entity is "sqrt,cordic_v6_0_11,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of sqrt : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of sqrt : entity is "cordic_v6_0_11,Vivado 2016.4";
end sqrt;
architecture STRUCTURE of sqrt is
signal NLW_U0_m_axis_dout_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_cartesian_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_dout_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_HAS_ACLK : integer;
attribute C_HAS_ACLK of U0 : label is 1;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_S_AXIS_CARTESIAN : integer;
attribute C_HAS_S_AXIS_CARTESIAN of U0 : label is 1;
attribute C_HAS_S_AXIS_CARTESIAN_TLAST : integer;
attribute C_HAS_S_AXIS_CARTESIAN_TLAST of U0 : label is 0;
attribute C_HAS_S_AXIS_CARTESIAN_TUSER : integer;
attribute C_HAS_S_AXIS_CARTESIAN_TUSER of U0 : label is 0;
attribute C_HAS_S_AXIS_PHASE : integer;
attribute C_HAS_S_AXIS_PHASE of U0 : label is 0;
attribute C_HAS_S_AXIS_PHASE_TLAST : integer;
attribute C_HAS_S_AXIS_PHASE_TLAST of U0 : label is 0;
attribute C_HAS_S_AXIS_PHASE_TUSER : integer;
attribute C_HAS_S_AXIS_PHASE_TUSER of U0 : label is 0;
attribute C_M_AXIS_DOUT_TDATA_WIDTH : integer;
attribute C_M_AXIS_DOUT_TDATA_WIDTH of U0 : label is 16;
attribute C_M_AXIS_DOUT_TUSER_WIDTH : integer;
attribute C_M_AXIS_DOUT_TUSER_WIDTH of U0 : label is 1;
attribute C_S_AXIS_CARTESIAN_TDATA_WIDTH : integer;
attribute C_S_AXIS_CARTESIAN_TDATA_WIDTH of U0 : label is 16;
attribute C_S_AXIS_CARTESIAN_TUSER_WIDTH : integer;
attribute C_S_AXIS_CARTESIAN_TUSER_WIDTH of U0 : label is 1;
attribute C_S_AXIS_PHASE_TDATA_WIDTH : integer;
attribute C_S_AXIS_PHASE_TDATA_WIDTH of U0 : label is 16;
attribute C_S_AXIS_PHASE_TUSER_WIDTH : integer;
attribute C_S_AXIS_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_THROTTLE_SCHEME : integer;
attribute C_THROTTLE_SCHEME of U0 : label is 3;
attribute C_TLAST_RESOLUTION : integer;
attribute C_TLAST_RESOLUTION of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute c_architecture : integer;
attribute c_architecture of U0 : label is 2;
attribute c_coarse_rotate : integer;
attribute c_coarse_rotate of U0 : label is 0;
attribute c_cordic_function : integer;
attribute c_cordic_function of U0 : label is 6;
attribute c_data_format : integer;
attribute c_data_format of U0 : label is 1;
attribute c_input_width : integer;
attribute c_input_width of U0 : label is 16;
attribute c_iterations : integer;
attribute c_iterations of U0 : label is 0;
attribute c_output_width : integer;
attribute c_output_width of U0 : label is 16;
attribute c_phase_format : integer;
attribute c_phase_format of U0 : label is 0;
attribute c_pipeline_mode : integer;
attribute c_pipeline_mode of U0 : label is -2;
attribute c_precision : integer;
attribute c_precision of U0 : label is 0;
attribute c_round_mode : integer;
attribute c_round_mode of U0 : label is 0;
attribute c_scale_comp : integer;
attribute c_scale_comp of U0 : label is 0;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.sqrt_cordic_v6_0_11
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
m_axis_dout_tdata(15 downto 0) => m_axis_dout_tdata(15 downto 0),
m_axis_dout_tlast => NLW_U0_m_axis_dout_tlast_UNCONNECTED,
m_axis_dout_tready => '0',
m_axis_dout_tuser(0) => NLW_U0_m_axis_dout_tuser_UNCONNECTED(0),
m_axis_dout_tvalid => m_axis_dout_tvalid,
s_axis_cartesian_tdata(15 downto 0) => s_axis_cartesian_tdata(15 downto 0),
s_axis_cartesian_tlast => '0',
s_axis_cartesian_tready => NLW_U0_s_axis_cartesian_tready_UNCONNECTED,
s_axis_cartesian_tuser(0) => '0',
s_axis_cartesian_tvalid => s_axis_cartesian_tvalid,
s_axis_phase_tdata(15 downto 0) => B"0000000000000000",
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => '0'
);
end STRUCTURE;
| mit | 882455928a57450a511e002163ce484a | 0.950219 | 1.821463 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_feature_transform_0_0/synth/system_vga_feature_transform_0_0.vhd | 1 | 5,944 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_feature_transform:1.0
-- IP Revision: 74
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_feature_transform_0_0 IS
PORT (
clk : IN STD_LOGIC;
clk_x2 : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : IN STD_LOGIC;
vsync : IN STD_LOGIC;
x_addr_0 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_0 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
hessian_0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
x_addr_1 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_1 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
hessian_1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
rot_m00 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
rot_m01 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
rot_m10 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
rot_m11 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
t_x : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
t_y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
state : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END system_vga_feature_transform_0_0;
ARCHITECTURE system_vga_feature_transform_0_0_arch OF system_vga_feature_transform_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_feature_transform_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_feature_transform IS
GENERIC (
NUM_FEATURES : INTEGER
);
PORT (
clk : IN STD_LOGIC;
clk_x2 : IN STD_LOGIC;
rst : IN STD_LOGIC;
active : IN STD_LOGIC;
vsync : IN STD_LOGIC;
x_addr_0 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_0 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
hessian_0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
x_addr_1 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_1 : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
hessian_1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
rot_m00 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
rot_m01 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
rot_m10 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
rot_m11 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
t_x : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
t_y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
state : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END COMPONENT vga_feature_transform;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_vga_feature_transform_0_0_arch: ARCHITECTURE IS "vga_feature_transform,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_feature_transform_0_0_arch : ARCHITECTURE IS "system_vga_feature_transform_0_0,vga_feature_transform,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_vga_feature_transform_0_0_arch: ARCHITECTURE IS "system_vga_feature_transform_0_0,vga_feature_transform,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_feature_transform,x_ipVersion=1.0,x_ipCoreRevision=74,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,NUM_FEATURES=64}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST";
BEGIN
U0 : vga_feature_transform
GENERIC MAP (
NUM_FEATURES => 64
)
PORT MAP (
clk => clk,
clk_x2 => clk_x2,
rst => rst,
active => active,
vsync => vsync,
x_addr_0 => x_addr_0,
y_addr_0 => y_addr_0,
hessian_0 => hessian_0,
x_addr_1 => x_addr_1,
y_addr_1 => y_addr_1,
hessian_1 => hessian_1,
rot_m00 => rot_m00,
rot_m01 => rot_m01,
rot_m10 => rot_m10,
rot_m11 => rot_m11,
t_x => t_x,
t_y => t_y,
state => state
);
END system_vga_feature_transform_0_0_arch;
| mit | c44bfaab17b5a950c0b4f06b3ad2c65b | 0.695491 | 3.453806 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_hessian_1_0/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd | 2 | 15,258 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY blk_mem_gen_0 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END blk_mem_gen_0;
ARCHITECTURE blk_mem_gen_0_arch OF blk_mem_gen_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF blk_mem_gen_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF blk_mem_gen_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF blk_mem_gen_0_arch : ARCHITECTURE IS "blk_mem_gen_0,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF blk_mem_gen_0_arch: ARCHITECTURE IS "blk_mem_gen_0,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_" &
"loaded,C_INIT_FILE=blk_mem_gen_0.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=16384,C_READ_DEPTH_A=16384,C_ADDRA_WIDTH=14,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C" &
"_WRITE_DEPTH_B=16384,C_READ_DEPTH_B=16384,C_ADDRB_WIDTH=14,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=" &
"0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=7,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 22.148499999999999 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF web: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dinb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 2,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "blk_mem_gen_0.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 16384,
C_READ_DEPTH_A => 16384,
C_ADDRA_WIDTH => 14,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 16384,
C_READ_DEPTH_B => 16384,
C_ADDRB_WIDTH => 14,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 1,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "7",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 22.148499999999999 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END blk_mem_gen_0_arch;
| mit | c7257e2a2813c7edcaa24a1b4d092bd1 | 0.630751 | 3.02019 | false | false | false | false |
SoCdesign/audiomixer | ZedBoard_Linux_Design/hw/xps_proj/pcores/axi_i2s_adi_v1_00_a/hdl/vhdl/i2s_controller.vhd | 3 | 13,243 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
entity i2s_controller is
generic(
C_SLOT_WIDTH : integer := 24; -- Width of one Slot
-- Synthesis parameters
C_MSB_POS : integer := 0; -- MSB Position in the LRCLK frame (0 - MSB first, 1 - LSB first)
C_FRM_SYNC : integer := 1; -- Frame sync type (0 - 50% Duty Cycle, 1 - Pulse mode)
C_LRCLK_POL : integer := 0; -- LRCLK Polarity (0 - Falling edge, 1 - Rising edge)
C_BCLK_POL : integer := 0 -- BCLK Polarity (0 - Falling edge, 1 - Rising edge)
);
port(
CLK_I : in std_logic; -- System clock (100 MHz)
RST_I : in std_logic; -- System reset
BCLK_O : out std_logic; -- Bit Clock
LRCLK_O : out std_logic; -- Frame Clock
SDATA_O : out std_logic; -- Serial Data Output
SDATA_I : in std_logic; -- Serial Data Input
EN_TX_I : in std_logic; -- Enable TX
EN_RX_I : in std_logic; -- Enable RX
OE_S_O : out std_logic; -- Request new Slot Data
WE_S_O : out std_logic; -- Valid Slot Data
D_S_I : in std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data in
D_S_O : out std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data out
-- Runtime parameters
DIV_RATE_I : in std_logic_vector(7 downto 0);
LRCLK_RATE_I : in std_logic_vector(7 downto 0)
--NR_CHANNELS_I : in std_logic_vector(3 downto 0) -- Number of channels (2 - Stereo, 4- TDM4, 8 - TDM8)
);
end i2s_controller;
architecture Behavioral of i2s_controller is
-- Divide value for the serial clock divider
signal DIV_RATE : natural range 0 to 255 := 0;
signal PREV_DIV_RATE : natural range 0 to 255 := 0;
-- Divide value for the frame clock divider
signal LRCLK_RATE : natural range 0 to 255 := 0;
signal PREV_LRCLK_RATE : natural range 0 to 255 := 0;
-- Counter for the serial clock divider
signal Cnt_Bclk : integer range 0 to 255;
-- Counter for the frame clock divider
signal Cnt_Lrclk : integer range 0 to 512;
signal Cnt_Lrclk_TDM : std_logic;
-- Counter for TDM4 and TDM8 Output Enable signal
signal Cnt_Lrclk_OE_S : std_logic;
-- Counter for TDM4 and TDM8 Write Enable signal
signal Cnt_Lrclk_WE_S : std_logic;
-- Internal synchronous BCLK signal
signal BCLK_int : std_logic;
-- Rising and Falling edge impulses of the serial clock
signal BCLK_Fall : std_logic;
signal BCLK_Rise : std_logic;
-- Internal synchronous LRCLK signal
signal LRCLK_int : std_logic;
-- Data Out internal signal
signal Data_Out_int : std_logic_vector(31 downto 0);
-- Data In internal signal
signal Data_In_int : std_logic_vector(31 downto 0);
-- Data Left, Right and Slot internal signal
signal D_L_O_int : std_logic_vector(C_SLOT_WIDTH-1 downto 0);
signal D_R_O_int : std_logic_vector(C_SLOT_WIDTH-1 downto 0);
signal D_S_O_int : std_logic_vector(C_SLOT_WIDTH-1 downto 0);
--Internal synchronous OE signals
signal OE_R_int : std_logic;
signal OE_L_int : std_logic;
signal OE_S_int : std_logic;
--Internal synchronous WE signals
signal WE_R_int : std_logic;
signal WE_L_int : std_logic;
signal WE_S_int : std_logic;
signal enable : std_logic;
signal BCLK_trailing_edge : std_logic;
signal BCLK_leading_edge : std_logic;
signal EN_RX_INT : std_logic;
signal EN_TX_INT : std_logic;
begin
-- Division rate to ensure 48K sample rate
-- BCLK division rate
--DIV_RATE <= 32 when (NR_CHANNELS_I = "0010") else
-- 16 when (NR_CHANNELS_I = "0100") else
-- 8 when (NR_CHANNELS_I = "1000") else 0;
--
DIV_RATE <= conv_integer(DIV_RATE_I);
-- LRCLK division rate
--LRCLK_RATE <= 32 when (NR_CHANNELS_I = "0010") else
-- 64 when (NR_CHANNELS_I = "0100") else
-- 128 when (NR_CHANNELS_I = "1000") else 0;
--
LRCLK_RATE <= conv_integer(LRCLK_RATE_I);
enable <= '1' when (EN_TX_I = '1') or (EN_RX_I = '1') else '0';
-----------------------------------------------------------------------------------
-- Serial clock generation (BCLK_O, BCLK_FALL, BCLK_RISE)
-----------------------------------------------------------------------------------
SER_CLK: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if((RST_I = '1') or (DIV_RATE /= PREV_DIV_RATE) or (enable = '0')) then
Cnt_Bclk <= 0;
BCLK_Int <= '0';
PREV_DIV_RATE <= DIV_RATE;
elsif(Cnt_Bclk = ((DIV_RATE/2)-1)) then
Cnt_Bclk <= 0;
BCLK_int <= not BCLK_int;
else
Cnt_Bclk <= Cnt_Bclk + 1;
end if;
end if;
end process SER_CLK;
-- Serial clock Falling edge, Rising Edge
BCLK_Fall <= '1' when ((Cnt_Bclk = ((DIV_RATE/2)-1)) and (BCLK_int = '1') and (enable = '1')) else '0';
BCLK_Rise <= '1' when ((Cnt_Bclk = ((DIV_RATE/2)-1)) and (BCLK_int = '0') and (enable = '1')) else '0';
BCLK_trailing_edge <= BCLK_Rise when (C_BCLK_POL = 1) else BCLK_Fall;
BCLK_leading_edge <= BCLK_Fall when (C_BCLK_POL = 1) else BCLK_Rise;
-- Serial clock output
BCLK_O <= BCLK_int when enable = '1' else '1';
-----------------------------------------------------------------------------------
-- Frame clock generator (LRCLK_O)
-----------------------------------------------------------------------------------
LRCLK_GEN: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
-- Reset
if((RST_I = '1') or (LRCLK_RATE /= PREV_LRCLK_RATE) or (enable = '0')) then
Cnt_Lrclk <= 0;
LRCLK_int <= '0';
PREV_LRCLK_RATE <= LRCLK_RATE;
if(C_FRM_SYNC = 1) then
Cnt_Lrclk <= LRCLK_RATE*2;
end if;
-- 50% Duty Cycle LRCLK signal, used for Stereo Mode
elsif(C_FRM_SYNC = 0) then
if (BCLK_trailing_edge = '1') then
if(Cnt_Lrclk = LRCLK_RATE-1) then
Cnt_Lrclk <= 0;
LRCLK_int <= not LRCLK_int;
else
Cnt_Lrclk <= Cnt_Lrclk + 1;
end if;
end if;
-- Pulse mode LRCLK signal, used for TDM4 and TDM8
elsif(C_FRM_SYNC = 1) then
if (BCLK_trailing_edge = '1') then
-- If the number of bits has been sent, pulse new frame
if(Cnt_Lrclk = LRCLK_RATE*2) then
Cnt_Lrclk <= 0;
LRCLK_int <= '1';
else
LRCLK_int <= '0';
Cnt_Lrclk <= Cnt_Lrclk + 1;
end if;
end if;
end if;
end if;
end process LRCLK_GEN;
-- Frame clock output
LRCLK_O <= LRCLK_int when (enable = '1') else '0';
-- Used to change data in the Slots in TDM Mode
Cnt_Lrclk_TDM <= '0' when ((Cnt_Lrclk = 0)or(Cnt_Lrclk = 32)or(Cnt_Lrclk = 64)or(Cnt_Lrclk = 96)or
(Cnt_Lrclk = 128)or(Cnt_Lrclk = 160)or(Cnt_Lrclk=192)or(Cnt_Lrclk=224)or(Cnt_Lrclk=256))
else '1';
-- Used to signal data request (TX)
Cnt_Lrclk_OE_S <= '1' when ((Cnt_Lrclk = 0)or(Cnt_Lrclk = 32)or(Cnt_Lrclk = 64)or(Cnt_Lrclk = 96)or
(Cnt_Lrclk = 128)or(Cnt_Lrclk = 160)or(Cnt_Lrclk=192)or(Cnt_Lrclk=224))
else '0';
-- Used to signal valid data (RX)
Cnt_Lrclk_WE_S <= '1' when (Cnt_Lrclk = 1)or(Cnt_Lrclk = 33)or(Cnt_Lrclk = 65)or(Cnt_Lrclk = 97)or
(Cnt_Lrclk = 129)or(Cnt_Lrclk = 161)or(Cnt_Lrclk=193)or(Cnt_Lrclk=225)
else '0';
-----------------------------------------------------------------------------------
-- Load in parallel data, shift out serial data (SDATA_O)
-----------------------------------------------------------------------------------
SER_DATA_O: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
-- Reset
if((RST_I = '1') or (enable = '0')) then
-- If 50% Duty Cycle
if(C_FRM_SYNC = 0) then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
Data_Out_int(31) <= '0';
Data_Out_int(30 downto 31-C_SLOT_WIDTH) <= D_S_I;
Data_Out_int(30-C_SLOT_WIDTH downto 0) <= (others => '0');
end if;
-- If Pulse mode
elsif(C_FRM_SYNC = 1) then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
Data_Out_int(31 downto 32-C_SLOT_WIDTH) <= D_S_I;
Data_Out_int(31-C_SLOT_WIDTH downto 0) <= (others => '0');
end if;
end if;
elsif((Cnt_Lrclk_TDM = '0')and(BCLK_leading_edge = '1')) then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
-- 50% Duty Cycle mode
if(C_FRM_SYNC = 0) then
Data_Out_int(31) <= '0';
Data_Out_int(30 downto 31-C_SLOT_WIDTH) <= D_S_I;
Data_Out_int(30-C_SLOT_WIDTH downto 0) <= (others => '0');
-- If Pulse mode
elsif(C_FRM_SYNC = 1) then
Data_Out_int(31 downto 32-C_SLOT_WIDTH) <= D_S_I;
Data_Out_int(31-C_SLOT_WIDTH downto 0) <= (others => '0');
end if;
end if;
-- Shift out serial data
elsif(BCLK_trailing_edge = '1') then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
Data_Out_int <= Data_Out_int(30 downto 0) & '0';
end if;
end if;
end if;
end process SER_DATA_O;
-- Serial data output
SDATA_O <= Data_Out_int(31) when ((EN_TX_I = '1') and (C_MSB_POS = 0)) else
Data_Out_int(0) when ((EN_TX_I = '1') and (C_MSB_POS = 1)) else '0';
-----------------------------------------------------------------------------------
-- Shift in serial data, load out parallel data (SDATA_I)
-----------------------------------------------------------------------------------
SER_DATA_I: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
-- Reset
if((RST_I = '1') or (enable = '0')) then
Data_In_int <= (others => '0');
D_S_O_int <= (others => '0');
-- 50% Duty Cycle mode
elsif(C_FRM_SYNC = 0) then
-- Stereo mode
-- Load parallel data
-- Depending on BCLK polarity settings
if((Cnt_Lrclk_TDM = '0') and (BCLK_trailing_edge = '1')) then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
D_S_O_int <= Data_In_int(31 downto 32-C_SLOT_WIDTH);
Data_In_int <= (others => '0');
end if;
-- Shift in serial data
-- Depending on BCLK polarity settings
elsif(BCLK_leading_edge = '1') then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
Data_In_int <= Data_In_int(30 downto 0) & SDATA_I;
end if;
end if;
-- Pulse mode
elsif(C_FRM_SYNC = 1) then
-- Load parallel data
-- Depending on BCLK polarity settings
if((Cnt_Lrclk_TDM = '0')and(BCLK_trailing_edge = '1')) then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
D_S_O_int <= Data_In_int(31 downto 32-C_SLOT_WIDTH);
Data_In_int <= (others => '0');
end if;
-- Shift in serial data
-- Depending on BCLK polarity settings
elsif((Lrclk_int = '0')and(BCLK_leading_edge = '1')) then
if((C_MSB_POS = 0)or(C_MSB_POS = 1)) then
Data_In_int <= Data_In_int(30 downto 0) & SDATA_I;
end if;
end if;
end if;
end if;
end process SER_DATA_I;
D_S_O <= D_S_O_int;
------------------------------------------------------------------------
-- Output Enable signals (for FIFO)
------------------------------------------------------------------------
OE_GEN: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if((RST_I = '1') or (enable = '0')) then
OE_S_int <= '0';
else
if((Cnt_Lrclk_OE_S = '1')and(BCLK_trailing_edge = '1')) then
OE_S_int <= '1';
else
OE_S_int <= '0';
end if;
end if;
end if;
end process OE_GEN;
EN_TX_INT_GEN: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if (RST_I = '1') then
EN_TX_INT <= '0';
else
-- After enabling TX the first request needs to be syncronized to Cnt_Lrclk = 0
-- otherwise we will mess up the channel order.
if((EN_TX_I = '1') and (Lrclk_int = '0') and (Cnt_Lrclk = 0) and (BCLK_trailing_edge = '1')) then
EN_TX_INT <= '1';
elsif (EN_TX_I = '0') then
EN_TX_INT <= '0';
end if;
end if;
end if;
end process EN_TX_INT_GEN;
OE_S_O <= OE_S_int when (EN_TX_INT = '1') else '0';
------------------------------------------------------------------------
-- Write Enable signals (for FIFO)
------------------------------------------------------------------------
WE_GEN: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if((RST_I = '1') or (enable = '0')) then
WE_S_int <= '0';
else
-- Depending on BCLK polarity settings
if((Cnt_Lrclk_WE_S = '1')and(BCLK_leading_edge = '1')) then
WE_S_int <= '1';
else
WE_S_int <= '0';
end if;
end if;
end if;
end process WE_GEN;
EN_RX_INT_GEN: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if (RST_I = '1') then
EN_RX_INT <= '0';
else
-- After enabling RX the first request needs to be syncronized to Cnt_Lrclk = 31
-- otherwise we will mess up the channel order.
if((EN_RX_I = '1') and (Lrclk_int = '0') and (Cnt_Lrclk = 31) and (BCLK_trailing_edge = '1')) then
EN_RX_INT <= '1';
elsif (EN_RX_I = '0') then
EN_RX_INT <= '0';
end if;
end if;
end if;
end process EN_RX_INT_GEN;
WE_S_O <= WE_S_int when (EN_RX_INT = '1') else '0';
end Behavioral;
| mit | 59c8f7968d2e3d4ecdd8aceffddf2529 | 0.527902 | 2.90991 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0_1/synth/system_ov7670_controller_1_0.vhd | 2 | 4,423 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:ov7670_controller:1.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_ov7670_controller_1_0 IS
PORT (
clk : IN STD_LOGIC;
resend : IN STD_LOGIC;
config_finished : OUT STD_LOGIC;
sioc : OUT STD_LOGIC;
siod : INOUT STD_LOGIC;
reset : OUT STD_LOGIC;
pwdn : OUT STD_LOGIC;
xclk : OUT STD_LOGIC
);
END system_ov7670_controller_1_0;
ARCHITECTURE system_ov7670_controller_1_0_arch OF system_ov7670_controller_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT ov7670_controller IS
PORT (
clk : IN STD_LOGIC;
resend : IN STD_LOGIC;
config_finished : OUT STD_LOGIC;
sioc : OUT STD_LOGIC;
siod : INOUT STD_LOGIC;
reset : OUT STD_LOGIC;
pwdn : OUT STD_LOGIC;
xclk : OUT STD_LOGIC
);
END COMPONENT ov7670_controller;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "ov7670_controller,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_controller_1_0_arch : ARCHITECTURE IS "system_ov7670_controller_1_0,ov7670_controller,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "system_ov7670_controller_1_0,ov7670_controller,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_controller,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST";
BEGIN
U0 : ov7670_controller
PORT MAP (
clk => clk,
resend => resend,
config_finished => config_finished,
sioc => sioc,
siod => siod,
reset => reset,
pwdn => pwdn,
xclk => xclk
);
END system_ov7670_controller_1_0_arch;
| mit | d2e601523a6fc7a2b14fa7540fb724fc | 0.732534 | 3.914159 | false | false | false | false |
loa-org/loa-hdl | modules/signalprocessing/hdl/timestamp_taker.vhd | 2 | 4,870 | -------------------------------------------------------------------------------
-- Title : Timestamp Taker module
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description: A double buffered register that takes timestamps from the
-- global timestamp and is readable by the internal bus.
--
-- bank_x_i_p: Bus Bank
-- bank_y_i_p: Application Bank (timestamp)
--
-------------------------------------------------------------------------------
-- Copyright (c) 2012 strongly-typed
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.bus_pkg.all;
use work.reg_file_pkg.all;
use work.signalprocessing_pkg.all;
-------------------------------------------------------------------------------
entity timestamp_taker is
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF# -- Base address of the timestamp registers
);
port (
-- Timestamp in
timestamp_i_p : in timestamp_type;
-- Trigger and control signals
trigger_i_p : in std_logic; -- When this trigger is strobed the
-- current timestamp is stored in the
-- register.
bank_x_i_p : in std_logic; -- The bank that is mapped to the bus.
bank_y_i_p : in std_logic; -- The bank that is mapped to the
-- application.
-- Bus interface
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
-- Clock
clk : in std_logic
);
end timestamp_taker;
-------------------------------------------------------------------------------
architecture behavioural of timestamp_taker is
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
-- signal timestamp : timestamp_type := (others => '0');
signal reg_timestamp_s : reg_file_type(7 downto 0) := (others => (others => '0'));
signal bus_i_s : busdevice_in_type;
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
-- None here. If any: in package
begin -- architecture behavourial
----------------------------------------------------------------------------
-- Connections between ports and signals
----------------------------------------------------------------------------
-- Bit 2 of the register address is control by the bank switch 'bank_x_i_p'
bus_i_s.addr <= bus_i.addr(14 downto 3) & bank_x_i_p & bus_i.addr(1 downto 0);
bus_i_s.data <= bus_i.data;
bus_i_s.re <= bus_i.re;
bus_i_s.we <= bus_i.we;
----------------------------------------------------------------------------
-- Sequential process
----------------------------------------------------------------------------
-- When the goertzel is finished, the goertzel_done_s signal is strobed.
-- Copy timestamp to the register at this moment.
timestamp_taker : process (clk) is
begin -- process timestamp_taker
if rising_edge(clk) then -- rising clock edge
if trigger_i_p = '1' then
if bank_y_i_p = '0' then
reg_timestamp_s(0) <= std_logic_vector(timestamp_i_p(15 downto 0));
reg_timestamp_s(1) <= std_logic_vector(timestamp_i_p(31 downto 16));
reg_timestamp_s(2) <= std_logic_vector(timestamp_i_p(47 downto 32));
else
reg_timestamp_s(4) <= std_logic_vector(timestamp_i_p(15 downto 0));
reg_timestamp_s(5) <= std_logic_vector(timestamp_i_p(31 downto 16));
reg_timestamp_s(6) <= std_logic_vector(timestamp_i_p(47 downto 32));
end if;
end if;
end if;
end process timestamp_taker;
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
-- Register with 8 16 bit values for two timestamps
reg_file_timestamp_1 : reg_file
generic map (
BASE_ADDRESS => BASE_ADDRESS,
REG_ADDR_BIT => 3)
port map (
bus_o => bus_o,
bus_i => bus_i_s, -- the modified address
reg_o => open, -- read only register
reg_i => reg_timestamp_s,
clk => clk);
end behavioural;
| bsd-3-clause | c1637796c95cf09591fe60f630aacf0d | 0.414374 | 4.914228 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ip/system_affine_rotation_generator_0_0/synth/system_affine_rotation_generator_0_0.vhd | 1 | 4,441 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:affine_rotation_generator:1.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_affine_rotation_generator_0_0 IS
PORT (
clk_25 : IN STD_LOGIC;
reset : IN STD_LOGIC;
a00 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
a01 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
a10 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
a11 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END system_affine_rotation_generator_0_0;
ARCHITECTURE system_affine_rotation_generator_0_0_arch OF system_affine_rotation_generator_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_affine_rotation_generator_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT affine_rotation_generator IS
PORT (
clk_25 : IN STD_LOGIC;
reset : IN STD_LOGIC;
a00 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
a01 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
a10 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
a11 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT affine_rotation_generator;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_affine_rotation_generator_0_0_arch: ARCHITECTURE IS "affine_rotation_generator,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_affine_rotation_generator_0_0_arch : ARCHITECTURE IS "system_affine_rotation_generator_0_0,affine_rotation_generator,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_affine_rotation_generator_0_0_arch: ARCHITECTURE IS "system_affine_rotation_generator_0_0,affine_rotation_generator,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=affine_rotation_generator,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST";
BEGIN
U0 : affine_rotation_generator
PORT MAP (
clk_25 => clk_25,
reset => reset,
a00 => a00,
a01 => a01,
a10 => a10,
a11 => a11
);
END system_affine_rotation_generator_0_0_arch;
| mit | a4238338538a3c1a7a82e83b0181c762 | 0.7415 | 3.776361 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_sync_ref_1_0/synth/system_vga_sync_ref_1_0.vhd | 2 | 4,637 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_sync_ref:1.0
-- IP Revision: 65
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_sync_ref_1_0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
hsync : IN STD_LOGIC;
vsync : IN STD_LOGIC;
start : OUT STD_LOGIC;
active : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END system_vga_sync_ref_1_0;
ARCHITECTURE system_vga_sync_ref_1_0_arch OF system_vga_sync_ref_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_ref_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_sync_ref IS
GENERIC (
H_SIZE : INTEGER;
H_SYNC_SIZE : INTEGER;
V_SIZE : INTEGER;
DELAY : INTEGER
);
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
hsync : IN STD_LOGIC;
vsync : IN STD_LOGIC;
start : OUT STD_LOGIC;
active : OUT STD_LOGIC;
xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT vga_sync_ref;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_vga_sync_ref_1_0_arch: ARCHITECTURE IS "vga_sync_ref,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_sync_ref_1_0_arch : ARCHITECTURE IS "system_vga_sync_ref_1_0,vga_sync_ref,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_vga_sync_ref_1_0_arch: ARCHITECTURE IS "system_vga_sync_ref_1_0,vga_sync_ref,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_sync_ref,x_ipVersion=1.0,x_ipCoreRevision=65,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_SYNC_SIZE=144,V_SIZE=480,DELAY=2}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST";
BEGIN
U0 : vga_sync_ref
GENERIC MAP (
H_SIZE => 640,
H_SYNC_SIZE => 144,
V_SIZE => 480,
DELAY => 2
)
PORT MAP (
clk => clk,
rst => rst,
hsync => hsync,
vsync => vsync,
start => start,
active => active,
xaddr => xaddr,
yaddr => yaddr
);
END system_vga_sync_ref_1_0_arch;
| mit | 5e85f5a26e210f1d72d2d660050aa79f | 0.70757 | 3.703674 | false | false | false | false |
pgavin/carpe | hdl/cpu/btb/cache/replace/lru/cpu_btb_cache_replace_lru-rtl.vhdl | 1 | 1,983 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library mem;
use work.cpu_btb_cache_config_pkg.all;
architecture rtl of cpu_btb_cache_replace_lru is
begin
lru : entity mem.cache_replace_lru(rtl)
generic map (
log2_assoc => cpu_btb_cache_log2_assoc,
index_bits => cpu_btb_cache_index_bits
)
port map (
clk => clk,
rstn => rstn,
re => cpu_btb_cache_replace_lru_ctrl_in.re,
rindex => cpu_btb_cache_replace_lru_dp_in.rindex,
rway => cpu_btb_cache_replace_lru_dp_out.rway,
rstate => cpu_btb_cache_replace_lru_dp_out.rstate,
we => cpu_btb_cache_replace_lru_ctrl_in.we,
windex => cpu_btb_cache_replace_lru_dp_in.windex,
wway => cpu_btb_cache_replace_lru_dp_in.wway,
wstate => cpu_btb_cache_replace_lru_dp_in.wstate
);
end;
| apache-2.0 | 9af10f63223d2ef76d2940716fd6da17 | 0.530005 | 4.201271 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ip/system_affine_rotation_generator_0_0/system_affine_rotation_generator_0_0_sim_netlist.vhdl | 1 | 165,007 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 20 14:24:11 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/ZyboIP/examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ip/system_affine_rotation_generator_0_0/system_affine_rotation_generator_0_0_sim_netlist.vhdl
-- Design : system_affine_rotation_generator_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_affine_rotation_generator_0_0_affine_rotation_generator is
port (
a00 : out STD_LOGIC_VECTOR ( 26 downto 0 );
a01 : out STD_LOGIC_VECTOR ( 29 downto 0 );
reset : in STD_LOGIC;
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_affine_rotation_generator_0_0_affine_rotation_generator : entity is "affine_rotation_generator";
end system_affine_rotation_generator_0_0_affine_rotation_generator;
architecture STRUCTURE of system_affine_rotation_generator_0_0_affine_rotation_generator is
signal \^a01\ : STD_LOGIC_VECTOR ( 29 downto 0 );
signal \a01[0]_i_1_n_0\ : STD_LOGIC;
signal \a01[10]_i_1_n_0\ : STD_LOGIC;
signal \a01[11]_i_1_n_0\ : STD_LOGIC;
signal \a01[12]_i_1_n_0\ : STD_LOGIC;
signal \a01[13]_i_1_n_0\ : STD_LOGIC;
signal \a01[14]_i_1_n_0\ : STD_LOGIC;
signal \a01[15]_i_1_n_0\ : STD_LOGIC;
signal \a01[16]_i_1_n_0\ : STD_LOGIC;
signal \a01[17]_i_1_n_0\ : STD_LOGIC;
signal \a01[18]_i_1_n_0\ : STD_LOGIC;
signal \a01[19]_i_1_n_0\ : STD_LOGIC;
signal \a01[1]_i_1_n_0\ : STD_LOGIC;
signal \a01[20]_i_1_n_0\ : STD_LOGIC;
signal \a01[21]_i_1_n_0\ : STD_LOGIC;
signal \a01[22]_i_1_n_0\ : STD_LOGIC;
signal \a01[23]_i_1_n_0\ : STD_LOGIC;
signal \a01[24]_i_1_n_0\ : STD_LOGIC;
signal \a01[25]_i_1_n_0\ : STD_LOGIC;
signal \a01[25]_i_2_n_0\ : STD_LOGIC;
signal \a01[25]_i_3_n_0\ : STD_LOGIC;
signal \a01[25]_i_4_n_0\ : STD_LOGIC;
signal \a01[25]_i_5_n_0\ : STD_LOGIC;
signal \a01[26]_i_1_n_0\ : STD_LOGIC;
signal \a01[27]_i_1_n_0\ : STD_LOGIC;
signal \a01[28]_i_1_n_0\ : STD_LOGIC;
signal \a01[29]_i_10_n_0\ : STD_LOGIC;
signal \a01[29]_i_11_n_0\ : STD_LOGIC;
signal \a01[29]_i_12_n_0\ : STD_LOGIC;
signal \a01[29]_i_1_n_0\ : STD_LOGIC;
signal \a01[29]_i_2_n_0\ : STD_LOGIC;
signal \a01[29]_i_3_n_0\ : STD_LOGIC;
signal \a01[29]_i_4_n_0\ : STD_LOGIC;
signal \a01[29]_i_5_n_0\ : STD_LOGIC;
signal \a01[29]_i_6_n_0\ : STD_LOGIC;
signal \a01[29]_i_7_n_0\ : STD_LOGIC;
signal \a01[29]_i_8_n_0\ : STD_LOGIC;
signal \a01[29]_i_9_n_0\ : STD_LOGIC;
signal \a01[2]_i_1_n_0\ : STD_LOGIC;
signal \a01[3]_i_1_n_0\ : STD_LOGIC;
signal \a01[4]_i_1_n_0\ : STD_LOGIC;
signal \a01[5]_i_1_n_0\ : STD_LOGIC;
signal \a01[6]_i_1_n_0\ : STD_LOGIC;
signal \a01[7]_i_1_n_0\ : STD_LOGIC;
signal \a01[8]_i_1_n_0\ : STD_LOGIC;
signal \a01[9]_i_1_n_0\ : STD_LOGIC;
signal angle : STD_LOGIC_VECTOR ( 31 downto 1 );
signal \angle1_carry__0_i_1_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_2_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_3_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_4_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_5_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_6_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_7_n_0\ : STD_LOGIC;
signal \angle1_carry__0_i_8_n_0\ : STD_LOGIC;
signal \angle1_carry__0_n_0\ : STD_LOGIC;
signal \angle1_carry__0_n_1\ : STD_LOGIC;
signal \angle1_carry__0_n_2\ : STD_LOGIC;
signal \angle1_carry__0_n_3\ : STD_LOGIC;
signal \angle1_carry__1_i_1_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_2_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_3_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_4_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_5_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_6_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_7_n_0\ : STD_LOGIC;
signal \angle1_carry__1_i_8_n_0\ : STD_LOGIC;
signal \angle1_carry__1_n_0\ : STD_LOGIC;
signal \angle1_carry__1_n_1\ : STD_LOGIC;
signal \angle1_carry__1_n_2\ : STD_LOGIC;
signal \angle1_carry__1_n_3\ : STD_LOGIC;
signal \angle1_carry__2_i_1_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_2_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_3_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_4_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_5_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_6_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_7_n_0\ : STD_LOGIC;
signal \angle1_carry__2_i_8_n_0\ : STD_LOGIC;
signal \angle1_carry__2_n_0\ : STD_LOGIC;
signal \angle1_carry__2_n_1\ : STD_LOGIC;
signal \angle1_carry__2_n_2\ : STD_LOGIC;
signal \angle1_carry__2_n_3\ : STD_LOGIC;
signal angle1_carry_i_1_n_0 : STD_LOGIC;
signal angle1_carry_i_2_n_0 : STD_LOGIC;
signal angle1_carry_i_3_n_0 : STD_LOGIC;
signal angle1_carry_i_4_n_0 : STD_LOGIC;
signal angle1_carry_i_5_n_0 : STD_LOGIC;
signal angle1_carry_n_0 : STD_LOGIC;
signal angle1_carry_n_1 : STD_LOGIC;
signal angle1_carry_n_2 : STD_LOGIC;
signal angle1_carry_n_3 : STD_LOGIC;
signal \angle2_carry__0_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__0_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__0_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__0_i_4_n_0\ : STD_LOGIC;
signal \angle2_carry__0_n_0\ : STD_LOGIC;
signal \angle2_carry__0_n_1\ : STD_LOGIC;
signal \angle2_carry__0_n_2\ : STD_LOGIC;
signal \angle2_carry__0_n_3\ : STD_LOGIC;
signal \angle2_carry__1_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__1_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__1_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__1_i_4_n_0\ : STD_LOGIC;
signal \angle2_carry__1_n_0\ : STD_LOGIC;
signal \angle2_carry__1_n_1\ : STD_LOGIC;
signal \angle2_carry__1_n_2\ : STD_LOGIC;
signal \angle2_carry__1_n_3\ : STD_LOGIC;
signal \angle2_carry__2_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__2_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__2_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__2_i_4_n_0\ : STD_LOGIC;
signal \angle2_carry__2_n_0\ : STD_LOGIC;
signal \angle2_carry__2_n_1\ : STD_LOGIC;
signal \angle2_carry__2_n_2\ : STD_LOGIC;
signal \angle2_carry__2_n_3\ : STD_LOGIC;
signal \angle2_carry__3_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__3_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__3_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__3_i_4_n_0\ : STD_LOGIC;
signal \angle2_carry__3_n_0\ : STD_LOGIC;
signal \angle2_carry__3_n_1\ : STD_LOGIC;
signal \angle2_carry__3_n_2\ : STD_LOGIC;
signal \angle2_carry__3_n_3\ : STD_LOGIC;
signal \angle2_carry__4_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__4_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__4_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__4_i_4_n_0\ : STD_LOGIC;
signal \angle2_carry__4_n_0\ : STD_LOGIC;
signal \angle2_carry__4_n_1\ : STD_LOGIC;
signal \angle2_carry__4_n_2\ : STD_LOGIC;
signal \angle2_carry__4_n_3\ : STD_LOGIC;
signal \angle2_carry__5_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__5_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__5_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__5_i_4_n_0\ : STD_LOGIC;
signal \angle2_carry__5_n_0\ : STD_LOGIC;
signal \angle2_carry__5_n_1\ : STD_LOGIC;
signal \angle2_carry__5_n_2\ : STD_LOGIC;
signal \angle2_carry__5_n_3\ : STD_LOGIC;
signal \angle2_carry__6_i_1_n_0\ : STD_LOGIC;
signal \angle2_carry__6_i_2_n_0\ : STD_LOGIC;
signal \angle2_carry__6_i_3_n_0\ : STD_LOGIC;
signal \angle2_carry__6_n_2\ : STD_LOGIC;
signal \angle2_carry__6_n_3\ : STD_LOGIC;
signal angle2_carry_i_1_n_0 : STD_LOGIC;
signal angle2_carry_i_2_n_0 : STD_LOGIC;
signal angle2_carry_i_3_n_0 : STD_LOGIC;
signal angle2_carry_i_4_n_0 : STD_LOGIC;
signal angle2_carry_n_0 : STD_LOGIC;
signal angle2_carry_n_1 : STD_LOGIC;
signal angle2_carry_n_2 : STD_LOGIC;
signal angle2_carry_n_3 : STD_LOGIC;
signal \angle[10]_i_1_n_0\ : STD_LOGIC;
signal \angle[11]_i_1_n_0\ : STD_LOGIC;
signal \angle[12]_i_1_n_0\ : STD_LOGIC;
signal \angle[13]_i_1_n_0\ : STD_LOGIC;
signal \angle[14]_i_1_n_0\ : STD_LOGIC;
signal \angle[15]_i_1_n_0\ : STD_LOGIC;
signal \angle[16]_i_1_n_0\ : STD_LOGIC;
signal \angle[17]_i_1_n_0\ : STD_LOGIC;
signal \angle[18]_i_1_n_0\ : STD_LOGIC;
signal \angle[19]_i_1_n_0\ : STD_LOGIC;
signal \angle[1]_i_1_n_0\ : STD_LOGIC;
signal \angle[20]_i_1_n_0\ : STD_LOGIC;
signal \angle[21]_i_1_n_0\ : STD_LOGIC;
signal \angle[22]_i_1_n_0\ : STD_LOGIC;
signal \angle[23]_i_1_n_0\ : STD_LOGIC;
signal \angle[24]_i_1_n_0\ : STD_LOGIC;
signal \angle[25]_i_1_n_0\ : STD_LOGIC;
signal \angle[26]_i_1_n_0\ : STD_LOGIC;
signal \angle[27]_i_1_n_0\ : STD_LOGIC;
signal \angle[28]_i_1_n_0\ : STD_LOGIC;
signal \angle[29]_i_1_n_0\ : STD_LOGIC;
signal \angle[2]_i_1_n_0\ : STD_LOGIC;
signal \angle[30]_i_1_n_0\ : STD_LOGIC;
signal \angle[31]_i_1_n_0\ : STD_LOGIC;
signal \angle[3]_i_1_n_0\ : STD_LOGIC;
signal \angle[4]_i_1_n_0\ : STD_LOGIC;
signal \angle[5]_i_1_n_0\ : STD_LOGIC;
signal \angle[6]_i_1_n_0\ : STD_LOGIC;
signal \angle[7]_i_1_n_0\ : STD_LOGIC;
signal \angle[8]_i_1_n_0\ : STD_LOGIC;
signal \angle[9]_i_1_n_0\ : STD_LOGIC;
signal \cosine[0]_i_1_n_0\ : STD_LOGIC;
signal \cosine[10]_i_1_n_0\ : STD_LOGIC;
signal \cosine[10]_i_2_n_0\ : STD_LOGIC;
signal \cosine[10]_i_3_n_0\ : STD_LOGIC;
signal \cosine[10]_i_4_n_0\ : STD_LOGIC;
signal \cosine[11]_i_1_n_0\ : STD_LOGIC;
signal \cosine[12]_i_1_n_0\ : STD_LOGIC;
signal \cosine[12]_i_2_n_0\ : STD_LOGIC;
signal \cosine[12]_i_3_n_0\ : STD_LOGIC;
signal \cosine[13]_i_1_n_0\ : STD_LOGIC;
signal \cosine[14]_i_1_n_0\ : STD_LOGIC;
signal \cosine[14]_i_2_n_0\ : STD_LOGIC;
signal \cosine[14]_i_3_n_0\ : STD_LOGIC;
signal \cosine[14]_i_4_n_0\ : STD_LOGIC;
signal \cosine[15]_i_1_n_0\ : STD_LOGIC;
signal \cosine[16]_i_1_n_0\ : STD_LOGIC;
signal \cosine[17]_i_1_n_0\ : STD_LOGIC;
signal \cosine[18]_i_1_n_0\ : STD_LOGIC;
signal \cosine[19]_i_10_n_0\ : STD_LOGIC;
signal \cosine[19]_i_11_n_0\ : STD_LOGIC;
signal \cosine[19]_i_12_n_0\ : STD_LOGIC;
signal \cosine[19]_i_1_n_0\ : STD_LOGIC;
signal \cosine[19]_i_2_n_0\ : STD_LOGIC;
signal \cosine[19]_i_3_n_0\ : STD_LOGIC;
signal \cosine[19]_i_4_n_0\ : STD_LOGIC;
signal \cosine[19]_i_5_n_0\ : STD_LOGIC;
signal \cosine[19]_i_6_n_0\ : STD_LOGIC;
signal \cosine[19]_i_7_n_0\ : STD_LOGIC;
signal \cosine[19]_i_8_n_0\ : STD_LOGIC;
signal \cosine[19]_i_9_n_0\ : STD_LOGIC;
signal \cosine[1]_i_1_n_0\ : STD_LOGIC;
signal \cosine[20]_i_1_n_0\ : STD_LOGIC;
signal \cosine[20]_i_2_n_0\ : STD_LOGIC;
signal \cosine[21]_i_1_n_0\ : STD_LOGIC;
signal \cosine[22]_i_10_n_0\ : STD_LOGIC;
signal \cosine[22]_i_11_n_0\ : STD_LOGIC;
signal \cosine[22]_i_12_n_0\ : STD_LOGIC;
signal \cosine[22]_i_13_n_0\ : STD_LOGIC;
signal \cosine[22]_i_14_n_0\ : STD_LOGIC;
signal \cosine[22]_i_15_n_0\ : STD_LOGIC;
signal \cosine[22]_i_1_n_0\ : STD_LOGIC;
signal \cosine[22]_i_2_n_0\ : STD_LOGIC;
signal \cosine[22]_i_3_n_0\ : STD_LOGIC;
signal \cosine[22]_i_4_n_0\ : STD_LOGIC;
signal \cosine[22]_i_5_n_0\ : STD_LOGIC;
signal \cosine[22]_i_6_n_0\ : STD_LOGIC;
signal \cosine[22]_i_7_n_0\ : STD_LOGIC;
signal \cosine[22]_i_8_n_0\ : STD_LOGIC;
signal \cosine[22]_i_9_n_0\ : STD_LOGIC;
signal \cosine[23]_i_1_n_0\ : STD_LOGIC;
signal \cosine[23]_i_2_n_0\ : STD_LOGIC;
signal \cosine[23]_i_3_n_0\ : STD_LOGIC;
signal \cosine[24]_i_1_n_0\ : STD_LOGIC;
signal \cosine[24]_i_2_n_0\ : STD_LOGIC;
signal \cosine[24]_i_3_n_0\ : STD_LOGIC;
signal \cosine[24]_i_4_n_0\ : STD_LOGIC;
signal \cosine[24]_i_5_n_0\ : STD_LOGIC;
signal \cosine[24]_i_6_n_0\ : STD_LOGIC;
signal \cosine[24]_i_7_n_0\ : STD_LOGIC;
signal \cosine[24]_i_8_n_0\ : STD_LOGIC;
signal \cosine[24]_i_9_n_0\ : STD_LOGIC;
signal \cosine[25]_i_1_n_0\ : STD_LOGIC;
signal \cosine[25]_i_2_n_0\ : STD_LOGIC;
signal \cosine[25]_i_3_n_0\ : STD_LOGIC;
signal \cosine[25]_i_4_n_0\ : STD_LOGIC;
signal \cosine[25]_i_5_n_0\ : STD_LOGIC;
signal \cosine[25]_i_6_n_0\ : STD_LOGIC;
signal \cosine[29]_i_10_n_0\ : STD_LOGIC;
signal \cosine[29]_i_11_n_0\ : STD_LOGIC;
signal \cosine[29]_i_12_n_0\ : STD_LOGIC;
signal \cosine[29]_i_13_n_0\ : STD_LOGIC;
signal \cosine[29]_i_14_n_0\ : STD_LOGIC;
signal \cosine[29]_i_15_n_0\ : STD_LOGIC;
signal \cosine[29]_i_16_n_0\ : STD_LOGIC;
signal \cosine[29]_i_17_n_0\ : STD_LOGIC;
signal \cosine[29]_i_18_n_0\ : STD_LOGIC;
signal \cosine[29]_i_19_n_0\ : STD_LOGIC;
signal \cosine[29]_i_20_n_0\ : STD_LOGIC;
signal \cosine[29]_i_21_n_0\ : STD_LOGIC;
signal \cosine[29]_i_22_n_0\ : STD_LOGIC;
signal \cosine[29]_i_23_n_0\ : STD_LOGIC;
signal \cosine[29]_i_24_n_0\ : STD_LOGIC;
signal \cosine[29]_i_25_n_0\ : STD_LOGIC;
signal \cosine[29]_i_26_n_0\ : STD_LOGIC;
signal \cosine[29]_i_27_n_0\ : STD_LOGIC;
signal \cosine[29]_i_28_n_0\ : STD_LOGIC;
signal \cosine[29]_i_29_n_0\ : STD_LOGIC;
signal \cosine[29]_i_2_n_0\ : STD_LOGIC;
signal \cosine[29]_i_30_n_0\ : STD_LOGIC;
signal \cosine[29]_i_31_n_0\ : STD_LOGIC;
signal \cosine[29]_i_32_n_0\ : STD_LOGIC;
signal \cosine[29]_i_33_n_0\ : STD_LOGIC;
signal \cosine[29]_i_34_n_0\ : STD_LOGIC;
signal \cosine[29]_i_35_n_0\ : STD_LOGIC;
signal \cosine[29]_i_3_n_0\ : STD_LOGIC;
signal \cosine[29]_i_4_n_0\ : STD_LOGIC;
signal \cosine[29]_i_5_n_0\ : STD_LOGIC;
signal \cosine[29]_i_6_n_0\ : STD_LOGIC;
signal \cosine[29]_i_7_n_0\ : STD_LOGIC;
signal \cosine[29]_i_8_n_0\ : STD_LOGIC;
signal \cosine[29]_i_9_n_0\ : STD_LOGIC;
signal \cosine[2]_i_1_n_0\ : STD_LOGIC;
signal \cosine[3]_i_1_n_0\ : STD_LOGIC;
signal \cosine[4]_i_1_n_0\ : STD_LOGIC;
signal \cosine[4]_i_2_n_0\ : STD_LOGIC;
signal \cosine[4]_i_3_n_0\ : STD_LOGIC;
signal \cosine[5]_i_1_n_0\ : STD_LOGIC;
signal \cosine[6]_i_1_n_0\ : STD_LOGIC;
signal \cosine[6]_i_2_n_0\ : STD_LOGIC;
signal \cosine[7]_i_1_n_0\ : STD_LOGIC;
signal \cosine[7]_i_2_n_0\ : STD_LOGIC;
signal \cosine[7]_i_3_n_0\ : STD_LOGIC;
signal \cosine[7]_i_4_n_0\ : STD_LOGIC;
signal \cosine[7]_i_5_n_0\ : STD_LOGIC;
signal \cosine[8]_i_1_n_0\ : STD_LOGIC;
signal \cosine[8]_i_2_n_0\ : STD_LOGIC;
signal \cosine[8]_i_3_n_0\ : STD_LOGIC;
signal \cosine[9]_i_1_n_0\ : STD_LOGIC;
signal \cosine[9]_i_2_n_0\ : STD_LOGIC;
signal \cosine[9]_i_3_n_0\ : STD_LOGIC;
signal \cosine[9]_i_4_n_0\ : STD_LOGIC;
signal \cosine[9]_i_5_n_0\ : STD_LOGIC;
signal \cosine[9]_i_6_n_0\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__0_n_0\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__0_n_1\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__0_n_2\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__0_n_3\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__1_n_0\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__1_n_1\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__1_n_2\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__1_n_3\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__2_n_0\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__2_n_1\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__2_n_2\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry__2_n_3\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry_n_0\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry_n_1\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry_n_2\ : STD_LOGIC;
signal \counter0_inferred__0/i__carry_n_3\ : STD_LOGIC;
signal \counter[0]_i_1_n_0\ : STD_LOGIC;
signal \counter[0]_i_3_n_0\ : STD_LOGIC;
signal \counter[0]_i_4_n_0\ : STD_LOGIC;
signal \counter[0]_i_5_n_0\ : STD_LOGIC;
signal \counter[12]_i_2_n_0\ : STD_LOGIC;
signal \counter[12]_i_3_n_0\ : STD_LOGIC;
signal \counter[12]_i_4_n_0\ : STD_LOGIC;
signal \counter[12]_i_5_n_0\ : STD_LOGIC;
signal \counter[16]_i_2_n_0\ : STD_LOGIC;
signal \counter[16]_i_3_n_0\ : STD_LOGIC;
signal \counter[16]_i_4_n_0\ : STD_LOGIC;
signal \counter[16]_i_5_n_0\ : STD_LOGIC;
signal \counter[20]_i_2_n_0\ : STD_LOGIC;
signal \counter[20]_i_3_n_0\ : STD_LOGIC;
signal \counter[20]_i_4_n_0\ : STD_LOGIC;
signal \counter[20]_i_5_n_0\ : STD_LOGIC;
signal \counter[24]_i_2_n_0\ : STD_LOGIC;
signal \counter[24]_i_3_n_0\ : STD_LOGIC;
signal \counter[24]_i_4_n_0\ : STD_LOGIC;
signal \counter[24]_i_5_n_0\ : STD_LOGIC;
signal \counter[28]_i_2_n_0\ : STD_LOGIC;
signal \counter[28]_i_3_n_0\ : STD_LOGIC;
signal \counter[28]_i_4_n_0\ : STD_LOGIC;
signal \counter[28]_i_5_n_0\ : STD_LOGIC;
signal \counter[4]_i_2_n_0\ : STD_LOGIC;
signal \counter[4]_i_3_n_0\ : STD_LOGIC;
signal \counter[4]_i_4_n_0\ : STD_LOGIC;
signal \counter[4]_i_5_n_0\ : STD_LOGIC;
signal \counter[8]_i_2_n_0\ : STD_LOGIC;
signal \counter[8]_i_3_n_0\ : STD_LOGIC;
signal \counter[8]_i_4_n_0\ : STD_LOGIC;
signal \counter[8]_i_5_n_0\ : STD_LOGIC;
signal counter_reg : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \counter_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[0]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[24]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[28]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[8]_i_1_n_7\ : STD_LOGIC;
signal \i__carry__0_i_10_n_0\ : STD_LOGIC;
signal \i__carry__0_i_11_n_0\ : STD_LOGIC;
signal \i__carry__0_i_12_n_0\ : STD_LOGIC;
signal \i__carry__0_i_13_n_0\ : STD_LOGIC;
signal \i__carry__0_i_14_n_0\ : STD_LOGIC;
signal \i__carry__0_i_15_n_0\ : STD_LOGIC;
signal \i__carry__0_i_16_n_0\ : STD_LOGIC;
signal \i__carry__0_i_1_n_0\ : STD_LOGIC;
signal \i__carry__0_i_1_n_1\ : STD_LOGIC;
signal \i__carry__0_i_1_n_2\ : STD_LOGIC;
signal \i__carry__0_i_1_n_3\ : STD_LOGIC;
signal \i__carry__0_i_2_n_0\ : STD_LOGIC;
signal \i__carry__0_i_3_n_0\ : STD_LOGIC;
signal \i__carry__0_i_4_n_0\ : STD_LOGIC;
signal \i__carry__0_i_5_n_0\ : STD_LOGIC;
signal \i__carry__0_i_6_n_0\ : STD_LOGIC;
signal \i__carry__0_i_7_n_0\ : STD_LOGIC;
signal \i__carry__0_i_8_n_0\ : STD_LOGIC;
signal \i__carry__0_i_8_n_1\ : STD_LOGIC;
signal \i__carry__0_i_8_n_2\ : STD_LOGIC;
signal \i__carry__0_i_8_n_3\ : STD_LOGIC;
signal \i__carry__0_i_9_n_0\ : STD_LOGIC;
signal \i__carry__1_i_10_n_0\ : STD_LOGIC;
signal \i__carry__1_i_11_n_0\ : STD_LOGIC;
signal \i__carry__1_i_12_n_0\ : STD_LOGIC;
signal \i__carry__1_i_13_n_0\ : STD_LOGIC;
signal \i__carry__1_i_14_n_0\ : STD_LOGIC;
signal \i__carry__1_i_1_n_0\ : STD_LOGIC;
signal \i__carry__1_i_1_n_1\ : STD_LOGIC;
signal \i__carry__1_i_1_n_2\ : STD_LOGIC;
signal \i__carry__1_i_1_n_3\ : STD_LOGIC;
signal \i__carry__1_i_2_n_0\ : STD_LOGIC;
signal \i__carry__1_i_2_n_1\ : STD_LOGIC;
signal \i__carry__1_i_2_n_2\ : STD_LOGIC;
signal \i__carry__1_i_2_n_3\ : STD_LOGIC;
signal \i__carry__1_i_3_n_0\ : STD_LOGIC;
signal \i__carry__1_i_4_n_0\ : STD_LOGIC;
signal \i__carry__1_i_5_n_0\ : STD_LOGIC;
signal \i__carry__1_i_6_n_0\ : STD_LOGIC;
signal \i__carry__1_i_7_n_0\ : STD_LOGIC;
signal \i__carry__1_i_8_n_0\ : STD_LOGIC;
signal \i__carry__1_i_9_n_0\ : STD_LOGIC;
signal \i__carry__2_i_10_n_0\ : STD_LOGIC;
signal \i__carry__2_i_11_n_0\ : STD_LOGIC;
signal \i__carry__2_i_12_n_0\ : STD_LOGIC;
signal \i__carry__2_i_13_n_0\ : STD_LOGIC;
signal \i__carry__2_i_14_n_0\ : STD_LOGIC;
signal \i__carry__2_i_15_n_0\ : STD_LOGIC;
signal \i__carry__2_i_16_n_0\ : STD_LOGIC;
signal \i__carry__2_i_1_n_0\ : STD_LOGIC;
signal \i__carry__2_i_2_n_0\ : STD_LOGIC;
signal \i__carry__2_i_3_n_0\ : STD_LOGIC;
signal \i__carry__2_i_4_n_0\ : STD_LOGIC;
signal \i__carry__2_i_4_n_1\ : STD_LOGIC;
signal \i__carry__2_i_4_n_2\ : STD_LOGIC;
signal \i__carry__2_i_4_n_3\ : STD_LOGIC;
signal \i__carry__2_i_5_n_0\ : STD_LOGIC;
signal \i__carry__2_i_6_n_0\ : STD_LOGIC;
signal \i__carry__2_i_7_n_0\ : STD_LOGIC;
signal \i__carry__2_i_8_n_0\ : STD_LOGIC;
signal \i__carry__2_i_9_n_2\ : STD_LOGIC;
signal \i__carry__2_i_9_n_3\ : STD_LOGIC;
signal \i__carry_i_10_n_0\ : STD_LOGIC;
signal \i__carry_i_11_n_0\ : STD_LOGIC;
signal \i__carry_i_12_n_0\ : STD_LOGIC;
signal \i__carry_i_13_n_0\ : STD_LOGIC;
signal \i__carry_i_14_n_0\ : STD_LOGIC;
signal \i__carry_i_15_n_0\ : STD_LOGIC;
signal \i__carry_i_16_n_0\ : STD_LOGIC;
signal \i__carry_i_17_n_0\ : STD_LOGIC;
signal \i__carry_i_1_n_0\ : STD_LOGIC;
signal \i__carry_i_1_n_1\ : STD_LOGIC;
signal \i__carry_i_1_n_2\ : STD_LOGIC;
signal \i__carry_i_1_n_3\ : STD_LOGIC;
signal \i__carry_i_2_n_0\ : STD_LOGIC;
signal \i__carry_i_3_n_0\ : STD_LOGIC;
signal \i__carry_i_4_n_0\ : STD_LOGIC;
signal \i__carry_i_5_n_0\ : STD_LOGIC;
signal \i__carry_i_6_n_0\ : STD_LOGIC;
signal \i__carry_i_7_n_0\ : STD_LOGIC;
signal \i__carry_i_8_n_0\ : STD_LOGIC;
signal \i__carry_i_9_n_0\ : STD_LOGIC;
signal \i__carry_i_9_n_1\ : STD_LOGIC;
signal \i__carry_i_9_n_2\ : STD_LOGIC;
signal \i__carry_i_9_n_3\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 31 downto 0 );
signal p_0_out : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 31 downto 1 );
signal NLW_angle1_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_angle1_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_angle1_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_angle1_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_angle2_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_angle2_carry__6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_counter0_inferred__0/i__carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter0_inferred__0/i__carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter0_inferred__0/i__carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter0_inferred__0/i__carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter_reg[28]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_i__carry__2_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_i__carry__2_i_9_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \a01[29]_i_11\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \a01[29]_i_6\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \a01[29]_i_9\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \angle[10]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \angle[11]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \angle[12]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \angle[13]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \angle[14]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \angle[15]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \angle[16]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \angle[17]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \angle[18]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \angle[19]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \angle[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \angle[20]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \angle[21]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \angle[22]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \angle[23]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \angle[24]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \angle[25]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \angle[26]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \angle[27]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \angle[28]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \angle[29]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \angle[2]_i_1\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \angle[30]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \angle[31]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \angle[3]_i_1\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \angle[4]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \angle[5]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \angle[7]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \angle[8]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \angle[9]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \cosine[10]_i_2\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \cosine[12]_i_3\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \cosine[14]_i_2\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \cosine[19]_i_10\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \cosine[19]_i_11\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \cosine[19]_i_12\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \cosine[19]_i_2\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \cosine[19]_i_5\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \cosine[19]_i_7\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \cosine[19]_i_9\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \cosine[24]_i_3\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \cosine[24]_i_5\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \cosine[24]_i_7\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \cosine[24]_i_8\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \cosine[24]_i_9\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \cosine[29]_i_10\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \cosine[29]_i_11\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \cosine[29]_i_13\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \cosine[29]_i_14\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \cosine[29]_i_15\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \cosine[29]_i_16\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \cosine[29]_i_17\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \cosine[29]_i_18\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \cosine[29]_i_19\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \cosine[29]_i_2\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \cosine[29]_i_20\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \cosine[29]_i_21\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \cosine[29]_i_22\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \cosine[29]_i_23\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \cosine[29]_i_24\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \cosine[29]_i_25\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cosine[29]_i_26\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \cosine[29]_i_27\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \cosine[29]_i_28\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \cosine[29]_i_29\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \cosine[29]_i_3\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \cosine[29]_i_30\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \cosine[29]_i_31\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \cosine[29]_i_32\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cosine[29]_i_33\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \cosine[29]_i_34\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \cosine[29]_i_35\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \cosine[29]_i_9\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \cosine[4]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \cosine[6]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \cosine[7]_i_2\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \cosine[7]_i_3\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \cosine[7]_i_5\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \cosine[8]_i_3\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \cosine[9]_i_4\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \cosine[9]_i_5\ : label is "soft_lutpair34";
begin
a01(29 downto 0) <= \^a01\(29 downto 0);
\a01[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E291D5F7E6B39180"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(0),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[0]_i_1_n_0\
);
\a01[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"D1F791A29191E6C4"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(10),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[10]_i_1_n_0\
);
\a01[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"D180F7F7F7E6E6A2"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(11),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_3_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[11]_i_1_n_0\
);
\a01[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F3A2F7C4F7F7E6C4"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(12),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[12]_i_1_n_0\
);
\a01[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E2B3D5C4A2B3A2A2"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(13),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[13]_i_1_n_0\
);
\a01[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"D1F780F7C4C48080"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(14),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_3_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[14]_i_1_n_0\
);
\a01[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EBCBE98A23436102"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[25]_i_4_n_0\,
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[29]_i_3_n_0\,
I5 => \^a01\(15),
O => \a01[15]_i_1_n_0\
);
\a01[16]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E2A2B3C4C4C491A2"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(16),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_3_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[16]_i_1_n_0\
);
\a01[17]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C0D580B3C4A2D5E6"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(17),
I3 => \a01[29]_i_3_n_0\,
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[17]_i_1_n_0\
);
\a01[18]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EE269B13DF57FE76"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_4_n_0\,
I3 => \^a01\(18),
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[18]_i_1_n_0\
);
\a01[19]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E2F7C4D5C4F79180"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(19),
I3 => \a01[29]_i_3_n_0\,
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[19]_i_1_n_0\
);
\a01[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E2A2A2A2A29191E6"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(1),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[1]_i_1_n_0\
);
\a01[20]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF37FC74CE46A820"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_4_n_0\,
I3 => \^a01\(20),
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[20]_i_1_n_0\
);
\a01[21]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD15CE46EC64EC64"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_3_n_0\,
I3 => \^a01\(21),
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[25]_i_4_n_0\,
O => \a01[21]_i_1_n_0\
);
\a01[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE36FC74DC54CC44"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_3_n_0\,
I3 => \^a01\(22),
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[25]_i_4_n_0\,
O => \a01[22]_i_1_n_0\
);
\a01[23]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"D898101099991111"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[25]_i_4_n_0\,
I3 => \a01[29]_i_4_n_0\,
I4 => \^a01\(23),
I5 => \a01[29]_i_3_n_0\,
O => \a01[23]_i_1_n_0\
);
\a01[24]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EE26EE66EF67EF67"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_3_n_0\,
I3 => \^a01\(24),
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[25]_i_4_n_0\,
O => \a01[24]_i_1_n_0\
);
\a01[25]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF37FF77FE76FF77"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_3_n_0\,
I3 => \^a01\(25),
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[25]_i_4_n_0\,
O => \a01[25]_i_1_n_0\
);
\a01[25]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000004000"
)
port map (
I0 => \cosine[29]_i_3_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_7_n_0\,
I3 => \cosine[19]_i_5_n_0\,
I4 => \a01[29]_i_6_n_0\,
I5 => \cosine[19]_i_6_n_0\,
O => \a01[25]_i_2_n_0\
);
\a01[25]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFDFFFF"
)
port map (
I0 => \cosine[29]_i_7_n_0\,
I1 => \cosine[29]_i_3_n_0\,
I2 => \cosine[24]_i_3_n_0\,
I3 => \a01[25]_i_5_n_0\,
I4 => \cosine[19]_i_5_n_0\,
I5 => \cosine[19]_i_6_n_0\,
O => \a01[25]_i_3_n_0\
);
\a01[25]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \a01[29]_i_7_n_0\,
I1 => \cosine[7]_i_5_n_0\,
I2 => \cosine[25]_i_6_n_0\,
I3 => \cosine[29]_i_13_n_0\,
I4 => \cosine[25]_i_4_n_0\,
I5 => \cosine[7]_i_3_n_0\,
O => \a01[25]_i_4_n_0\
);
\a01[25]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(7),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(7),
I5 => \cosine[25]_i_5_n_0\,
O => \a01[25]_i_5_n_0\
);
\a01[26]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FBFFF5F5"
)
port map (
I0 => \a01[29]_i_2_n_0\,
I1 => \a01[29]_i_3_n_0\,
I2 => \^a01\(26),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[29]_i_5_n_0\,
O => \a01[26]_i_1_n_0\
);
\a01[27]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FBFFF5F5"
)
port map (
I0 => \a01[29]_i_2_n_0\,
I1 => \a01[29]_i_3_n_0\,
I2 => \^a01\(27),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[29]_i_5_n_0\,
O => \a01[27]_i_1_n_0\
);
\a01[28]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FBFFF5F5"
)
port map (
I0 => \a01[29]_i_2_n_0\,
I1 => \a01[29]_i_3_n_0\,
I2 => \^a01\(28),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[29]_i_5_n_0\,
O => \a01[28]_i_1_n_0\
);
\a01[29]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FBFFF5F5"
)
port map (
I0 => \a01[29]_i_2_n_0\,
I1 => \a01[29]_i_3_n_0\,
I2 => \^a01\(29),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[29]_i_5_n_0\,
O => \a01[29]_i_1_n_0\
);
\a01[29]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \cosine[24]_i_7_n_0\,
I1 => \cosine[24]_i_9_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[29]_i_13_n_0\,
I4 => \cosine[25]_i_6_n_0\,
I5 => \cosine[7]_i_5_n_0\,
O => \a01[29]_i_10_n_0\
);
\a01[29]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \cosine[29]_i_7_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_9_n_0\,
I3 => \cosine[29]_i_11_n_0\,
O => \a01[29]_i_11_n_0\
);
\a01[29]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFF7FFFFFFFF"
)
port map (
I0 => \cosine[29]_i_30_n_0\,
I1 => \cosine[29]_i_10_n_0\,
I2 => \cosine[24]_i_3_n_0\,
I3 => \cosine[29]_i_17_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[19]_i_5_n_0\,
O => \a01[29]_i_12_n_0\
);
\a01[29]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"00040000"
)
port map (
I0 => \cosine[19]_i_6_n_0\,
I1 => \cosine[19]_i_5_n_0\,
I2 => \a01[29]_i_6_n_0\,
I3 => \cosine[29]_i_3_n_0\,
I4 => \cosine[29]_i_7_n_0\,
O => \a01[29]_i_2_n_0\
);
\a01[29]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \a01[29]_i_7_n_0\,
I1 => \cosine[7]_i_5_n_0\,
I2 => \cosine[25]_i_6_n_0\,
I3 => \cosine[29]_i_13_n_0\,
I4 => \cosine[29]_i_4_n_0\,
I5 => \cosine[7]_i_3_n_0\,
O => \a01[29]_i_3_n_0\
);
\a01[29]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \a01[29]_i_7_n_0\,
I1 => \cosine[7]_i_5_n_0\,
I2 => \cosine[25]_i_6_n_0\,
I3 => \cosine[29]_i_13_n_0\,
I4 => \cosine[29]_i_5_n_0\,
I5 => \cosine[7]_i_3_n_0\,
O => \a01[29]_i_4_n_0\
);
\a01[29]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF1FFF1FFF11111"
)
port map (
I0 => \a01[29]_i_8_n_0\,
I1 => \a01[29]_i_9_n_0\,
I2 => \a01[29]_i_7_n_0\,
I3 => \a01[29]_i_10_n_0\,
I4 => \a01[29]_i_11_n_0\,
I5 => \a01[29]_i_12_n_0\,
O => \a01[29]_i_5_n_0\
);
\a01[29]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => \cosine[25]_i_5_n_0\,
I1 => \cosine[29]_i_17_n_0\,
I2 => \cosine[24]_i_3_n_0\,
O => \a01[29]_i_6_n_0\
);
\a01[29]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \cosine[9]_i_6_n_0\,
I1 => \cosine[29]_i_14_n_0\,
I2 => \cosine[29]_i_16_n_0\,
I3 => \cosine[24]_i_8_n_0\,
I4 => \cosine[9]_i_5_n_0\,
O => \a01[29]_i_7_n_0\
);
\a01[29]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFF7F"
)
port map (
I0 => \cosine[29]_i_30_n_0\,
I1 => \cosine[29]_i_10_n_0\,
I2 => \cosine[19]_i_5_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[29]_i_17_n_0\,
I5 => \cosine[24]_i_3_n_0\,
O => \a01[29]_i_8_n_0\
);
\a01[29]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cosine[29]_i_9_n_0\,
I1 => \cosine[29]_i_11_n_0\,
I2 => \cosine[29]_i_7_n_0\,
O => \a01[29]_i_9_n_0\
);
\a01[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F3D5E691D5C4F7C4"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(2),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[2]_i_1_n_0\
);
\a01[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C0D5B3A2C4F7E6E6"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(3),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[3]_i_1_n_0\
);
\a01[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F3E680B3C4F7E6C4"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(4),
I3 => \a01[29]_i_3_n_0\,
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[4]_i_1_n_0\
);
\a01[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C0A2C4D580F7A280"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(5),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_3_n_0\,
I5 => \a01[29]_i_4_n_0\,
O => \a01[5]_i_1_n_0\
);
\a01[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EDBD2535DDDE5556"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \a01[29]_i_3_n_0\,
I3 => \a01[29]_i_4_n_0\,
I4 => \^a01\(6),
I5 => \a01[25]_i_4_n_0\,
O => \a01[6]_i_1_n_0\
);
\a01[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F391B3A2C4C4A2A2"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(7),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[7]_i_1_n_0\
);
\a01[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E2E6B3B3B3E680C4"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(8),
I3 => \a01[25]_i_4_n_0\,
I4 => \a01[29]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[8]_i_1_n_0\
);
\a01[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F3B39191A2A2C4C4"
)
port map (
I0 => \a01[25]_i_2_n_0\,
I1 => \a01[25]_i_3_n_0\,
I2 => \^a01\(9),
I3 => \a01[29]_i_4_n_0\,
I4 => \a01[25]_i_4_n_0\,
I5 => \a01[29]_i_3_n_0\,
O => \a01[9]_i_1_n_0\
);
\a01_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[0]_i_1_n_0\,
Q => \^a01\(0),
R => '0'
);
\a01_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[10]_i_1_n_0\,
Q => \^a01\(10),
R => '0'
);
\a01_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[11]_i_1_n_0\,
Q => \^a01\(11),
R => '0'
);
\a01_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[12]_i_1_n_0\,
Q => \^a01\(12),
R => '0'
);
\a01_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[13]_i_1_n_0\,
Q => \^a01\(13),
R => '0'
);
\a01_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[14]_i_1_n_0\,
Q => \^a01\(14),
R => '0'
);
\a01_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[15]_i_1_n_0\,
Q => \^a01\(15),
R => '0'
);
\a01_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[16]_i_1_n_0\,
Q => \^a01\(16),
R => '0'
);
\a01_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[17]_i_1_n_0\,
Q => \^a01\(17),
R => '0'
);
\a01_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[18]_i_1_n_0\,
Q => \^a01\(18),
R => '0'
);
\a01_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[19]_i_1_n_0\,
Q => \^a01\(19),
R => '0'
);
\a01_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[1]_i_1_n_0\,
Q => \^a01\(1),
R => '0'
);
\a01_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[20]_i_1_n_0\,
Q => \^a01\(20),
R => '0'
);
\a01_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[21]_i_1_n_0\,
Q => \^a01\(21),
R => '0'
);
\a01_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[22]_i_1_n_0\,
Q => \^a01\(22),
R => '0'
);
\a01_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[23]_i_1_n_0\,
Q => \^a01\(23),
R => '0'
);
\a01_reg[24]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[24]_i_1_n_0\,
Q => \^a01\(24),
R => '0'
);
\a01_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[25]_i_1_n_0\,
Q => \^a01\(25),
R => '0'
);
\a01_reg[26]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[26]_i_1_n_0\,
Q => \^a01\(26),
R => '0'
);
\a01_reg[27]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[27]_i_1_n_0\,
Q => \^a01\(27),
R => '0'
);
\a01_reg[28]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[28]_i_1_n_0\,
Q => \^a01\(28),
R => '0'
);
\a01_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[29]_i_1_n_0\,
Q => \^a01\(29),
R => '0'
);
\a01_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[2]_i_1_n_0\,
Q => \^a01\(2),
R => '0'
);
\a01_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[3]_i_1_n_0\,
Q => \^a01\(3),
R => '0'
);
\a01_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[4]_i_1_n_0\,
Q => \^a01\(4),
R => '0'
);
\a01_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[5]_i_1_n_0\,
Q => \^a01\(5),
R => '0'
);
\a01_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[6]_i_1_n_0\,
Q => \^a01\(6),
R => '0'
);
\a01_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[7]_i_1_n_0\,
Q => \^a01\(7),
R => '0'
);
\a01_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[8]_i_1_n_0\,
Q => \^a01\(8),
R => '0'
);
\a01_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \a01[9]_i_1_n_0\,
Q => \^a01\(9),
R => '0'
);
angle1_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => angle1_carry_n_0,
CO(2) => angle1_carry_n_1,
CO(1) => angle1_carry_n_2,
CO(0) => angle1_carry_n_3,
CYINIT => '1',
DI(3) => p_1_in(7),
DI(2) => p_1_in(5),
DI(1) => angle1_carry_i_1_n_0,
DI(0) => '0',
O(3 downto 0) => NLW_angle1_carry_O_UNCONNECTED(3 downto 0),
S(3) => angle1_carry_i_2_n_0,
S(2) => angle1_carry_i_3_n_0,
S(1) => angle1_carry_i_4_n_0,
S(0) => angle1_carry_i_5_n_0
);
\angle1_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => angle1_carry_n_0,
CO(3) => \angle1_carry__0_n_0\,
CO(2) => \angle1_carry__0_n_1\,
CO(1) => \angle1_carry__0_n_2\,
CO(0) => \angle1_carry__0_n_3\,
CYINIT => '0',
DI(3) => \angle1_carry__0_i_1_n_0\,
DI(2) => \angle1_carry__0_i_2_n_0\,
DI(1) => \angle1_carry__0_i_3_n_0\,
DI(0) => \angle1_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_angle1_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \angle1_carry__0_i_5_n_0\,
S(2) => \angle1_carry__0_i_6_n_0\,
S(1) => \angle1_carry__0_i_7_n_0\,
S(0) => \angle1_carry__0_i_8_n_0\
);
\angle1_carry__0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(14),
I1 => p_1_in(15),
O => \angle1_carry__0_i_1_n_0\
);
\angle1_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(12),
I1 => p_1_in(13),
O => \angle1_carry__0_i_2_n_0\
);
\angle1_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(10),
I1 => p_1_in(11),
O => \angle1_carry__0_i_3_n_0\
);
\angle1_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(8),
I1 => p_1_in(9),
O => \angle1_carry__0_i_4_n_0\
);
\angle1_carry__0_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(14),
I1 => p_1_in(15),
O => \angle1_carry__0_i_5_n_0\
);
\angle1_carry__0_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(12),
I1 => p_1_in(13),
O => \angle1_carry__0_i_6_n_0\
);
\angle1_carry__0_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(10),
I1 => p_1_in(11),
O => \angle1_carry__0_i_7_n_0\
);
\angle1_carry__0_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(8),
I1 => p_1_in(9),
O => \angle1_carry__0_i_8_n_0\
);
\angle1_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \angle1_carry__0_n_0\,
CO(3) => \angle1_carry__1_n_0\,
CO(2) => \angle1_carry__1_n_1\,
CO(1) => \angle1_carry__1_n_2\,
CO(0) => \angle1_carry__1_n_3\,
CYINIT => '0',
DI(3) => \angle1_carry__1_i_1_n_0\,
DI(2) => \angle1_carry__1_i_2_n_0\,
DI(1) => \angle1_carry__1_i_3_n_0\,
DI(0) => \angle1_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_angle1_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \angle1_carry__1_i_5_n_0\,
S(2) => \angle1_carry__1_i_6_n_0\,
S(1) => \angle1_carry__1_i_7_n_0\,
S(0) => \angle1_carry__1_i_8_n_0\
);
\angle1_carry__1_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(22),
I1 => p_1_in(23),
O => \angle1_carry__1_i_1_n_0\
);
\angle1_carry__1_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(20),
I1 => p_1_in(21),
O => \angle1_carry__1_i_2_n_0\
);
\angle1_carry__1_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(18),
I1 => p_1_in(19),
O => \angle1_carry__1_i_3_n_0\
);
\angle1_carry__1_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(16),
I1 => p_1_in(17),
O => \angle1_carry__1_i_4_n_0\
);
\angle1_carry__1_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(22),
I1 => p_1_in(23),
O => \angle1_carry__1_i_5_n_0\
);
\angle1_carry__1_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(20),
I1 => p_1_in(21),
O => \angle1_carry__1_i_6_n_0\
);
\angle1_carry__1_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(18),
I1 => p_1_in(19),
O => \angle1_carry__1_i_7_n_0\
);
\angle1_carry__1_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(16),
I1 => p_1_in(17),
O => \angle1_carry__1_i_8_n_0\
);
\angle1_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \angle1_carry__1_n_0\,
CO(3) => \angle1_carry__2_n_0\,
CO(2) => \angle1_carry__2_n_1\,
CO(1) => \angle1_carry__2_n_2\,
CO(0) => \angle1_carry__2_n_3\,
CYINIT => '0',
DI(3) => \angle1_carry__2_i_1_n_0\,
DI(2) => \angle1_carry__2_i_2_n_0\,
DI(1) => \angle1_carry__2_i_3_n_0\,
DI(0) => \angle1_carry__2_i_4_n_0\,
O(3 downto 0) => \NLW_angle1_carry__2_O_UNCONNECTED\(3 downto 0),
S(3) => \angle1_carry__2_i_5_n_0\,
S(2) => \angle1_carry__2_i_6_n_0\,
S(1) => \angle1_carry__2_i_7_n_0\,
S(0) => \angle1_carry__2_i_8_n_0\
);
\angle1_carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(30),
I1 => p_1_in(31),
O => \angle1_carry__2_i_1_n_0\
);
\angle1_carry__2_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(28),
I1 => p_1_in(29),
O => \angle1_carry__2_i_2_n_0\
);
\angle1_carry__2_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(26),
I1 => p_1_in(27),
O => \angle1_carry__2_i_3_n_0\
);
\angle1_carry__2_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_1_in(24),
I1 => p_1_in(25),
O => \angle1_carry__2_i_4_n_0\
);
\angle1_carry__2_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(30),
I1 => p_1_in(31),
O => \angle1_carry__2_i_5_n_0\
);
\angle1_carry__2_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(28),
I1 => p_1_in(29),
O => \angle1_carry__2_i_6_n_0\
);
\angle1_carry__2_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(26),
I1 => p_1_in(27),
O => \angle1_carry__2_i_7_n_0\
);
\angle1_carry__2_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_1_in(24),
I1 => p_1_in(25),
O => \angle1_carry__2_i_8_n_0\
);
angle1_carry_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_1_in(2),
I1 => p_1_in(3),
O => angle1_carry_i_1_n_0
);
angle1_carry_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(6),
I1 => p_1_in(7),
O => angle1_carry_i_2_n_0
);
angle1_carry_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(4),
I1 => p_1_in(5),
O => angle1_carry_i_3_n_0
);
angle1_carry_i_4: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(3),
I1 => p_1_in(2),
O => angle1_carry_i_4_n_0
);
angle1_carry_i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(1),
O => angle1_carry_i_5_n_0
);
angle2_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => angle2_carry_n_0,
CO(2) => angle2_carry_n_1,
CO(1) => angle2_carry_n_2,
CO(0) => angle2_carry_n_3,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => angle(2),
DI(0) => '0',
O(3 downto 0) => p_1_in(4 downto 1),
S(3) => angle2_carry_i_1_n_0,
S(2) => angle2_carry_i_2_n_0,
S(1) => angle2_carry_i_3_n_0,
S(0) => angle2_carry_i_4_n_0
);
\angle2_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => angle2_carry_n_0,
CO(3) => \angle2_carry__0_n_0\,
CO(2) => \angle2_carry__0_n_1\,
CO(1) => \angle2_carry__0_n_2\,
CO(0) => \angle2_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_1_in(8 downto 5),
S(3) => \angle2_carry__0_i_1_n_0\,
S(2) => \angle2_carry__0_i_2_n_0\,
S(1) => \angle2_carry__0_i_3_n_0\,
S(0) => \angle2_carry__0_i_4_n_0\
);
\angle2_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(8),
O => \angle2_carry__0_i_1_n_0\
);
\angle2_carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(7),
O => \angle2_carry__0_i_2_n_0\
);
\angle2_carry__0_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(6),
O => \angle2_carry__0_i_3_n_0\
);
\angle2_carry__0_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(5),
O => \angle2_carry__0_i_4_n_0\
);
\angle2_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \angle2_carry__0_n_0\,
CO(3) => \angle2_carry__1_n_0\,
CO(2) => \angle2_carry__1_n_1\,
CO(1) => \angle2_carry__1_n_2\,
CO(0) => \angle2_carry__1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_1_in(12 downto 9),
S(3) => \angle2_carry__1_i_1_n_0\,
S(2) => \angle2_carry__1_i_2_n_0\,
S(1) => \angle2_carry__1_i_3_n_0\,
S(0) => \angle2_carry__1_i_4_n_0\
);
\angle2_carry__1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(12),
O => \angle2_carry__1_i_1_n_0\
);
\angle2_carry__1_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(11),
O => \angle2_carry__1_i_2_n_0\
);
\angle2_carry__1_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(10),
O => \angle2_carry__1_i_3_n_0\
);
\angle2_carry__1_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(9),
O => \angle2_carry__1_i_4_n_0\
);
\angle2_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \angle2_carry__1_n_0\,
CO(3) => \angle2_carry__2_n_0\,
CO(2) => \angle2_carry__2_n_1\,
CO(1) => \angle2_carry__2_n_2\,
CO(0) => \angle2_carry__2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_1_in(16 downto 13),
S(3) => \angle2_carry__2_i_1_n_0\,
S(2) => \angle2_carry__2_i_2_n_0\,
S(1) => \angle2_carry__2_i_3_n_0\,
S(0) => \angle2_carry__2_i_4_n_0\
);
\angle2_carry__2_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(16),
O => \angle2_carry__2_i_1_n_0\
);
\angle2_carry__2_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(15),
O => \angle2_carry__2_i_2_n_0\
);
\angle2_carry__2_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(14),
O => \angle2_carry__2_i_3_n_0\
);
\angle2_carry__2_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(13),
O => \angle2_carry__2_i_4_n_0\
);
\angle2_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => \angle2_carry__2_n_0\,
CO(3) => \angle2_carry__3_n_0\,
CO(2) => \angle2_carry__3_n_1\,
CO(1) => \angle2_carry__3_n_2\,
CO(0) => \angle2_carry__3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_1_in(20 downto 17),
S(3) => \angle2_carry__3_i_1_n_0\,
S(2) => \angle2_carry__3_i_2_n_0\,
S(1) => \angle2_carry__3_i_3_n_0\,
S(0) => \angle2_carry__3_i_4_n_0\
);
\angle2_carry__3_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(20),
O => \angle2_carry__3_i_1_n_0\
);
\angle2_carry__3_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(19),
O => \angle2_carry__3_i_2_n_0\
);
\angle2_carry__3_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(18),
O => \angle2_carry__3_i_3_n_0\
);
\angle2_carry__3_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(17),
O => \angle2_carry__3_i_4_n_0\
);
\angle2_carry__4\: unisim.vcomponents.CARRY4
port map (
CI => \angle2_carry__3_n_0\,
CO(3) => \angle2_carry__4_n_0\,
CO(2) => \angle2_carry__4_n_1\,
CO(1) => \angle2_carry__4_n_2\,
CO(0) => \angle2_carry__4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_1_in(24 downto 21),
S(3) => \angle2_carry__4_i_1_n_0\,
S(2) => \angle2_carry__4_i_2_n_0\,
S(1) => \angle2_carry__4_i_3_n_0\,
S(0) => \angle2_carry__4_i_4_n_0\
);
\angle2_carry__4_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(24),
O => \angle2_carry__4_i_1_n_0\
);
\angle2_carry__4_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(23),
O => \angle2_carry__4_i_2_n_0\
);
\angle2_carry__4_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(22),
O => \angle2_carry__4_i_3_n_0\
);
\angle2_carry__4_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(21),
O => \angle2_carry__4_i_4_n_0\
);
\angle2_carry__5\: unisim.vcomponents.CARRY4
port map (
CI => \angle2_carry__4_n_0\,
CO(3) => \angle2_carry__5_n_0\,
CO(2) => \angle2_carry__5_n_1\,
CO(1) => \angle2_carry__5_n_2\,
CO(0) => \angle2_carry__5_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_1_in(28 downto 25),
S(3) => \angle2_carry__5_i_1_n_0\,
S(2) => \angle2_carry__5_i_2_n_0\,
S(1) => \angle2_carry__5_i_3_n_0\,
S(0) => \angle2_carry__5_i_4_n_0\
);
\angle2_carry__5_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(28),
O => \angle2_carry__5_i_1_n_0\
);
\angle2_carry__5_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(27),
O => \angle2_carry__5_i_2_n_0\
);
\angle2_carry__5_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(26),
O => \angle2_carry__5_i_3_n_0\
);
\angle2_carry__5_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(25),
O => \angle2_carry__5_i_4_n_0\
);
\angle2_carry__6\: unisim.vcomponents.CARRY4
port map (
CI => \angle2_carry__5_n_0\,
CO(3 downto 2) => \NLW_angle2_carry__6_CO_UNCONNECTED\(3 downto 2),
CO(1) => \angle2_carry__6_n_2\,
CO(0) => \angle2_carry__6_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \NLW_angle2_carry__6_O_UNCONNECTED\(3),
O(2 downto 0) => p_1_in(31 downto 29),
S(3) => '0',
S(2) => \angle2_carry__6_i_1_n_0\,
S(1) => \angle2_carry__6_i_2_n_0\,
S(0) => \angle2_carry__6_i_3_n_0\
);
\angle2_carry__6_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(31),
O => \angle2_carry__6_i_1_n_0\
);
\angle2_carry__6_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(30),
O => \angle2_carry__6_i_2_n_0\
);
\angle2_carry__6_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(29),
O => \angle2_carry__6_i_3_n_0\
);
angle2_carry_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(4),
O => angle2_carry_i_1_n_0
);
angle2_carry_i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(3),
O => angle2_carry_i_2_n_0
);
angle2_carry_i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => angle(2),
O => angle2_carry_i_3_n_0
);
angle2_carry_i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => angle(1),
O => angle2_carry_i_4_n_0
);
\angle[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(10),
I1 => \angle1_carry__2_n_0\,
O => \angle[10]_i_1_n_0\
);
\angle[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(11),
I1 => \angle1_carry__2_n_0\,
O => \angle[11]_i_1_n_0\
);
\angle[12]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(12),
I1 => \angle1_carry__2_n_0\,
O => \angle[12]_i_1_n_0\
);
\angle[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(13),
I1 => \angle1_carry__2_n_0\,
O => \angle[13]_i_1_n_0\
);
\angle[14]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(14),
I1 => \angle1_carry__2_n_0\,
O => \angle[14]_i_1_n_0\
);
\angle[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(15),
I1 => \angle1_carry__2_n_0\,
O => \angle[15]_i_1_n_0\
);
\angle[16]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(16),
I1 => \angle1_carry__2_n_0\,
O => \angle[16]_i_1_n_0\
);
\angle[17]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(17),
I1 => \angle1_carry__2_n_0\,
O => \angle[17]_i_1_n_0\
);
\angle[18]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(18),
I1 => \angle1_carry__2_n_0\,
O => \angle[18]_i_1_n_0\
);
\angle[19]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(19),
I1 => \angle1_carry__2_n_0\,
O => \angle[19]_i_1_n_0\
);
\angle[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(1),
I1 => \angle1_carry__2_n_0\,
O => \angle[1]_i_1_n_0\
);
\angle[20]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(20),
I1 => \angle1_carry__2_n_0\,
O => \angle[20]_i_1_n_0\
);
\angle[21]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(21),
I1 => \angle1_carry__2_n_0\,
O => \angle[21]_i_1_n_0\
);
\angle[22]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(22),
I1 => \angle1_carry__2_n_0\,
O => \angle[22]_i_1_n_0\
);
\angle[23]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(23),
I1 => \angle1_carry__2_n_0\,
O => \angle[23]_i_1_n_0\
);
\angle[24]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(24),
I1 => \angle1_carry__2_n_0\,
O => \angle[24]_i_1_n_0\
);
\angle[25]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(25),
I1 => \angle1_carry__2_n_0\,
O => \angle[25]_i_1_n_0\
);
\angle[26]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(26),
I1 => \angle1_carry__2_n_0\,
O => \angle[26]_i_1_n_0\
);
\angle[27]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(27),
I1 => \angle1_carry__2_n_0\,
O => \angle[27]_i_1_n_0\
);
\angle[28]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(28),
I1 => \angle1_carry__2_n_0\,
O => \angle[28]_i_1_n_0\
);
\angle[29]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(29),
I1 => \angle1_carry__2_n_0\,
O => \angle[29]_i_1_n_0\
);
\angle[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(2),
I1 => \angle1_carry__2_n_0\,
O => \angle[2]_i_1_n_0\
);
\angle[30]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(30),
I1 => \angle1_carry__2_n_0\,
O => \angle[30]_i_1_n_0\
);
\angle[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(31),
I1 => \angle1_carry__2_n_0\,
O => \angle[31]_i_1_n_0\
);
\angle[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(3),
I1 => \angle1_carry__2_n_0\,
O => \angle[3]_i_1_n_0\
);
\angle[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(4),
I1 => \angle1_carry__2_n_0\,
O => \angle[4]_i_1_n_0\
);
\angle[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(5),
I1 => \angle1_carry__2_n_0\,
O => \angle[5]_i_1_n_0\
);
\angle[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(6),
I1 => \angle1_carry__2_n_0\,
O => \angle[6]_i_1_n_0\
);
\angle[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(7),
I1 => \angle1_carry__2_n_0\,
O => \angle[7]_i_1_n_0\
);
\angle[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(8),
I1 => \angle1_carry__2_n_0\,
O => \angle[8]_i_1_n_0\
);
\angle[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_1_in(9),
I1 => \angle1_carry__2_n_0\,
O => \angle[9]_i_1_n_0\
);
\angle_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[10]_i_1_n_0\,
Q => angle(10),
R => reset
);
\angle_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[11]_i_1_n_0\,
Q => angle(11),
R => reset
);
\angle_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[12]_i_1_n_0\,
Q => angle(12),
R => reset
);
\angle_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[13]_i_1_n_0\,
Q => angle(13),
R => reset
);
\angle_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[14]_i_1_n_0\,
Q => angle(14),
R => reset
);
\angle_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[15]_i_1_n_0\,
Q => angle(15),
R => reset
);
\angle_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[16]_i_1_n_0\,
Q => angle(16),
R => reset
);
\angle_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[17]_i_1_n_0\,
Q => angle(17),
R => reset
);
\angle_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[18]_i_1_n_0\,
Q => angle(18),
R => reset
);
\angle_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[19]_i_1_n_0\,
Q => angle(19),
R => reset
);
\angle_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[1]_i_1_n_0\,
Q => angle(1),
R => reset
);
\angle_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[20]_i_1_n_0\,
Q => angle(20),
R => reset
);
\angle_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[21]_i_1_n_0\,
Q => angle(21),
R => reset
);
\angle_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[22]_i_1_n_0\,
Q => angle(22),
R => reset
);
\angle_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[23]_i_1_n_0\,
Q => angle(23),
R => reset
);
\angle_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[24]_i_1_n_0\,
Q => angle(24),
R => reset
);
\angle_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[25]_i_1_n_0\,
Q => angle(25),
R => reset
);
\angle_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[26]_i_1_n_0\,
Q => angle(26),
R => reset
);
\angle_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[27]_i_1_n_0\,
Q => angle(27),
R => reset
);
\angle_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[28]_i_1_n_0\,
Q => angle(28),
R => reset
);
\angle_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[29]_i_1_n_0\,
Q => angle(29),
R => reset
);
\angle_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[2]_i_1_n_0\,
Q => angle(2),
R => reset
);
\angle_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[30]_i_1_n_0\,
Q => angle(30),
R => reset
);
\angle_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[31]_i_1_n_0\,
Q => angle(31),
R => reset
);
\angle_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[3]_i_1_n_0\,
Q => angle(3),
R => reset
);
\angle_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[4]_i_1_n_0\,
Q => angle(4),
R => reset
);
\angle_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[5]_i_1_n_0\,
Q => angle(5),
R => reset
);
\angle_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[6]_i_1_n_0\,
Q => angle(6),
R => reset
);
\angle_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[7]_i_1_n_0\,
Q => angle(7),
R => reset
);
\angle_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[8]_i_1_n_0\,
Q => angle(8),
R => reset
);
\angle_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => \counter0_inferred__0/i__carry__2_n_0\,
D => \angle[9]_i_1_n_0\,
Q => angle(9),
R => reset
);
\cosine[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"55520C3600000000"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[25]_i_5_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[29]_i_5_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[25]_i_2_n_0\,
O => \cosine[0]_i_1_n_0\
);
\cosine[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000020202000"
)
port map (
I0 => \cosine[10]_i_2_n_0\,
I1 => \cosine[10]_i_3_n_0\,
I2 => \cosine[29]_i_7_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[10]_i_4_n_0\,
I5 => \cosine[29]_i_3_n_0\,
O => \cosine[10]_i_1_n_0\
);
\cosine[10]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"11441FE4"
)
port map (
I0 => \cosine[25]_i_5_n_0\,
I1 => \cosine[25]_i_4_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[29]_i_5_n_0\,
I4 => \cosine[25]_i_3_n_0\,
O => \cosine[10]_i_2_n_0\
);
\cosine[10]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFEFEFFFFFFFF"
)
port map (
I0 => \cosine[19]_i_6_n_0\,
I1 => \cosine[24]_i_3_n_0\,
I2 => \cosine[29]_i_17_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[19]_i_5_n_0\,
O => \cosine[10]_i_3_n_0\
);
\cosine[10]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(6),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(6),
I5 => \cosine[29]_i_4_n_0\,
O => \cosine[10]_i_4_n_0\
);
\cosine[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000000A37E0"
)
port map (
I0 => \cosine[29]_i_5_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[25]_i_3_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[22]_i_2_n_0\,
O => \cosine[11]_i_1_n_0\
);
\cosine[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFF06A6"
)
port map (
I0 => \cosine[25]_i_3_n_0\,
I1 => \cosine[25]_i_4_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[12]_i_2_n_0\,
I5 => \cosine[19]_i_3_n_0\,
O => \cosine[12]_i_1_n_0\
);
\cosine[12]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFB"
)
port map (
I0 => \cosine[19]_i_6_n_0\,
I1 => \cosine[19]_i_5_n_0\,
I2 => \cosine[29]_i_13_n_0\,
I3 => \cosine[29]_i_17_n_0\,
I4 => \cosine[12]_i_3_n_0\,
O => \cosine[12]_i_2_n_0\
);
\cosine[12]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFBAAAA"
)
port map (
I0 => \cosine[24]_i_3_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[25]_i_5_n_0\,
O => \cosine[12]_i_3_n_0\
);
\cosine[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF6FFF0FFF4FF14"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[25]_i_5_n_0\,
I3 => \cosine[24]_i_5_n_0\,
I4 => \cosine[29]_i_4_n_0\,
I5 => \cosine[25]_i_3_n_0\,
O => \cosine[13]_i_1_n_0\
);
\cosine[14]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFEF"
)
port map (
I0 => \cosine[14]_i_2_n_0\,
I1 => \cosine[19]_i_6_n_0\,
I2 => \cosine[19]_i_5_n_0\,
I3 => \cosine[14]_i_3_n_0\,
I4 => \cosine[19]_i_3_n_0\,
O => \cosine[14]_i_1_n_0\
);
\cosine[14]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FBAF0044"
)
port map (
I0 => \cosine[25]_i_3_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[25]_i_5_n_0\,
O => \cosine[14]_i_2_n_0\
);
\cosine[14]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFABBAAAAA"
)
port map (
I0 => \cosine[14]_i_4_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[25]_i_3_n_0\,
I4 => \cosine[25]_i_4_n_0\,
I5 => \cosine[24]_i_3_n_0\,
O => \cosine[14]_i_3_n_0\
);
\cosine[14]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(7),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(7),
I5 => \cosine[29]_i_13_n_0\,
O => \cosine[14]_i_4_n_0\
);
\cosine[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBAFFAAEFBEFAAE"
)
port map (
I0 => \cosine[22]_i_2_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[25]_i_4_n_0\,
I5 => \cosine[25]_i_3_n_0\,
O => \cosine[15]_i_1_n_0\
);
\cosine[16]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000040623F4"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[25]_i_3_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[22]_i_2_n_0\,
O => \cosine[16]_i_1_n_0\
);
\cosine[17]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFABFBFFFAEEAE"
)
port map (
I0 => \cosine[24]_i_5_n_0\,
I1 => \cosine[25]_i_4_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[25]_i_3_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[29]_i_5_n_0\,
O => \cosine[17]_i_1_n_0\
);
\cosine[18]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFBABBFEFEEBEE"
)
port map (
I0 => \cosine[24]_i_5_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_3_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[29]_i_5_n_0\,
O => \cosine[18]_i_1_n_0\
);
\cosine[19]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFEFF"
)
port map (
I0 => \cosine[19]_i_2_n_0\,
I1 => \cosine[19]_i_3_n_0\,
I2 => \cosine[19]_i_4_n_0\,
I3 => \cosine[19]_i_5_n_0\,
I4 => \cosine[19]_i_6_n_0\,
I5 => \cosine[19]_i_7_n_0\,
O => \cosine[19]_i_1_n_0\
);
\cosine[19]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(11),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(11),
I4 => reset,
O => \cosine[19]_i_10_n_0\
);
\cosine[19]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(8),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(8),
I4 => reset,
O => \cosine[19]_i_11_n_0\
);
\cosine[19]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(9),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(9),
I4 => reset,
O => \cosine[19]_i_12_n_0\
);
\cosine[19]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4C3C"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[29]_i_5_n_0\,
O => \cosine[19]_i_2_n_0\
);
\cosine[19]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => \cosine[19]_i_8_n_0\,
I1 => \cosine[29]_i_14_n_0\,
I2 => \cosine[29]_i_3_n_0\,
O => \cosine[19]_i_3_n_0\
);
\cosine[19]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEEEEEEFE"
)
port map (
I0 => \cosine[29]_i_13_n_0\,
I1 => \cosine[29]_i_17_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[25]_i_4_n_0\,
I5 => \cosine[24]_i_3_n_0\,
O => \cosine[19]_i_4_n_0\
);
\cosine[19]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \cosine[19]_i_9_n_0\,
I1 => \cosine[19]_i_10_n_0\,
I2 => \cosine[19]_i_11_n_0\,
I3 => \cosine[19]_i_12_n_0\,
O => \cosine[19]_i_5_n_0\
);
\cosine[19]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \cosine[29]_i_10_n_0\,
I1 => \cosine[29]_i_30_n_0\,
O => \cosine[19]_i_6_n_0\
);
\cosine[19]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"8C38"
)
port map (
I0 => \cosine[25]_i_5_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[25]_i_4_n_0\,
O => \cosine[19]_i_7_n_0\
);
\cosine[19]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"AABABBBBAABAAAAA"
)
port map (
I0 => \cosine[29]_i_16_n_0\,
I1 => reset,
I2 => p_1_in(28),
I3 => \angle1_carry__2_n_0\,
I4 => \counter0_inferred__0/i__carry__2_n_0\,
I5 => angle(28),
O => \cosine[19]_i_8_n_0\
);
\cosine[19]_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(10),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(10),
I4 => reset,
O => \cosine[19]_i_9_n_0\
);
\cosine[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFBBFEEFEFFABBA"
)
port map (
I0 => \cosine[22]_i_2_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_3_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[25]_i_5_n_0\,
I5 => \cosine[29]_i_5_n_0\,
O => \cosine[1]_i_1_n_0\
);
\cosine[20]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000000BBB6"
)
port map (
I0 => \cosine[29]_i_5_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[29]_i_8_n_0\,
I5 => \cosine[20]_i_2_n_0\,
O => \cosine[20]_i_1_n_0\
);
\cosine[20]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFD5"
)
port map (
I0 => \cosine[29]_i_7_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[29]_i_3_n_0\,
O => \cosine[20]_i_2_n_0\
);
\cosine[21]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000004105D7E"
)
port map (
I0 => \cosine[25]_i_5_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[22]_i_2_n_0\,
O => \cosine[21]_i_1_n_0\
);
\cosine[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0105010105111114"
)
port map (
I0 => \cosine[22]_i_2_n_0\,
I1 => \cosine[25]_i_5_n_0\,
I2 => \cosine[25]_i_3_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[29]_i_5_n_0\,
I5 => \cosine[25]_i_4_n_0\,
O => \cosine[22]_i_1_n_0\
);
\cosine[22]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(24),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(24),
I5 => \cosine[29]_i_19_n_0\,
O => \cosine[22]_i_10_n_0\
);
\cosine[22]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(22),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(22),
I5 => \cosine[29]_i_21_n_0\,
O => \cosine[22]_i_11_n_0\
);
\cosine[22]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(26),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(26),
I5 => \cosine[29]_i_29_n_0\,
O => \cosine[22]_i_12_n_0\
);
\cosine[22]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(10),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(10),
I5 => \cosine[19]_i_12_n_0\,
O => \cosine[22]_i_13_n_0\
);
\cosine[22]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(12),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(12),
I5 => \cosine[19]_i_10_n_0\,
O => \cosine[22]_i_14_n_0\
);
\cosine[22]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(8),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(8),
I5 => \cosine[29]_i_17_n_0\,
O => \cosine[22]_i_15_n_0\
);
\cosine[22]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \cosine[22]_i_3_n_0\,
I1 => \cosine[22]_i_4_n_0\,
I2 => \cosine[22]_i_5_n_0\,
I3 => \cosine[22]_i_6_n_0\,
I4 => \cosine[22]_i_7_n_0\,
I5 => \cosine[22]_i_8_n_0\,
O => \cosine[22]_i_2_n_0\
);
\cosine[22]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \cosine[22]_i_9_n_0\,
I1 => \cosine[29]_i_14_n_0\,
I2 => \cosine[22]_i_10_n_0\,
I3 => \cosine[22]_i_11_n_0\,
I4 => \cosine[9]_i_6_n_0\,
I5 => \cosine[22]_i_12_n_0\,
O => \cosine[22]_i_3_n_0\
);
\cosine[22]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[22]_i_13_n_0\,
I1 => \cosine[22]_i_14_n_0\,
I2 => \cosine[24]_i_3_n_0\,
I3 => \cosine[22]_i_15_n_0\,
O => \cosine[22]_i_4_n_0\
);
\cosine[22]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(18),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(18),
I5 => \cosine[29]_i_25_n_0\,
O => \cosine[22]_i_5_n_0\
);
\cosine[22]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(20),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(20),
I5 => \cosine[29]_i_23_n_0\,
O => \cosine[22]_i_6_n_0\
);
\cosine[22]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(14),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(14),
I5 => \cosine[29]_i_35_n_0\,
O => \cosine[22]_i_7_n_0\
);
\cosine[22]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(16),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(16),
I5 => \cosine[29]_i_33_n_0\,
O => \cosine[22]_i_8_n_0\
);
\cosine[22]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"AABABBBBAABAAAAA"
)
port map (
I0 => \cosine[29]_i_13_n_0\,
I1 => reset,
I2 => p_1_in(29),
I3 => \angle1_carry__2_n_0\,
I4 => \counter0_inferred__0/i__carry__2_n_0\,
I5 => angle(29),
O => \cosine[22]_i_9_n_0\
);
\cosine[23]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000000001D"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[23]_i_2_n_0\,
I4 => \cosine[23]_i_3_n_0\,
I5 => \cosine[29]_i_3_n_0\,
O => \cosine[23]_i_1_n_0\
);
\cosine[23]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFEFFFF"
)
port map (
I0 => \cosine[19]_i_6_n_0\,
I1 => \cosine[29]_i_17_n_0\,
I2 => \cosine[24]_i_3_n_0\,
I3 => \cosine[25]_i_3_n_0\,
I4 => \cosine[19]_i_5_n_0\,
O => \cosine[23]_i_2_n_0\
);
\cosine[23]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBAAFBFFFFFFFFFF"
)
port map (
I0 => reset,
I1 => p_1_in(6),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(6),
I5 => \cosine[29]_i_7_n_0\,
O => \cosine[23]_i_3_n_0\
);
\cosine[24]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000020202000"
)
port map (
I0 => \cosine[24]_i_3_n_0\,
I1 => \cosine[29]_i_8_n_0\,
I2 => \cosine[29]_i_7_n_0\,
I3 => \cosine[29]_i_6_n_0\,
I4 => \cosine[24]_i_4_n_0\,
I5 => \cosine[29]_i_3_n_0\,
O => \cosine[24]_i_1_n_0\
);
\cosine[24]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFEF0FFFFFFFE"
)
port map (
I0 => \cosine[29]_i_5_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[24]_i_5_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[25]_i_5_n_0\,
O => \cosine[24]_i_2_n_0\
);
\cosine[24]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(1),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(1),
I4 => reset,
O => \cosine[24]_i_3_n_0\
);
\cosine[24]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFDFDDDDFFDFFFFF"
)
port map (
I0 => \cosine[29]_i_4_n_0\,
I1 => reset,
I2 => p_1_in(2),
I3 => \angle1_carry__2_n_0\,
I4 => \counter0_inferred__0/i__carry__2_n_0\,
I5 => angle(2),
O => \cosine[24]_i_4_n_0\
);
\cosine[24]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \cosine[24]_i_6_n_0\,
I1 => \cosine[24]_i_7_n_0\,
I2 => \cosine[7]_i_5_n_0\,
I3 => \cosine[24]_i_8_n_0\,
I4 => \cosine[24]_i_9_n_0\,
O => \cosine[24]_i_5_n_0\
);
\cosine[24]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \cosine[29]_i_14_n_0\,
I1 => \cosine[9]_i_5_n_0\,
I2 => \cosine[29]_i_16_n_0\,
I3 => \cosine[29]_i_13_n_0\,
I4 => \cosine[9]_i_6_n_0\,
O => \cosine[24]_i_6_n_0\
);
\cosine[24]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[29]_i_35_n_0\,
I1 => \cosine[29]_i_32_n_0\,
I2 => \cosine[19]_i_10_n_0\,
I3 => \cosine[29]_i_34_n_0\,
O => \cosine[24]_i_7_n_0\
);
\cosine[24]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[29]_i_21_n_0\,
I1 => \cosine[29]_i_18_n_0\,
I2 => \cosine[29]_i_23_n_0\,
I3 => \cosine[29]_i_20_n_0\,
O => \cosine[24]_i_8_n_0\
);
\cosine[24]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[29]_i_25_n_0\,
I1 => \cosine[29]_i_22_n_0\,
I2 => \cosine[29]_i_33_n_0\,
I3 => \cosine[29]_i_24_n_0\,
O => \cosine[24]_i_9_n_0\
);
\cosine[25]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"080AAAAAAAAAAAA8"
)
port map (
I0 => \cosine[25]_i_2_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[29]_i_5_n_0\,
I4 => \cosine[25]_i_4_n_0\,
I5 => \cosine[25]_i_5_n_0\,
O => \cosine[25]_i_1_n_0\
);
\cosine[25]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000020000"
)
port map (
I0 => \cosine[29]_i_7_n_0\,
I1 => \cosine[29]_i_3_n_0\,
I2 => \cosine[25]_i_6_n_0\,
I3 => \cosine[29]_i_17_n_0\,
I4 => \cosine[19]_i_5_n_0\,
I5 => \cosine[19]_i_6_n_0\,
O => \cosine[25]_i_2_n_0\
);
\cosine[25]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(5),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(5),
I4 => reset,
O => \cosine[25]_i_3_n_0\
);
\cosine[25]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(4),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(4),
I4 => reset,
O => \cosine[25]_i_4_n_0\
);
\cosine[25]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(6),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(6),
I4 => reset,
O => \cosine[25]_i_5_n_0\
);
\cosine[25]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => \cosine[24]_i_3_n_0\,
I1 => \cosine[25]_i_5_n_0\,
I2 => \cosine[25]_i_3_n_0\,
O => \cosine[25]_i_6_n_0\
);
\cosine[29]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000055150000"
)
port map (
I0 => \cosine[29]_i_3_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[29]_i_6_n_0\,
I4 => \cosine[29]_i_7_n_0\,
I5 => \cosine[29]_i_8_n_0\,
O => p_0_out
);
\cosine[29]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \cosine[29]_i_22_n_0\,
I1 => \cosine[29]_i_23_n_0\,
I2 => \cosine[29]_i_24_n_0\,
I3 => \cosine[29]_i_25_n_0\,
O => \cosine[29]_i_10_n_0\
);
\cosine[29]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \cosine[29]_i_26_n_0\,
I1 => \cosine[29]_i_27_n_0\,
I2 => \cosine[29]_i_28_n_0\,
I3 => \cosine[29]_i_29_n_0\,
O => \cosine[29]_i_11_n_0\
);
\cosine[29]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFF7"
)
port map (
I0 => \cosine[19]_i_5_n_0\,
I1 => \cosine[29]_i_30_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[24]_i_3_n_0\,
I5 => \cosine[29]_i_31_n_0\,
O => \cosine[29]_i_12_n_0\
);
\cosine[29]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(31),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(31),
I4 => reset,
O => \cosine[29]_i_13_n_0\
);
\cosine[29]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(30),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(30),
I4 => reset,
O => \cosine[29]_i_14_n_0\
);
\cosine[29]_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(28),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(28),
I4 => reset,
O => \cosine[29]_i_15_n_0\
);
\cosine[29]_i_16\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(29),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(29),
I4 => reset,
O => \cosine[29]_i_16_n_0\
);
\cosine[29]_i_17\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(7),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(7),
I4 => reset,
O => \cosine[29]_i_17_n_0\
);
\cosine[29]_i_18\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(22),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(22),
I4 => reset,
O => \cosine[29]_i_18_n_0\
);
\cosine[29]_i_19\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(23),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(23),
I4 => reset,
O => \cosine[29]_i_19_n_0\
);
\cosine[29]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF7FFF"
)
port map (
I0 => \cosine[29]_i_9_n_0\,
I1 => \cosine[29]_i_10_n_0\,
I2 => \cosine[29]_i_7_n_0\,
I3 => \cosine[29]_i_11_n_0\,
I4 => \cosine[29]_i_12_n_0\,
O => \cosine[29]_i_2_n_0\
);
\cosine[29]_i_20\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(20),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(20),
I4 => reset,
O => \cosine[29]_i_20_n_0\
);
\cosine[29]_i_21\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(21),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(21),
I4 => reset,
O => \cosine[29]_i_21_n_0\
);
\cosine[29]_i_22\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(18),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(18),
I4 => reset,
O => \cosine[29]_i_22_n_0\
);
\cosine[29]_i_23\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(19),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(19),
I4 => reset,
O => \cosine[29]_i_23_n_0\
);
\cosine[29]_i_24\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(16),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(16),
I4 => reset,
O => \cosine[29]_i_24_n_0\
);
\cosine[29]_i_25\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(17),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(17),
I4 => reset,
O => \cosine[29]_i_25_n_0\
);
\cosine[29]_i_26\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(26),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(26),
I4 => reset,
O => \cosine[29]_i_26_n_0\
);
\cosine[29]_i_27\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(27),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(27),
I4 => reset,
O => \cosine[29]_i_27_n_0\
);
\cosine[29]_i_28\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(24),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(24),
I4 => reset,
O => \cosine[29]_i_28_n_0\
);
\cosine[29]_i_29\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(25),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(25),
I4 => reset,
O => \cosine[29]_i_29_n_0\
);
\cosine[29]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \cosine[29]_i_11_n_0\,
I1 => \cosine[29]_i_9_n_0\,
O => \cosine[29]_i_3_n_0\
);
\cosine[29]_i_30\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \cosine[29]_i_32_n_0\,
I1 => \cosine[29]_i_33_n_0\,
I2 => \cosine[29]_i_34_n_0\,
I3 => \cosine[29]_i_35_n_0\,
O => \cosine[29]_i_30_n_0\
);
\cosine[29]_i_31\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[25]_i_5_n_0\,
I1 => \cosine[29]_i_17_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[25]_i_3_n_0\,
O => \cosine[29]_i_31_n_0\
);
\cosine[29]_i_32\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(14),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(14),
I4 => reset,
O => \cosine[29]_i_32_n_0\
);
\cosine[29]_i_33\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(15),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(15),
I4 => reset,
O => \cosine[29]_i_33_n_0\
);
\cosine[29]_i_34\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(12),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(12),
I4 => reset,
O => \cosine[29]_i_34_n_0\
);
\cosine[29]_i_35\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(13),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(13),
I4 => reset,
O => \cosine[29]_i_35_n_0\
);
\cosine[29]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(3),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(3),
I4 => reset,
O => \cosine[29]_i_4_n_0\
);
\cosine[29]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002E22"
)
port map (
I0 => angle(2),
I1 => \counter0_inferred__0/i__carry__2_n_0\,
I2 => \angle1_carry__2_n_0\,
I3 => p_1_in(2),
I4 => reset,
O => \cosine[29]_i_5_n_0\
);
\cosine[29]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFDFDDDDFFDFFFFF"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => reset,
I2 => p_1_in(6),
I3 => \angle1_carry__2_n_0\,
I4 => \counter0_inferred__0/i__carry__2_n_0\,
I5 => angle(6),
O => \cosine[29]_i_6_n_0\
);
\cosine[29]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \cosine[29]_i_13_n_0\,
I1 => \cosine[29]_i_14_n_0\,
I2 => \cosine[29]_i_15_n_0\,
I3 => \cosine[29]_i_16_n_0\,
O => \cosine[29]_i_7_n_0\
);
\cosine[29]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFEFEFFFFFFFF"
)
port map (
I0 => \cosine[19]_i_6_n_0\,
I1 => \cosine[24]_i_3_n_0\,
I2 => \cosine[29]_i_17_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[19]_i_5_n_0\,
O => \cosine[29]_i_8_n_0\
);
\cosine[29]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \cosine[29]_i_18_n_0\,
I1 => \cosine[29]_i_19_n_0\,
I2 => \cosine[29]_i_20_n_0\,
I3 => \cosine[29]_i_21_n_0\,
O => \cosine[29]_i_9_n_0\
);
\cosine[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FECEFFEFFEFFFFFE"
)
port map (
I0 => \cosine[25]_i_3_n_0\,
I1 => \cosine[22]_i_2_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[29]_i_4_n_0\,
I5 => \cosine[29]_i_5_n_0\,
O => \cosine[2]_i_1_n_0\
);
\cosine[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0100040501051150"
)
port map (
I0 => \cosine[22]_i_2_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_5_n_0\,
I3 => \cosine[29]_i_5_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[25]_i_4_n_0\,
O => \cosine[3]_i_1_n_0\
);
\cosine[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000002000000000"
)
port map (
I0 => \cosine[4]_i_2_n_0\,
I1 => \cosine[19]_i_6_n_0\,
I2 => \cosine[19]_i_5_n_0\,
I3 => \cosine[4]_i_3_n_0\,
I4 => \cosine[29]_i_3_n_0\,
I5 => \cosine[29]_i_7_n_0\,
O => \cosine[4]_i_1_n_0\
);
\cosine[4]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF331D0C"
)
port map (
I0 => \cosine[25]_i_3_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[25]_i_5_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[29]_i_4_n_0\,
O => \cosine[4]_i_2_n_0\
);
\cosine[4]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEEEAEAAA"
)
port map (
I0 => \cosine[29]_i_17_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[24]_i_3_n_0\,
O => \cosine[4]_i_3_n_0\
);
\cosine[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00150514"
)
port map (
I0 => \cosine[22]_i_2_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[25]_i_5_n_0\,
I3 => \cosine[25]_i_4_n_0\,
I4 => \cosine[29]_i_4_n_0\,
O => \cosine[5]_i_1_n_0\
);
\cosine[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFEFEFAFFFFFFFF"
)
port map (
I0 => \cosine[24]_i_3_n_0\,
I1 => \cosine[25]_i_5_n_0\,
I2 => \cosine[24]_i_5_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[6]_i_2_n_0\,
O => \cosine[6]_i_1_n_0\
);
\cosine[6]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"82979D9D"
)
port map (
I0 => \cosine[29]_i_5_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_4_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[25]_i_4_n_0\,
O => \cosine[6]_i_2_n_0\
);
\cosine[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \cosine[7]_i_2_n_0\,
I1 => \cosine[7]_i_3_n_0\,
I2 => \cosine[7]_i_4_n_0\,
I3 => \cosine[7]_i_5_n_0\,
I4 => \cosine[9]_i_3_n_0\,
O => \cosine[7]_i_1_n_0\
);
\cosine[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"0F7000A0"
)
port map (
I0 => \cosine[29]_i_4_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[29]_i_5_n_0\,
I3 => \cosine[25]_i_5_n_0\,
I4 => \cosine[25]_i_4_n_0\,
O => \cosine[7]_i_2_n_0\
);
\cosine[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cosine[24]_i_9_n_0\,
I1 => \cosine[24]_i_7_n_0\,
O => \cosine[7]_i_3_n_0\
);
\cosine[7]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFEFEEEFEFFEEEE"
)
port map (
I0 => \cosine[29]_i_13_n_0\,
I1 => \cosine[29]_i_16_n_0\,
I2 => \cosine[25]_i_5_n_0\,
I3 => \cosine[29]_i_4_n_0\,
I4 => \cosine[25]_i_3_n_0\,
I5 => \cosine[25]_i_4_n_0\,
O => \cosine[7]_i_4_n_0\
);
\cosine[7]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[19]_i_12_n_0\,
I1 => \cosine[19]_i_9_n_0\,
I2 => \cosine[29]_i_17_n_0\,
I3 => \cosine[19]_i_11_n_0\,
O => \cosine[7]_i_5_n_0\
);
\cosine[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000444000000000"
)
port map (
I0 => \cosine[29]_i_8_n_0\,
I1 => \cosine[29]_i_7_n_0\,
I2 => \cosine[25]_i_3_n_0\,
I3 => \cosine[8]_i_2_n_0\,
I4 => \cosine[29]_i_3_n_0\,
I5 => \cosine[8]_i_3_n_0\,
O => \cosine[8]_i_1_n_0\
);
\cosine[8]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA9A9999AA9AAAAA"
)
port map (
I0 => \cosine[29]_i_4_n_0\,
I1 => reset,
I2 => p_1_in(4),
I3 => \angle1_carry__2_n_0\,
I4 => \counter0_inferred__0/i__carry__2_n_0\,
I5 => angle(4),
O => \cosine[8]_i_2_n_0\
);
\cosine[8]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"33DD3FD3"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[29]_i_4_n_0\,
I2 => \cosine[25]_i_3_n_0\,
I3 => \cosine[29]_i_5_n_0\,
I4 => \cosine[25]_i_5_n_0\,
O => \cosine[8]_i_3_n_0\
);
\cosine[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFF6A"
)
port map (
I0 => \cosine[29]_i_4_n_0\,
I1 => \cosine[29]_i_5_n_0\,
I2 => \cosine[25]_i_4_n_0\,
I3 => \cosine[9]_i_2_n_0\,
I4 => \cosine[9]_i_3_n_0\,
O => \cosine[9]_i_1_n_0\
);
\cosine[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \cosine[7]_i_3_n_0\,
I1 => \cosine[29]_i_13_n_0\,
I2 => \cosine[29]_i_16_n_0\,
I3 => \cosine[9]_i_4_n_0\,
I4 => \cosine[7]_i_5_n_0\,
O => \cosine[9]_i_2_n_0\
);
\cosine[9]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \cosine[9]_i_5_n_0\,
I1 => \cosine[24]_i_8_n_0\,
I2 => \cosine[29]_i_14_n_0\,
I3 => \cosine[9]_i_6_n_0\,
I4 => \cosine[24]_i_3_n_0\,
O => \cosine[9]_i_3_n_0\
);
\cosine[9]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"F0F0CCD0"
)
port map (
I0 => \cosine[25]_i_4_n_0\,
I1 => \cosine[25]_i_3_n_0\,
I2 => \cosine[25]_i_5_n_0\,
I3 => \cosine[29]_i_5_n_0\,
I4 => \cosine[29]_i_4_n_0\,
O => \cosine[9]_i_4_n_0\
);
\cosine[9]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \cosine[29]_i_29_n_0\,
I1 => \cosine[29]_i_26_n_0\,
I2 => \cosine[29]_i_19_n_0\,
I3 => \cosine[29]_i_28_n_0\,
O => \cosine[9]_i_5_n_0\
);
\cosine[9]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF04550400"
)
port map (
I0 => reset,
I1 => p_1_in(28),
I2 => \angle1_carry__2_n_0\,
I3 => \counter0_inferred__0/i__carry__2_n_0\,
I4 => angle(28),
I5 => \cosine[29]_i_27_n_0\,
O => \cosine[9]_i_6_n_0\
);
\cosine_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[0]_i_1_n_0\,
Q => a00(0),
R => '0'
);
\cosine_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[10]_i_1_n_0\,
Q => a00(10),
R => '0'
);
\cosine_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[11]_i_1_n_0\,
Q => a00(11),
R => '0'
);
\cosine_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[12]_i_1_n_0\,
Q => a00(12),
R => '0'
);
\cosine_reg[13]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[13]_i_1_n_0\,
Q => a00(13),
S => \cosine[24]_i_1_n_0\
);
\cosine_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[14]_i_1_n_0\,
Q => a00(14),
R => '0'
);
\cosine_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[15]_i_1_n_0\,
Q => a00(15),
R => '0'
);
\cosine_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[16]_i_1_n_0\,
Q => a00(16),
R => '0'
);
\cosine_reg[17]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[17]_i_1_n_0\,
Q => a00(17),
S => \cosine[24]_i_1_n_0\
);
\cosine_reg[18]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[18]_i_1_n_0\,
Q => a00(18),
S => \cosine[24]_i_1_n_0\
);
\cosine_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[19]_i_1_n_0\,
Q => a00(19),
R => '0'
);
\cosine_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[1]_i_1_n_0\,
Q => a00(1),
R => '0'
);
\cosine_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[20]_i_1_n_0\,
Q => a00(20),
R => '0'
);
\cosine_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[21]_i_1_n_0\,
Q => a00(21),
R => '0'
);
\cosine_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[22]_i_1_n_0\,
Q => a00(22),
R => '0'
);
\cosine_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[23]_i_1_n_0\,
Q => a00(23),
R => '0'
);
\cosine_reg[24]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[24]_i_2_n_0\,
Q => a00(24),
S => \cosine[24]_i_1_n_0\
);
\cosine_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[25]_i_1_n_0\,
Q => a00(25),
R => '0'
);
\cosine_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[29]_i_2_n_0\,
Q => a00(26),
R => '0'
);
\cosine_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[2]_i_1_n_0\,
Q => a00(2),
R => '0'
);
\cosine_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[3]_i_1_n_0\,
Q => a00(3),
R => '0'
);
\cosine_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[4]_i_1_n_0\,
Q => a00(4),
R => '0'
);
\cosine_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[5]_i_1_n_0\,
Q => a00(5),
R => '0'
);
\cosine_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[6]_i_1_n_0\,
Q => a00(6),
R => '0'
);
\cosine_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[7]_i_1_n_0\,
Q => a00(7),
R => '0'
);
\cosine_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[8]_i_1_n_0\,
Q => a00(8),
R => '0'
);
\cosine_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => p_0_out,
D => \cosine[9]_i_1_n_0\,
Q => a00(9),
R => '0'
);
\counter0_inferred__0/i__carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \counter0_inferred__0/i__carry_n_0\,
CO(2) => \counter0_inferred__0/i__carry_n_1\,
CO(1) => \counter0_inferred__0/i__carry_n_2\,
CO(0) => \counter0_inferred__0/i__carry_n_3\,
CYINIT => '1',
DI(3) => p_0_in(7),
DI(2) => \i__carry_i_2_n_0\,
DI(1) => \i__carry_i_3_n_0\,
DI(0) => \i__carry_i_4_n_0\,
O(3 downto 0) => \NLW_counter0_inferred__0/i__carry_O_UNCONNECTED\(3 downto 0),
S(3) => \i__carry_i_5_n_0\,
S(2) => \i__carry_i_6_n_0\,
S(1) => \i__carry_i_7_n_0\,
S(0) => \i__carry_i_8_n_0\
);
\counter0_inferred__0/i__carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \counter0_inferred__0/i__carry_n_0\,
CO(3) => \counter0_inferred__0/i__carry__0_n_0\,
CO(2) => \counter0_inferred__0/i__carry__0_n_1\,
CO(1) => \counter0_inferred__0/i__carry__0_n_2\,
CO(0) => \counter0_inferred__0/i__carry__0_n_3\,
CYINIT => '0',
DI(3) => p_0_in(15),
DI(2) => '0',
DI(1) => \i__carry__0_i_2_n_0\,
DI(0) => \i__carry__0_i_3_n_0\,
O(3 downto 0) => \NLW_counter0_inferred__0/i__carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \i__carry__0_i_4_n_0\,
S(2) => \i__carry__0_i_5_n_0\,
S(1) => \i__carry__0_i_6_n_0\,
S(0) => \i__carry__0_i_7_n_0\
);
\counter0_inferred__0/i__carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \counter0_inferred__0/i__carry__0_n_0\,
CO(3) => \counter0_inferred__0/i__carry__1_n_0\,
CO(2) => \counter0_inferred__0/i__carry__1_n_1\,
CO(1) => \counter0_inferred__0/i__carry__1_n_2\,
CO(0) => \counter0_inferred__0/i__carry__1_n_3\,
CYINIT => '0',
DI(3) => p_0_in(23),
DI(2 downto 1) => B"00",
DI(0) => p_0_in(17),
O(3 downto 0) => \NLW_counter0_inferred__0/i__carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \i__carry__1_i_3_n_0\,
S(2) => \i__carry__1_i_4_n_0\,
S(1) => \i__carry__1_i_5_n_0\,
S(0) => \i__carry__1_i_6_n_0\
);
\counter0_inferred__0/i__carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \counter0_inferred__0/i__carry__1_n_0\,
CO(3) => \counter0_inferred__0/i__carry__2_n_0\,
CO(2) => \counter0_inferred__0/i__carry__2_n_1\,
CO(1) => \counter0_inferred__0/i__carry__2_n_2\,
CO(0) => \counter0_inferred__0/i__carry__2_n_3\,
CYINIT => '0',
DI(3) => \i__carry__2_i_1_n_0\,
DI(2) => \i__carry__2_i_2_n_0\,
DI(1) => \i__carry__2_i_3_n_0\,
DI(0) => p_0_in(25),
O(3 downto 0) => \NLW_counter0_inferred__0/i__carry__2_O_UNCONNECTED\(3 downto 0),
S(3) => \i__carry__2_i_5_n_0\,
S(2) => \i__carry__2_i_6_n_0\,
S(1) => \i__carry__2_i_7_n_0\,
S(0) => \i__carry__2_i_8_n_0\
);
\counter[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => reset,
I1 => \counter0_inferred__0/i__carry__2_n_0\,
O => \counter[0]_i_1_n_0\
);
\counter[0]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(3),
O => \counter[0]_i_3_n_0\
);
\counter[0]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(2),
O => \counter[0]_i_4_n_0\
);
\counter[0]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(1),
O => \counter[0]_i_5_n_0\
);
\counter[0]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => counter_reg(0),
O => p_0_in(0)
);
\counter[12]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(15),
O => \counter[12]_i_2_n_0\
);
\counter[12]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(14),
O => \counter[12]_i_3_n_0\
);
\counter[12]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(13),
O => \counter[12]_i_4_n_0\
);
\counter[12]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(12),
O => \counter[12]_i_5_n_0\
);
\counter[16]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(19),
O => \counter[16]_i_2_n_0\
);
\counter[16]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(18),
O => \counter[16]_i_3_n_0\
);
\counter[16]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(17),
O => \counter[16]_i_4_n_0\
);
\counter[16]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(16),
O => \counter[16]_i_5_n_0\
);
\counter[20]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(23),
O => \counter[20]_i_2_n_0\
);
\counter[20]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(22),
O => \counter[20]_i_3_n_0\
);
\counter[20]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(21),
O => \counter[20]_i_4_n_0\
);
\counter[20]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(20),
O => \counter[20]_i_5_n_0\
);
\counter[24]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(27),
O => \counter[24]_i_2_n_0\
);
\counter[24]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(26),
O => \counter[24]_i_3_n_0\
);
\counter[24]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(25),
O => \counter[24]_i_4_n_0\
);
\counter[24]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(24),
O => \counter[24]_i_5_n_0\
);
\counter[28]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(31),
O => \counter[28]_i_2_n_0\
);
\counter[28]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(30),
O => \counter[28]_i_3_n_0\
);
\counter[28]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(29),
O => \counter[28]_i_4_n_0\
);
\counter[28]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(28),
O => \counter[28]_i_5_n_0\
);
\counter[4]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(7),
O => \counter[4]_i_2_n_0\
);
\counter[4]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(6),
O => \counter[4]_i_3_n_0\
);
\counter[4]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(5),
O => \counter[4]_i_4_n_0\
);
\counter[4]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(4),
O => \counter[4]_i_5_n_0\
);
\counter[8]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(11),
O => \counter[8]_i_2_n_0\
);
\counter[8]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(10),
O => \counter[8]_i_3_n_0\
);
\counter[8]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(9),
O => \counter[8]_i_4_n_0\
);
\counter[8]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(8),
O => \counter[8]_i_5_n_0\
);
\counter_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[0]_i_2_n_7\,
Q => counter_reg(0),
R => \counter[0]_i_1_n_0\
);
\counter_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \counter_reg[0]_i_2_n_0\,
CO(2) => \counter_reg[0]_i_2_n_1\,
CO(1) => \counter_reg[0]_i_2_n_2\,
CO(0) => \counter_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0001",
O(3) => \counter_reg[0]_i_2_n_4\,
O(2) => \counter_reg[0]_i_2_n_5\,
O(1) => \counter_reg[0]_i_2_n_6\,
O(0) => \counter_reg[0]_i_2_n_7\,
S(3) => \counter[0]_i_3_n_0\,
S(2) => \counter[0]_i_4_n_0\,
S(1) => \counter[0]_i_5_n_0\,
S(0) => p_0_in(0)
);
\counter_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[8]_i_1_n_5\,
Q => counter_reg(10),
R => \counter[0]_i_1_n_0\
);
\counter_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[8]_i_1_n_4\,
Q => counter_reg(11),
R => \counter[0]_i_1_n_0\
);
\counter_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[12]_i_1_n_7\,
Q => counter_reg(12),
R => \counter[0]_i_1_n_0\
);
\counter_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[8]_i_1_n_0\,
CO(3) => \counter_reg[12]_i_1_n_0\,
CO(2) => \counter_reg[12]_i_1_n_1\,
CO(1) => \counter_reg[12]_i_1_n_2\,
CO(0) => \counter_reg[12]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[12]_i_1_n_4\,
O(2) => \counter_reg[12]_i_1_n_5\,
O(1) => \counter_reg[12]_i_1_n_6\,
O(0) => \counter_reg[12]_i_1_n_7\,
S(3) => \counter[12]_i_2_n_0\,
S(2) => \counter[12]_i_3_n_0\,
S(1) => \counter[12]_i_4_n_0\,
S(0) => \counter[12]_i_5_n_0\
);
\counter_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[12]_i_1_n_6\,
Q => counter_reg(13),
R => \counter[0]_i_1_n_0\
);
\counter_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[12]_i_1_n_5\,
Q => counter_reg(14),
R => \counter[0]_i_1_n_0\
);
\counter_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[12]_i_1_n_4\,
Q => counter_reg(15),
R => \counter[0]_i_1_n_0\
);
\counter_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[16]_i_1_n_7\,
Q => counter_reg(16),
R => \counter[0]_i_1_n_0\
);
\counter_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[12]_i_1_n_0\,
CO(3) => \counter_reg[16]_i_1_n_0\,
CO(2) => \counter_reg[16]_i_1_n_1\,
CO(1) => \counter_reg[16]_i_1_n_2\,
CO(0) => \counter_reg[16]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[16]_i_1_n_4\,
O(2) => \counter_reg[16]_i_1_n_5\,
O(1) => \counter_reg[16]_i_1_n_6\,
O(0) => \counter_reg[16]_i_1_n_7\,
S(3) => \counter[16]_i_2_n_0\,
S(2) => \counter[16]_i_3_n_0\,
S(1) => \counter[16]_i_4_n_0\,
S(0) => \counter[16]_i_5_n_0\
);
\counter_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[16]_i_1_n_6\,
Q => counter_reg(17),
R => \counter[0]_i_1_n_0\
);
\counter_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[16]_i_1_n_5\,
Q => counter_reg(18),
R => \counter[0]_i_1_n_0\
);
\counter_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[16]_i_1_n_4\,
Q => counter_reg(19),
R => \counter[0]_i_1_n_0\
);
\counter_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[0]_i_2_n_6\,
Q => counter_reg(1),
R => \counter[0]_i_1_n_0\
);
\counter_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[20]_i_1_n_7\,
Q => counter_reg(20),
R => \counter[0]_i_1_n_0\
);
\counter_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[16]_i_1_n_0\,
CO(3) => \counter_reg[20]_i_1_n_0\,
CO(2) => \counter_reg[20]_i_1_n_1\,
CO(1) => \counter_reg[20]_i_1_n_2\,
CO(0) => \counter_reg[20]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[20]_i_1_n_4\,
O(2) => \counter_reg[20]_i_1_n_5\,
O(1) => \counter_reg[20]_i_1_n_6\,
O(0) => \counter_reg[20]_i_1_n_7\,
S(3) => \counter[20]_i_2_n_0\,
S(2) => \counter[20]_i_3_n_0\,
S(1) => \counter[20]_i_4_n_0\,
S(0) => \counter[20]_i_5_n_0\
);
\counter_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[20]_i_1_n_6\,
Q => counter_reg(21),
R => \counter[0]_i_1_n_0\
);
\counter_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[20]_i_1_n_5\,
Q => counter_reg(22),
R => \counter[0]_i_1_n_0\
);
\counter_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[20]_i_1_n_4\,
Q => counter_reg(23),
R => \counter[0]_i_1_n_0\
);
\counter_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[24]_i_1_n_7\,
Q => counter_reg(24),
R => \counter[0]_i_1_n_0\
);
\counter_reg[24]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[20]_i_1_n_0\,
CO(3) => \counter_reg[24]_i_1_n_0\,
CO(2) => \counter_reg[24]_i_1_n_1\,
CO(1) => \counter_reg[24]_i_1_n_2\,
CO(0) => \counter_reg[24]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[24]_i_1_n_4\,
O(2) => \counter_reg[24]_i_1_n_5\,
O(1) => \counter_reg[24]_i_1_n_6\,
O(0) => \counter_reg[24]_i_1_n_7\,
S(3) => \counter[24]_i_2_n_0\,
S(2) => \counter[24]_i_3_n_0\,
S(1) => \counter[24]_i_4_n_0\,
S(0) => \counter[24]_i_5_n_0\
);
\counter_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[24]_i_1_n_6\,
Q => counter_reg(25),
R => \counter[0]_i_1_n_0\
);
\counter_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[24]_i_1_n_5\,
Q => counter_reg(26),
R => \counter[0]_i_1_n_0\
);
\counter_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[24]_i_1_n_4\,
Q => counter_reg(27),
R => \counter[0]_i_1_n_0\
);
\counter_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[28]_i_1_n_7\,
Q => counter_reg(28),
R => \counter[0]_i_1_n_0\
);
\counter_reg[28]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[24]_i_1_n_0\,
CO(3) => \NLW_counter_reg[28]_i_1_CO_UNCONNECTED\(3),
CO(2) => \counter_reg[28]_i_1_n_1\,
CO(1) => \counter_reg[28]_i_1_n_2\,
CO(0) => \counter_reg[28]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[28]_i_1_n_4\,
O(2) => \counter_reg[28]_i_1_n_5\,
O(1) => \counter_reg[28]_i_1_n_6\,
O(0) => \counter_reg[28]_i_1_n_7\,
S(3) => \counter[28]_i_2_n_0\,
S(2) => \counter[28]_i_3_n_0\,
S(1) => \counter[28]_i_4_n_0\,
S(0) => \counter[28]_i_5_n_0\
);
\counter_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[28]_i_1_n_6\,
Q => counter_reg(29),
R => \counter[0]_i_1_n_0\
);
\counter_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[0]_i_2_n_5\,
Q => counter_reg(2),
R => \counter[0]_i_1_n_0\
);
\counter_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[28]_i_1_n_5\,
Q => counter_reg(30),
R => \counter[0]_i_1_n_0\
);
\counter_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[28]_i_1_n_4\,
Q => counter_reg(31),
R => \counter[0]_i_1_n_0\
);
\counter_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[0]_i_2_n_4\,
Q => counter_reg(3),
R => \counter[0]_i_1_n_0\
);
\counter_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[4]_i_1_n_7\,
Q => counter_reg(4),
R => \counter[0]_i_1_n_0\
);
\counter_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[0]_i_2_n_0\,
CO(3) => \counter_reg[4]_i_1_n_0\,
CO(2) => \counter_reg[4]_i_1_n_1\,
CO(1) => \counter_reg[4]_i_1_n_2\,
CO(0) => \counter_reg[4]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[4]_i_1_n_4\,
O(2) => \counter_reg[4]_i_1_n_5\,
O(1) => \counter_reg[4]_i_1_n_6\,
O(0) => \counter_reg[4]_i_1_n_7\,
S(3) => \counter[4]_i_2_n_0\,
S(2) => \counter[4]_i_3_n_0\,
S(1) => \counter[4]_i_4_n_0\,
S(0) => \counter[4]_i_5_n_0\
);
\counter_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[4]_i_1_n_6\,
Q => counter_reg(5),
R => \counter[0]_i_1_n_0\
);
\counter_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[4]_i_1_n_5\,
Q => counter_reg(6),
R => \counter[0]_i_1_n_0\
);
\counter_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[4]_i_1_n_4\,
Q => counter_reg(7),
R => \counter[0]_i_1_n_0\
);
\counter_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[8]_i_1_n_7\,
Q => counter_reg(8),
R => \counter[0]_i_1_n_0\
);
\counter_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[4]_i_1_n_0\,
CO(3) => \counter_reg[8]_i_1_n_0\,
CO(2) => \counter_reg[8]_i_1_n_1\,
CO(1) => \counter_reg[8]_i_1_n_2\,
CO(0) => \counter_reg[8]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[8]_i_1_n_4\,
O(2) => \counter_reg[8]_i_1_n_5\,
O(1) => \counter_reg[8]_i_1_n_6\,
O(0) => \counter_reg[8]_i_1_n_7\,
S(3) => \counter[8]_i_2_n_0\,
S(2) => \counter[8]_i_3_n_0\,
S(1) => \counter[8]_i_4_n_0\,
S(0) => \counter[8]_i_5_n_0\
);
\counter_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \counter_reg[8]_i_1_n_6\,
Q => counter_reg(9),
R => \counter[0]_i_1_n_0\
);
\i__carry__0_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry__0_i_8_n_0\,
CO(3) => \i__carry__0_i_1_n_0\,
CO(2) => \i__carry__0_i_1_n_1\,
CO(1) => \i__carry__0_i_1_n_2\,
CO(0) => \i__carry__0_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(16 downto 13),
S(3) => \i__carry__0_i_9_n_0\,
S(2) => \i__carry__0_i_10_n_0\,
S(1) => \i__carry__0_i_11_n_0\,
S(0) => \i__carry__0_i_12_n_0\
);
\i__carry__0_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(15),
O => \i__carry__0_i_10_n_0\
);
\i__carry__0_i_11\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(14),
O => \i__carry__0_i_11_n_0\
);
\i__carry__0_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(13),
O => \i__carry__0_i_12_n_0\
);
\i__carry__0_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(12),
O => \i__carry__0_i_13_n_0\
);
\i__carry__0_i_14\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(11),
O => \i__carry__0_i_14_n_0\
);
\i__carry__0_i_15\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(10),
O => \i__carry__0_i_15_n_0\
);
\i__carry__0_i_16\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(9),
O => \i__carry__0_i_16_n_0\
);
\i__carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_0_in(10),
I1 => p_0_in(11),
O => \i__carry__0_i_2_n_0\
);
\i__carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_0_in(8),
I1 => p_0_in(9),
O => \i__carry__0_i_3_n_0\
);
\i__carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(14),
I1 => p_0_in(15),
O => \i__carry__0_i_4_n_0\
);
\i__carry__0_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_0_in(12),
I1 => p_0_in(13),
O => \i__carry__0_i_5_n_0\
);
\i__carry__0_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(11),
I1 => p_0_in(10),
O => \i__carry__0_i_6_n_0\
);
\i__carry__0_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in(8),
I1 => p_0_in(9),
O => \i__carry__0_i_7_n_0\
);
\i__carry__0_i_8\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry_i_1_n_0\,
CO(3) => \i__carry__0_i_8_n_0\,
CO(2) => \i__carry__0_i_8_n_1\,
CO(1) => \i__carry__0_i_8_n_2\,
CO(0) => \i__carry__0_i_8_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(12 downto 9),
S(3) => \i__carry__0_i_13_n_0\,
S(2) => \i__carry__0_i_14_n_0\,
S(1) => \i__carry__0_i_15_n_0\,
S(0) => \i__carry__0_i_16_n_0\
);
\i__carry__0_i_9\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(16),
O => \i__carry__0_i_9_n_0\
);
\i__carry__1_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry__1_i_2_n_0\,
CO(3) => \i__carry__1_i_1_n_0\,
CO(2) => \i__carry__1_i_1_n_1\,
CO(1) => \i__carry__1_i_1_n_2\,
CO(0) => \i__carry__1_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(24 downto 21),
S(3) => \i__carry__1_i_7_n_0\,
S(2) => \i__carry__1_i_8_n_0\,
S(1) => \i__carry__1_i_9_n_0\,
S(0) => \i__carry__1_i_10_n_0\
);
\i__carry__1_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(21),
O => \i__carry__1_i_10_n_0\
);
\i__carry__1_i_11\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(20),
O => \i__carry__1_i_11_n_0\
);
\i__carry__1_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(19),
O => \i__carry__1_i_12_n_0\
);
\i__carry__1_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(18),
O => \i__carry__1_i_13_n_0\
);
\i__carry__1_i_14\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(17),
O => \i__carry__1_i_14_n_0\
);
\i__carry__1_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry__0_i_1_n_0\,
CO(3) => \i__carry__1_i_2_n_0\,
CO(2) => \i__carry__1_i_2_n_1\,
CO(1) => \i__carry__1_i_2_n_2\,
CO(0) => \i__carry__1_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(20 downto 17),
S(3) => \i__carry__1_i_11_n_0\,
S(2) => \i__carry__1_i_12_n_0\,
S(1) => \i__carry__1_i_13_n_0\,
S(0) => \i__carry__1_i_14_n_0\
);
\i__carry__1_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(22),
I1 => p_0_in(23),
O => \i__carry__1_i_3_n_0\
);
\i__carry__1_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_0_in(20),
I1 => p_0_in(21),
O => \i__carry__1_i_4_n_0\
);
\i__carry__1_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_0_in(18),
I1 => p_0_in(19),
O => \i__carry__1_i_5_n_0\
);
\i__carry__1_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(16),
I1 => p_0_in(17),
O => \i__carry__1_i_6_n_0\
);
\i__carry__1_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(24),
O => \i__carry__1_i_7_n_0\
);
\i__carry__1_i_8\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(23),
O => \i__carry__1_i_8_n_0\
);
\i__carry__1_i_9\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(22),
O => \i__carry__1_i_9_n_0\
);
\i__carry__2_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(30),
I1 => p_0_in(31),
O => \i__carry__2_i_1_n_0\
);
\i__carry__2_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(28),
O => \i__carry__2_i_10_n_0\
);
\i__carry__2_i_11\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(27),
O => \i__carry__2_i_11_n_0\
);
\i__carry__2_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(26),
O => \i__carry__2_i_12_n_0\
);
\i__carry__2_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(25),
O => \i__carry__2_i_13_n_0\
);
\i__carry__2_i_14\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(31),
O => \i__carry__2_i_14_n_0\
);
\i__carry__2_i_15\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(30),
O => \i__carry__2_i_15_n_0\
);
\i__carry__2_i_16\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(29),
O => \i__carry__2_i_16_n_0\
);
\i__carry__2_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_0_in(28),
I1 => p_0_in(29),
O => \i__carry__2_i_2_n_0\
);
\i__carry__2_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_0_in(26),
I1 => p_0_in(27),
O => \i__carry__2_i_3_n_0\
);
\i__carry__2_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry__1_i_1_n_0\,
CO(3) => \i__carry__2_i_4_n_0\,
CO(2) => \i__carry__2_i_4_n_1\,
CO(1) => \i__carry__2_i_4_n_2\,
CO(0) => \i__carry__2_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(28 downto 25),
S(3) => \i__carry__2_i_10_n_0\,
S(2) => \i__carry__2_i_11_n_0\,
S(1) => \i__carry__2_i_12_n_0\,
S(0) => \i__carry__2_i_13_n_0\
);
\i__carry__2_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in(30),
I1 => p_0_in(31),
O => \i__carry__2_i_5_n_0\
);
\i__carry__2_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in(28),
I1 => p_0_in(29),
O => \i__carry__2_i_6_n_0\
);
\i__carry__2_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in(26),
I1 => p_0_in(27),
O => \i__carry__2_i_7_n_0\
);
\i__carry__2_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(24),
I1 => p_0_in(25),
O => \i__carry__2_i_8_n_0\
);
\i__carry__2_i_9\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry__2_i_4_n_0\,
CO(3 downto 2) => \NLW_i__carry__2_i_9_CO_UNCONNECTED\(3 downto 2),
CO(1) => \i__carry__2_i_9_n_2\,
CO(0) => \i__carry__2_i_9_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \NLW_i__carry__2_i_9_O_UNCONNECTED\(3),
O(2 downto 0) => p_0_in(31 downto 29),
S(3) => '0',
S(2) => \i__carry__2_i_14_n_0\,
S(1) => \i__carry__2_i_15_n_0\,
S(0) => \i__carry__2_i_16_n_0\
);
\i__carry_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \i__carry_i_9_n_0\,
CO(3) => \i__carry_i_1_n_0\,
CO(2) => \i__carry_i_1_n_1\,
CO(1) => \i__carry_i_1_n_2\,
CO(0) => \i__carry_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(8 downto 5),
S(3) => \i__carry_i_10_n_0\,
S(2) => \i__carry_i_11_n_0\,
S(1) => \i__carry_i_12_n_0\,
S(0) => \i__carry_i_13_n_0\
);
\i__carry_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(8),
O => \i__carry_i_10_n_0\
);
\i__carry_i_11\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(7),
O => \i__carry_i_11_n_0\
);
\i__carry_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(6),
O => \i__carry_i_12_n_0\
);
\i__carry_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(5),
O => \i__carry_i_13_n_0\
);
\i__carry_i_14\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(4),
O => \i__carry_i_14_n_0\
);
\i__carry_i_15\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(3),
O => \i__carry_i_15_n_0\
);
\i__carry_i_16\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(2),
O => \i__carry_i_16_n_0\
);
\i__carry_i_17\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(1),
O => \i__carry_i_17_n_0\
);
\i__carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_0_in(4),
I1 => p_0_in(5),
O => \i__carry_i_2_n_0\
);
\i__carry_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => p_0_in(2),
I1 => p_0_in(3),
O => \i__carry_i_3_n_0\
);
\i__carry_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"D"
)
port map (
I0 => counter_reg(0),
I1 => p_0_in(1),
O => \i__carry_i_4_n_0\
);
\i__carry_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => p_0_in(6),
I1 => p_0_in(7),
O => \i__carry_i_5_n_0\
);
\i__carry_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in(4),
I1 => p_0_in(5),
O => \i__carry_i_6_n_0\
);
\i__carry_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in(2),
I1 => p_0_in(3),
O => \i__carry_i_7_n_0\
);
\i__carry_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => counter_reg(0),
I1 => p_0_in(1),
O => \i__carry_i_8_n_0\
);
\i__carry_i_9\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \i__carry_i_9_n_0\,
CO(2) => \i__carry_i_9_n_1\,
CO(1) => \i__carry_i_9_n_2\,
CO(0) => \i__carry_i_9_n_3\,
CYINIT => counter_reg(0),
DI(3 downto 0) => B"0000",
O(3 downto 0) => p_0_in(4 downto 1),
S(3) => \i__carry_i_14_n_0\,
S(2) => \i__carry_i_15_n_0\,
S(1) => \i__carry_i_16_n_0\,
S(0) => \i__carry_i_17_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_affine_rotation_generator_0_0 is
port (
clk_25 : in STD_LOGIC;
reset : in STD_LOGIC;
a00 : out STD_LOGIC_VECTOR ( 31 downto 0 );
a01 : out STD_LOGIC_VECTOR ( 31 downto 0 );
a10 : out STD_LOGIC_VECTOR ( 31 downto 0 );
a11 : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_affine_rotation_generator_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_affine_rotation_generator_0_0 : entity is "system_affine_rotation_generator_0_0,affine_rotation_generator,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_affine_rotation_generator_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_affine_rotation_generator_0_0 : entity is "affine_rotation_generator,Vivado 2016.4";
end system_affine_rotation_generator_0_0;
architecture STRUCTURE of system_affine_rotation_generator_0_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^a00\ : STD_LOGIC_VECTOR ( 28 to 28 );
signal \^a01\ : STD_LOGIC_VECTOR ( 29 downto 0 );
signal \^a11\ : STD_LOGIC_VECTOR ( 25 downto 0 );
begin
a00(31) <= \<const0>\;
a00(30) <= \<const0>\;
a00(29) <= \^a00\(28);
a00(28) <= \^a00\(28);
a00(27) <= \^a00\(28);
a00(26) <= \^a00\(28);
a00(25 downto 0) <= \^a11\(25 downto 0);
a01(31) <= \<const1>\;
a01(30) <= \<const0>\;
a01(29 downto 0) <= \^a01\(29 downto 0);
a10(31) <= \<const0>\;
a10(30) <= \<const0>\;
a10(29 downto 0) <= \^a01\(29 downto 0);
a11(31) <= \<const0>\;
a11(30) <= \<const0>\;
a11(29) <= \^a00\(28);
a11(28) <= \^a00\(28);
a11(27) <= \^a00\(28);
a11(26) <= \^a00\(28);
a11(25 downto 0) <= \^a11\(25 downto 0);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.system_affine_rotation_generator_0_0_affine_rotation_generator
port map (
a00(26) => \^a00\(28),
a00(25 downto 0) => \^a11\(25 downto 0),
a01(29 downto 0) => \^a01\(29 downto 0),
clk_25 => clk_25,
reset => reset
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
| mit | 3ab6804a564dac580afa70498b370dcb | 0.486834 | 2.423046 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_hdmi_test/zed_hdmi_test.srcs/sources_1/bd/system/ip/system_zed_hdmi_0_0/system_zed_hdmi_0_0_sim_netlist.vhdl | 1 | 805,207 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon May 22 02:52:02 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_hdmi_test/zed_hdmi_test.srcs/sources_1/bd/system/ip/system_zed_hdmi_0_0/system_zed_hdmi_0_0_sim_netlist.vhdl
-- Design : system_zed_hdmi_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zed_hdmi_0_0_i2c_sender is
port (
hdmi_sda : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
clk_100 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zed_hdmi_0_0_i2c_sender : entity is "i2c_sender";
end system_zed_hdmi_0_0_i2c_sender;
architecture STRUCTURE of system_zed_hdmi_0_0_i2c_sender is
signal address : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \address[0]_i_1_n_0\ : STD_LOGIC;
signal \address[1]_i_1_n_0\ : STD_LOGIC;
signal \address[2]_i_1_n_0\ : STD_LOGIC;
signal \address[3]_i_1_n_0\ : STD_LOGIC;
signal \address[3]_i_2_n_0\ : STD_LOGIC;
signal \address[4]_i_1_n_0\ : STD_LOGIC;
signal \address[5]_i_1_n_0\ : STD_LOGIC;
signal \address[5]_i_2_n_0\ : STD_LOGIC;
signal \address[5]_i_3_n_0\ : STD_LOGIC;
signal \address[5]_i_4_n_0\ : STD_LOGIC;
signal \address[5]_i_5_n_0\ : STD_LOGIC;
signal \address[5]_i_6_n_0\ : STD_LOGIC;
signal \address[5]_i_7_n_0\ : STD_LOGIC;
signal busy_sr : STD_LOGIC;
signal \busy_sr[10]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[11]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[12]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[13]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[14]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[15]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[16]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[17]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[18]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[19]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[1]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[20]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[21]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[22]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[23]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[24]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[25]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[26]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[27]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[28]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[28]_i_2_n_0\ : STD_LOGIC;
signal \busy_sr[2]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[3]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[4]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[5]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[6]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[7]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[8]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[9]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[0]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[11]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[12]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[13]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[14]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[15]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[16]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[17]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[19]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[20]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[21]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[22]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[23]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[24]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[25]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[26]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[27]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[2]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[3]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[4]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[5]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[6]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[7]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[8]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[9]\ : STD_LOGIC;
signal clk_first_quarter : STD_LOGIC_VECTOR ( 28 to 28 );
signal \clk_first_quarter[28]_i_1_n_0\ : STD_LOGIC;
signal clk_last_quarter : STD_LOGIC_VECTOR ( 28 downto 1 );
signal \clk_last_quarter[2]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[0]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[0]_i_2_n_0\ : STD_LOGIC;
signal \data_sr_reg_n_0_[0]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[11]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[12]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[13]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[14]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[15]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[16]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[17]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[19]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[20]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[21]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[22]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[23]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[24]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[25]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[26]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[27]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[28]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[2]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[3]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[4]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[5]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[6]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[7]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[8]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[9]\ : STD_LOGIC;
signal divider : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \divider[0]_i_1_n_0\ : STD_LOGIC;
signal \divider[1]_i_1_n_0\ : STD_LOGIC;
signal \divider[2]_i_1_n_0\ : STD_LOGIC;
signal \divider[3]_i_1_n_0\ : STD_LOGIC;
signal \divider[4]_i_1_n_0\ : STD_LOGIC;
signal \divider[5]_i_1_n_0\ : STD_LOGIC;
signal \divider[5]_i_2_n_0\ : STD_LOGIC;
signal \divider[6]_i_1_n_0\ : STD_LOGIC;
signal \divider[7]_i_1_n_0\ : STD_LOGIC;
signal \divider[7]_i_2_n_0\ : STD_LOGIC;
signal \divider[7]_i_3_n_0\ : STD_LOGIC;
signal finished_i_1_n_0 : STD_LOGIC;
signal finished_reg_n_0 : STD_LOGIC;
signal \initial_pause[5]_i_2_n_0\ : STD_LOGIC;
signal \initial_pause[7]_i_1_n_0\ : STD_LOGIC;
signal \initial_pause[7]_i_3_n_0\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[0]\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[1]\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[2]\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[3]\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[4]\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[5]\ : STD_LOGIC;
signal \initial_pause_reg_n_0_[6]\ : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_1_in : STD_LOGIC;
signal \p_1_in__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_2_in : STD_LOGIC_VECTOR ( 18 downto 2 );
signal reg_value_reg_n_10 : STD_LOGIC;
signal reg_value_reg_n_11 : STD_LOGIC;
signal reg_value_reg_n_12 : STD_LOGIC;
signal reg_value_reg_n_13 : STD_LOGIC;
signal reg_value_reg_n_14 : STD_LOGIC;
signal reg_value_reg_n_15 : STD_LOGIC;
signal reg_value_reg_n_8 : STD_LOGIC;
signal reg_value_reg_n_9 : STD_LOGIC;
signal \tristate_sr[19]_i_1_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[17]_U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[27]_U0_Inst_i2c_sender_tristate_sr_reg_r_6_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[28]_inv_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4_n_0\ : STD_LOGIC;
signal \tristate_sr_reg[8]_U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\ : STD_LOGIC;
signal \tristate_sr_reg_gate__0_n_0\ : STD_LOGIC;
signal \tristate_sr_reg_gate__1_n_0\ : STD_LOGIC;
signal tristate_sr_reg_gate_n_0 : STD_LOGIC;
signal \tristate_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \tristate_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \tristate_sr_reg_n_0_[19]\ : STD_LOGIC;
signal \tristate_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \tristate_sr_reg_n_0_[9]\ : STD_LOGIC;
signal tristate_sr_reg_r_0_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_1_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_2_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_3_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_4_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_5_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_6_n_0 : STD_LOGIC;
signal tristate_sr_reg_r_n_0 : STD_LOGIC;
signal NLW_reg_value_reg_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_reg_value_reg_DOPADOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_reg_value_reg_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \address[0]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \address[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \address[3]_i_2\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \address[5]_i_4\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \address[5]_i_6\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \busy_sr[5]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \busy_sr[6]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \data_sr[0]_i_2\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \data_sr[11]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \data_sr[12]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \data_sr[13]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \data_sr[14]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \data_sr[15]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \data_sr[16]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \data_sr[17]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \data_sr[18]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \data_sr[2]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \data_sr[3]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \data_sr[4]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \data_sr[5]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \data_sr[6]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \data_sr[7]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \data_sr[8]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \data_sr[9]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \divider[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \divider[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \initial_pause[0]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \initial_pause[1]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \initial_pause[2]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \initial_pause[5]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \initial_pause[6]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \initial_pause[7]_i_2\ : label is "soft_lutpair5";
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of reg_value_reg : label is "INDEPENDENT";
attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string;
attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of reg_value_reg : label is "p0_d16";
attribute METHODOLOGY_DRC_VIOS : string;
attribute METHODOLOGY_DRC_VIOS of reg_value_reg : label is "{SYNTH-6 {cell *THIS*}}";
attribute RTL_RAM_BITS : integer;
attribute RTL_RAM_BITS of reg_value_reg : label is 1024;
attribute RTL_RAM_NAME : string;
attribute RTL_RAM_NAME of reg_value_reg : label is "reg_value";
attribute bram_addr_begin : integer;
attribute bram_addr_begin of reg_value_reg : label is 0;
attribute bram_addr_end : integer;
attribute bram_addr_end of reg_value_reg : label is 1023;
attribute bram_slice_begin : integer;
attribute bram_slice_begin of reg_value_reg : label is 0;
attribute bram_slice_end : integer;
attribute bram_slice_end of reg_value_reg : label is 15;
attribute srl_bus_name : string;
attribute srl_bus_name of \tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4\ : label is "\U0/Inst_i2c_sender/tristate_sr_reg ";
attribute srl_name : string;
attribute srl_name of \tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4\ : label is "\U0/Inst_i2c_sender/tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4 ";
attribute srl_bus_name of \tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5\ : label is "\U0/Inst_i2c_sender/tristate_sr_reg ";
attribute srl_name of \tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5\ : label is "\U0/Inst_i2c_sender/tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5 ";
attribute srl_bus_name of \tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4\ : label is "\U0/Inst_i2c_sender/tristate_sr_reg ";
attribute srl_name of \tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4\ : label is "\U0/Inst_i2c_sender/tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4 ";
attribute SOFT_HLUTNM of \tristate_sr_reg_gate__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \tristate_sr_reg_gate__1\ : label is "soft_lutpair16";
begin
\address[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0040"
)
port map (
I0 => p_0_in,
I1 => \address[5]_i_5_n_0\,
I2 => \address[5]_i_3_n_0\,
I3 => address(0),
O => \address[0]_i_1_n_0\
);
\address[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00080800"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => \address[5]_i_5_n_0\,
I2 => p_0_in,
I3 => address(0),
I4 => address(1),
O => \address[1]_i_1_n_0\
);
\address[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0008080808000000"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => \address[5]_i_5_n_0\,
I2 => p_0_in,
I3 => address(1),
I4 => address(0),
I5 => address(2),
O => \address[2]_i_1_n_0\
);
\address[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"08000008"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => \address[5]_i_5_n_0\,
I2 => p_0_in,
I3 => \address[3]_i_2_n_0\,
I4 => address(3),
O => \address[3]_i_1_n_0\
);
\address[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => address(1),
I1 => address(0),
I2 => address(2),
O => \address[3]_i_2_n_0\
);
\address[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"08000008"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => \address[5]_i_5_n_0\,
I2 => p_0_in,
I3 => \address[5]_i_6_n_0\,
I4 => address(4),
O => \address[4]_i_1_n_0\
);
\address[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000200000"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => finished_reg_n_0,
I2 => p_1_in,
I3 => \address[5]_i_4_n_0\,
I4 => divider(7),
I5 => p_0_in,
O => \address[5]_i_1_n_0\
);
\address[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0808000800000800"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => \address[5]_i_5_n_0\,
I2 => p_0_in,
I3 => address(4),
I4 => \address[5]_i_6_n_0\,
I5 => address(5),
O => \address[5]_i_2_n_0\
);
\address[5]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF7FFF"
)
port map (
I0 => \p_0_in__0\(2),
I1 => \p_0_in__0\(3),
I2 => \p_0_in__0\(0),
I3 => \p_0_in__0\(1),
I4 => \address[5]_i_7_n_0\,
O => \address[5]_i_3_n_0\
);
\address[5]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \divider[7]_i_3_n_0\,
I1 => divider(6),
O => \address[5]_i_4_n_0\
);
\address[5]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"00400000"
)
port map (
I0 => finished_reg_n_0,
I1 => p_1_in,
I2 => divider(6),
I3 => \divider[7]_i_3_n_0\,
I4 => divider(7),
O => \address[5]_i_5_n_0\
);
\address[5]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => address(2),
I1 => address(0),
I2 => address(1),
I3 => address(3),
O => \address[5]_i_6_n_0\
);
\address[5]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \p_0_in__0\(5),
I1 => \p_0_in__0\(4),
I2 => \p_0_in__0\(7),
I3 => \p_0_in__0\(6),
O => \address[5]_i_7_n_0\
);
\address_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \address[5]_i_1_n_0\,
D => \address[0]_i_1_n_0\,
Q => address(0),
R => '0'
);
\address_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \address[5]_i_1_n_0\,
D => \address[1]_i_1_n_0\,
Q => address(1),
R => '0'
);
\address_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \address[5]_i_1_n_0\,
D => \address[2]_i_1_n_0\,
Q => address(2),
R => '0'
);
\address_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \address[5]_i_1_n_0\,
D => \address[3]_i_1_n_0\,
Q => address(3),
R => '0'
);
\address_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \address[5]_i_1_n_0\,
D => \address[4]_i_1_n_0\,
Q => address(4),
R => '0'
);
\address_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \address[5]_i_1_n_0\,
D => \address[5]_i_2_n_0\,
Q => address(5),
R => '0'
);
\busy_sr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FF200000"
)
port map (
I0 => \address[5]_i_3_n_0\,
I1 => finished_reg_n_0,
I2 => p_1_in,
I3 => p_0_in,
I4 => divider(7),
I5 => \address[5]_i_4_n_0\,
O => busy_sr
);
\busy_sr[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[9]\,
O => \busy_sr[10]_i_1_n_0\
);
\busy_sr[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[10]\,
O => \busy_sr[11]_i_1_n_0\
);
\busy_sr[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[11]\,
O => \busy_sr[12]_i_1_n_0\
);
\busy_sr[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[12]\,
O => \busy_sr[13]_i_1_n_0\
);
\busy_sr[14]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[13]\,
O => \busy_sr[14]_i_1_n_0\
);
\busy_sr[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[14]\,
O => \busy_sr[15]_i_1_n_0\
);
\busy_sr[16]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[15]\,
O => \busy_sr[16]_i_1_n_0\
);
\busy_sr[17]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[16]\,
O => \busy_sr[17]_i_1_n_0\
);
\busy_sr[18]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[17]\,
O => \busy_sr[18]_i_1_n_0\
);
\busy_sr[19]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[18]\,
O => \busy_sr[19]_i_1_n_0\
);
\busy_sr[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[0]\,
O => \busy_sr[1]_i_1_n_0\
);
\busy_sr[20]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[19]\,
O => \busy_sr[20]_i_1_n_0\
);
\busy_sr[21]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[20]\,
O => \busy_sr[21]_i_1_n_0\
);
\busy_sr[22]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[21]\,
O => \busy_sr[22]_i_1_n_0\
);
\busy_sr[23]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[22]\,
O => \busy_sr[23]_i_1_n_0\
);
\busy_sr[24]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[23]\,
O => \busy_sr[24]_i_1_n_0\
);
\busy_sr[25]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[24]\,
O => \busy_sr[25]_i_1_n_0\
);
\busy_sr[26]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[25]\,
O => \busy_sr[26]_i_1_n_0\
);
\busy_sr[27]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[26]\,
O => \busy_sr[27]_i_1_n_0\
);
\busy_sr[28]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000040000000000"
)
port map (
I0 => \address[5]_i_4_n_0\,
I1 => divider(7),
I2 => p_0_in,
I3 => p_1_in,
I4 => finished_reg_n_0,
I5 => \address[5]_i_3_n_0\,
O => \busy_sr[28]_i_1_n_0\
);
\busy_sr[28]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[27]\,
O => \busy_sr[28]_i_2_n_0\
);
\busy_sr[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[1]\,
O => \busy_sr[2]_i_1_n_0\
);
\busy_sr[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[2]\,
O => \busy_sr[3]_i_1_n_0\
);
\busy_sr[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[3]\,
O => \busy_sr[4]_i_1_n_0\
);
\busy_sr[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[4]\,
O => \busy_sr[5]_i_1_n_0\
);
\busy_sr[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[5]\,
O => \busy_sr[6]_i_1_n_0\
);
\busy_sr[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[6]\,
O => \busy_sr[7]_i_1_n_0\
);
\busy_sr[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[7]\,
O => \busy_sr[8]_i_1_n_0\
);
\busy_sr[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => \busy_sr_reg_n_0_[8]\,
O => \busy_sr[9]_i_1_n_0\
);
\busy_sr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \address[5]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[0]\,
R => '0'
);
\busy_sr_reg[10]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[10]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[10]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[11]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[11]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[11]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[12]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[12]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[12]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[13]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[13]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[13]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[14]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[14]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[14]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[15]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[15]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[15]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[16]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[16]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[16]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[17]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[17]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[17]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[18]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[18]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[18]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[19]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[19]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[19]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[1]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[1]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[20]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[20]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[20]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[21]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[21]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[21]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[22]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[22]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[22]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[23]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[23]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[23]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[24]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[24]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[24]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[25]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[25]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[25]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[26]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[26]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[26]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[27]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[27]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[27]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[28]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[28]_i_2_n_0\,
Q => p_0_in,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[2]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[2]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[3]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[3]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[4]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[4]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[5]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[5]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[5]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[6]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[6]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[6]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[7]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[7]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[7]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[8]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[8]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[8]\,
S => \busy_sr[28]_i_1_n_0\
);
\busy_sr_reg[9]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \busy_sr[9]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[9]\,
S => \busy_sr[28]_i_1_n_0\
);
\clk_first_quarter[28]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
I4 => clk_last_quarter(28),
O => \clk_first_quarter[28]_i_1_n_0\
);
\clk_first_quarter_reg[28]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \clk_first_quarter[28]_i_1_n_0\,
Q => clk_first_quarter(28),
S => \busy_sr[28]_i_1_n_0\
);
\clk_last_quarter[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000200000"
)
port map (
I0 => p_1_in,
I1 => finished_reg_n_0,
I2 => \address[5]_i_3_n_0\,
I3 => p_0_in,
I4 => divider(7),
I5 => \address[5]_i_4_n_0\,
O => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(9),
Q => clk_last_quarter(10),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(10),
Q => clk_last_quarter(11),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(11),
Q => clk_last_quarter(12),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(12),
Q => clk_last_quarter(13),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(13),
Q => clk_last_quarter(14),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(14),
Q => clk_last_quarter(15),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(15),
Q => clk_last_quarter(16),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(16),
Q => clk_last_quarter(17),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(17),
Q => clk_last_quarter(18),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(18),
Q => clk_last_quarter(19),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \tristate_sr[19]_i_1_n_0\,
Q => clk_last_quarter(1),
R => '0'
);
\clk_last_quarter_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(19),
Q => clk_last_quarter(20),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(20),
Q => clk_last_quarter(21),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(21),
Q => clk_last_quarter(22),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(22),
Q => clk_last_quarter(23),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(23),
Q => clk_last_quarter(24),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(24),
Q => clk_last_quarter(25),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(25),
Q => clk_last_quarter(26),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(26),
Q => clk_last_quarter(27),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(27),
Q => clk_last_quarter(28),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(1),
Q => clk_last_quarter(2),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(2),
Q => clk_last_quarter(3),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(3),
Q => clk_last_quarter(4),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(4),
Q => clk_last_quarter(5),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(5),
Q => clk_last_quarter(6),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(6),
Q => clk_last_quarter(7),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(7),
Q => clk_last_quarter(8),
R => \clk_last_quarter[2]_i_1_n_0\
);
\clk_last_quarter_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => clk_last_quarter(8),
Q => clk_last_quarter(9),
R => \clk_last_quarter[2]_i_1_n_0\
);
\data_sr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EAEACAEAEAEAEAEA"
)
port map (
I0 => \data_sr_reg_n_0_[0]\,
I1 => p_0_in,
I2 => \data_sr[0]_i_2_n_0\,
I3 => p_1_in,
I4 => finished_reg_n_0,
I5 => \address[5]_i_3_n_0\,
O => \data_sr[0]_i_1_n_0\
);
\data_sr[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"20"
)
port map (
I0 => divider(7),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(6),
O => \data_sr[0]_i_2_n_0\
);
\data_sr[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[10]\,
I1 => p_0_in,
I2 => \p_0_in__0\(0),
O => p_2_in(11)
);
\data_sr[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[11]\,
I1 => p_0_in,
I2 => \p_0_in__0\(1),
O => p_2_in(12)
);
\data_sr[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[12]\,
I1 => p_0_in,
I2 => \p_0_in__0\(2),
O => p_2_in(13)
);
\data_sr[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[13]\,
I1 => p_0_in,
I2 => \p_0_in__0\(3),
O => p_2_in(14)
);
\data_sr[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[14]\,
I1 => p_0_in,
I2 => \p_0_in__0\(4),
O => p_2_in(15)
);
\data_sr[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[15]\,
I1 => p_0_in,
I2 => \p_0_in__0\(5),
O => p_2_in(16)
);
\data_sr[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[16]\,
I1 => p_0_in,
I2 => \p_0_in__0\(6),
O => p_2_in(17)
);
\data_sr[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[17]\,
I1 => p_0_in,
I2 => \p_0_in__0\(7),
O => p_2_in(18)
);
\data_sr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[1]\,
I1 => p_0_in,
I2 => reg_value_reg_n_15,
O => p_2_in(2)
);
\data_sr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[2]\,
I1 => p_0_in,
I2 => reg_value_reg_n_14,
O => p_2_in(3)
);
\data_sr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[3]\,
I1 => p_0_in,
I2 => reg_value_reg_n_13,
O => p_2_in(4)
);
\data_sr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[4]\,
I1 => p_0_in,
I2 => reg_value_reg_n_12,
O => p_2_in(5)
);
\data_sr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[5]\,
I1 => p_0_in,
I2 => reg_value_reg_n_11,
O => p_2_in(6)
);
\data_sr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[6]\,
I1 => p_0_in,
I2 => reg_value_reg_n_10,
O => p_2_in(7)
);
\data_sr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[7]\,
I1 => p_0_in,
I2 => reg_value_reg_n_9,
O => p_2_in(8)
);
\data_sr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[8]\,
I1 => p_0_in,
I2 => reg_value_reg_n_8,
O => p_2_in(9)
);
\data_sr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => '1',
D => \data_sr[0]_i_1_n_0\,
Q => \data_sr_reg_n_0_[0]\,
R => '0'
);
\data_sr_reg[10]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[9]\,
Q => \data_sr_reg_n_0_[10]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(11),
Q => \data_sr_reg_n_0_[11]\,
R => '0'
);
\data_sr_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(12),
Q => \data_sr_reg_n_0_[12]\,
R => '0'
);
\data_sr_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(13),
Q => \data_sr_reg_n_0_[13]\,
R => '0'
);
\data_sr_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(14),
Q => \data_sr_reg_n_0_[14]\,
R => '0'
);
\data_sr_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(15),
Q => \data_sr_reg_n_0_[15]\,
R => '0'
);
\data_sr_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(16),
Q => \data_sr_reg_n_0_[16]\,
R => '0'
);
\data_sr_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(17),
Q => \data_sr_reg_n_0_[17]\,
R => '0'
);
\data_sr_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(18),
Q => \data_sr_reg_n_0_[18]\,
R => '0'
);
\data_sr_reg[19]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[18]\,
Q => \data_sr_reg_n_0_[19]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[0]\,
Q => \data_sr_reg_n_0_[1]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[19]\,
Q => \data_sr_reg_n_0_[20]\,
R => \address[5]_i_1_n_0\
);
\data_sr_reg[21]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[20]\,
Q => \data_sr_reg_n_0_[21]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[21]\,
Q => \data_sr_reg_n_0_[22]\,
R => \address[5]_i_1_n_0\
);
\data_sr_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[22]\,
Q => \data_sr_reg_n_0_[23]\,
R => \address[5]_i_1_n_0\
);
\data_sr_reg[24]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[23]\,
Q => \data_sr_reg_n_0_[24]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[25]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[24]\,
Q => \data_sr_reg_n_0_[25]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[26]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[25]\,
Q => \data_sr_reg_n_0_[26]\,
S => \address[5]_i_1_n_0\
);
\data_sr_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[26]\,
Q => \data_sr_reg_n_0_[27]\,
R => \address[5]_i_1_n_0\
);
\data_sr_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => \data_sr_reg_n_0_[27]\,
Q => \data_sr_reg_n_0_[28]\,
R => \address[5]_i_1_n_0\
);
\data_sr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(2),
Q => \data_sr_reg_n_0_[2]\,
R => '0'
);
\data_sr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(3),
Q => \data_sr_reg_n_0_[3]\,
R => '0'
);
\data_sr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(4),
Q => \data_sr_reg_n_0_[4]\,
R => '0'
);
\data_sr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(5),
Q => \data_sr_reg_n_0_[5]\,
R => '0'
);
\data_sr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(6),
Q => \data_sr_reg_n_0_[6]\,
R => '0'
);
\data_sr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(7),
Q => \data_sr_reg_n_0_[7]\,
R => '0'
);
\data_sr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(8),
Q => \data_sr_reg_n_0_[8]\,
R => '0'
);
\data_sr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_100,
CE => busy_sr,
D => p_2_in(9),
Q => \data_sr_reg_n_0_[9]\,
R => '0'
);
\divider[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00AE"
)
port map (
I0 => p_0_in,
I1 => p_1_in,
I2 => finished_reg_n_0,
I3 => divider(0),
O => \divider[0]_i_1_n_0\
);
\divider[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00F4F400"
)
port map (
I0 => finished_reg_n_0,
I1 => p_1_in,
I2 => p_0_in,
I3 => divider(0),
I4 => divider(1),
O => \divider[1]_i_1_n_0\
);
\divider[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F4F4F4F4000000"
)
port map (
I0 => finished_reg_n_0,
I1 => p_1_in,
I2 => p_0_in,
I3 => divider(1),
I4 => divider(0),
I5 => divider(2),
O => \divider[2]_i_1_n_0\
);
\divider[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2AAA8000"
)
port map (
I0 => \divider[7]_i_1_n_0\,
I1 => divider(2),
I2 => divider(0),
I3 => divider(1),
I4 => divider(3),
O => \divider[3]_i_1_n_0\
);
\divider[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFF000080000000"
)
port map (
I0 => divider(2),
I1 => divider(0),
I2 => divider(1),
I3 => divider(3),
I4 => \divider[7]_i_1_n_0\,
I5 => divider(4),
O => \divider[4]_i_1_n_0\
);
\divider[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88A84454"
)
port map (
I0 => \divider[5]_i_2_n_0\,
I1 => p_0_in,
I2 => p_1_in,
I3 => finished_reg_n_0,
I4 => divider(5),
O => \divider[5]_i_1_n_0\
);
\divider[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFFFFFF"
)
port map (
I0 => divider(3),
I1 => divider(1),
I2 => divider(0),
I3 => divider(2),
I4 => divider(4),
O => \divider[5]_i_2_n_0\
);
\divider[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88A84454"
)
port map (
I0 => \divider[7]_i_3_n_0\,
I1 => p_0_in,
I2 => p_1_in,
I3 => finished_reg_n_0,
I4 => divider(6),
O => \divider[6]_i_1_n_0\
);
\divider[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"F4"
)
port map (
I0 => finished_reg_n_0,
I1 => p_1_in,
I2 => p_0_in,
O => \divider[7]_i_1_n_0\
);
\divider[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"B0B0BBB040404440"
)
port map (
I0 => \divider[7]_i_3_n_0\,
I1 => divider(6),
I2 => p_0_in,
I3 => p_1_in,
I4 => finished_reg_n_0,
I5 => divider(7),
O => \divider[7]_i_2_n_0\
);
\divider[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => divider(4),
I1 => divider(2),
I2 => divider(0),
I3 => divider(1),
I4 => divider(3),
I5 => divider(5),
O => \divider[7]_i_3_n_0\
);
\divider_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[0]_i_1_n_0\,
Q => divider(0),
R => '0'
);
\divider_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[1]_i_1_n_0\,
Q => divider(1),
R => '0'
);
\divider_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[2]_i_1_n_0\,
Q => divider(2),
R => '0'
);
\divider_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[3]_i_1_n_0\,
Q => divider(3),
R => '0'
);
\divider_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[4]_i_1_n_0\,
Q => divider(4),
R => '0'
);
\divider_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[5]_i_1_n_0\,
Q => divider(5),
R => '0'
);
\divider_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[6]_i_1_n_0\,
Q => divider(6),
R => '0'
);
\divider_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \divider[7]_i_1_n_0\,
D => \divider[7]_i_2_n_0\,
Q => divider(7),
R => '0'
);
finished_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00000020"
)
port map (
I0 => p_1_in,
I1 => \address[5]_i_4_n_0\,
I2 => divider(7),
I3 => \address[5]_i_3_n_0\,
I4 => p_0_in,
I5 => finished_reg_n_0,
O => finished_i_1_n_0
);
finished_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => '1',
D => finished_i_1_n_0,
Q => finished_reg_n_0,
R => '0'
);
hdmi_scl_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => clk_first_quarter(28),
I1 => divider(7),
O => hdmi_scl
);
hdmi_sda_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \data_sr_reg_n_0_[28]\,
I1 => \tristate_sr_reg[28]_inv_n_0\,
O => hdmi_sda
);
\initial_pause[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => p_1_in,
I1 => p_0_in,
I2 => \initial_pause_reg_n_0_[0]\,
O => \p_1_in__0\(0)
);
\initial_pause[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0110"
)
port map (
I0 => p_0_in,
I1 => p_1_in,
I2 => \initial_pause_reg_n_0_[0]\,
I3 => \initial_pause_reg_n_0_[1]\,
O => \p_1_in__0\(1)
);
\initial_pause[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00070008"
)
port map (
I0 => \initial_pause_reg_n_0_[0]\,
I1 => \initial_pause_reg_n_0_[1]\,
I2 => p_1_in,
I3 => p_0_in,
I4 => \initial_pause_reg_n_0_[2]\,
O => \p_1_in__0\(2)
);
\initial_pause[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000007F00000080"
)
port map (
I0 => \initial_pause_reg_n_0_[1]\,
I1 => \initial_pause_reg_n_0_[0]\,
I2 => \initial_pause_reg_n_0_[2]\,
I3 => p_1_in,
I4 => p_0_in,
I5 => \initial_pause_reg_n_0_[3]\,
O => \p_1_in__0\(3)
);
\initial_pause[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFF000080000000"
)
port map (
I0 => \initial_pause_reg_n_0_[2]\,
I1 => \initial_pause_reg_n_0_[0]\,
I2 => \initial_pause_reg_n_0_[1]\,
I3 => \initial_pause_reg_n_0_[3]\,
I4 => \initial_pause[7]_i_1_n_0\,
I5 => \initial_pause_reg_n_0_[4]\,
O => \p_1_in__0\(4)
);
\initial_pause[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0201"
)
port map (
I0 => \initial_pause[5]_i_2_n_0\,
I1 => p_1_in,
I2 => p_0_in,
I3 => \initial_pause_reg_n_0_[5]\,
O => \p_1_in__0\(5)
);
\initial_pause[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFFFFFF"
)
port map (
I0 => \initial_pause_reg_n_0_[3]\,
I1 => \initial_pause_reg_n_0_[1]\,
I2 => \initial_pause_reg_n_0_[0]\,
I3 => \initial_pause_reg_n_0_[2]\,
I4 => \initial_pause_reg_n_0_[4]\,
O => \initial_pause[5]_i_2_n_0\
);
\initial_pause[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0201"
)
port map (
I0 => \initial_pause[7]_i_3_n_0\,
I1 => p_1_in,
I2 => p_0_in,
I3 => \initial_pause_reg_n_0_[6]\,
O => \p_1_in__0\(6)
);
\initial_pause[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => p_0_in,
I1 => p_1_in,
O => \initial_pause[7]_i_1_n_0\
);
\initial_pause[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \initial_pause_reg_n_0_[6]\,
I1 => p_0_in,
I2 => p_1_in,
I3 => \initial_pause[7]_i_3_n_0\,
O => \p_1_in__0\(7)
);
\initial_pause[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \initial_pause_reg_n_0_[4]\,
I1 => \initial_pause_reg_n_0_[2]\,
I2 => \initial_pause_reg_n_0_[0]\,
I3 => \initial_pause_reg_n_0_[1]\,
I4 => \initial_pause_reg_n_0_[3]\,
I5 => \initial_pause_reg_n_0_[5]\,
O => \initial_pause[7]_i_3_n_0\
);
\initial_pause_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(0),
Q => \initial_pause_reg_n_0_[0]\,
R => '0'
);
\initial_pause_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(1),
Q => \initial_pause_reg_n_0_[1]\,
R => '0'
);
\initial_pause_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(2),
Q => \initial_pause_reg_n_0_[2]\,
R => '0'
);
\initial_pause_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(3),
Q => \initial_pause_reg_n_0_[3]\,
R => '0'
);
\initial_pause_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(4),
Q => \initial_pause_reg_n_0_[4]\,
R => '0'
);
\initial_pause_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(5),
Q => \initial_pause_reg_n_0_[5]\,
R => '0'
);
\initial_pause_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(6),
Q => \initial_pause_reg_n_0_[6]\,
R => '0'
);
\initial_pause_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \initial_pause[7]_i_1_n_0\,
D => \p_1_in__0\(7),
Q => p_1_in,
R => '0'
);
reg_value_reg: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"AF04D03C1700163748101506F9005512E0D0A3A4A2A49D619C309AE098034110",
INIT_01 => X"2524241F23AD220421DC201D1F1B1E1C1D001C001BAD1A04193418E740004C04",
INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFF2F772E1B2D7C2C082BAD2A042900280027352601",
INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "PERFORMANCE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "RSTREG",
RSTREG_PRIORITY_B => "RSTREG",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 0
)
port map (
ADDRARDADDR(13 downto 10) => B"0000",
ADDRARDADDR(9 downto 4) => address(5 downto 0),
ADDRARDADDR(3 downto 0) => B"0000",
ADDRBWRADDR(13 downto 0) => B"11111111111111",
CLKARDCLK => clk_100,
CLKBWRCLK => '0',
DIADI(15 downto 0) => B"1111111111111111",
DIBDI(15 downto 0) => B"1111111111111111",
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"11",
DOADO(15 downto 8) => \p_0_in__0\(7 downto 0),
DOADO(7) => reg_value_reg_n_8,
DOADO(6) => reg_value_reg_n_9,
DOADO(5) => reg_value_reg_n_10,
DOADO(4) => reg_value_reg_n_11,
DOADO(3) => reg_value_reg_n_12,
DOADO(2) => reg_value_reg_n_13,
DOADO(1) => reg_value_reg_n_14,
DOADO(0) => reg_value_reg_n_15,
DOBDO(15 downto 0) => NLW_reg_value_reg_DOBDO_UNCONNECTED(15 downto 0),
DOPADOP(1 downto 0) => NLW_reg_value_reg_DOPADOP_UNCONNECTED(1 downto 0),
DOPBDOP(1 downto 0) => NLW_reg_value_reg_DOPBDOP_UNCONNECTED(1 downto 0),
ENARDEN => '1',
ENBWREN => '0',
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1 downto 0) => B"00",
WEBWE(3 downto 0) => B"0000"
);
\tristate_sr[19]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => divider(6),
I1 => \divider[7]_i_3_n_0\,
I2 => divider(7),
I3 => p_0_in,
O => \tristate_sr[19]_i_1_n_0\
);
\tristate_sr_reg[10]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg_n_0_[9]\,
Q => \tristate_sr_reg_n_0_[10]\,
S => \address[5]_i_1_n_0\
);
\tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '1',
A3 => '0',
CE => \tristate_sr[19]_i_1_n_0\,
CLK => clk_100,
D => \tristate_sr_reg_n_0_[10]\,
Q => \tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4_n_0\
);
\tristate_sr_reg[17]_U0_Inst_i2c_sender_tristate_sr_reg_r_5\: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg[16]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4_n_0\,
Q => \tristate_sr_reg[17]_U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\,
R => '0'
);
\tristate_sr_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg_gate__0_n_0\,
Q => \tristate_sr_reg_n_0_[18]\,
R => \address[5]_i_1_n_0\
);
\tristate_sr_reg[19]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg_n_0_[18]\,
Q => \tristate_sr_reg_n_0_[19]\,
S => \address[5]_i_1_n_0\
);
\tristate_sr_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => '0',
Q => \tristate_sr_reg_n_0_[1]\,
S => \address[5]_i_1_n_0\
);
\tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '0',
A1 => '1',
A2 => '1',
A3 => '0',
CE => \tristate_sr[19]_i_1_n_0\,
CLK => clk_100,
D => \tristate_sr_reg_n_0_[19]\,
Q => \tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\
);
\tristate_sr_reg[27]_U0_Inst_i2c_sender_tristate_sr_reg_r_6\: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg[26]_srl7___U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\,
Q => \tristate_sr_reg[27]_U0_Inst_i2c_sender_tristate_sr_reg_r_6_n_0\,
R => '0'
);
\tristate_sr_reg[28]_inv\: unisim.vcomponents.FDSE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_gate_n_0,
Q => \tristate_sr_reg[28]_inv_n_0\,
S => \address[5]_i_1_n_0\
);
\tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => '1',
A1 => '0',
A2 => '1',
A3 => '0',
CE => \tristate_sr[19]_i_1_n_0\,
CLK => clk_100,
D => \tristate_sr_reg_n_0_[1]\,
Q => \tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4_n_0\
);
\tristate_sr_reg[8]_U0_Inst_i2c_sender_tristate_sr_reg_r_5\: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg[7]_srl6___U0_Inst_i2c_sender_tristate_sr_reg_r_4_n_0\,
Q => \tristate_sr_reg[8]_U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\,
R => '0'
);
\tristate_sr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => \tristate_sr_reg_gate__1_n_0\,
Q => \tristate_sr_reg_n_0_[9]\,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_gate: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \tristate_sr_reg[27]_U0_Inst_i2c_sender_tristate_sr_reg_r_6_n_0\,
I1 => tristate_sr_reg_r_6_n_0,
O => tristate_sr_reg_gate_n_0
);
\tristate_sr_reg_gate__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \tristate_sr_reg[17]_U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\,
I1 => tristate_sr_reg_r_5_n_0,
O => \tristate_sr_reg_gate__0_n_0\
);
\tristate_sr_reg_gate__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \tristate_sr_reg[8]_U0_Inst_i2c_sender_tristate_sr_reg_r_5_n_0\,
I1 => tristate_sr_reg_r_5_n_0,
O => \tristate_sr_reg_gate__1_n_0\
);
tristate_sr_reg_r: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => '1',
Q => tristate_sr_reg_r_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_0: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_n_0,
Q => tristate_sr_reg_r_0_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_1: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_0_n_0,
Q => tristate_sr_reg_r_1_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_2: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_1_n_0,
Q => tristate_sr_reg_r_2_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_3: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_2_n_0,
Q => tristate_sr_reg_r_3_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_4: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_3_n_0,
Q => tristate_sr_reg_r_4_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_5: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_4_n_0,
Q => tristate_sr_reg_r_5_n_0,
R => \address[5]_i_1_n_0\
);
tristate_sr_reg_r_6: unisim.vcomponents.FDRE
port map (
C => clk_100,
CE => \tristate_sr[19]_i_1_n_0\,
D => tristate_sr_reg_r_5_n_0,
Q => tristate_sr_reg_r_6_n_0,
R => \address[5]_i_1_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zed_hdmi_0_0_zed_hdmi is
port (
hdmi_clk : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hdmi_de : out STD_LOGIC;
DI : out STD_LOGIC_VECTOR ( 0 to 0 );
\cr_int_reg[31]_0\ : out STD_LOGIC;
\cr_int_reg[31]_1\ : out STD_LOGIC;
O : out STD_LOGIC_VECTOR ( 1 downto 0 );
\cb_int_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[27]_0\ : out STD_LOGIC;
\cr_int_reg[27]_1\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
\cr_int_reg[31]_2\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\cr_int_reg[7]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\cr_int_reg[3]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cr_int_reg[3]_2\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\cr_int_reg[27]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cr_int_reg[7]_1\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[15]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[19]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[23]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cr_int_reg[23]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\y_int_reg[23]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[3]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[23]_1\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\y_int_reg[23]_2\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\y_int_reg[19]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\y_int_reg[15]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\cb_int_reg[3]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cb_int_reg[3]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cb_int_reg[3]_3\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cb_int_reg[27]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cb_int_reg[15]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\cr_int_reg[15]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[3]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[19]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[23]_3\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\y_int_reg[15]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
hdmi_sda : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 7 downto 0 );
hdmi_scl : out STD_LOGIC;
clk_x2 : in STD_LOGIC;
active : in STD_LOGIC;
clk_100 : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 );
\rgb888[8]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[0]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[0]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[13]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\rgb888[8]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[13]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_3\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[12]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_4\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[12]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_5\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_6\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_7\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_8\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_9\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[8]_10\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[0]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[0]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[0]_3\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[0]_4\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_11\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\rgb888[8]_12\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_13\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[0]_5\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[0]_6\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[8]_14\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_15\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_16\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_17\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[8]_18\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\rgb888[8]_19\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\rgb888[14]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_20\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_21\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\rgb888[0]_7\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[14]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[1]\ : in STD_LOGIC_VECTOR ( 13 downto 0 );
\rgb888[14]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_22\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_23\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[8]_24\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\rgb888[8]_25\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_26\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_27\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_28\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_29\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rgb888[8]_30\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\rgb888[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\rgb888[8]_31\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\rgb888[0]_8\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[8]_32\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\rgb888[0]_9\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zed_hdmi_0_0_zed_hdmi : entity is "zed_hdmi";
end system_zed_hdmi_0_0_zed_hdmi;
architecture STRUCTURE of system_zed_hdmi_0_0_zed_hdmi is
signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal D1 : STD_LOGIC;
signal \^di\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^o\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal cb : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \cb[0]_i_1_n_0\ : STD_LOGIC;
signal \cb[1]_i_1_n_0\ : STD_LOGIC;
signal \cb[2]_i_1_n_0\ : STD_LOGIC;
signal \cb[3]_i_1_n_0\ : STD_LOGIC;
signal \cb[4]_i_1_n_0\ : STD_LOGIC;
signal \cb[5]_i_1_n_0\ : STD_LOGIC;
signal \cb[6]_i_1_n_0\ : STD_LOGIC;
signal \cb[7]_i_10_n_0\ : STD_LOGIC;
signal \cb[7]_i_11_n_0\ : STD_LOGIC;
signal \cb[7]_i_13_n_0\ : STD_LOGIC;
signal \cb[7]_i_14_n_0\ : STD_LOGIC;
signal \cb[7]_i_15_n_0\ : STD_LOGIC;
signal \cb[7]_i_16_n_0\ : STD_LOGIC;
signal \cb[7]_i_17_n_0\ : STD_LOGIC;
signal \cb[7]_i_18_n_0\ : STD_LOGIC;
signal \cb[7]_i_19_n_0\ : STD_LOGIC;
signal \cb[7]_i_20_n_0\ : STD_LOGIC;
signal \cb[7]_i_21_n_0\ : STD_LOGIC;
signal \cb[7]_i_22_n_0\ : STD_LOGIC;
signal \cb[7]_i_23_n_0\ : STD_LOGIC;
signal \cb[7]_i_24_n_0\ : STD_LOGIC;
signal \cb[7]_i_25_n_0\ : STD_LOGIC;
signal \cb[7]_i_26_n_0\ : STD_LOGIC;
signal \cb[7]_i_27_n_0\ : STD_LOGIC;
signal \cb[7]_i_28_n_0\ : STD_LOGIC;
signal \cb[7]_i_2_n_0\ : STD_LOGIC;
signal \cb[7]_i_4_n_0\ : STD_LOGIC;
signal \cb[7]_i_5_n_0\ : STD_LOGIC;
signal \cb[7]_i_6_n_0\ : STD_LOGIC;
signal \cb[7]_i_7_n_0\ : STD_LOGIC;
signal \cb[7]_i_8_n_0\ : STD_LOGIC;
signal \cb[7]_i_9_n_0\ : STD_LOGIC;
signal cb_hold : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \cb_hold[7]_i_1_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_100_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_101_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_102_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_103_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_104_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_105_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_106_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_107_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_108_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_109_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_110_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_111_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_112_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_113_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_114_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_11_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_12_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_14_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_15_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_19_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_20_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_22_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_27_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_29_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_30_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_31_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_32_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_34_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_37_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_41_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_42_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_43_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_44_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_45_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_46_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_47_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_49_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_50_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_51_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_52_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_53_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_54_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_55_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_56_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_57_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_58_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_59_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_60_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_61_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_62_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_63_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_64_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_65_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_67_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_68_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_69_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_70_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_71_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_72_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_73_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_74_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_76_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_77_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_78_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_79_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_80_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_82_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_83_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_84_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_85_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_86_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_87_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_88_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_89_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_91_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_92_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_93_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_94_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_95_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_96_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_97_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_98_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_99_n_0\ : STD_LOGIC;
signal \cb_int[11]_i_9_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_11_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_12_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_14_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_15_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_16_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_17_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_18_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_21_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_23_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_25_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_27_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_28_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_29_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_30_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_43_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_44_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_45_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_46_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_9_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_11_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_12_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_14_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_15_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_16_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_17_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_18_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_21_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_23_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_26_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_28_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_29_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_30_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_31_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_34_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_37_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_9_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_11_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_12_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_14_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_15_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_16_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_17_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_18_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_20_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_22_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_25_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_29_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_30_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_31_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_32_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_9_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_12_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_14_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_15_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[27]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_15_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_16_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_31_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_32_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_38_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_41_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_67_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_68_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_69_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_70_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_71_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_72_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_74_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_75_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_76_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_77_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_78_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_79_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_80_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_81_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_82_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_95_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_96_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_97_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_98_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_100_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_101_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_102_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_103_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_104_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_105_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_106_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_12_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_17_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_18_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_22_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_23_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_24_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_25_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_27_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_28_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_29_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_30_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_31_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_45_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_46_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_47_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_48_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_49_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_50_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_51_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_52_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_53_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_54_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_55_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_56_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_64_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_65_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_66_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_67_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_69_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_70_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_71_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_72_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_76_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_77_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_78_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_79_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_80_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_81_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_82_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_83_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_89_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_90_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_91_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_92_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_93_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_99_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_9_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_10_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_11_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_13_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_14_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_16_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_17_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_19_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_21_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_22_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_2_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_3_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_41_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_42_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_4_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_52_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_53_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_54_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_55_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_56_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_57_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_58_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_59_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_5_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_60_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_62_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_63_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_64_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_65_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_67_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_68_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_69_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_6_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_70_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_71_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_72_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_73_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_74_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_75_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_76_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_77_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_78_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_79_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_7_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_80_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_81_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_82_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_8_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_9_n_0\ : STD_LOGIC;
signal cb_int_reg2 : STD_LOGIC_VECTOR ( 22 downto 1 );
signal cb_int_reg3 : STD_LOGIC_VECTOR ( 22 downto 1 );
signal cb_int_reg5 : STD_LOGIC_VECTOR ( 22 downto 1 );
signal cb_int_reg7 : STD_LOGIC_VECTOR ( 30 downto 8 );
signal cb_int_reg8 : STD_LOGIC;
signal \cb_int_reg[11]_i_16_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_16_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_16_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_16_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_17_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_17_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_17_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_17_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_18_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_18_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[11]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_4\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_5\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_6\ : STD_LOGIC;
signal \cb_int_reg[11]_i_24_n_7\ : STD_LOGIC;
signal \cb_int_reg[11]_i_25_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_25_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_25_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_25_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_26_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_26_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_26_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_26_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_28_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_28_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_28_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_28_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_33_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_33_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_33_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_33_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_38_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_38_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_38_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_38_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_48_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_48_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_48_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_48_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_66_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_66_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_66_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_66_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_75_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_75_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_75_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_75_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_81_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_81_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_81_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_81_n_3\ : STD_LOGIC;
signal \cb_int_reg[11]_i_90_n_0\ : STD_LOGIC;
signal \cb_int_reg[11]_i_90_n_1\ : STD_LOGIC;
signal \cb_int_reg[11]_i_90_n_2\ : STD_LOGIC;
signal \cb_int_reg[11]_i_90_n_3\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[15]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[15]_i_20_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_20_n_1\ : STD_LOGIC;
signal \cb_int_reg[15]_i_20_n_2\ : STD_LOGIC;
signal \cb_int_reg[15]_i_20_n_3\ : STD_LOGIC;
signal \cb_int_reg[15]_i_33_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_33_n_1\ : STD_LOGIC;
signal \cb_int_reg[15]_i_33_n_2\ : STD_LOGIC;
signal \cb_int_reg[15]_i_33_n_3\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[19]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[19]_i_20_n_0\ : STD_LOGIC;
signal \cb_int_reg[19]_i_20_n_1\ : STD_LOGIC;
signal \cb_int_reg[19]_i_20_n_2\ : STD_LOGIC;
signal \cb_int_reg[19]_i_20_n_3\ : STD_LOGIC;
signal \cb_int_reg[19]_i_25_n_0\ : STD_LOGIC;
signal \cb_int_reg[19]_i_25_n_1\ : STD_LOGIC;
signal \cb_int_reg[19]_i_25_n_2\ : STD_LOGIC;
signal \cb_int_reg[19]_i_25_n_3\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[23]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[23]_i_24_n_0\ : STD_LOGIC;
signal \cb_int_reg[23]_i_24_n_1\ : STD_LOGIC;
signal \cb_int_reg[23]_i_24_n_2\ : STD_LOGIC;
signal \cb_int_reg[23]_i_24_n_3\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[27]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[27]_i_9_n_1\ : STD_LOGIC;
signal \cb_int_reg[27]_i_9_n_2\ : STD_LOGIC;
signal \cb_int_reg[27]_i_9_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_11_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_11_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_12_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_12_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_12_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_12_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_14_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_14_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_14_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_14_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_30_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_30_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_30_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_30_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_33_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_34_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_34_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_37_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_37_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_37_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_37_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_73_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_7_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_7_n_3\ : STD_LOGIC;
signal \^cb_int_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cb_int_reg[3]_i_15_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_15_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_15_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_15_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_16_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_20_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_21_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_21_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_21_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_21_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_26_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_33_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_33_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_33_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_33_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_33_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_34_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_34_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_34_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_44_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_57_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_63_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_63_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_63_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_63_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_75_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_94_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_94_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_94_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_94_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_94_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_4\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_5\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_6\ : STD_LOGIC;
signal \cb_int_reg[7]_i_1_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_25_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_25_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_25_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_28_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_28_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_28_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_28_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_4\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_5\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_6\ : STD_LOGIC;
signal \cb_int_reg[7]_i_29_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_38_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_38_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_38_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_38_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_61_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_61_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_61_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_61_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_66_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_66_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_66_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_66_n_3\ : STD_LOGIC;
signal \cb_int_reg__0\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \cb_int_reg_n_0_[0]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[1]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[2]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[3]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[4]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[5]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[6]\ : STD_LOGIC;
signal \cb_int_reg_n_0_[7]\ : STD_LOGIC;
signal \cb_reg[7]_i_12_n_0\ : STD_LOGIC;
signal \cb_reg[7]_i_12_n_1\ : STD_LOGIC;
signal \cb_reg[7]_i_12_n_2\ : STD_LOGIC;
signal \cb_reg[7]_i_12_n_3\ : STD_LOGIC;
signal \cb_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \cb_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \cb_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \cb_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \cb_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \cb_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \cb_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \cb_reg[7]_i_3_n_3\ : STD_LOGIC;
signal cb_regn_0_0 : STD_LOGIC;
signal cr : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \cr[0]_i_1_n_0\ : STD_LOGIC;
signal \cr[1]_i_1_n_0\ : STD_LOGIC;
signal \cr[2]_i_1_n_0\ : STD_LOGIC;
signal \cr[3]_i_1_n_0\ : STD_LOGIC;
signal \cr[4]_i_1_n_0\ : STD_LOGIC;
signal \cr[5]_i_1_n_0\ : STD_LOGIC;
signal \cr[6]_i_1_n_0\ : STD_LOGIC;
signal \cr[7]_i_10_n_0\ : STD_LOGIC;
signal \cr[7]_i_11_n_0\ : STD_LOGIC;
signal \cr[7]_i_13_n_0\ : STD_LOGIC;
signal \cr[7]_i_14_n_0\ : STD_LOGIC;
signal \cr[7]_i_15_n_0\ : STD_LOGIC;
signal \cr[7]_i_16_n_0\ : STD_LOGIC;
signal \cr[7]_i_17_n_0\ : STD_LOGIC;
signal \cr[7]_i_18_n_0\ : STD_LOGIC;
signal \cr[7]_i_19_n_0\ : STD_LOGIC;
signal \cr[7]_i_20_n_0\ : STD_LOGIC;
signal \cr[7]_i_21_n_0\ : STD_LOGIC;
signal \cr[7]_i_22_n_0\ : STD_LOGIC;
signal \cr[7]_i_23_n_0\ : STD_LOGIC;
signal \cr[7]_i_24_n_0\ : STD_LOGIC;
signal \cr[7]_i_25_n_0\ : STD_LOGIC;
signal \cr[7]_i_26_n_0\ : STD_LOGIC;
signal \cr[7]_i_27_n_0\ : STD_LOGIC;
signal \cr[7]_i_28_n_0\ : STD_LOGIC;
signal \cr[7]_i_2_n_0\ : STD_LOGIC;
signal \cr[7]_i_4_n_0\ : STD_LOGIC;
signal \cr[7]_i_5_n_0\ : STD_LOGIC;
signal \cr[7]_i_6_n_0\ : STD_LOGIC;
signal \cr[7]_i_7_n_0\ : STD_LOGIC;
signal \cr[7]_i_8_n_0\ : STD_LOGIC;
signal \cr[7]_i_9_n_0\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[0]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[1]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[2]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[3]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[4]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[5]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[6]\ : STD_LOGIC;
signal \cr_hold_reg_n_0_[7]\ : STD_LOGIC;
signal \cr_int[11]_i_100_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_101_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_102_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_104_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_105_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_106_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_107_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_109_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_10_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_110_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_111_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_112_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_113_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_114_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_115_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_117_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_118_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_119_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_120_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_121_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_122_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_123_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_124_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_126_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_127_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_128_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_129_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_12_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_130_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_131_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_132_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_133_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_134_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_135_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_136_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_137_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_138_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_139_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_140_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_141_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_142_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_143_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_144_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_145_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_146_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_147_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_148_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_149_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_14_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_150_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_151_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_152_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_153_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_154_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_155_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_156_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_15_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_22_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_23_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_24_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_27_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_32_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_33_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_34_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_35_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_37_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_38_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_39_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_40_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_42_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_43_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_44_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_45_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_47_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_48_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_49_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_50_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_52_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_53_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_54_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_55_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_57_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_58_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_59_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_60_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_65_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_66_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_67_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_68_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_70_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_71_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_72_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_73_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_74_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_75_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_76_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_77_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_78_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_80_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_81_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_82_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_83_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_84_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_85_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_86_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_87_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_88_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_89_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_90_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_91_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_93_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_94_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_95_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_96_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_97_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_98_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_99_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_9_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_10_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_12_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_14_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_15_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_16_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_17_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_18_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_19_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_22_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_23_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_24_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_26_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_27_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_29_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_30_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_31_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_32_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_33_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_34_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_35_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_36_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_40_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_41_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_42_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_43_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_48_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_49_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_50_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_51_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_9_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_10_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_12_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_14_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_15_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_16_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_17_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_18_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_19_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_22_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_23_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_24_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_26_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_27_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_29_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_30_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_31_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_32_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_33_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_34_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_35_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_36_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_38_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_39_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_40_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_41_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_9_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_10_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_12_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_14_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_15_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_16_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_17_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_18_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_19_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_21_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_22_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_23_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_24_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_26_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_27_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_28_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_29_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_30_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_9_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_10_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_12_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[27]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_100_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_103_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_108_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_109_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_110_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_111_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_112_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_113_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_114_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_115_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_116_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_117_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_118_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_119_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_120_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_121_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_122_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_123_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_124_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_125_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_126_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_15_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_16_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_17_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_18_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_19_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_20_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_22_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_23_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_26_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_31_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_32_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_33_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_34_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_35_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_37_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_38_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_40_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_41_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_42_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_43_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_44_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_45_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_46_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_47_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_50_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_51_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_52_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_53_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_55_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_56_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_57_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_58_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_59_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_60_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_61_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_62_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_71_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_72_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_73_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_74_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_75_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_76_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_77_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_78_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_79_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_80_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_81_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_82_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_83_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_84_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_85_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_87_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_88_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_89_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_90_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_92_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_93_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_94_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_95_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_96_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_97_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_10_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_13_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_14_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_17_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_18_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_22_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_23_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_24_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_28_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_29_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_30_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_31_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_34_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_35_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_36_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_37_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_38_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_39_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_40_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_41_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_43_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_44_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_45_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_46_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_47_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_48_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_49_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_50_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_51_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_52_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_53_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_55_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_56_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_57_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_58_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_60_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_61_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_62_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_63_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_66_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_67_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_68_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_69_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_71_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_72_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_73_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_74_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_75_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_76_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_77_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_78_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_79_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_80_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_81_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_82_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_83_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_84_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_85_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_86_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_87_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_88_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_89_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_90_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_91_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_92_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_93_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_94_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_95_n_0\ : STD_LOGIC;
signal \cr_int[3]_i_96_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_11_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_12_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_14_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_15_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_17_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_18_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_20_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_21_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_25_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_26_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_27_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_28_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_2_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_3_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_4_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_5_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_6_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_7_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_8_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_9_n_0\ : STD_LOGIC;
signal cr_int_reg3 : STD_LOGIC_VECTOR ( 7 to 7 );
signal \cr_int_reg3__0\ : STD_LOGIC_VECTOR ( 8 downto 1 );
signal cr_int_reg4 : STD_LOGIC_VECTOR ( 22 downto 1 );
signal cr_int_reg6 : STD_LOGIC_VECTOR ( 30 downto 8 );
signal cr_int_reg7 : STD_LOGIC;
signal \^cr_int_reg[11]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cr_int_reg[11]_i_103_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_103_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_103_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_103_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_108_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_108_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_108_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_108_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_116_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_116_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_116_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_116_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_125_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_125_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_125_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_125_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_4\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_5\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_6\ : STD_LOGIC;
signal \cr_int_reg[11]_i_16_n_7\ : STD_LOGIC;
signal \cr_int_reg[11]_i_17_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_17_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_17_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_17_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_4\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_5\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_6\ : STD_LOGIC;
signal \cr_int_reg[11]_i_18_n_7\ : STD_LOGIC;
signal \cr_int_reg[11]_i_19_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_19_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_19_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_19_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[11]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[11]_i_20_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_20_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_20_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_21_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_21_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_21_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_21_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_29_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_29_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_29_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_29_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_30_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_30_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_30_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_4\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_5\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_6\ : STD_LOGIC;
signal \cr_int_reg[11]_i_31_n_7\ : STD_LOGIC;
signal \cr_int_reg[11]_i_36_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_36_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_36_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_36_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_4\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_5\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_6\ : STD_LOGIC;
signal \cr_int_reg[11]_i_41_n_7\ : STD_LOGIC;
signal \cr_int_reg[11]_i_46_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_46_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_46_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_46_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_51_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_51_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_51_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_51_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_56_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_56_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_56_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_56_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_69_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_69_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_69_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_69_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_79_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_79_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_79_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_79_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_92_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_92_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_92_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_92_n_3\ : STD_LOGIC;
signal \^cr_int_reg[15]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cr_int_reg[15]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[15]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[15]_i_20_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_20_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_20_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_20_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_21_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_21_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_21_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_21_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_28_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_28_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_28_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_28_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_4\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_5\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_6\ : STD_LOGIC;
signal \cr_int_reg[15]_i_38_n_7\ : STD_LOGIC;
signal \^cr_int_reg[19]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cr_int_reg[19]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[19]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[19]_i_20_n_0\ : STD_LOGIC;
signal \cr_int_reg[19]_i_20_n_1\ : STD_LOGIC;
signal \cr_int_reg[19]_i_20_n_2\ : STD_LOGIC;
signal \cr_int_reg[19]_i_20_n_3\ : STD_LOGIC;
signal \cr_int_reg[19]_i_21_n_0\ : STD_LOGIC;
signal \cr_int_reg[19]_i_21_n_1\ : STD_LOGIC;
signal \cr_int_reg[19]_i_21_n_2\ : STD_LOGIC;
signal \cr_int_reg[19]_i_21_n_3\ : STD_LOGIC;
signal \cr_int_reg[19]_i_28_n_0\ : STD_LOGIC;
signal \cr_int_reg[19]_i_28_n_1\ : STD_LOGIC;
signal \cr_int_reg[19]_i_28_n_2\ : STD_LOGIC;
signal \cr_int_reg[19]_i_28_n_3\ : STD_LOGIC;
signal \^cr_int_reg[23]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^cr_int_reg[23]_1\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \cr_int_reg[23]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[23]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[23]_i_20_n_0\ : STD_LOGIC;
signal \cr_int_reg[23]_i_20_n_1\ : STD_LOGIC;
signal \cr_int_reg[23]_i_20_n_2\ : STD_LOGIC;
signal \cr_int_reg[23]_i_20_n_3\ : STD_LOGIC;
signal \^cr_int_reg[27]_0\ : STD_LOGIC;
signal \^cr_int_reg[27]_1\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^cr_int_reg[27]_2\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \cr_int_reg[27]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[27]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[27]_i_9_n_3\ : STD_LOGIC;
signal \^cr_int_reg[31]_0\ : STD_LOGIC;
signal \^cr_int_reg[31]_1\ : STD_LOGIC;
signal \^cr_int_reg[31]_2\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cr_int_reg[31]_i_101_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_101_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_101_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_101_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_102_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_11_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_12_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_12_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_14_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_21_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_24_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_24_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_24_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_24_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_30_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_36_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_36_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_36_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_36_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_39_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_48_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_48_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_49_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_63_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_63_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_70_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_70_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_70_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_70_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_7_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_7_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_7_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_7_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_7_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_7_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_86_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_8_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_8_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_8_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_8_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_91_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_9_n_3\ : STD_LOGIC;
signal \^cr_int_reg[3]_0\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \^cr_int_reg[3]_1\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^cr_int_reg[3]_2\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cr_int_reg[3]_i_15_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_15_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_15_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_15_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_16_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_19_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_19_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_19_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_19_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_19_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_20_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_20_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_20_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_20_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_21_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_21_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_21_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_21_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_26_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_26_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_26_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_26_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_27_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_32_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_32_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_32_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_32_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_32_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_33_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_42_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_42_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_42_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_42_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_54_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_59_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_59_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_59_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_59_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_64_n_7\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_65_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_0\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_1\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_2\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_3\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_4\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_5\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_6\ : STD_LOGIC;
signal \cr_int_reg[3]_i_70_n_7\ : STD_LOGIC;
signal \^cr_int_reg[7]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^cr_int_reg[7]_1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cr_int_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_4\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_5\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_6\ : STD_LOGIC;
signal \cr_int_reg[7]_i_1_n_7\ : STD_LOGIC;
signal \cr_int_reg[7]_i_23_n_0\ : STD_LOGIC;
signal \cr_int_reg[7]_i_23_n_1\ : STD_LOGIC;
signal \cr_int_reg[7]_i_23_n_2\ : STD_LOGIC;
signal \cr_int_reg[7]_i_23_n_3\ : STD_LOGIC;
signal \cr_int_reg__0\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \cr_int_reg_n_0_[0]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[1]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[2]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[3]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[4]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[5]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[6]\ : STD_LOGIC;
signal \cr_int_reg_n_0_[7]\ : STD_LOGIC;
signal \cr_reg[7]_i_12_n_0\ : STD_LOGIC;
signal \cr_reg[7]_i_12_n_1\ : STD_LOGIC;
signal \cr_reg[7]_i_12_n_2\ : STD_LOGIC;
signal \cr_reg[7]_i_12_n_3\ : STD_LOGIC;
signal \cr_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \cr_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \cr_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \cr_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \cr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \cr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \cr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \cr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal edge : STD_LOGIC;
signal edge_i_1_n_0 : STD_LOGIC;
signal edge_rb : STD_LOGIC;
signal edge_rb_i_1_n_0 : STD_LOGIC;
signal \hdmi_d[10]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[11]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[12]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[13]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[14]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[15]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[15]_i_2_n_0\ : STD_LOGIC;
signal \hdmi_d[8]_i_1_n_0\ : STD_LOGIC;
signal \hdmi_d[9]_i_1_n_0\ : STD_LOGIC;
signal hdmi_vsync_i_1_n_0 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal y : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \y[0]_i_1_n_0\ : STD_LOGIC;
signal \y[1]_i_1_n_0\ : STD_LOGIC;
signal \y[2]_i_1_n_0\ : STD_LOGIC;
signal \y[3]_i_1_n_0\ : STD_LOGIC;
signal \y[4]_i_1_n_0\ : STD_LOGIC;
signal \y[5]_i_1_n_0\ : STD_LOGIC;
signal \y[6]_i_1_n_0\ : STD_LOGIC;
signal \y[7]_i_10_n_0\ : STD_LOGIC;
signal \y[7]_i_11_n_0\ : STD_LOGIC;
signal \y[7]_i_13_n_0\ : STD_LOGIC;
signal \y[7]_i_14_n_0\ : STD_LOGIC;
signal \y[7]_i_15_n_0\ : STD_LOGIC;
signal \y[7]_i_16_n_0\ : STD_LOGIC;
signal \y[7]_i_17_n_0\ : STD_LOGIC;
signal \y[7]_i_18_n_0\ : STD_LOGIC;
signal \y[7]_i_19_n_0\ : STD_LOGIC;
signal \y[7]_i_20_n_0\ : STD_LOGIC;
signal \y[7]_i_21_n_0\ : STD_LOGIC;
signal \y[7]_i_22_n_0\ : STD_LOGIC;
signal \y[7]_i_23_n_0\ : STD_LOGIC;
signal \y[7]_i_24_n_0\ : STD_LOGIC;
signal \y[7]_i_25_n_0\ : STD_LOGIC;
signal \y[7]_i_26_n_0\ : STD_LOGIC;
signal \y[7]_i_27_n_0\ : STD_LOGIC;
signal \y[7]_i_28_n_0\ : STD_LOGIC;
signal \y[7]_i_2_n_0\ : STD_LOGIC;
signal \y[7]_i_4_n_0\ : STD_LOGIC;
signal \y[7]_i_5_n_0\ : STD_LOGIC;
signal \y[7]_i_6_n_0\ : STD_LOGIC;
signal \y[7]_i_7_n_0\ : STD_LOGIC;
signal \y[7]_i_8_n_0\ : STD_LOGIC;
signal \y[7]_i_9_n_0\ : STD_LOGIC;
signal y_hold : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \y_int[11]_i_100_n_0\ : STD_LOGIC;
signal \y_int[11]_i_10_n_0\ : STD_LOGIC;
signal \y_int[11]_i_12_n_0\ : STD_LOGIC;
signal \y_int[11]_i_16_n_0\ : STD_LOGIC;
signal \y_int[11]_i_19_n_0\ : STD_LOGIC;
signal \y_int[11]_i_29_n_0\ : STD_LOGIC;
signal \y_int[11]_i_2_n_0\ : STD_LOGIC;
signal \y_int[11]_i_30_n_0\ : STD_LOGIC;
signal \y_int[11]_i_31_n_0\ : STD_LOGIC;
signal \y_int[11]_i_32_n_0\ : STD_LOGIC;
signal \y_int[11]_i_34_n_0\ : STD_LOGIC;
signal \y_int[11]_i_35_n_0\ : STD_LOGIC;
signal \y_int[11]_i_36_n_0\ : STD_LOGIC;
signal \y_int[11]_i_37_n_0\ : STD_LOGIC;
signal \y_int[11]_i_3_n_0\ : STD_LOGIC;
signal \y_int[11]_i_40_n_0\ : STD_LOGIC;
signal \y_int[11]_i_41_n_0\ : STD_LOGIC;
signal \y_int[11]_i_42_n_0\ : STD_LOGIC;
signal \y_int[11]_i_43_n_0\ : STD_LOGIC;
signal \y_int[11]_i_45_n_0\ : STD_LOGIC;
signal \y_int[11]_i_46_n_0\ : STD_LOGIC;
signal \y_int[11]_i_47_n_0\ : STD_LOGIC;
signal \y_int[11]_i_48_n_0\ : STD_LOGIC;
signal \y_int[11]_i_4_n_0\ : STD_LOGIC;
signal \y_int[11]_i_50_n_0\ : STD_LOGIC;
signal \y_int[11]_i_51_n_0\ : STD_LOGIC;
signal \y_int[11]_i_52_n_0\ : STD_LOGIC;
signal \y_int[11]_i_53_n_0\ : STD_LOGIC;
signal \y_int[11]_i_58_n_0\ : STD_LOGIC;
signal \y_int[11]_i_59_n_0\ : STD_LOGIC;
signal \y_int[11]_i_5_n_0\ : STD_LOGIC;
signal \y_int[11]_i_60_n_0\ : STD_LOGIC;
signal \y_int[11]_i_61_n_0\ : STD_LOGIC;
signal \y_int[11]_i_62_n_0\ : STD_LOGIC;
signal \y_int[11]_i_63_n_0\ : STD_LOGIC;
signal \y_int[11]_i_64_n_0\ : STD_LOGIC;
signal \y_int[11]_i_65_n_0\ : STD_LOGIC;
signal \y_int[11]_i_66_n_0\ : STD_LOGIC;
signal \y_int[11]_i_67_n_0\ : STD_LOGIC;
signal \y_int[11]_i_68_n_0\ : STD_LOGIC;
signal \y_int[11]_i_69_n_0\ : STD_LOGIC;
signal \y_int[11]_i_6_n_0\ : STD_LOGIC;
signal \y_int[11]_i_70_n_0\ : STD_LOGIC;
signal \y_int[11]_i_71_n_0\ : STD_LOGIC;
signal \y_int[11]_i_72_n_0\ : STD_LOGIC;
signal \y_int[11]_i_73_n_0\ : STD_LOGIC;
signal \y_int[11]_i_74_n_0\ : STD_LOGIC;
signal \y_int[11]_i_75_n_0\ : STD_LOGIC;
signal \y_int[11]_i_76_n_0\ : STD_LOGIC;
signal \y_int[11]_i_77_n_0\ : STD_LOGIC;
signal \y_int[11]_i_78_n_0\ : STD_LOGIC;
signal \y_int[11]_i_79_n_0\ : STD_LOGIC;
signal \y_int[11]_i_7_n_0\ : STD_LOGIC;
signal \y_int[11]_i_81_n_0\ : STD_LOGIC;
signal \y_int[11]_i_82_n_0\ : STD_LOGIC;
signal \y_int[11]_i_83_n_0\ : STD_LOGIC;
signal \y_int[11]_i_84_n_0\ : STD_LOGIC;
signal \y_int[11]_i_86_n_0\ : STD_LOGIC;
signal \y_int[11]_i_87_n_0\ : STD_LOGIC;
signal \y_int[11]_i_88_n_0\ : STD_LOGIC;
signal \y_int[11]_i_89_n_0\ : STD_LOGIC;
signal \y_int[11]_i_8_n_0\ : STD_LOGIC;
signal \y_int[11]_i_90_n_0\ : STD_LOGIC;
signal \y_int[11]_i_91_n_0\ : STD_LOGIC;
signal \y_int[11]_i_92_n_0\ : STD_LOGIC;
signal \y_int[11]_i_93_n_0\ : STD_LOGIC;
signal \y_int[11]_i_94_n_0\ : STD_LOGIC;
signal \y_int[11]_i_95_n_0\ : STD_LOGIC;
signal \y_int[11]_i_96_n_0\ : STD_LOGIC;
signal \y_int[11]_i_97_n_0\ : STD_LOGIC;
signal \y_int[11]_i_98_n_0\ : STD_LOGIC;
signal \y_int[11]_i_99_n_0\ : STD_LOGIC;
signal \y_int[11]_i_9_n_0\ : STD_LOGIC;
signal \y_int[15]_i_10_n_0\ : STD_LOGIC;
signal \y_int[15]_i_12_n_0\ : STD_LOGIC;
signal \y_int[15]_i_16_n_0\ : STD_LOGIC;
signal \y_int[15]_i_18_n_0\ : STD_LOGIC;
signal \y_int[15]_i_25_n_0\ : STD_LOGIC;
signal \y_int[15]_i_26_n_0\ : STD_LOGIC;
signal \y_int[15]_i_27_n_0\ : STD_LOGIC;
signal \y_int[15]_i_28_n_0\ : STD_LOGIC;
signal \y_int[15]_i_29_n_0\ : STD_LOGIC;
signal \y_int[15]_i_2_n_0\ : STD_LOGIC;
signal \y_int[15]_i_30_n_0\ : STD_LOGIC;
signal \y_int[15]_i_31_n_0\ : STD_LOGIC;
signal \y_int[15]_i_32_n_0\ : STD_LOGIC;
signal \y_int[15]_i_3_n_0\ : STD_LOGIC;
signal \y_int[15]_i_40_n_0\ : STD_LOGIC;
signal \y_int[15]_i_41_n_0\ : STD_LOGIC;
signal \y_int[15]_i_42_n_0\ : STD_LOGIC;
signal \y_int[15]_i_43_n_0\ : STD_LOGIC;
signal \y_int[15]_i_48_n_0\ : STD_LOGIC;
signal \y_int[15]_i_49_n_0\ : STD_LOGIC;
signal \y_int[15]_i_4_n_0\ : STD_LOGIC;
signal \y_int[15]_i_50_n_0\ : STD_LOGIC;
signal \y_int[15]_i_51_n_0\ : STD_LOGIC;
signal \y_int[15]_i_5_n_0\ : STD_LOGIC;
signal \y_int[15]_i_6_n_0\ : STD_LOGIC;
signal \y_int[15]_i_7_n_0\ : STD_LOGIC;
signal \y_int[15]_i_8_n_0\ : STD_LOGIC;
signal \y_int[15]_i_9_n_0\ : STD_LOGIC;
signal \y_int[19]_i_10_n_0\ : STD_LOGIC;
signal \y_int[19]_i_12_n_0\ : STD_LOGIC;
signal \y_int[19]_i_16_n_0\ : STD_LOGIC;
signal \y_int[19]_i_18_n_0\ : STD_LOGIC;
signal \y_int[19]_i_25_n_0\ : STD_LOGIC;
signal \y_int[19]_i_26_n_0\ : STD_LOGIC;
signal \y_int[19]_i_27_n_0\ : STD_LOGIC;
signal \y_int[19]_i_28_n_0\ : STD_LOGIC;
signal \y_int[19]_i_29_n_0\ : STD_LOGIC;
signal \y_int[19]_i_2_n_0\ : STD_LOGIC;
signal \y_int[19]_i_30_n_0\ : STD_LOGIC;
signal \y_int[19]_i_31_n_0\ : STD_LOGIC;
signal \y_int[19]_i_32_n_0\ : STD_LOGIC;
signal \y_int[19]_i_3_n_0\ : STD_LOGIC;
signal \y_int[19]_i_48_n_0\ : STD_LOGIC;
signal \y_int[19]_i_49_n_0\ : STD_LOGIC;
signal \y_int[19]_i_4_n_0\ : STD_LOGIC;
signal \y_int[19]_i_50_n_0\ : STD_LOGIC;
signal \y_int[19]_i_51_n_0\ : STD_LOGIC;
signal \y_int[19]_i_5_n_0\ : STD_LOGIC;
signal \y_int[19]_i_6_n_0\ : STD_LOGIC;
signal \y_int[19]_i_7_n_0\ : STD_LOGIC;
signal \y_int[19]_i_8_n_0\ : STD_LOGIC;
signal \y_int[19]_i_9_n_0\ : STD_LOGIC;
signal \y_int[23]_i_100_n_0\ : STD_LOGIC;
signal \y_int[23]_i_101_n_0\ : STD_LOGIC;
signal \y_int[23]_i_102_n_0\ : STD_LOGIC;
signal \y_int[23]_i_103_n_0\ : STD_LOGIC;
signal \y_int[23]_i_104_n_0\ : STD_LOGIC;
signal \y_int[23]_i_12_n_0\ : STD_LOGIC;
signal \y_int[23]_i_14_n_0\ : STD_LOGIC;
signal \y_int[23]_i_18_n_0\ : STD_LOGIC;
signal \y_int[23]_i_20_n_0\ : STD_LOGIC;
signal \y_int[23]_i_26_n_0\ : STD_LOGIC;
signal \y_int[23]_i_27_n_0\ : STD_LOGIC;
signal \y_int[23]_i_28_n_0\ : STD_LOGIC;
signal \y_int[23]_i_29_n_0\ : STD_LOGIC;
signal \y_int[23]_i_2_n_0\ : STD_LOGIC;
signal \y_int[23]_i_30_n_0\ : STD_LOGIC;
signal \y_int[23]_i_31_n_0\ : STD_LOGIC;
signal \y_int[23]_i_36_n_0\ : STD_LOGIC;
signal \y_int[23]_i_37_n_0\ : STD_LOGIC;
signal \y_int[23]_i_38_n_0\ : STD_LOGIC;
signal \y_int[23]_i_39_n_0\ : STD_LOGIC;
signal \y_int[23]_i_3_n_0\ : STD_LOGIC;
signal \y_int[23]_i_40_n_0\ : STD_LOGIC;
signal \y_int[23]_i_41_n_0\ : STD_LOGIC;
signal \y_int[23]_i_42_n_0\ : STD_LOGIC;
signal \y_int[23]_i_43_n_0\ : STD_LOGIC;
signal \y_int[23]_i_46_n_0\ : STD_LOGIC;
signal \y_int[23]_i_47_n_0\ : STD_LOGIC;
signal \y_int[23]_i_48_n_0\ : STD_LOGIC;
signal \y_int[23]_i_49_n_0\ : STD_LOGIC;
signal \y_int[23]_i_4_n_0\ : STD_LOGIC;
signal \y_int[23]_i_52_n_0\ : STD_LOGIC;
signal \y_int[23]_i_53_n_0\ : STD_LOGIC;
signal \y_int[23]_i_54_n_0\ : STD_LOGIC;
signal \y_int[23]_i_55_n_0\ : STD_LOGIC;
signal \y_int[23]_i_56_n_0\ : STD_LOGIC;
signal \y_int[23]_i_57_n_0\ : STD_LOGIC;
signal \y_int[23]_i_5_n_0\ : STD_LOGIC;
signal \y_int[23]_i_62_n_0\ : STD_LOGIC;
signal \y_int[23]_i_63_n_0\ : STD_LOGIC;
signal \y_int[23]_i_64_n_0\ : STD_LOGIC;
signal \y_int[23]_i_65_n_0\ : STD_LOGIC;
signal \y_int[23]_i_67_n_0\ : STD_LOGIC;
signal \y_int[23]_i_68_n_0\ : STD_LOGIC;
signal \y_int[23]_i_69_n_0\ : STD_LOGIC;
signal \y_int[23]_i_6_n_0\ : STD_LOGIC;
signal \y_int[23]_i_70_n_0\ : STD_LOGIC;
signal \y_int[23]_i_71_n_0\ : STD_LOGIC;
signal \y_int[23]_i_72_n_0\ : STD_LOGIC;
signal \y_int[23]_i_73_n_0\ : STD_LOGIC;
signal \y_int[23]_i_74_n_0\ : STD_LOGIC;
signal \y_int[23]_i_76_n_0\ : STD_LOGIC;
signal \y_int[23]_i_77_n_0\ : STD_LOGIC;
signal \y_int[23]_i_78_n_0\ : STD_LOGIC;
signal \y_int[23]_i_79_n_0\ : STD_LOGIC;
signal \y_int[23]_i_7_n_0\ : STD_LOGIC;
signal \y_int[23]_i_80_n_0\ : STD_LOGIC;
signal \y_int[23]_i_81_n_0\ : STD_LOGIC;
signal \y_int[23]_i_82_n_0\ : STD_LOGIC;
signal \y_int[23]_i_83_n_0\ : STD_LOGIC;
signal \y_int[23]_i_84_n_0\ : STD_LOGIC;
signal \y_int[23]_i_85_n_0\ : STD_LOGIC;
signal \y_int[23]_i_86_n_0\ : STD_LOGIC;
signal \y_int[23]_i_87_n_0\ : STD_LOGIC;
signal \y_int[23]_i_88_n_0\ : STD_LOGIC;
signal \y_int[23]_i_8_n_0\ : STD_LOGIC;
signal \y_int[23]_i_90_n_0\ : STD_LOGIC;
signal \y_int[23]_i_91_n_0\ : STD_LOGIC;
signal \y_int[23]_i_92_n_0\ : STD_LOGIC;
signal \y_int[23]_i_93_n_0\ : STD_LOGIC;
signal \y_int[23]_i_94_n_0\ : STD_LOGIC;
signal \y_int[23]_i_95_n_0\ : STD_LOGIC;
signal \y_int[23]_i_96_n_0\ : STD_LOGIC;
signal \y_int[23]_i_97_n_0\ : STD_LOGIC;
signal \y_int[23]_i_98_n_0\ : STD_LOGIC;
signal \y_int[23]_i_99_n_0\ : STD_LOGIC;
signal \y_int[23]_i_9_n_0\ : STD_LOGIC;
signal \y_int[27]_i_2_n_0\ : STD_LOGIC;
signal \y_int[27]_i_3_n_0\ : STD_LOGIC;
signal \y_int[27]_i_4_n_0\ : STD_LOGIC;
signal \y_int[27]_i_5_n_0\ : STD_LOGIC;
signal \y_int[31]_i_101_n_0\ : STD_LOGIC;
signal \y_int[31]_i_104_n_0\ : STD_LOGIC;
signal \y_int[31]_i_105_n_0\ : STD_LOGIC;
signal \y_int[31]_i_106_n_0\ : STD_LOGIC;
signal \y_int[31]_i_107_n_0\ : STD_LOGIC;
signal \y_int[31]_i_108_n_0\ : STD_LOGIC;
signal \y_int[31]_i_109_n_0\ : STD_LOGIC;
signal \y_int[31]_i_110_n_0\ : STD_LOGIC;
signal \y_int[31]_i_111_n_0\ : STD_LOGIC;
signal \y_int[31]_i_112_n_0\ : STD_LOGIC;
signal \y_int[31]_i_113_n_0\ : STD_LOGIC;
signal \y_int[31]_i_114_n_0\ : STD_LOGIC;
signal \y_int[31]_i_115_n_0\ : STD_LOGIC;
signal \y_int[31]_i_116_n_0\ : STD_LOGIC;
signal \y_int[31]_i_13_n_0\ : STD_LOGIC;
signal \y_int[31]_i_14_n_0\ : STD_LOGIC;
signal \y_int[31]_i_15_n_0\ : STD_LOGIC;
signal \y_int[31]_i_17_n_0\ : STD_LOGIC;
signal \y_int[31]_i_18_n_0\ : STD_LOGIC;
signal \y_int[31]_i_19_n_0\ : STD_LOGIC;
signal \y_int[31]_i_20_n_0\ : STD_LOGIC;
signal \y_int[31]_i_2_n_0\ : STD_LOGIC;
signal \y_int[31]_i_32_n_0\ : STD_LOGIC;
signal \y_int[31]_i_33_n_0\ : STD_LOGIC;
signal \y_int[31]_i_34_n_0\ : STD_LOGIC;
signal \y_int[31]_i_35_n_0\ : STD_LOGIC;
signal \y_int[31]_i_36_n_0\ : STD_LOGIC;
signal \y_int[31]_i_3_n_0\ : STD_LOGIC;
signal \y_int[31]_i_40_n_0\ : STD_LOGIC;
signal \y_int[31]_i_41_n_0\ : STD_LOGIC;
signal \y_int[31]_i_42_n_0\ : STD_LOGIC;
signal \y_int[31]_i_43_n_0\ : STD_LOGIC;
signal \y_int[31]_i_44_n_0\ : STD_LOGIC;
signal \y_int[31]_i_45_n_0\ : STD_LOGIC;
signal \y_int[31]_i_46_n_0\ : STD_LOGIC;
signal \y_int[31]_i_47_n_0\ : STD_LOGIC;
signal \y_int[31]_i_4_n_0\ : STD_LOGIC;
signal \y_int[31]_i_5_n_0\ : STD_LOGIC;
signal \y_int[31]_i_63_n_0\ : STD_LOGIC;
signal \y_int[31]_i_64_n_0\ : STD_LOGIC;
signal \y_int[31]_i_65_n_0\ : STD_LOGIC;
signal \y_int[31]_i_66_n_0\ : STD_LOGIC;
signal \y_int[31]_i_67_n_0\ : STD_LOGIC;
signal \y_int[31]_i_68_n_0\ : STD_LOGIC;
signal \y_int[31]_i_69_n_0\ : STD_LOGIC;
signal \y_int[31]_i_6_n_0\ : STD_LOGIC;
signal \y_int[31]_i_70_n_0\ : STD_LOGIC;
signal \y_int[31]_i_89_n_0\ : STD_LOGIC;
signal \y_int[31]_i_90_n_0\ : STD_LOGIC;
signal \y_int[31]_i_91_n_0\ : STD_LOGIC;
signal \y_int[31]_i_92_n_0\ : STD_LOGIC;
signal \y_int[3]_i_10_n_0\ : STD_LOGIC;
signal \y_int[3]_i_13_n_0\ : STD_LOGIC;
signal \y_int[3]_i_17_n_0\ : STD_LOGIC;
signal \y_int[3]_i_18_n_0\ : STD_LOGIC;
signal \y_int[3]_i_22_n_0\ : STD_LOGIC;
signal \y_int[3]_i_23_n_0\ : STD_LOGIC;
signal \y_int[3]_i_24_n_0\ : STD_LOGIC;
signal \y_int[3]_i_25_n_0\ : STD_LOGIC;
signal \y_int[3]_i_27_n_0\ : STD_LOGIC;
signal \y_int[3]_i_28_n_0\ : STD_LOGIC;
signal \y_int[3]_i_29_n_0\ : STD_LOGIC;
signal \y_int[3]_i_2_n_0\ : STD_LOGIC;
signal \y_int[3]_i_31_n_0\ : STD_LOGIC;
signal \y_int[3]_i_32_n_0\ : STD_LOGIC;
signal \y_int[3]_i_33_n_0\ : STD_LOGIC;
signal \y_int[3]_i_34_n_0\ : STD_LOGIC;
signal \y_int[3]_i_3_n_0\ : STD_LOGIC;
signal \y_int[3]_i_4_n_0\ : STD_LOGIC;
signal \y_int[3]_i_50_n_0\ : STD_LOGIC;
signal \y_int[3]_i_51_n_0\ : STD_LOGIC;
signal \y_int[3]_i_52_n_0\ : STD_LOGIC;
signal \y_int[3]_i_53_n_0\ : STD_LOGIC;
signal \y_int[3]_i_54_n_0\ : STD_LOGIC;
signal \y_int[3]_i_56_n_0\ : STD_LOGIC;
signal \y_int[3]_i_57_n_0\ : STD_LOGIC;
signal \y_int[3]_i_58_n_0\ : STD_LOGIC;
signal \y_int[3]_i_59_n_0\ : STD_LOGIC;
signal \y_int[3]_i_5_n_0\ : STD_LOGIC;
signal \y_int[3]_i_60_n_0\ : STD_LOGIC;
signal \y_int[3]_i_61_n_0\ : STD_LOGIC;
signal \y_int[3]_i_62_n_0\ : STD_LOGIC;
signal \y_int[3]_i_63_n_0\ : STD_LOGIC;
signal \y_int[3]_i_66_n_0\ : STD_LOGIC;
signal \y_int[3]_i_67_n_0\ : STD_LOGIC;
signal \y_int[3]_i_68_n_0\ : STD_LOGIC;
signal \y_int[3]_i_69_n_0\ : STD_LOGIC;
signal \y_int[3]_i_6_n_0\ : STD_LOGIC;
signal \y_int[3]_i_71_n_0\ : STD_LOGIC;
signal \y_int[3]_i_72_n_0\ : STD_LOGIC;
signal \y_int[3]_i_73_n_0\ : STD_LOGIC;
signal \y_int[3]_i_74_n_0\ : STD_LOGIC;
signal \y_int[3]_i_7_n_0\ : STD_LOGIC;
signal \y_int[3]_i_84_n_0\ : STD_LOGIC;
signal \y_int[3]_i_85_n_0\ : STD_LOGIC;
signal \y_int[3]_i_86_n_0\ : STD_LOGIC;
signal \y_int[3]_i_87_n_0\ : STD_LOGIC;
signal \y_int[3]_i_88_n_0\ : STD_LOGIC;
signal \y_int[3]_i_89_n_0\ : STD_LOGIC;
signal \y_int[3]_i_8_n_0\ : STD_LOGIC;
signal \y_int[3]_i_90_n_0\ : STD_LOGIC;
signal \y_int[3]_i_91_n_0\ : STD_LOGIC;
signal \y_int[3]_i_92_n_0\ : STD_LOGIC;
signal \y_int[7]_i_11_n_0\ : STD_LOGIC;
signal \y_int[7]_i_13_n_0\ : STD_LOGIC;
signal \y_int[7]_i_16_n_0\ : STD_LOGIC;
signal \y_int[7]_i_19_n_0\ : STD_LOGIC;
signal \y_int[7]_i_29_n_0\ : STD_LOGIC;
signal \y_int[7]_i_2_n_0\ : STD_LOGIC;
signal \y_int[7]_i_30_n_0\ : STD_LOGIC;
signal \y_int[7]_i_31_n_0\ : STD_LOGIC;
signal \y_int[7]_i_32_n_0\ : STD_LOGIC;
signal \y_int[7]_i_33_n_0\ : STD_LOGIC;
signal \y_int[7]_i_3_n_0\ : STD_LOGIC;
signal \y_int[7]_i_4_n_0\ : STD_LOGIC;
signal \y_int[7]_i_5_n_0\ : STD_LOGIC;
signal \y_int[7]_i_6_n_0\ : STD_LOGIC;
signal \y_int[7]_i_7_n_0\ : STD_LOGIC;
signal \y_int[7]_i_8_n_0\ : STD_LOGIC;
signal \y_int[7]_i_9_n_0\ : STD_LOGIC;
signal y_int_reg1 : STD_LOGIC_VECTOR ( 22 downto 1 );
signal y_int_reg2 : STD_LOGIC_VECTOR ( 8 downto 1 );
signal y_int_reg20_in : STD_LOGIC_VECTOR ( 22 downto 1 );
signal y_int_reg3 : STD_LOGIC_VECTOR ( 22 downto 1 );
signal y_int_reg5 : STD_LOGIC_VECTOR ( 30 downto 8 );
signal y_int_reg6 : STD_LOGIC;
signal \y_int_reg[11]_i_14_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_14_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_14_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_14_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_15_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_15_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_15_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_15_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[11]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[11]_i_20_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_20_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_20_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_4\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_5\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_6\ : STD_LOGIC;
signal \y_int_reg[11]_i_21_n_7\ : STD_LOGIC;
signal \y_int_reg[11]_i_22_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_22_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_22_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_28_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_28_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_28_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_28_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_33_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_33_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_33_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_33_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_4\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_5\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_6\ : STD_LOGIC;
signal \y_int_reg[11]_i_38_n_7\ : STD_LOGIC;
signal \y_int_reg[11]_i_39_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_39_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_39_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_39_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_4\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_5\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_6\ : STD_LOGIC;
signal \y_int_reg[11]_i_44_n_7\ : STD_LOGIC;
signal \y_int_reg[11]_i_49_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_49_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_49_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_49_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_80_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_80_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_80_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_80_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_85_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_85_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_85_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_85_n_3\ : STD_LOGIC;
signal \^y_int_reg[15]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \y_int_reg[15]_i_14_n_0\ : STD_LOGIC;
signal \y_int_reg[15]_i_14_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_14_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_14_n_3\ : STD_LOGIC;
signal \y_int_reg[15]_i_15_n_0\ : STD_LOGIC;
signal \y_int_reg[15]_i_15_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_15_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_15_n_3\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[15]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_3\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_4\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_5\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_6\ : STD_LOGIC;
signal \y_int_reg[15]_i_33_n_7\ : STD_LOGIC;
signal \y_int_reg[15]_i_35_n_0\ : STD_LOGIC;
signal \y_int_reg[15]_i_35_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_35_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_35_n_3\ : STD_LOGIC;
signal \^y_int_reg[19]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \y_int_reg[19]_i_14_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_14_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_14_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_14_n_3\ : STD_LOGIC;
signal \y_int_reg[19]_i_15_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_15_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_15_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_15_n_3\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[19]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[19]_i_35_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_35_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_35_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_35_n_3\ : STD_LOGIC;
signal \^y_int_reg[23]_0\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^y_int_reg[23]_1\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^y_int_reg[23]_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \y_int_reg[23]_i_10_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_10_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_10_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_11_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_16_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_16_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_16_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_16_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_17_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_17_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_17_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_17_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[23]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[23]_i_25_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_25_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_25_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_25_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_33_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_33_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_33_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_34_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_44_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_44_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_44_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_44_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_45_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_45_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_45_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_45_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_51_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_51_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_51_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_51_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_66_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_66_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_66_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_66_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_75_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_75_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_75_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_75_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_89_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_89_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_89_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_89_n_3\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[27]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_11_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_11_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_11_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_11_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_11_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_11_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_16_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_30_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_62_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_75_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_75_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_7_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_7_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_86_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_86_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_86_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_86_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_86_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_86_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_87_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_88_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_8_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_8_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_8_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_8_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_8_n_7\ : STD_LOGIC;
signal \^y_int_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^y_int_reg[3]_1\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \y_int_reg[3]_i_15_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_15_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_15_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_15_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_16_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_21_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_21_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_21_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_21_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_26_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_30_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_35_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_35_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_35_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_35_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_35_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_36_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_36_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_36_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_55_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_64_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_64_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_65_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_65_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_65_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_65_n_3\ : STD_LOGIC;
signal \^y_int_reg[7]_0\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \y_int_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_4\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_5\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_6\ : STD_LOGIC;
signal \y_int_reg[7]_i_1_n_7\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_0\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_1\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_2\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_3\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_4\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_5\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_6\ : STD_LOGIC;
signal \y_int_reg[7]_i_24_n_7\ : STD_LOGIC;
signal \y_int_reg__0\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \y_int_reg_n_0_[0]\ : STD_LOGIC;
signal \y_int_reg_n_0_[1]\ : STD_LOGIC;
signal \y_int_reg_n_0_[2]\ : STD_LOGIC;
signal \y_int_reg_n_0_[3]\ : STD_LOGIC;
signal \y_int_reg_n_0_[4]\ : STD_LOGIC;
signal \y_int_reg_n_0_[5]\ : STD_LOGIC;
signal \y_int_reg_n_0_[6]\ : STD_LOGIC;
signal \y_int_reg_n_0_[7]\ : STD_LOGIC;
signal \y_reg[7]_i_12_n_0\ : STD_LOGIC;
signal \y_reg[7]_i_12_n_1\ : STD_LOGIC;
signal \y_reg[7]_i_12_n_2\ : STD_LOGIC;
signal \y_reg[7]_i_12_n_3\ : STD_LOGIC;
signal \y_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \y_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \y_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \y_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \y_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \y_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \y_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \y_reg[7]_i_3_n_3\ : STD_LOGIC;
signal NLW_ODDR_inst_R_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR_inst_S_UNCONNECTED : STD_LOGIC;
signal \NLW_cb_int_reg[11]_i_18_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cb_int_reg[11]_i_18_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_25_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_38_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_48_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_66_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_75_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_81_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[11]_i_90_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[27]_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cb_int_reg[31]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cb_int_reg[31]_i_11_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_11_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[31]_i_12_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_12_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[31]_i_34_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[31]_i_34_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_7_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_7_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[3]_i_15_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_cb_int_reg[3]_i_21_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[3]_i_26_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_cb_int_reg[3]_i_33_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_cb_int_reg[3]_i_63_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[7]_i_25_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[7]_i_38_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[7]_i_61_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_int_reg[7]_i_66_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_reg[7]_i_12_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cb_reg[7]_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_103_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_108_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_116_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_125_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_17_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_20_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_30_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_36_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_51_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_69_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_79_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[11]_i_92_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[27]_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[27]_i_9_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[31]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cr_int_reg[31]_i_101_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_101_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[31]_i_11_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cr_int_reg[31]_i_12_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_12_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[31]_i_48_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[31]_i_48_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_63_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[31]_i_63_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_69_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[31]_i_69_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_7_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cr_int_reg[31]_i_8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_8_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[31]_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_9_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[3]_i_15_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_cr_int_reg[3]_i_20_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_cr_int_reg[3]_i_21_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[3]_i_26_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[3]_i_26_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[3]_i_32_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_cr_int_reg[3]_i_33_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_cr_int_reg[3]_i_42_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[3]_i_59_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[3]_i_65_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_cr_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_reg[7]_i_12_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_reg[7]_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[11]_i_22_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[11]_i_49_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[11]_i_80_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[11]_i_85_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_10_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_11_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[23]_i_11_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[23]_i_25_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_33_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_34_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[23]_i_34_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[23]_i_45_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_51_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_66_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_75_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_89_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[31]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_y_int_reg[31]_i_11_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_y_int_reg[31]_i_62_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_y_int_reg[31]_i_7_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[31]_i_7_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_75_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[31]_i_75_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[31]_i_8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_8_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_y_int_reg[31]_i_86_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_y_int_reg[31]_i_88_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_y_int_reg[3]_i_15_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_y_int_reg[3]_i_21_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[3]_i_35_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_y_int_reg[3]_i_55_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \NLW_y_int_reg[3]_i_64_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[3]_i_64_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[3]_i_65_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_reg[7]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_reg[7]_i_12_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_reg[7]_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute \__SRVAL\ : string;
attribute \__SRVAL\ of ODDR_inst : label is "TRUE";
attribute box_type : string;
attribute box_type of ODDR_inst : label is "PRIMITIVE";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cb[0]_i_1\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \cb[1]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \cb[2]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \cb[3]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \cb[4]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \cb[5]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \cb[6]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \cb[7]_i_2\ : label is "soft_lutpair34";
attribute HLUTNM : string;
attribute HLUTNM of \cb_int[11]_i_2\ : label is "lutpair8";
attribute HLUTNM of \cb_int[11]_i_3\ : label is "lutpair7";
attribute HLUTNM of \cb_int[11]_i_4\ : label is "lutpair6";
attribute HLUTNM of \cb_int[11]_i_6\ : label is "lutpair9";
attribute HLUTNM of \cb_int[11]_i_7\ : label is "lutpair8";
attribute HLUTNM of \cb_int[11]_i_8\ : label is "lutpair7";
attribute HLUTNM of \cb_int[11]_i_9\ : label is "lutpair6";
attribute HLUTNM of \cb_int[15]_i_2\ : label is "lutpair12";
attribute HLUTNM of \cb_int[15]_i_3\ : label is "lutpair11";
attribute HLUTNM of \cb_int[15]_i_4\ : label is "lutpair10";
attribute HLUTNM of \cb_int[15]_i_5\ : label is "lutpair9";
attribute HLUTNM of \cb_int[15]_i_6\ : label is "lutpair13";
attribute HLUTNM of \cb_int[15]_i_7\ : label is "lutpair12";
attribute HLUTNM of \cb_int[15]_i_8\ : label is "lutpair11";
attribute HLUTNM of \cb_int[15]_i_9\ : label is "lutpair10";
attribute HLUTNM of \cb_int[19]_i_2\ : label is "lutpair16";
attribute HLUTNM of \cb_int[19]_i_3\ : label is "lutpair15";
attribute HLUTNM of \cb_int[19]_i_4\ : label is "lutpair14";
attribute HLUTNM of \cb_int[19]_i_5\ : label is "lutpair13";
attribute HLUTNM of \cb_int[19]_i_6\ : label is "lutpair17";
attribute HLUTNM of \cb_int[19]_i_7\ : label is "lutpair16";
attribute HLUTNM of \cb_int[19]_i_8\ : label is "lutpair15";
attribute HLUTNM of \cb_int[19]_i_9\ : label is "lutpair14";
attribute HLUTNM of \cb_int[23]_i_2\ : label is "lutpair20";
attribute SOFT_HLUTNM of \cb_int[23]_i_20\ : label is "soft_lutpair19";
attribute HLUTNM of \cb_int[23]_i_3\ : label is "lutpair19";
attribute HLUTNM of \cb_int[23]_i_4\ : label is "lutpair18";
attribute HLUTNM of \cb_int[23]_i_5\ : label is "lutpair17";
attribute HLUTNM of \cb_int[23]_i_6\ : label is "lutpair21";
attribute HLUTNM of \cb_int[23]_i_7\ : label is "lutpair20";
attribute HLUTNM of \cb_int[23]_i_8\ : label is "lutpair19";
attribute HLUTNM of \cb_int[23]_i_9\ : label is "lutpair18";
attribute HLUTNM of \cb_int[27]_i_2\ : label is "lutpair21";
attribute SOFT_HLUTNM of \cb_int[31]_i_13\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \cb_int[31]_i_86\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cb_int[31]_i_87\ : label is "soft_lutpair18";
attribute HLUTNM of \cb_int[3]_i_2\ : label is "lutpair2";
attribute HLUTNM of \cb_int[3]_i_3\ : label is "lutpair1";
attribute HLUTNM of \cb_int[3]_i_4\ : label is "lutpair43";
attribute HLUTNM of \cb_int[3]_i_5\ : label is "lutpair3";
attribute HLUTNM of \cb_int[3]_i_6\ : label is "lutpair2";
attribute HLUTNM of \cb_int[3]_i_7\ : label is "lutpair1";
attribute HLUTNM of \cb_int[3]_i_8\ : label is "lutpair43";
attribute HLUTNM of \cb_int[7]_i_3\ : label is "lutpair5";
attribute HLUTNM of \cb_int[7]_i_4\ : label is "lutpair4";
attribute HLUTNM of \cb_int[7]_i_5\ : label is "lutpair3";
attribute HLUTNM of \cb_int[7]_i_8\ : label is "lutpair5";
attribute HLUTNM of \cb_int[7]_i_9\ : label is "lutpair4";
attribute SOFT_HLUTNM of \cr[0]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \cr[1]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \cr[2]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \cr[3]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \cr[4]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \cr[5]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \cr[6]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \cr[7]_i_2\ : label is "soft_lutpair29";
attribute HLUTNM of \cr_int[11]_i_2\ : label is "lutpair29";
attribute SOFT_HLUTNM of \cr_int[11]_i_22\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \cr_int[11]_i_23\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \cr_int[11]_i_27\ : label is "soft_lutpair20";
attribute HLUTNM of \cr_int[11]_i_6\ : label is "lutpair30";
attribute HLUTNM of \cr_int[11]_i_7\ : label is "lutpair29";
attribute HLUTNM of \cr_int[15]_i_2\ : label is "lutpair31";
attribute HLUTNM of \cr_int[15]_i_5\ : label is "lutpair30";
attribute HLUTNM of \cr_int[15]_i_6\ : label is "lutpair32";
attribute HLUTNM of \cr_int[15]_i_7\ : label is "lutpair31";
attribute HLUTNM of \cr_int[19]_i_2\ : label is "lutpair33";
attribute HLUTNM of \cr_int[19]_i_5\ : label is "lutpair32";
attribute HLUTNM of \cr_int[19]_i_6\ : label is "lutpair34";
attribute HLUTNM of \cr_int[19]_i_7\ : label is "lutpair33";
attribute HLUTNM of \cr_int[23]_i_2\ : label is "lutpair35";
attribute HLUTNM of \cr_int[23]_i_5\ : label is "lutpair34";
attribute HLUTNM of \cr_int[23]_i_6\ : label is "lutpair36";
attribute HLUTNM of \cr_int[23]_i_7\ : label is "lutpair35";
attribute HLUTNM of \cr_int[27]_i_2\ : label is "lutpair36";
attribute SOFT_HLUTNM of \cr_int[31]_i_13\ : label is "soft_lutpair20";
attribute HLUTNM of \cr_int[31]_i_16\ : label is "lutpair23";
attribute HLUTNM of \cr_int[31]_i_44\ : label is "lutpair23";
attribute HLUTNM of \cr_int[3]_i_2\ : label is "lutpair25";
attribute HLUTNM of \cr_int[3]_i_3\ : label is "lutpair24";
attribute HLUTNM of \cr_int[3]_i_34\ : label is "lutpair22";
attribute HLUTNM of \cr_int[3]_i_39\ : label is "lutpair22";
attribute HLUTNM of \cr_int[3]_i_4\ : label is "lutpair44";
attribute HLUTNM of \cr_int[3]_i_5\ : label is "lutpair26";
attribute HLUTNM of \cr_int[3]_i_6\ : label is "lutpair25";
attribute HLUTNM of \cr_int[3]_i_7\ : label is "lutpair24";
attribute HLUTNM of \cr_int[3]_i_8\ : label is "lutpair44";
attribute HLUTNM of \cr_int[7]_i_3\ : label is "lutpair28";
attribute HLUTNM of \cr_int[7]_i_4\ : label is "lutpair27";
attribute HLUTNM of \cr_int[7]_i_5\ : label is "lutpair26";
attribute HLUTNM of \cr_int[7]_i_8\ : label is "lutpair28";
attribute HLUTNM of \cr_int[7]_i_9\ : label is "lutpair27";
attribute SOFT_HLUTNM of \y[0]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \y[1]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \y[2]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \y[3]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \y[4]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \y[5]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \y[6]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \y[7]_i_2\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \y_hold[0]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \y_hold[1]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \y_hold[2]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \y_hold[3]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \y_hold[4]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \y_hold[5]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \y_hold[6]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \y_hold[7]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \y_int[23]_i_12\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \y_int[31]_i_13\ : label is "soft_lutpair21";
attribute HLUTNM of \y_int[3]_i_2\ : label is "lutpair39";
attribute HLUTNM of \y_int[3]_i_3\ : label is "lutpair38";
attribute HLUTNM of \y_int[3]_i_4\ : label is "lutpair37";
attribute HLUTNM of \y_int[3]_i_5\ : label is "lutpair40";
attribute HLUTNM of \y_int[3]_i_6\ : label is "lutpair39";
attribute HLUTNM of \y_int[3]_i_7\ : label is "lutpair38";
attribute HLUTNM of \y_int[3]_i_8\ : label is "lutpair37";
attribute HLUTNM of \y_int[7]_i_3\ : label is "lutpair42";
attribute HLUTNM of \y_int[7]_i_4\ : label is "lutpair41";
attribute HLUTNM of \y_int[7]_i_5\ : label is "lutpair40";
attribute HLUTNM of \y_int[7]_i_8\ : label is "lutpair42";
attribute HLUTNM of \y_int[7]_i_9\ : label is "lutpair41";
begin
CO(0) <= \^co\(0);
DI(0) <= \^di\(0);
O(1 downto 0) <= \^o\(1 downto 0);
\cb_int_reg[3]_0\(3 downto 0) <= \^cb_int_reg[3]_0\(3 downto 0);
\cr_int_reg[11]_0\(3 downto 0) <= \^cr_int_reg[11]_0\(3 downto 0);
\cr_int_reg[15]_0\(3 downto 0) <= \^cr_int_reg[15]_0\(3 downto 0);
\cr_int_reg[19]_0\(3 downto 0) <= \^cr_int_reg[19]_0\(3 downto 0);
\cr_int_reg[23]_0\(3 downto 0) <= \^cr_int_reg[23]_0\(3 downto 0);
\cr_int_reg[23]_1\(0) <= \^cr_int_reg[23]_1\(0);
\cr_int_reg[27]_0\ <= \^cr_int_reg[27]_0\;
\cr_int_reg[27]_1\(1 downto 0) <= \^cr_int_reg[27]_1\(1 downto 0);
\cr_int_reg[27]_2\(0) <= \^cr_int_reg[27]_2\(0);
\cr_int_reg[31]_0\ <= \^cr_int_reg[31]_0\;
\cr_int_reg[31]_1\ <= \^cr_int_reg[31]_1\;
\cr_int_reg[31]_2\(1 downto 0) <= \^cr_int_reg[31]_2\(1 downto 0);
\cr_int_reg[3]_0\(2 downto 0) <= \^cr_int_reg[3]_0\(2 downto 0);
\cr_int_reg[3]_1\(0) <= \^cr_int_reg[3]_1\(0);
\cr_int_reg[3]_2\(1 downto 0) <= \^cr_int_reg[3]_2\(1 downto 0);
\cr_int_reg[7]_0\(3 downto 0) <= \^cr_int_reg[7]_0\(3 downto 0);
\cr_int_reg[7]_1\(3 downto 0) <= \^cr_int_reg[7]_1\(3 downto 0);
\y_int_reg[15]_0\(3 downto 0) <= \^y_int_reg[15]_0\(3 downto 0);
\y_int_reg[19]_0\(3 downto 0) <= \^y_int_reg[19]_0\(3 downto 0);
\y_int_reg[23]_0\(0) <= \^y_int_reg[23]_0\(0);
\y_int_reg[23]_1\(1 downto 0) <= \^y_int_reg[23]_1\(1 downto 0);
\y_int_reg[23]_2\(3 downto 0) <= \^y_int_reg[23]_2\(3 downto 0);
\y_int_reg[3]_0\(3 downto 0) <= \^y_int_reg[3]_0\(3 downto 0);
\y_int_reg[3]_1\(0) <= \^y_int_reg[3]_1\(0);
\y_int_reg[7]_0\(0) <= \^y_int_reg[7]_0\(0);
Inst_i2c_sender: entity work.system_zed_hdmi_0_0_i2c_sender
port map (
clk_100 => clk_100,
hdmi_scl => hdmi_scl,
hdmi_sda => hdmi_sda
);
ODDR_inst: unisim.vcomponents.ODDR
generic map(
DDR_CLK_EDGE => "OPPOSITE_EDGE",
INIT => '0',
IS_C_INVERTED => '0',
IS_D1_INVERTED => '0',
IS_D2_INVERTED => '0',
SRTYPE => "SYNC"
)
port map (
C => clk_x2,
CE => '1',
D1 => D1,
D2 => D1,
Q => hdmi_clk,
R => NLW_ODDR_inst_R_UNCONNECTED,
S => NLW_ODDR_inst_S_UNCONNECTED
);
\cb[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[0]\,
I1 => \cb_int_reg__0\(31),
O => \cb[0]_i_1_n_0\
);
\cb[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[1]\,
I1 => \cb_int_reg__0\(31),
O => \cb[1]_i_1_n_0\
);
\cb[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[2]\,
I1 => \cb_int_reg__0\(31),
O => \cb[2]_i_1_n_0\
);
\cb[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[3]\,
I1 => \cb_int_reg__0\(31),
O => \cb[3]_i_1_n_0\
);
\cb[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[4]\,
I1 => \cb_int_reg__0\(31),
O => \cb[4]_i_1_n_0\
);
\cb[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[5]\,
I1 => \cb_int_reg__0\(31),
O => \cb[5]_i_1_n_0\
);
\cb[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[6]\,
I1 => \cb_int_reg__0\(31),
O => \cb[6]_i_1_n_0\
);
\cb[7]_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(26),
I1 => \cb_int_reg__0\(27),
O => \cb[7]_i_10_n_0\
);
\cb[7]_i_11\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(24),
I1 => \cb_int_reg__0\(25),
O => \cb[7]_i_11_n_0\
);
\cb[7]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(22),
I1 => \cb_int_reg__0\(23),
O => \cb[7]_i_13_n_0\
);
\cb[7]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(20),
I1 => \cb_int_reg__0\(21),
O => \cb[7]_i_14_n_0\
);
\cb[7]_i_15\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(18),
I1 => \cb_int_reg__0\(19),
O => \cb[7]_i_15_n_0\
);
\cb[7]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(16),
I1 => \cb_int_reg__0\(17),
O => \cb[7]_i_16_n_0\
);
\cb[7]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(22),
I1 => \cb_int_reg__0\(23),
O => \cb[7]_i_17_n_0\
);
\cb[7]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(20),
I1 => \cb_int_reg__0\(21),
O => \cb[7]_i_18_n_0\
);
\cb[7]_i_19\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(18),
I1 => \cb_int_reg__0\(19),
O => \cb[7]_i_19_n_0\
);
\cb[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg_n_0_[7]\,
I1 => \cb_int_reg__0\(31),
O => \cb[7]_i_2_n_0\
);
\cb[7]_i_20\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(16),
I1 => \cb_int_reg__0\(17),
O => \cb[7]_i_20_n_0\
);
\cb[7]_i_21\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(14),
I1 => \cb_int_reg__0\(15),
O => \cb[7]_i_21_n_0\
);
\cb[7]_i_22\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(12),
I1 => \cb_int_reg__0\(13),
O => \cb[7]_i_22_n_0\
);
\cb[7]_i_23\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(10),
I1 => \cb_int_reg__0\(11),
O => \cb[7]_i_23_n_0\
);
\cb[7]_i_24\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(8),
I1 => \cb_int_reg__0\(9),
O => \cb[7]_i_24_n_0\
);
\cb[7]_i_25\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(14),
I1 => \cb_int_reg__0\(15),
O => \cb[7]_i_25_n_0\
);
\cb[7]_i_26\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(12),
I1 => \cb_int_reg__0\(13),
O => \cb[7]_i_26_n_0\
);
\cb[7]_i_27\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(10),
I1 => \cb_int_reg__0\(11),
O => \cb[7]_i_27_n_0\
);
\cb[7]_i_28\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(8),
I1 => \cb_int_reg__0\(9),
O => \cb[7]_i_28_n_0\
);
\cb[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg__0\(30),
I1 => \cb_int_reg__0\(31),
O => \cb[7]_i_4_n_0\
);
\cb[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(28),
I1 => \cb_int_reg__0\(29),
O => \cb[7]_i_5_n_0\
);
\cb[7]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(26),
I1 => \cb_int_reg__0\(27),
O => \cb[7]_i_6_n_0\
);
\cb[7]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg__0\(24),
I1 => \cb_int_reg__0\(25),
O => \cb[7]_i_7_n_0\
);
\cb[7]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(30),
I1 => \cb_int_reg__0\(31),
O => \cb[7]_i_8_n_0\
);
\cb[7]_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg__0\(28),
I1 => \cb_int_reg__0\(29),
O => \cb[7]_i_9_n_0\
);
\cb_hold[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => edge,
I1 => edge_rb,
O => \cb_hold[7]_i_1_n_0\
);
\cb_hold_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(0),
Q => cb_hold(0),
R => '0'
);
\cb_hold_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(1),
Q => cb_hold(1),
R => '0'
);
\cb_hold_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(2),
Q => cb_hold(2),
R => '0'
);
\cb_hold_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(3),
Q => cb_hold(3),
R => '0'
);
\cb_hold_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(4),
Q => cb_hold(4),
R => '0'
);
\cb_hold_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(5),
Q => cb_hold(5),
R => '0'
);
\cb_hold_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(6),
Q => cb_hold(6),
R => '0'
);
\cb_hold_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cb(7),
Q => cb_hold(7),
R => '0'
);
\cb_int[11]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(10),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(18),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_25_n_0\,
I5 => cb_int_reg2(10),
O => \cb_int[11]_i_10_n_0\
);
\cb_int[11]_i_100\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_6\,
I1 => \cb_int_reg[3]_i_16_n_5\,
O => \cb_int[11]_i_100_n_0\
);
\cb_int[11]_i_101\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_26_n_4\,
I1 => \cb_int_reg[3]_i_16_n_7\,
O => \cb_int[11]_i_101_n_0\
);
\cb_int[11]_i_102\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_26_n_6\,
I1 => \cb_int_reg[3]_i_26_n_5\,
O => \cb_int[11]_i_102_n_0\
);
\cb_int[11]_i_103\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_7\,
I1 => \cb_int_reg[3]_i_16_n_4\,
O => \cb_int[11]_i_103_n_0\
);
\cb_int[11]_i_104\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_5\,
I1 => \cb_int_reg[3]_i_16_n_6\,
O => \cb_int[11]_i_104_n_0\
);
\cb_int[11]_i_105\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_7\,
I1 => \cb_int_reg[3]_i_26_n_4\,
O => \cb_int[11]_i_105_n_0\
);
\cb_int[11]_i_106\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_26_n_5\,
I1 => \cb_int_reg[3]_i_26_n_6\,
O => \cb_int[11]_i_106_n_0\
);
\cb_int[11]_i_107\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_7\,
I1 => \cb_int_reg[3]_i_20_n_6\,
O => \cb_int[11]_i_107_n_0\
);
\cb_int[11]_i_108\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_44_n_7\,
I1 => \cb_int_reg[3]_i_44_n_6\,
O => \cb_int[11]_i_108_n_0\
);
\cb_int[11]_i_109\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_5\,
I1 => \cb_int_reg[3]_i_75_n_4\,
O => \cb_int[11]_i_109_n_0\
);
\cb_int[11]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(9),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(17),
I3 => cb_int_reg8,
I4 => \cb_int[11]_i_20_n_0\,
I5 => cb_int_reg2(9),
O => \cb_int[11]_i_11_n_0\
);
\cb_int[11]_i_110\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_7\,
I1 => \cb_int_reg[3]_i_75_n_6\,
O => \cb_int[11]_i_110_n_0\
);
\cb_int[11]_i_111\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_6\,
I1 => \cb_int_reg[3]_i_20_n_7\,
O => \cb_int[11]_i_111_n_0\
);
\cb_int[11]_i_112\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_44_n_6\,
I1 => \cb_int_reg[3]_i_44_n_7\,
O => \cb_int[11]_i_112_n_0\
);
\cb_int[11]_i_113\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_4\,
I1 => \cb_int_reg[3]_i_75_n_5\,
O => \cb_int[11]_i_113_n_0\
);
\cb_int[11]_i_114\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_6\,
I1 => \cb_int_reg[3]_i_75_n_7\,
O => \cb_int[11]_i_114_n_0\
);
\cb_int[11]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(9),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(17),
I3 => cb_int_reg8,
I4 => \cb_int[11]_i_20_n_0\,
I5 => cb_int_reg2(9),
O => \cb_int[11]_i_12_n_0\
);
\cb_int[11]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(8),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(16),
I3 => cb_int_reg8,
I4 => \cb_int[11]_i_22_n_0\,
I5 => cb_int_reg2(8),
O => \cb_int[11]_i_13_n_0\
);
\cb_int[11]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(8),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(16),
I3 => cb_int_reg8,
I4 => \cb_int[11]_i_22_n_0\,
I5 => cb_int_reg2(8),
O => \cb_int[11]_i_14_n_0\
);
\cb_int[11]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFE200E2"
)
port map (
I0 => \cb_int_reg[11]_i_24_n_5\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]\(1),
I3 => \rgb888[0]\(3),
I4 => cb_int_reg3(7),
I5 => \cb_int[11]_i_27_n_0\,
O => \cb_int[11]_i_15_n_0\
);
\cb_int[11]_i_19\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE200E2001DFF1D"
)
port map (
I0 => \cb_int_reg[11]_i_24_n_5\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]\(1),
I3 => \rgb888[0]\(3),
I4 => cb_int_reg3(7),
I5 => \cb_int[11]_i_27_n_0\,
O => \cb_int[11]_i_19_n_0\
);
\cb_int[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[11]_i_10_n_0\,
I1 => \cb_int[11]_i_11_n_0\,
O => \cb_int[11]_i_2_n_0\
);
\cb_int[11]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_4\(0),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[12]_0\(0),
O => \cb_int[11]_i_20_n_0\
);
\cb_int[11]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(9),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_4\(0),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(9)
);
\cb_int[11]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_3\(3),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[12]\(3),
O => \cb_int[11]_i_22_n_0\
);
\cb_int[11]_i_23\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(8),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]\(2),
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[11]_i_24_n_4\,
O => cb_int_reg2(8)
);
\cb_int[11]_i_27\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_3\(2),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[12]\(2),
I3 => \^co\(0),
I4 => \rgb888[8]_1\(0),
O => \cb_int[11]_i_27_n_0\
);
\cb_int[11]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(16),
O => \cb_int[11]_i_29_n_0\
);
\cb_int[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[11]_i_12_n_0\,
I1 => \cb_int[11]_i_13_n_0\,
O => \cb_int[11]_i_3_n_0\
);
\cb_int[11]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(15),
O => \cb_int[11]_i_30_n_0\
);
\cb_int[11]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(14),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_12_n_6\,
O => \cb_int[11]_i_31_n_0\
);
\cb_int[11]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(13),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_12_n_7\,
O => \cb_int[11]_i_32_n_0\
);
\cb_int[11]_i_34\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_34_n_0\
);
\cb_int[11]_i_35\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_35_n_0\
);
\cb_int[11]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_36_n_0\
);
\cb_int[11]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_37_n_0\
);
\cb_int[11]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_39_n_0\
);
\cb_int[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[11]_i_14_n_0\,
I1 => \cb_int[11]_i_15_n_0\,
O => \cb_int[11]_i_4_n_0\
);
\cb_int[11]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_40_n_0\
);
\cb_int[11]_i_41\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_41_n_0\
);
\cb_int[11]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_42_n_0\
);
\cb_int[11]_i_43\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_43_n_0\
);
\cb_int[11]_i_44\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(2),
O => \cb_int[11]_i_44_n_0\
);
\cb_int[11]_i_45\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(1),
O => \cb_int[11]_i_45_n_0\
);
\cb_int[11]_i_46\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(0),
O => \cb_int[11]_i_46_n_0\
);
\cb_int[11]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]_0\(3),
O => \cb_int[11]_i_47_n_0\
);
\cb_int[11]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_49_n_0\
);
\cb_int[11]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"DD1D0000"
)
port map (
I0 => cb_int_reg5(7),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(15),
I3 => cb_int_reg8,
I4 => \cb_int[11]_i_19_n_0\,
O => \cb_int[11]_i_5_n_0\
);
\cb_int[11]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_50_n_0\
);
\cb_int[11]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_51_n_0\
);
\cb_int[11]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_52_n_0\
);
\cb_int[11]_i_53\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[11]_i_24_n_4\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]\(2),
O => \cb_int[11]_i_53_n_0\
);
\cb_int[11]_i_54\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[11]_i_24_n_5\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]\(1),
O => \cb_int[11]_i_54_n_0\
);
\cb_int[11]_i_55\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[11]_i_24_n_6\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]\(0),
O => \cb_int[11]_i_55_n_0\
);
\cb_int[11]_i_56\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[11]_i_24_n_7\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_0\(3),
O => \cb_int[11]_i_56_n_0\
);
\cb_int[11]_i_57\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(8),
I1 => cb_int_reg8,
I2 => \cb_int_reg[3]_i_16_n_4\,
O => \cb_int[11]_i_57_n_0\
);
\cb_int[11]_i_58\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(12),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_4\,
O => \cb_int[11]_i_58_n_0\
);
\cb_int[11]_i_59\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(11),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_5\,
O => \cb_int[11]_i_59_n_0\
);
\cb_int[11]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[15]_i_16_n_0\,
I1 => \cb_int[15]_i_17_n_0\,
I2 => \cb_int[11]_i_2_n_0\,
O => \cb_int[11]_i_6_n_0\
);
\cb_int[11]_i_60\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(10),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_6\,
O => \cb_int[11]_i_60_n_0\
);
\cb_int[11]_i_61\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cb_int_reg7(9),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_7\,
O => \cb_int[11]_i_61_n_0\
);
\cb_int[11]_i_62\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_6\,
O => \cb_int[11]_i_62_n_0\
);
\cb_int[11]_i_63\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_7\,
O => \cb_int[11]_i_63_n_0\
);
\cb_int[11]_i_64\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_4\,
O => \cb_int[11]_i_64_n_0\
);
\cb_int[11]_i_65\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_5\,
O => \cb_int[11]_i_65_n_0\
);
\cb_int[11]_i_67\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_67_n_0\
);
\cb_int[11]_i_68\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_68_n_0\
);
\cb_int[11]_i_69\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_69_n_0\
);
\cb_int[11]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[11]_i_10_n_0\,
I1 => \cb_int[11]_i_11_n_0\,
I2 => \cb_int[11]_i_3_n_0\,
O => \cb_int[11]_i_7_n_0\
);
\cb_int[11]_i_70\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_70_n_0\
);
\cb_int[11]_i_71\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_71_n_0\
);
\cb_int[11]_i_72\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_72_n_0\
);
\cb_int[11]_i_73\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_73_n_0\
);
\cb_int[11]_i_74\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_74_n_0\
);
\cb_int[11]_i_76\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[0]\(2),
I1 => \rgb888[0]\(3),
O => \cb_int[11]_i_76_n_0\
);
\cb_int[11]_i_77\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_77_n_0\
);
\cb_int[11]_i_78\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_78_n_0\
);
\cb_int[11]_i_79\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
O => \cb_int[11]_i_79_n_0\
);
\cb_int[11]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[11]_i_12_n_0\,
I1 => \cb_int[11]_i_13_n_0\,
I2 => \cb_int[11]_i_4_n_0\,
O => \cb_int[11]_i_8_n_0\
);
\cb_int[11]_i_80\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \rgb888[0]\(2),
O => \cb_int[11]_i_80_n_0\
);
\cb_int[11]_i_82\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_82_n_0\
);
\cb_int[11]_i_83\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_6\,
I1 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_83_n_0\
);
\cb_int[11]_i_84\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_4\,
I1 => \cb_int_reg[31]_i_12_n_7\,
O => \cb_int[11]_i_84_n_0\
);
\cb_int[11]_i_85\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_6\,
I1 => \cb_int_reg[31]_i_33_n_5\,
O => \cb_int[11]_i_85_n_0\
);
\cb_int[11]_i_86\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[11]_i_86_n_0\
);
\cb_int[11]_i_87\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => \cb_int_reg[31]_i_12_n_6\,
O => \cb_int[11]_i_87_n_0\
);
\cb_int[11]_i_88\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_7\,
I1 => \cb_int_reg[31]_i_33_n_4\,
O => \cb_int[11]_i_88_n_0\
);
\cb_int[11]_i_89\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_5\,
I1 => \cb_int_reg[31]_i_33_n_6\,
O => \cb_int[11]_i_89_n_0\
);
\cb_int[11]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[11]_i_14_n_0\,
I1 => \cb_int[11]_i_15_n_0\,
I2 => \cb_int[11]_i_5_n_0\,
O => \cb_int[11]_i_9_n_0\
);
\cb_int[11]_i_91\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[0]\(0),
I1 => \rgb888[0]\(1),
O => \cb_int[11]_i_91_n_0\
);
\cb_int[11]_i_92\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[0]_0\(2),
I1 => \rgb888[0]_0\(3),
O => \cb_int[11]_i_92_n_0\
);
\cb_int[11]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[0]_0\(0),
I1 => \rgb888[0]_0\(1),
O => \cb_int[11]_i_93_n_0\
);
\cb_int[11]_i_94\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_5\,
I1 => \cb_int_reg[3]_i_20_n_4\,
O => \cb_int[11]_i_94_n_0\
);
\cb_int[11]_i_95\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]\(1),
I1 => \rgb888[0]\(0),
O => \cb_int[11]_i_95_n_0\
);
\cb_int[11]_i_96\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]_0\(3),
I1 => \rgb888[0]_0\(2),
O => \cb_int[11]_i_96_n_0\
);
\cb_int[11]_i_97\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]_0\(1),
I1 => \rgb888[0]_0\(0),
O => \cb_int[11]_i_97_n_0\
);
\cb_int[11]_i_98\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_4\,
I1 => \cb_int_reg[3]_i_20_n_5\,
O => \cb_int[11]_i_98_n_0\
);
\cb_int[11]_i_99\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_4\,
I1 => \cb_int_reg[31]_i_33_n_7\,
O => \cb_int[11]_i_99_n_0\
);
\cb_int[15]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(14),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(22),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_26_n_0\,
I5 => cb_int_reg2(14),
O => \cb_int[15]_i_10_n_0\
);
\cb_int[15]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(13),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(21),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_18_n_0\,
I5 => cb_int_reg2(13),
O => \cb_int[15]_i_11_n_0\
);
\cb_int[15]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(13),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(21),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_18_n_0\,
I5 => cb_int_reg2(13),
O => \cb_int[15]_i_12_n_0\
);
\cb_int[15]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(12),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(20),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_21_n_0\,
I5 => cb_int_reg2(12),
O => \cb_int[15]_i_13_n_0\
);
\cb_int[15]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(12),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(20),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_21_n_0\,
I5 => cb_int_reg2(12),
O => \cb_int[15]_i_14_n_0\
);
\cb_int[15]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(11),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(19),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_23_n_0\,
I5 => cb_int_reg2(11),
O => \cb_int[15]_i_15_n_0\
);
\cb_int[15]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(11),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(19),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_23_n_0\,
I5 => cb_int_reg2(11),
O => \cb_int[15]_i_16_n_0\
);
\cb_int[15]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(10),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(18),
I3 => cb_int_reg8,
I4 => \cb_int[15]_i_25_n_0\,
I5 => cb_int_reg2(10),
O => \cb_int[15]_i_17_n_0\
);
\cb_int[15]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_5\(0),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_6\(0),
O => \cb_int[15]_i_18_n_0\
);
\cb_int[15]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(13),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_3\(0),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(13)
);
\cb_int[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[15]_i_10_n_0\,
I1 => \cb_int[15]_i_11_n_0\,
O => \cb_int[15]_i_2_n_0\
);
\cb_int[15]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_4\(3),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[12]_0\(3),
O => \cb_int[15]_i_21_n_0\
);
\cb_int[15]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(12),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_4\(3),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(12)
);
\cb_int[15]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_4\(2),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[12]_0\(2),
O => \cb_int[15]_i_23_n_0\
);
\cb_int[15]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(11),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_4\(2),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(11)
);
\cb_int[15]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_4\(1),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[12]_0\(1),
O => \cb_int[15]_i_25_n_0\
);
\cb_int[15]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(10),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_4\(1),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(10)
);
\cb_int[15]_i_27\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(20),
O => \cb_int[15]_i_27_n_0\
);
\cb_int[15]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(19),
O => \cb_int[15]_i_28_n_0\
);
\cb_int[15]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(18),
O => \cb_int[15]_i_29_n_0\
);
\cb_int[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[15]_i_12_n_0\,
I1 => \cb_int[15]_i_13_n_0\,
O => \cb_int[15]_i_3_n_0\
);
\cb_int[15]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(17),
O => \cb_int[15]_i_30_n_0\
);
\cb_int[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[15]_i_14_n_0\,
I1 => \cb_int[15]_i_15_n_0\,
O => \cb_int[15]_i_4_n_0\
);
\cb_int[15]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_4\(3),
O => \cb_int[15]_i_43_n_0\
);
\cb_int[15]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_4\(2),
O => \cb_int[15]_i_44_n_0\
);
\cb_int[15]_i_45\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_4\(1),
O => \cb_int[15]_i_45_n_0\
);
\cb_int[15]_i_46\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_4\(0),
O => \cb_int[15]_i_46_n_0\
);
\cb_int[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[15]_i_16_n_0\,
I1 => \cb_int[15]_i_17_n_0\,
O => \cb_int[15]_i_5_n_0\
);
\cb_int[15]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[19]_i_16_n_0\,
I1 => \cb_int[19]_i_17_n_0\,
I2 => \cb_int[15]_i_2_n_0\,
O => \cb_int[15]_i_6_n_0\
);
\cb_int[15]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[15]_i_10_n_0\,
I1 => \cb_int[15]_i_11_n_0\,
I2 => \cb_int[15]_i_3_n_0\,
O => \cb_int[15]_i_7_n_0\
);
\cb_int[15]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[15]_i_12_n_0\,
I1 => \cb_int[15]_i_13_n_0\,
I2 => \cb_int[15]_i_4_n_0\,
O => \cb_int[15]_i_8_n_0\
);
\cb_int[15]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[15]_i_14_n_0\,
I1 => \cb_int[15]_i_15_n_0\,
I2 => \cb_int[15]_i_5_n_0\,
O => \cb_int[15]_i_9_n_0\
);
\cb_int[19]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(18),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(26),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_25_n_0\,
I5 => cb_int_reg2(18),
O => \cb_int[19]_i_10_n_0\
);
\cb_int[19]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(17),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(25),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_18_n_0\,
I5 => cb_int_reg2(17),
O => \cb_int[19]_i_11_n_0\
);
\cb_int[19]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(17),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(25),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_18_n_0\,
I5 => cb_int_reg2(17),
O => \cb_int[19]_i_12_n_0\
);
\cb_int[19]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(16),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(24),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_21_n_0\,
I5 => cb_int_reg2(16),
O => \cb_int[19]_i_13_n_0\
);
\cb_int[19]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(16),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(24),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_21_n_0\,
I5 => cb_int_reg2(16),
O => \cb_int[19]_i_14_n_0\
);
\cb_int[19]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(15),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(23),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_23_n_0\,
I5 => cb_int_reg2(15),
O => \cb_int[19]_i_15_n_0\
);
\cb_int[19]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(15),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(23),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_23_n_0\,
I5 => cb_int_reg2(15),
O => \cb_int[19]_i_16_n_0\
);
\cb_int[19]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(14),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(22),
I3 => cb_int_reg8,
I4 => \cb_int[19]_i_26_n_0\,
I5 => cb_int_reg2(14),
O => \cb_int[19]_i_17_n_0\
);
\cb_int[19]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_7\(0),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_8\(0),
O => \cb_int[19]_i_18_n_0\
);
\cb_int[19]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(17),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_2\(0),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(17)
);
\cb_int[19]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[19]_i_10_n_0\,
I1 => \cb_int[19]_i_11_n_0\,
O => \cb_int[19]_i_2_n_0\
);
\cb_int[19]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_5\(3),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_6\(3),
O => \cb_int[19]_i_21_n_0\
);
\cb_int[19]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(16),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_3\(3),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(16)
);
\cb_int[19]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_5\(2),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_6\(2),
O => \cb_int[19]_i_23_n_0\
);
\cb_int[19]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(15),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_3\(2),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(15)
);
\cb_int[19]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_5\(1),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_6\(1),
O => \cb_int[19]_i_26_n_0\
);
\cb_int[19]_i_27\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(14),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_3\(1),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(14)
);
\cb_int[19]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(24),
O => \cb_int[19]_i_28_n_0\
);
\cb_int[19]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(23),
O => \cb_int[19]_i_29_n_0\
);
\cb_int[19]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[19]_i_12_n_0\,
I1 => \cb_int[19]_i_13_n_0\,
O => \cb_int[19]_i_3_n_0\
);
\cb_int[19]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(22),
O => \cb_int[19]_i_30_n_0\
);
\cb_int[19]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(21),
O => \cb_int[19]_i_31_n_0\
);
\cb_int[19]_i_34\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[19]_i_34_n_0\
);
\cb_int[19]_i_35\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[19]_i_35_n_0\
);
\cb_int[19]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[19]_i_36_n_0\
);
\cb_int[19]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[19]_i_37_n_0\
);
\cb_int[19]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[19]_i_14_n_0\,
I1 => \cb_int[19]_i_15_n_0\,
O => \cb_int[19]_i_4_n_0\
);
\cb_int[19]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[19]_i_16_n_0\,
I1 => \cb_int[19]_i_17_n_0\,
O => \cb_int[19]_i_5_n_0\
);
\cb_int[19]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[23]_i_16_n_0\,
I1 => \cb_int[23]_i_17_n_0\,
I2 => \cb_int[19]_i_2_n_0\,
O => \cb_int[19]_i_6_n_0\
);
\cb_int[19]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[19]_i_10_n_0\,
I1 => \cb_int[19]_i_11_n_0\,
I2 => \cb_int[19]_i_3_n_0\,
O => \cb_int[19]_i_7_n_0\
);
\cb_int[19]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[19]_i_12_n_0\,
I1 => \cb_int[19]_i_13_n_0\,
I2 => \cb_int[19]_i_4_n_0\,
O => \cb_int[19]_i_8_n_0\
);
\cb_int[19]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[19]_i_14_n_0\,
I1 => \cb_int[19]_i_15_n_0\,
I2 => \cb_int[19]_i_5_n_0\,
O => \cb_int[19]_i_9_n_0\
);
\cb_int[23]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(22),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(30),
I3 => cb_int_reg8,
I4 => \cb_int[27]_i_10_n_0\,
I5 => cb_int_reg2(22),
O => \cb_int[23]_i_10_n_0\
);
\cb_int[23]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(21),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(29),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_18_n_0\,
I5 => cb_int_reg2(21),
O => \cb_int[23]_i_11_n_0\
);
\cb_int[23]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(21),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(29),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_18_n_0\,
I5 => cb_int_reg2(21),
O => \cb_int[23]_i_12_n_0\
);
\cb_int[23]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(20),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(28),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_20_n_0\,
I5 => cb_int_reg2(20),
O => \cb_int[23]_i_13_n_0\
);
\cb_int[23]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(20),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(28),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_20_n_0\,
I5 => cb_int_reg2(20),
O => \cb_int[23]_i_14_n_0\
);
\cb_int[23]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(19),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(27),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_22_n_0\,
I5 => cb_int_reg2(19),
O => \cb_int[23]_i_15_n_0\
);
\cb_int[23]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD1D22E222E2DD1D"
)
port map (
I0 => cb_int_reg5(19),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(27),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_22_n_0\,
I5 => cb_int_reg2(19),
O => \cb_int[23]_i_16_n_0\
);
\cb_int[23]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(18),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(26),
I3 => cb_int_reg8,
I4 => \cb_int[23]_i_25_n_0\,
I5 => cb_int_reg2(18),
O => \cb_int[23]_i_17_n_0\
);
\cb_int[23]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_9\(0),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_10\(0),
O => \cb_int[23]_i_18_n_0\
);
\cb_int[23]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(21),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_1\(0),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(21)
);
\cb_int[23]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[23]_i_10_n_0\,
I1 => \cb_int[23]_i_11_n_0\,
O => \cb_int[23]_i_2_n_0\
);
\cb_int[23]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_7\(3),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_8\(3),
O => \cb_int[23]_i_20_n_0\
);
\cb_int[23]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(20),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_2\(3),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(20)
);
\cb_int[23]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_7\(2),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_8\(2),
O => \cb_int[23]_i_22_n_0\
);
\cb_int[23]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(19),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_2\(2),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(19)
);
\cb_int[23]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_7\(1),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_8\(1),
O => \cb_int[23]_i_25_n_0\
);
\cb_int[23]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(18),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_2\(1),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(18)
);
\cb_int[23]_i_29\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[23]_i_29_n_0\
);
\cb_int[23]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[23]_i_12_n_0\,
I1 => \cb_int[23]_i_13_n_0\,
O => \cb_int[23]_i_3_n_0\
);
\cb_int[23]_i_30\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[23]_i_30_n_0\
);
\cb_int[23]_i_31\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[23]_i_31_n_0\
);
\cb_int[23]_i_32\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[23]_i_32_n_0\
);
\cb_int[23]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[23]_i_14_n_0\,
I1 => \cb_int[23]_i_15_n_0\,
O => \cb_int[23]_i_4_n_0\
);
\cb_int[23]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[23]_i_16_n_0\,
I1 => \cb_int[23]_i_17_n_0\,
O => \cb_int[23]_i_5_n_0\
);
\cb_int[23]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[27]_i_7_n_0\,
I1 => \cb_int[27]_i_8_n_0\,
I2 => \cb_int[23]_i_2_n_0\,
O => \cb_int[23]_i_6_n_0\
);
\cb_int[23]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[23]_i_10_n_0\,
I1 => \cb_int[23]_i_11_n_0\,
I2 => \cb_int[23]_i_3_n_0\,
O => \cb_int[23]_i_7_n_0\
);
\cb_int[23]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[23]_i_12_n_0\,
I1 => \cb_int[23]_i_13_n_0\,
I2 => \cb_int[23]_i_4_n_0\,
O => \cb_int[23]_i_8_n_0\
);
\cb_int[23]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int[23]_i_14_n_0\,
I1 => \cb_int[23]_i_15_n_0\,
I2 => \cb_int[23]_i_5_n_0\,
O => \cb_int[23]_i_9_n_0\
);
\cb_int[27]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_9\(1),
I1 => \rgb888[8]_1\(1),
I2 => \^co\(0),
I3 => \rgb888[8]_10\(1),
O => \cb_int[27]_i_10_n_0\
);
\cb_int[27]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => cb_int_reg3(22),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_1\(1),
I3 => \cb_int_reg[11]_i_25_n_0\,
O => cb_int_reg2(22)
);
\cb_int[27]_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[27]_i_12_n_0\
);
\cb_int[27]_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[27]_i_13_n_0\
);
\cb_int[27]_i_14\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[27]_i_14_n_0\
);
\cb_int[27]_i_15\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[27]_i_15_n_0\
);
\cb_int[27]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cb_int[27]_i_7_n_0\,
I1 => \cb_int[27]_i_8_n_0\,
O => \cb_int[27]_i_2_n_0\
);
\cb_int[27]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[27]_i_3_n_0\
);
\cb_int[27]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[27]_i_4_n_0\
);
\cb_int[27]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[27]_i_5_n_0\
);
\cb_int[27]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[27]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[27]_i_6_n_0\
);
\cb_int[27]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"1E111E11E1EE1E11"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => \cb_int_reg[31]_i_11_n_1\,
I2 => \rgb888[8]_11\(0),
I3 => \rgb888[8]_1\(1),
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[27]_i_7_n_0\
);
\cb_int[27]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDD1DDD1D0000"
)
port map (
I0 => cb_int_reg5(22),
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => cb_int_reg7(30),
I3 => cb_int_reg8,
I4 => \cb_int[27]_i_10_n_0\,
I5 => cb_int_reg2(22),
O => \cb_int[27]_i_8_n_0\
);
\cb_int[31]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \rgb888[8]_11\(0),
I1 => \rgb888[8]_1\(1),
O => \cb_int[31]_i_13_n_0\
);
\cb_int[31]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_1\(1),
O => \cb_int[31]_i_15_n_0\
);
\cb_int[31]_i_16\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_1\(0),
O => \cb_int[31]_i_16_n_0\
);
\cb_int[31]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"4404440444040000"
)
port map (
I0 => \cb_int_reg[31]_i_7_n_1\,
I1 => \rgb888[0]\(3),
I2 => \rgb888[8]_1\(1),
I3 => \rgb888[8]_11\(0),
I4 => \cb_int_reg[31]_i_11_n_1\,
I5 => \cb_int_reg[31]_i_12_n_1\,
O => \cb_int[31]_i_2_n_0\
);
\cb_int[31]_i_24\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(14),
O => \^di\(0)
);
\cb_int[31]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[31]_i_3_n_0\
);
\cb_int[31]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(30),
O => \cb_int[31]_i_31_n_0\
);
\cb_int[31]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(29),
O => \cb_int[31]_i_32_n_0\
);
\cb_int[31]_i_35\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_34_n_2\,
O => \cb_int[31]_i_35_n_0\
);
\cb_int[31]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_34_n_2\,
O => \cb_int[31]_i_36_n_0\
);
\cb_int[31]_i_38\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_2\(3),
O => \cb_int[31]_i_38_n_0\
);
\cb_int[31]_i_39\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_2\(2),
O => \cb_int[31]_i_39_n_0\
);
\cb_int[31]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[31]_i_4_n_0\
);
\cb_int[31]_i_40\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_2\(1),
O => \cb_int[31]_i_40_n_0\
);
\cb_int[31]_i_41\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_2\(0),
O => \cb_int[31]_i_41_n_0\
);
\cb_int[31]_i_43\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000001FFFFFFFE"
)
port map (
I0 => rgb888(5),
I1 => rgb888(3),
I2 => rgb888(1),
I3 => rgb888(2),
I4 => rgb888(4),
I5 => rgb888(6),
O => \^cr_int_reg[27]_1\(1)
);
\cb_int[31]_i_44\: unisim.vcomponents.LUT5
generic map(
INIT => X"0001FFFE"
)
port map (
I0 => rgb888(4),
I1 => rgb888(2),
I2 => rgb888(1),
I3 => rgb888(3),
I4 => rgb888(5),
O => \^cr_int_reg[27]_1\(0)
);
\cb_int[31]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[31]_i_5_n_0\
);
\cb_int[31]_i_51\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => rgb888(5),
I1 => rgb888(3),
I2 => rgb888(1),
I3 => rgb888(2),
I4 => rgb888(4),
I5 => rgb888(6),
O => \^cr_int_reg[27]_0\
);
\cb_int[31]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"55565556A9555556"
)
port map (
I0 => \cb_int[31]_i_2_n_0\,
I1 => \cb_int_reg[31]_i_12_n_1\,
I2 => \cb_int_reg[31]_i_11_n_1\,
I3 => \cb_int[31]_i_13_n_0\,
I4 => \rgb888[0]\(3),
I5 => \cb_int_reg[31]_i_7_n_1\,
O => \cb_int[31]_i_6_n_0\
);
\cb_int[31]_i_67\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(28),
O => \cb_int[31]_i_67_n_0\
);
\cb_int[31]_i_68\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(27),
O => \cb_int[31]_i_68_n_0\
);
\cb_int[31]_i_69\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(26),
O => \cb_int[31]_i_69_n_0\
);
\cb_int[31]_i_70\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \cb_int_reg[31]_i_12_n_1\,
I1 => cb_int_reg8,
I2 => cb_int_reg7(25),
O => \cb_int[31]_i_70_n_0\
);
\cb_int[31]_i_71\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_5\,
I1 => rgb888(23),
I2 => rgb888(22),
O => \cb_int[31]_i_71_n_0\
);
\cb_int[31]_i_72\: unisim.vcomponents.LUT3
generic map(
INIT => X"82"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_6\,
I1 => rgb888(23),
I2 => rgb888(22),
O => \cb_int[31]_i_72_n_0\
);
\cb_int[31]_i_74\: unisim.vcomponents.LUT4
generic map(
INIT => X"1FE0"
)
port map (
I0 => rgb888(22),
I1 => rgb888(23),
I2 => \cb_int_reg[31]_i_73_n_4\,
I3 => \cb_int_reg[31]_i_34_n_7\,
O => \cb_int[31]_i_74_n_0\
);
\cb_int[31]_i_75\: unisim.vcomponents.LUT4
generic map(
INIT => X"3336"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_5\,
I1 => \cb_int_reg[31]_i_73_n_4\,
I2 => rgb888(22),
I3 => rgb888(23),
O => \cb_int[31]_i_75_n_0\
);
\cb_int[31]_i_76\: unisim.vcomponents.LUT4
generic map(
INIT => X"7E81"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_6\,
I1 => rgb888(22),
I2 => rgb888(23),
I3 => \cb_int_reg[31]_i_73_n_5\,
O => \cb_int[31]_i_76_n_0\
);
\cb_int[31]_i_77\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_7\,
I1 => \cb_int_reg[31]_i_73_n_6\,
I2 => rgb888(22),
I3 => rgb888(23),
O => \cb_int[31]_i_77_n_0\
);
\cb_int[31]_i_78\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(23),
O => \cb_int[31]_i_78_n_0\
);
\cb_int[31]_i_79\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_3\(3),
O => \cb_int[31]_i_79_n_0\
);
\cb_int[31]_i_80\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_3\(2),
O => \cb_int[31]_i_80_n_0\
);
\cb_int[31]_i_81\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_3\(1),
O => \cb_int[31]_i_81_n_0\
);
\cb_int[31]_i_82\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[0]\(3),
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_3\(0),
O => \cb_int[31]_i_82_n_0\
);
\cb_int[31]_i_86\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => rgb888(11),
I1 => rgb888(10),
I2 => rgb888(12),
I3 => rgb888(13),
O => \^cr_int_reg[31]_1\
);
\cb_int[31]_i_87\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => rgb888(12),
I1 => rgb888(10),
I2 => rgb888(11),
I3 => rgb888(13),
I4 => rgb888(14),
O => \^cr_int_reg[31]_0\
);
\cb_int[31]_i_95\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(22),
O => \cb_int[31]_i_95_n_0\
);
\cb_int[31]_i_96\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(23),
I1 => rgb888(21),
O => \cb_int[31]_i_96_n_0\
);
\cb_int[31]_i_97\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(22),
I1 => rgb888(20),
O => \cb_int[31]_i_97_n_0\
);
\cb_int[31]_i_98\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(21),
I1 => rgb888(19),
O => \cb_int[31]_i_98_n_0\
);
\cb_int[3]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_2\(1),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[13]_0\(1),
I3 => \^co\(0),
I4 => \rgb888[8]\(3),
O => \cb_int[3]_i_10_n_0\
);
\cb_int[3]_i_100\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(0),
I1 => rgb888(2),
O => \cb_int[3]_i_100_n_0\
);
\cb_int[3]_i_101\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(1),
O => \cb_int[3]_i_101_n_0\
);
\cb_int[3]_i_102\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(0),
O => \cb_int[3]_i_102_n_0\
);
\cb_int[3]_i_103\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(8),
I1 => rgb888(11),
O => \cb_int[3]_i_103_n_0\
);
\cb_int[3]_i_104\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(10),
O => \cb_int[3]_i_104_n_0\
);
\cb_int[3]_i_105\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(9),
O => \cb_int[3]_i_105_n_0\
);
\cb_int[3]_i_106\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(8),
O => \cb_int[3]_i_106_n_0\
);
\cb_int[3]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(2),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_0\(0),
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[7]_i_29_n_6\,
O => cb_int_reg2(2)
);
\cb_int[3]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"1D001DFF"
)
port map (
I0 => cb_int_reg7(9),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_7\,
I3 => \cb_int_reg[31]_i_12_n_1\,
I4 => cb_int_reg5(1),
O => \cb_int[3]_i_12_n_0\
);
\cb_int[3]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_2\(0),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[13]_0\(0),
I3 => \^co\(0),
I4 => \rgb888[8]\(2),
O => \cb_int[3]_i_13_n_0\
);
\cb_int[3]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(1),
I1 => \rgb888[0]\(3),
I2 => \cb_int_reg[3]_i_20_n_4\,
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[7]_i_29_n_7\,
O => cb_int_reg2(1)
);
\cb_int[3]_i_17\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \rgb888[8]\(1),
I1 => \^co\(0),
I2 => \rgb888[13]\(0),
O => \cb_int[3]_i_17_n_0\
);
\cb_int[3]_i_18\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_5\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \cb_int_reg[3]_i_33_n_4\,
O => \cb_int[3]_i_18_n_0\
);
\cb_int[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cb_int[3]_i_9_n_0\,
I1 => \cb_int[3]_i_10_n_0\,
I2 => cb_int_reg2(2),
O => \cb_int[3]_i_2_n_0\
);
\cb_int[3]_i_22\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_6\,
O => \cb_int[3]_i_22_n_0\
);
\cb_int[3]_i_23\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_33_n_7\,
O => \cb_int[3]_i_23_n_0\
);
\cb_int[3]_i_24\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_4\,
O => \cb_int[3]_i_24_n_0\
);
\cb_int[3]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_5\,
O => \cb_int[3]_i_25_n_0\
);
\cb_int[3]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_7\,
O => \cb_int[3]_i_27_n_0\
);
\cb_int[3]_i_28\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cb_int_reg[31]_i_73_n_7\,
I1 => rgb888(22),
O => \cb_int[3]_i_28_n_0\
);
\cb_int[3]_i_29\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(21),
I1 => \cb_int_reg[3]_i_57_n_4\,
O => \cb_int[3]_i_29_n_0\
);
\cb_int[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cb_int[3]_i_12_n_0\,
I1 => \cb_int[3]_i_13_n_0\,
I2 => cb_int_reg2(1),
O => \cb_int[3]_i_3_n_0\
);
\cb_int[3]_i_30\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(20),
I1 => \cb_int_reg[3]_i_57_n_5\,
O => \cb_int[3]_i_30_n_0\
);
\cb_int[3]_i_31\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(19),
I1 => \cb_int_reg[3]_i_57_n_6\,
O => \cb_int[3]_i_31_n_0\
);
\cb_int[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"1DFF001D"
)
port map (
I0 => cb_int_reg7(8),
I1 => cb_int_reg8,
I2 => \cb_int_reg[3]_i_16_n_4\,
I3 => \cb_int[3]_i_17_n_0\,
I4 => \cb_int[3]_i_18_n_0\,
O => \cb_int[3]_i_4_n_0\
);
\cb_int[3]_i_45\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(2),
I1 => rgb888(1),
I2 => \rgb888[0]_8\(1),
O => \cb_int[3]_i_45_n_0\
);
\cb_int[3]_i_46\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \rgb888[0]_8\(0),
I1 => rgb888(1),
O => \cb_int[3]_i_46_n_0\
);
\cb_int[3]_i_47\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cb_int_reg[3]_i_44_n_4\,
I1 => rgb888(0),
O => \cb_int[3]_i_47_n_0\
);
\cb_int[3]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[3]_i_44_n_5\,
O => \cb_int[3]_i_48_n_0\
);
\cb_int[3]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_26_n_6\,
O => \cb_int[3]_i_49_n_0\
);
\cb_int[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cb_int[7]_i_16_n_0\,
I1 => \cb_int[7]_i_17_n_0\,
I2 => cb_int_reg2(3),
I3 => \cb_int[3]_i_2_n_0\,
O => \cb_int[3]_i_5_n_0\
);
\cb_int[3]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_6\,
O => \cb_int[3]_i_50_n_0\
);
\cb_int[3]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_16_n_7\,
O => \cb_int[3]_i_51_n_0\
);
\cb_int[3]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_26_n_4\,
O => \cb_int[3]_i_52_n_0\
);
\cb_int[3]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_26_n_5\,
O => \cb_int[3]_i_53_n_0\
);
\cb_int[3]_i_54\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(18),
I1 => \cb_int_reg[3]_i_57_n_7\,
O => \cb_int[3]_i_54_n_0\
);
\cb_int[3]_i_55\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(17),
I1 => rgb888(16),
O => \cb_int[3]_i_55_n_0\
);
\cb_int[3]_i_56\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(16),
O => \cb_int[3]_i_56_n_0\
);
\cb_int[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cb_int[3]_i_9_n_0\,
I1 => \cb_int[3]_i_10_n_0\,
I2 => cb_int_reg2(2),
I3 => \cb_int[3]_i_3_n_0\,
O => \cb_int[3]_i_6_n_0\
);
\cb_int[3]_i_64\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_5\,
O => \cb_int[3]_i_64_n_0\
);
\cb_int[3]_i_65\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_6\,
O => \cb_int[3]_i_65_n_0\
);
\cb_int[3]_i_66\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_7\,
O => \cb_int[3]_i_66_n_0\
);
\cb_int[3]_i_67\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_44_n_6\,
O => \cb_int[3]_i_67_n_0\
);
\cb_int[3]_i_69\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(8),
I1 => rgb888(10),
I2 => \rgb888[8]_31\(2),
O => \cb_int[3]_i_69_n_0\
);
\cb_int[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cb_int[3]_i_12_n_0\,
I1 => \cb_int[3]_i_13_n_0\,
I2 => cb_int_reg2(1),
I3 => \cb_int[3]_i_4_n_0\,
O => \cb_int[3]_i_7_n_0\
);
\cb_int[3]_i_70\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \rgb888[8]_31\(1),
I1 => rgb888(9),
O => \cb_int[3]_i_70_n_0\
);
\cb_int[3]_i_71\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \rgb888[8]_31\(0),
I1 => rgb888(8),
O => \cb_int[3]_i_71_n_0\
);
\cb_int[3]_i_72\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cb_int_reg[3]_i_94_n_4\,
O => \cb_int[3]_i_72_n_0\
);
\cb_int[3]_i_76\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(7),
I1 => rgb888(5),
O => \cb_int[3]_i_76_n_0\
);
\cb_int[3]_i_77\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(6),
I1 => rgb888(4),
O => \cb_int[3]_i_77_n_0\
);
\cb_int[3]_i_78\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(5),
I1 => rgb888(3),
O => \cb_int[3]_i_78_n_0\
);
\cb_int[3]_i_79\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(4),
I1 => rgb888(2),
O => \cb_int[3]_i_79_n_0\
);
\cb_int[3]_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"1DE2E21D"
)
port map (
I0 => cb_int_reg7(8),
I1 => cb_int_reg8,
I2 => \cb_int_reg[3]_i_16_n_4\,
I3 => \cb_int[3]_i_17_n_0\,
I4 => \cb_int[3]_i_18_n_0\,
O => \cb_int[3]_i_8_n_0\
);
\cb_int[3]_i_80\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(20),
I1 => rgb888(18),
O => \cb_int[3]_i_80_n_0\
);
\cb_int[3]_i_81\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(19),
I1 => rgb888(17),
O => \cb_int[3]_i_81_n_0\
);
\cb_int[3]_i_82\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(18),
I1 => rgb888(16),
O => \cb_int[3]_i_82_n_0\
);
\cb_int[3]_i_83\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(17),
O => \cb_int[3]_i_83_n_0\
);
\cb_int[3]_i_89\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_7\,
O => \cb_int[3]_i_89_n_0\
);
\cb_int[3]_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"1D001DFF"
)
port map (
I0 => cb_int_reg7(10),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_6\,
I3 => \cb_int_reg[31]_i_12_n_1\,
I4 => cb_int_reg5(2),
O => \cb_int[3]_i_9_n_0\
);
\cb_int[3]_i_90\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_44_n_7\,
O => \cb_int[3]_i_90_n_0\
);
\cb_int[3]_i_91\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_4\,
O => \cb_int[3]_i_91_n_0\
);
\cb_int[3]_i_92\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_5\,
O => \cb_int[3]_i_92_n_0\
);
\cb_int[3]_i_93\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_75_n_6\,
O => \cb_int[3]_i_93_n_0\
);
\cb_int[3]_i_99\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(3),
I1 => rgb888(1),
O => \cb_int[3]_i_99_n_0\
);
\cb_int[7]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"1D001DFF"
)
port map (
I0 => cb_int_reg7(13),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_12_n_7\,
I3 => \cb_int_reg[31]_i_12_n_1\,
I4 => cb_int_reg5(5),
O => \cb_int[7]_i_10_n_0\
);
\cb_int[7]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_3\(0),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[12]\(0),
I3 => \^co\(0),
I4 => \rgb888[8]_0\(2),
O => \cb_int[7]_i_11_n_0\
);
\cb_int[7]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(5),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_0\(3),
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[11]_i_24_n_7\,
O => cb_int_reg2(5)
);
\cb_int[7]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"1D001DFF"
)
port map (
I0 => cb_int_reg7(12),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_4\,
I3 => \cb_int_reg[31]_i_12_n_1\,
I4 => cb_int_reg5(4),
O => \cb_int[7]_i_13_n_0\
);
\cb_int[7]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_2\(3),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[13]_0\(3),
I3 => \^co\(0),
I4 => \rgb888[8]_0\(1),
O => \cb_int[7]_i_14_n_0\
);
\cb_int[7]_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(4),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_0\(2),
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[7]_i_29_n_4\,
O => cb_int_reg2(4)
);
\cb_int[7]_i_16\: unisim.vcomponents.LUT5
generic map(
INIT => X"1D001DFF"
)
port map (
I0 => cb_int_reg7(11),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_33_n_5\,
I3 => \cb_int_reg[31]_i_12_n_1\,
I4 => cb_int_reg5(3),
O => \cb_int[7]_i_16_n_0\
);
\cb_int[7]_i_17\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_2\(2),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[13]_0\(2),
I3 => \^co\(0),
I4 => \rgb888[8]_0\(0),
O => \cb_int[7]_i_17_n_0\
);
\cb_int[7]_i_18\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(3),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]_0\(1),
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[7]_i_29_n_5\,
O => cb_int_reg2(3)
);
\cb_int[7]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"B0BF"
)
port map (
I0 => cb_int_reg8,
I1 => cb_int_reg7(15),
I2 => \cb_int_reg[31]_i_12_n_1\,
I3 => cb_int_reg5(7),
O => \cb_int[7]_i_19_n_0\
);
\cb_int[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"5959A959"
)
port map (
I0 => \cb_int[11]_i_19_n_0\,
I1 => cb_int_reg5(7),
I2 => \cb_int_reg[31]_i_12_n_1\,
I3 => cb_int_reg7(15),
I4 => cb_int_reg8,
O => \cb_int[7]_i_2_n_0\
);
\cb_int[7]_i_20\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cb_int_reg3(6),
I1 => \rgb888[0]\(3),
I2 => \rgb888[0]\(0),
I3 => \cb_int_reg[11]_i_25_n_0\,
I4 => \cb_int_reg[11]_i_24_n_6\,
O => cb_int_reg2(6)
);
\cb_int[7]_i_21\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_3\(1),
I1 => \rgb888[8]_1\(1),
I2 => \rgb888[12]\(1),
I3 => \^co\(0),
I4 => \rgb888[8]_0\(3),
O => \cb_int[7]_i_21_n_0\
);
\cb_int[7]_i_22\: unisim.vcomponents.LUT5
generic map(
INIT => X"1D001DFF"
)
port map (
I0 => cb_int_reg7(14),
I1 => cb_int_reg8,
I2 => \cb_int_reg[31]_i_12_n_6\,
I3 => \cb_int_reg[31]_i_12_n_1\,
I4 => cb_int_reg5(6),
O => \cb_int[7]_i_22_n_0\
);
\cb_int[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cb_int[7]_i_10_n_0\,
I1 => \cb_int[7]_i_11_n_0\,
I2 => cb_int_reg2(5),
O => \cb_int[7]_i_3_n_0\
);
\cb_int[7]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_39_n_0\
);
\cb_int[7]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cb_int[7]_i_13_n_0\,
I1 => \cb_int[7]_i_14_n_0\,
I2 => cb_int_reg2(4),
O => \cb_int[7]_i_4_n_0\
);
\cb_int[7]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_40_n_0\
);
\cb_int[7]_i_41\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_41_n_0\
);
\cb_int[7]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_42_n_0\
);
\cb_int[7]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cb_int[7]_i_16_n_0\,
I1 => \cb_int[7]_i_17_n_0\,
I2 => cb_int_reg2(3),
O => \cb_int[7]_i_5_n_0\
);
\cb_int[7]_i_52\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[3]_i_33_n_4\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \cb_int_reg[3]_i_20_n_5\,
O => \cb_int[7]_i_52_n_0\
);
\cb_int[7]_i_53\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_29_n_4\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_0\(2),
O => \cb_int[7]_i_53_n_0\
);
\cb_int[7]_i_54\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_29_n_5\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_0\(1),
O => \cb_int[7]_i_54_n_0\
);
\cb_int[7]_i_55\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_29_n_6\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \rgb888[0]_0\(0),
O => \cb_int[7]_i_55_n_0\
);
\cb_int[7]_i_56\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_29_n_7\,
I1 => \cb_int_reg[11]_i_25_n_0\,
I2 => \cb_int_reg[3]_i_20_n_4\,
O => \cb_int[7]_i_56_n_0\
);
\cb_int[7]_i_57\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]_0\(2),
O => \cb_int[7]_i_57_n_0\
);
\cb_int[7]_i_58\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]_0\(1),
O => \cb_int[7]_i_58_n_0\
);
\cb_int[7]_i_59\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[0]_0\(0),
O => \cb_int[7]_i_59_n_0\
);
\cb_int[7]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"99969666"
)
port map (
I0 => \cb_int[7]_i_19_n_0\,
I1 => \cb_int[11]_i_19_n_0\,
I2 => cb_int_reg2(6),
I3 => \cb_int[7]_i_21_n_0\,
I4 => \cb_int[7]_i_22_n_0\,
O => \cb_int[7]_i_6_n_0\
);
\cb_int[7]_i_60\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_20_n_4\,
O => \cb_int[7]_i_60_n_0\
);
\cb_int[7]_i_62\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_62_n_0\
);
\cb_int[7]_i_63\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_63_n_0\
);
\cb_int[7]_i_64\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_64_n_0\
);
\cb_int[7]_i_65\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(1),
O => \cb_int[7]_i_65_n_0\
);
\cb_int[7]_i_67\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]_0\(3),
I1 => \rgb888[8]_1\(0),
O => \cb_int[7]_i_67_n_0\
);
\cb_int[7]_i_68\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]_0\(1),
I1 => \rgb888[8]_0\(2),
O => \cb_int[7]_i_68_n_0\
);
\cb_int[7]_i_69\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]\(3),
I1 => \rgb888[8]_0\(0),
O => \cb_int[7]_i_69_n_0\
);
\cb_int[7]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cb_int[7]_i_3_n_0\,
I1 => cb_int_reg2(6),
I2 => \cb_int[7]_i_21_n_0\,
I3 => \cb_int[7]_i_22_n_0\,
O => \cb_int[7]_i_7_n_0\
);
\cb_int[7]_i_70\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]\(1),
I1 => \rgb888[8]\(2),
O => \cb_int[7]_i_70_n_0\
);
\cb_int[7]_i_71\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_1\(0),
I1 => \rgb888[8]_0\(3),
O => \cb_int[7]_i_71_n_0\
);
\cb_int[7]_i_72\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_0\(2),
I1 => \rgb888[8]_0\(1),
O => \cb_int[7]_i_72_n_0\
);
\cb_int[7]_i_73\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_0\(0),
I1 => \rgb888[8]\(3),
O => \cb_int[7]_i_73_n_0\
);
\cb_int[7]_i_74\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]\(2),
I1 => \rgb888[8]\(1),
O => \cb_int[7]_i_74_n_0\
);
\cb_int[7]_i_75\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^cb_int_reg[3]_0\(3),
I1 => \rgb888[8]\(0),
O => \cb_int[7]_i_75_n_0\
);
\cb_int[7]_i_76\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^cb_int_reg[3]_0\(1),
I1 => \^cb_int_reg[3]_0\(2),
O => \cb_int[7]_i_76_n_0\
);
\cb_int[7]_i_77\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^o\(1),
I1 => \^cb_int_reg[3]_0\(0),
O => \cb_int[7]_i_77_n_0\
);
\cb_int[7]_i_78\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => rgb888(8),
I1 => \^o\(0),
O => \cb_int[7]_i_78_n_0\
);
\cb_int[7]_i_79\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]\(0),
I1 => \^cb_int_reg[3]_0\(3),
O => \cb_int[7]_i_79_n_0\
);
\cb_int[7]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cb_int[7]_i_10_n_0\,
I1 => \cb_int[7]_i_11_n_0\,
I2 => cb_int_reg2(5),
I3 => \cb_int[7]_i_4_n_0\,
O => \cb_int[7]_i_8_n_0\
);
\cb_int[7]_i_80\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cb_int_reg[3]_0\(2),
I1 => \^cb_int_reg[3]_0\(1),
O => \cb_int[7]_i_80_n_0\
);
\cb_int[7]_i_81\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cb_int_reg[3]_0\(0),
I1 => \^o\(1),
O => \cb_int[7]_i_81_n_0\
);
\cb_int[7]_i_82\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^o\(0),
I1 => rgb888(8),
O => \cb_int[7]_i_82_n_0\
);
\cb_int[7]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cb_int[7]_i_13_n_0\,
I1 => \cb_int[7]_i_14_n_0\,
I2 => cb_int_reg2(4),
I3 => \cb_int[7]_i_5_n_0\,
O => \cb_int[7]_i_9_n_0\
);
\cb_int_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[3]_i_1_n_7\,
Q => \cb_int_reg_n_0_[0]\,
R => '0'
);
\cb_int_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[11]_i_1_n_5\,
Q => \cb_int_reg__0\(10),
R => '0'
);
\cb_int_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[11]_i_1_n_4\,
Q => \cb_int_reg__0\(11),
R => '0'
);
\cb_int_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_1_n_0\,
CO(3) => \cb_int_reg[11]_i_1_n_0\,
CO(2) => \cb_int_reg[11]_i_1_n_1\,
CO(1) => \cb_int_reg[11]_i_1_n_2\,
CO(0) => \cb_int_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb_int[11]_i_2_n_0\,
DI(2) => \cb_int[11]_i_3_n_0\,
DI(1) => \cb_int[11]_i_4_n_0\,
DI(0) => \cb_int[11]_i_5_n_0\,
O(3) => \cb_int_reg[11]_i_1_n_4\,
O(2) => \cb_int_reg[11]_i_1_n_5\,
O(1) => \cb_int_reg[11]_i_1_n_6\,
O(0) => \cb_int_reg[11]_i_1_n_7\,
S(3) => \cb_int[11]_i_6_n_0\,
S(2) => \cb_int[11]_i_7_n_0\,
S(1) => \cb_int[11]_i_8_n_0\,
S(0) => \cb_int[11]_i_9_n_0\
);
\cb_int_reg[11]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_28_n_0\,
CO(3) => \cb_int_reg[11]_i_16_n_0\,
CO(2) => \cb_int_reg[11]_i_16_n_1\,
CO(1) => \cb_int_reg[11]_i_16_n_2\,
CO(0) => \cb_int_reg[11]_i_16_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg5(8 downto 5),
S(3) => \cb_int[11]_i_29_n_0\,
S(2) => \cb_int[11]_i_30_n_0\,
S(1) => \cb_int[11]_i_31_n_0\,
S(0) => \cb_int[11]_i_32_n_0\
);
\cb_int_reg[11]_i_17\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_33_n_0\,
CO(3) => \cb_int_reg[11]_i_17_n_0\,
CO(2) => \cb_int_reg[11]_i_17_n_1\,
CO(1) => \cb_int_reg[11]_i_17_n_2\,
CO(0) => \cb_int_reg[11]_i_17_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg7(18 downto 15),
S(3) => \cb_int[11]_i_34_n_0\,
S(2) => \cb_int[11]_i_35_n_0\,
S(1) => \cb_int[11]_i_36_n_0\,
S(0) => \cb_int[11]_i_37_n_0\
);
\cb_int_reg[11]_i_18\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_38_n_0\,
CO(3) => \NLW_cb_int_reg[11]_i_18_CO_UNCONNECTED\(3),
CO(2) => cb_int_reg8,
CO(1) => \cb_int_reg[11]_i_18_n_2\,
CO(0) => \cb_int_reg[11]_i_18_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \cb_int[11]_i_39_n_0\,
DI(0) => \cb_int[11]_i_40_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_18_O_UNCONNECTED\(3 downto 0),
S(3) => '0',
S(2) => \cb_int[11]_i_41_n_0\,
S(1) => \cb_int[11]_i_42_n_0\,
S(0) => \cb_int[11]_i_43_n_0\
);
\cb_int_reg[11]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_29_n_0\,
CO(3) => \cb_int_reg[15]_0\(0),
CO(2) => \cb_int_reg[11]_i_24_n_1\,
CO(1) => \cb_int_reg[11]_i_24_n_2\,
CO(0) => \cb_int_reg[11]_i_24_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[11]_i_24_n_4\,
O(2) => \cb_int_reg[11]_i_24_n_5\,
O(1) => \cb_int_reg[11]_i_24_n_6\,
O(0) => \cb_int_reg[11]_i_24_n_7\,
S(3) => \cb_int[11]_i_44_n_0\,
S(2) => \cb_int[11]_i_45_n_0\,
S(1) => \cb_int[11]_i_46_n_0\,
S(0) => \cb_int[11]_i_47_n_0\
);
\cb_int_reg[11]_i_25\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_48_n_0\,
CO(3) => \cb_int_reg[11]_i_25_n_0\,
CO(2) => \cb_int_reg[11]_i_25_n_1\,
CO(1) => \cb_int_reg[11]_i_25_n_2\,
CO(0) => \cb_int_reg[11]_i_25_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \rgb888[0]\(3),
DI(1) => \rgb888[0]\(3),
DI(0) => \rgb888[0]\(3),
O(3 downto 0) => \NLW_cb_int_reg[11]_i_25_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_49_n_0\,
S(2) => \cb_int[11]_i_50_n_0\,
S(1) => \cb_int[11]_i_51_n_0\,
S(0) => \cb_int[11]_i_52_n_0\
);
\cb_int_reg[11]_i_26\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_28_n_0\,
CO(3) => \cb_int_reg[11]_i_26_n_0\,
CO(2) => \cb_int_reg[11]_i_26_n_1\,
CO(1) => \cb_int_reg[11]_i_26_n_2\,
CO(0) => \cb_int_reg[11]_i_26_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg3(8 downto 5),
S(3) => \cb_int[11]_i_53_n_0\,
S(2) => \cb_int[11]_i_54_n_0\,
S(1) => \cb_int[11]_i_55_n_0\,
S(0) => \cb_int[11]_i_56_n_0\
);
\cb_int_reg[11]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[11]_i_28_n_0\,
CO(2) => \cb_int_reg[11]_i_28_n_1\,
CO(1) => \cb_int_reg[11]_i_28_n_2\,
CO(0) => \cb_int_reg[11]_i_28_n_3\,
CYINIT => \cb_int[11]_i_57_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg5(4 downto 1),
S(3) => \cb_int[11]_i_58_n_0\,
S(2) => \cb_int[11]_i_59_n_0\,
S(1) => \cb_int[11]_i_60_n_0\,
S(0) => \cb_int[11]_i_61_n_0\
);
\cb_int_reg[11]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_15_n_0\,
CO(3) => \cb_int_reg[11]_i_33_n_0\,
CO(2) => \cb_int_reg[11]_i_33_n_1\,
CO(1) => \cb_int_reg[11]_i_33_n_2\,
CO(0) => \cb_int_reg[11]_i_33_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg7(14 downto 11),
S(3) => \cb_int[11]_i_62_n_0\,
S(2) => \cb_int[11]_i_63_n_0\,
S(1) => \cb_int[11]_i_64_n_0\,
S(0) => \cb_int[11]_i_65_n_0\
);
\cb_int_reg[11]_i_38\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_66_n_0\,
CO(3) => \cb_int_reg[11]_i_38_n_0\,
CO(2) => \cb_int_reg[11]_i_38_n_1\,
CO(1) => \cb_int_reg[11]_i_38_n_2\,
CO(0) => \cb_int_reg[11]_i_38_n_3\,
CYINIT => '0',
DI(3) => \cb_int[11]_i_67_n_0\,
DI(2) => \cb_int[11]_i_68_n_0\,
DI(1) => \cb_int[11]_i_69_n_0\,
DI(0) => \cb_int[11]_i_70_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_38_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_71_n_0\,
S(2) => \cb_int[11]_i_72_n_0\,
S(1) => \cb_int[11]_i_73_n_0\,
S(0) => \cb_int[11]_i_74_n_0\
);
\cb_int_reg[11]_i_48\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_75_n_0\,
CO(3) => \cb_int_reg[11]_i_48_n_0\,
CO(2) => \cb_int_reg[11]_i_48_n_1\,
CO(1) => \cb_int_reg[11]_i_48_n_2\,
CO(0) => \cb_int_reg[11]_i_48_n_3\,
CYINIT => '0',
DI(3) => \rgb888[0]\(3),
DI(2) => \rgb888[0]\(3),
DI(1) => \rgb888[0]\(3),
DI(0) => \cb_int[11]_i_76_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_48_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_77_n_0\,
S(2) => \cb_int[11]_i_78_n_0\,
S(1) => \cb_int[11]_i_79_n_0\,
S(0) => \cb_int[11]_i_80_n_0\
);
\cb_int_reg[11]_i_66\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_81_n_0\,
CO(3) => \cb_int_reg[11]_i_66_n_0\,
CO(2) => \cb_int_reg[11]_i_66_n_1\,
CO(1) => \cb_int_reg[11]_i_66_n_2\,
CO(0) => \cb_int_reg[11]_i_66_n_3\,
CYINIT => '0',
DI(3) => \cb_int[11]_i_82_n_0\,
DI(2) => \cb_int[11]_i_83_n_0\,
DI(1) => \cb_int[11]_i_84_n_0\,
DI(0) => \cb_int[11]_i_85_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_66_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_86_n_0\,
S(2) => \cb_int[11]_i_87_n_0\,
S(1) => \cb_int[11]_i_88_n_0\,
S(0) => \cb_int[11]_i_89_n_0\
);
\cb_int_reg[11]_i_75\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_90_n_0\,
CO(3) => \cb_int_reg[11]_i_75_n_0\,
CO(2) => \cb_int_reg[11]_i_75_n_1\,
CO(1) => \cb_int_reg[11]_i_75_n_2\,
CO(0) => \cb_int_reg[11]_i_75_n_3\,
CYINIT => '0',
DI(3) => \cb_int[11]_i_91_n_0\,
DI(2) => \cb_int[11]_i_92_n_0\,
DI(1) => \cb_int[11]_i_93_n_0\,
DI(0) => \cb_int[11]_i_94_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_75_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_95_n_0\,
S(2) => \cb_int[11]_i_96_n_0\,
S(1) => \cb_int[11]_i_97_n_0\,
S(0) => \cb_int[11]_i_98_n_0\
);
\cb_int_reg[11]_i_81\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[11]_i_81_n_0\,
CO(2) => \cb_int_reg[11]_i_81_n_1\,
CO(1) => \cb_int_reg[11]_i_81_n_2\,
CO(0) => \cb_int_reg[11]_i_81_n_3\,
CYINIT => '1',
DI(3) => \cb_int[11]_i_99_n_0\,
DI(2) => \cb_int[11]_i_100_n_0\,
DI(1) => \cb_int[11]_i_101_n_0\,
DI(0) => \cb_int[11]_i_102_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_81_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_103_n_0\,
S(2) => \cb_int[11]_i_104_n_0\,
S(1) => \cb_int[11]_i_105_n_0\,
S(0) => \cb_int[11]_i_106_n_0\
);
\cb_int_reg[11]_i_90\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[11]_i_90_n_0\,
CO(2) => \cb_int_reg[11]_i_90_n_1\,
CO(1) => \cb_int_reg[11]_i_90_n_2\,
CO(0) => \cb_int_reg[11]_i_90_n_3\,
CYINIT => '1',
DI(3) => \cb_int[11]_i_107_n_0\,
DI(2) => \cb_int[11]_i_108_n_0\,
DI(1) => \cb_int[11]_i_109_n_0\,
DI(0) => \cb_int[11]_i_110_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[11]_i_90_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[11]_i_111_n_0\,
S(2) => \cb_int[11]_i_112_n_0\,
S(1) => \cb_int[11]_i_113_n_0\,
S(0) => \cb_int[11]_i_114_n_0\
);
\cb_int_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[15]_i_1_n_7\,
Q => \cb_int_reg__0\(12),
R => '0'
);
\cb_int_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[15]_i_1_n_6\,
Q => \cb_int_reg__0\(13),
R => '0'
);
\cb_int_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[15]_i_1_n_5\,
Q => \cb_int_reg__0\(14),
R => '0'
);
\cb_int_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[15]_i_1_n_4\,
Q => \cb_int_reg__0\(15),
R => '0'
);
\cb_int_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_1_n_0\,
CO(3) => \cb_int_reg[15]_i_1_n_0\,
CO(2) => \cb_int_reg[15]_i_1_n_1\,
CO(1) => \cb_int_reg[15]_i_1_n_2\,
CO(0) => \cb_int_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb_int[15]_i_2_n_0\,
DI(2) => \cb_int[15]_i_3_n_0\,
DI(1) => \cb_int[15]_i_4_n_0\,
DI(0) => \cb_int[15]_i_5_n_0\,
O(3) => \cb_int_reg[15]_i_1_n_4\,
O(2) => \cb_int_reg[15]_i_1_n_5\,
O(1) => \cb_int_reg[15]_i_1_n_6\,
O(0) => \cb_int_reg[15]_i_1_n_7\,
S(3) => \cb_int[15]_i_6_n_0\,
S(2) => \cb_int[15]_i_7_n_0\,
S(1) => \cb_int[15]_i_8_n_0\,
S(0) => \cb_int[15]_i_9_n_0\
);
\cb_int_reg[15]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_16_n_0\,
CO(3) => \cb_int_reg[15]_i_20_n_0\,
CO(2) => \cb_int_reg[15]_i_20_n_1\,
CO(1) => \cb_int_reg[15]_i_20_n_2\,
CO(0) => \cb_int_reg[15]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg5(12 downto 9),
S(3) => \cb_int[15]_i_27_n_0\,
S(2) => \cb_int[15]_i_28_n_0\,
S(1) => \cb_int[15]_i_29_n_0\,
S(0) => \cb_int[15]_i_30_n_0\
);
\cb_int_reg[15]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_26_n_0\,
CO(3) => \cb_int_reg[15]_i_33_n_0\,
CO(2) => \cb_int_reg[15]_i_33_n_1\,
CO(1) => \cb_int_reg[15]_i_33_n_2\,
CO(0) => \cb_int_reg[15]_i_33_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg3(12 downto 9),
S(3) => \cb_int[15]_i_43_n_0\,
S(2) => \cb_int[15]_i_44_n_0\,
S(1) => \cb_int[15]_i_45_n_0\,
S(0) => \cb_int[15]_i_46_n_0\
);
\cb_int_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[19]_i_1_n_7\,
Q => \cb_int_reg__0\(16),
R => '0'
);
\cb_int_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[19]_i_1_n_6\,
Q => \cb_int_reg__0\(17),
R => '0'
);
\cb_int_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[19]_i_1_n_5\,
Q => \cb_int_reg__0\(18),
R => '0'
);
\cb_int_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[19]_i_1_n_4\,
Q => \cb_int_reg__0\(19),
R => '0'
);
\cb_int_reg[19]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[15]_i_1_n_0\,
CO(3) => \cb_int_reg[19]_i_1_n_0\,
CO(2) => \cb_int_reg[19]_i_1_n_1\,
CO(1) => \cb_int_reg[19]_i_1_n_2\,
CO(0) => \cb_int_reg[19]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb_int[19]_i_2_n_0\,
DI(2) => \cb_int[19]_i_3_n_0\,
DI(1) => \cb_int[19]_i_4_n_0\,
DI(0) => \cb_int[19]_i_5_n_0\,
O(3) => \cb_int_reg[19]_i_1_n_4\,
O(2) => \cb_int_reg[19]_i_1_n_5\,
O(1) => \cb_int_reg[19]_i_1_n_6\,
O(0) => \cb_int_reg[19]_i_1_n_7\,
S(3) => \cb_int[19]_i_6_n_0\,
S(2) => \cb_int[19]_i_7_n_0\,
S(1) => \cb_int[19]_i_8_n_0\,
S(0) => \cb_int[19]_i_9_n_0\
);
\cb_int_reg[19]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[15]_i_20_n_0\,
CO(3) => \cb_int_reg[19]_i_20_n_0\,
CO(2) => \cb_int_reg[19]_i_20_n_1\,
CO(1) => \cb_int_reg[19]_i_20_n_2\,
CO(0) => \cb_int_reg[19]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg5(16 downto 13),
S(3) => \cb_int[19]_i_28_n_0\,
S(2) => \cb_int[19]_i_29_n_0\,
S(1) => \cb_int[19]_i_30_n_0\,
S(0) => \cb_int[19]_i_31_n_0\
);
\cb_int_reg[19]_i_25\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[11]_i_17_n_0\,
CO(3) => \cb_int_reg[19]_i_25_n_0\,
CO(2) => \cb_int_reg[19]_i_25_n_1\,
CO(1) => \cb_int_reg[19]_i_25_n_2\,
CO(0) => \cb_int_reg[19]_i_25_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg7(22 downto 19),
S(3) => \cb_int[19]_i_34_n_0\,
S(2) => \cb_int[19]_i_35_n_0\,
S(1) => \cb_int[19]_i_36_n_0\,
S(0) => \cb_int[19]_i_37_n_0\
);
\cb_int_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[3]_i_1_n_6\,
Q => \cb_int_reg_n_0_[1]\,
R => '0'
);
\cb_int_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[23]_i_1_n_7\,
Q => \cb_int_reg__0\(20),
R => '0'
);
\cb_int_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[23]_i_1_n_6\,
Q => \cb_int_reg__0\(21),
R => '0'
);
\cb_int_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[23]_i_1_n_5\,
Q => \cb_int_reg__0\(22),
R => '0'
);
\cb_int_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[23]_i_1_n_4\,
Q => \cb_int_reg__0\(23),
R => '0'
);
\cb_int_reg[23]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[19]_i_1_n_0\,
CO(3) => \cb_int_reg[23]_i_1_n_0\,
CO(2) => \cb_int_reg[23]_i_1_n_1\,
CO(1) => \cb_int_reg[23]_i_1_n_2\,
CO(0) => \cb_int_reg[23]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb_int[23]_i_2_n_0\,
DI(2) => \cb_int[23]_i_3_n_0\,
DI(1) => \cb_int[23]_i_4_n_0\,
DI(0) => \cb_int[23]_i_5_n_0\,
O(3) => \cb_int_reg[23]_i_1_n_4\,
O(2) => \cb_int_reg[23]_i_1_n_5\,
O(1) => \cb_int_reg[23]_i_1_n_6\,
O(0) => \cb_int_reg[23]_i_1_n_7\,
S(3) => \cb_int[23]_i_6_n_0\,
S(2) => \cb_int[23]_i_7_n_0\,
S(1) => \cb_int[23]_i_8_n_0\,
S(0) => \cb_int[23]_i_9_n_0\
);
\cb_int_reg[23]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[19]_i_25_n_0\,
CO(3) => \cb_int_reg[23]_i_24_n_0\,
CO(2) => \cb_int_reg[23]_i_24_n_1\,
CO(1) => \cb_int_reg[23]_i_24_n_2\,
CO(0) => \cb_int_reg[23]_i_24_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg7(26 downto 23),
S(3) => \cb_int[23]_i_29_n_0\,
S(2) => \cb_int[23]_i_30_n_0\,
S(1) => \cb_int[23]_i_31_n_0\,
S(0) => \cb_int[23]_i_32_n_0\
);
\cb_int_reg[24]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[27]_i_1_n_7\,
Q => \cb_int_reg__0\(24),
R => '0'
);
\cb_int_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[27]_i_1_n_6\,
Q => \cb_int_reg__0\(25),
R => '0'
);
\cb_int_reg[26]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[27]_i_1_n_5\,
Q => \cb_int_reg__0\(26),
R => '0'
);
\cb_int_reg[27]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[27]_i_1_n_4\,
Q => \cb_int_reg__0\(27),
R => '0'
);
\cb_int_reg[27]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[23]_i_1_n_0\,
CO(3) => \cb_int_reg[27]_i_1_n_0\,
CO(2) => \cb_int_reg[27]_i_1_n_1\,
CO(1) => \cb_int_reg[27]_i_1_n_2\,
CO(0) => \cb_int_reg[27]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb_int[31]_i_2_n_0\,
DI(2) => \cb_int[31]_i_2_n_0\,
DI(1) => \cb_int[31]_i_2_n_0\,
DI(0) => \cb_int[27]_i_2_n_0\,
O(3) => \cb_int_reg[27]_i_1_n_4\,
O(2) => \cb_int_reg[27]_i_1_n_5\,
O(1) => \cb_int_reg[27]_i_1_n_6\,
O(0) => \cb_int_reg[27]_i_1_n_7\,
S(3) => \cb_int[27]_i_3_n_0\,
S(2) => \cb_int[27]_i_4_n_0\,
S(1) => \cb_int[27]_i_5_n_0\,
S(0) => \cb_int[27]_i_6_n_0\
);
\cb_int_reg[27]_i_9\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[23]_i_24_n_0\,
CO(3) => \NLW_cb_int_reg[27]_i_9_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[27]_i_9_n_1\,
CO(1) => \cb_int_reg[27]_i_9_n_2\,
CO(0) => \cb_int_reg[27]_i_9_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg7(30 downto 27),
S(3) => \cb_int[27]_i_12_n_0\,
S(2) => \cb_int[27]_i_13_n_0\,
S(1) => \cb_int[27]_i_14_n_0\,
S(0) => \cb_int[27]_i_15_n_0\
);
\cb_int_reg[28]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[31]_i_1_n_7\,
Q => \cb_int_reg__0\(28),
R => '0'
);
\cb_int_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[31]_i_1_n_6\,
Q => \cb_int_reg__0\(29),
R => '0'
);
\cb_int_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[3]_i_1_n_5\,
Q => \cb_int_reg_n_0_[2]\,
R => '0'
);
\cb_int_reg[30]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[31]_i_1_n_5\,
Q => \cb_int_reg__0\(30),
R => '0'
);
\cb_int_reg[31]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[31]_i_1_n_4\,
Q => \cb_int_reg__0\(31),
R => '0'
);
\cb_int_reg[31]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[27]_i_1_n_0\,
CO(3) => \NLW_cb_int_reg[31]_i_1_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[31]_i_1_n_1\,
CO(1) => \cb_int_reg[31]_i_1_n_2\,
CO(0) => \cb_int_reg[31]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \cb_int[31]_i_2_n_0\,
DI(1) => \cb_int[31]_i_2_n_0\,
DI(0) => \cb_int[31]_i_2_n_0\,
O(3) => \cb_int_reg[31]_i_1_n_4\,
O(2) => \cb_int_reg[31]_i_1_n_5\,
O(1) => \cb_int_reg[31]_i_1_n_6\,
O(0) => \cb_int_reg[31]_i_1_n_7\,
S(3) => \cb_int[31]_i_3_n_0\,
S(2) => \cb_int[31]_i_4_n_0\,
S(1) => \cb_int[31]_i_5_n_0\,
S(0) => \cb_int[31]_i_6_n_0\
);
\cb_int_reg[31]_i_11\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_30_n_0\,
CO(3) => \NLW_cb_int_reg[31]_i_11_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[31]_i_11_n_1\,
CO(1) => \NLW_cb_int_reg[31]_i_11_CO_UNCONNECTED\(1),
CO(0) => \cb_int_reg[31]_i_11_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cb_int_reg[31]_i_11_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => cb_int_reg5(22 downto 21),
S(3 downto 2) => B"01",
S(1) => \cb_int[31]_i_31_n_0\,
S(0) => \cb_int[31]_i_32_n_0\
);
\cb_int_reg[31]_i_12\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_33_n_0\,
CO(3) => \NLW_cb_int_reg[31]_i_12_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[31]_i_12_n_1\,
CO(1) => \NLW_cb_int_reg[31]_i_12_CO_UNCONNECTED\(1),
CO(0) => \cb_int_reg[31]_i_12_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \cb_int_reg[31]_i_34_n_2\,
DI(0) => '0',
O(3 downto 2) => \NLW_cb_int_reg[31]_i_12_O_UNCONNECTED\(3 downto 2),
O(1) => \cb_int_reg[31]_i_12_n_6\,
O(0) => \cb_int_reg[31]_i_12_n_7\,
S(3 downto 2) => B"01",
S(1) => \cb_int[31]_i_35_n_0\,
S(0) => \cb_int[31]_i_36_n_0\
);
\cb_int_reg[31]_i_14\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_37_n_0\,
CO(3) => \cb_int_reg[31]_i_14_n_0\,
CO(2) => \cb_int_reg[31]_i_14_n_1\,
CO(1) => \cb_int_reg[31]_i_14_n_2\,
CO(0) => \cb_int_reg[31]_i_14_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg3(20 downto 17),
S(3) => \cb_int[31]_i_38_n_0\,
S(2) => \cb_int[31]_i_39_n_0\,
S(1) => \cb_int[31]_i_40_n_0\,
S(0) => \cb_int[31]_i_41_n_0\
);
\cb_int_reg[31]_i_30\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[19]_i_20_n_0\,
CO(3) => \cb_int_reg[31]_i_30_n_0\,
CO(2) => \cb_int_reg[31]_i_30_n_1\,
CO(1) => \cb_int_reg[31]_i_30_n_2\,
CO(0) => \cb_int_reg[31]_i_30_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg5(20 downto 17),
S(3) => \cb_int[31]_i_67_n_0\,
S(2) => \cb_int[31]_i_68_n_0\,
S(1) => \cb_int[31]_i_69_n_0\,
S(0) => \cb_int[31]_i_70_n_0\
);
\cb_int_reg[31]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_16_n_0\,
CO(3) => \cb_int_reg[31]_i_33_n_0\,
CO(2) => \cb_int_reg[31]_i_33_n_1\,
CO(1) => \cb_int_reg[31]_i_33_n_2\,
CO(0) => \cb_int_reg[31]_i_33_n_3\,
CYINIT => '0',
DI(3) => \cb_int_reg[31]_i_34_n_7\,
DI(2) => \cb_int[31]_i_71_n_0\,
DI(1) => \cb_int[31]_i_72_n_0\,
DI(0) => \cb_int_reg[31]_i_73_n_7\,
O(3) => \cb_int_reg[31]_i_33_n_4\,
O(2) => \cb_int_reg[31]_i_33_n_5\,
O(1) => \cb_int_reg[31]_i_33_n_6\,
O(0) => \cb_int_reg[31]_i_33_n_7\,
S(3) => \cb_int[31]_i_74_n_0\,
S(2) => \cb_int[31]_i_75_n_0\,
S(1) => \cb_int[31]_i_76_n_0\,
S(0) => \cb_int[31]_i_77_n_0\
);
\cb_int_reg[31]_i_34\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_73_n_0\,
CO(3 downto 2) => \NLW_cb_int_reg[31]_i_34_CO_UNCONNECTED\(3 downto 2),
CO(1) => \cb_int_reg[31]_i_34_n_2\,
CO(0) => \NLW_cb_int_reg[31]_i_34_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => rgb888(23),
O(3 downto 1) => \NLW_cb_int_reg[31]_i_34_O_UNCONNECTED\(3 downto 1),
O(0) => \cb_int_reg[31]_i_34_n_7\,
S(3 downto 1) => B"001",
S(0) => \cb_int[31]_i_78_n_0\
);
\cb_int_reg[31]_i_37\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[15]_i_33_n_0\,
CO(3) => \cb_int_reg[31]_i_37_n_0\,
CO(2) => \cb_int_reg[31]_i_37_n_1\,
CO(1) => \cb_int_reg[31]_i_37_n_2\,
CO(0) => \cb_int_reg[31]_i_37_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg3(16 downto 13),
S(3) => \cb_int[31]_i_79_n_0\,
S(2) => \cb_int[31]_i_80_n_0\,
S(1) => \cb_int[31]_i_81_n_0\,
S(0) => \cb_int[31]_i_82_n_0\
);
\cb_int_reg[31]_i_7\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_14_n_0\,
CO(3) => \NLW_cb_int_reg[31]_i_7_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[31]_i_7_n_1\,
CO(1) => \NLW_cb_int_reg[31]_i_7_CO_UNCONNECTED\(1),
CO(0) => \cb_int_reg[31]_i_7_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cb_int_reg[31]_i_7_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => cb_int_reg3(22 downto 21),
S(3 downto 2) => B"01",
S(1) => \cb_int[31]_i_15_n_0\,
S(0) => \cb_int[31]_i_16_n_0\
);
\cb_int_reg[31]_i_73\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_57_n_0\,
CO(3) => \cb_int_reg[31]_i_73_n_0\,
CO(2) => \cb_int_reg[31]_i_73_n_1\,
CO(1) => \cb_int_reg[31]_i_73_n_2\,
CO(0) => \cb_int_reg[31]_i_73_n_3\,
CYINIT => '0',
DI(3) => rgb888(22),
DI(2 downto 0) => rgb888(23 downto 21),
O(3) => \cb_int_reg[31]_i_73_n_4\,
O(2) => \cb_int_reg[31]_i_73_n_5\,
O(1) => \cb_int_reg[31]_i_73_n_6\,
O(0) => \cb_int_reg[31]_i_73_n_7\,
S(3) => \cb_int[31]_i_95_n_0\,
S(2) => \cb_int[31]_i_96_n_0\,
S(1) => \cb_int[31]_i_97_n_0\,
S(0) => \cb_int[31]_i_98_n_0\
);
\cb_int_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[3]_i_1_n_4\,
Q => \cb_int_reg_n_0_[3]\,
R => '0'
);
\cb_int_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_1_n_0\,
CO(2) => \cb_int_reg[3]_i_1_n_1\,
CO(1) => \cb_int_reg[3]_i_1_n_2\,
CO(0) => \cb_int_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3) => \cb_int[3]_i_2_n_0\,
DI(2) => \cb_int[3]_i_3_n_0\,
DI(1) => \cb_int[3]_i_4_n_0\,
DI(0) => '1',
O(3) => \cb_int_reg[3]_i_1_n_4\,
O(2) => \cb_int_reg[3]_i_1_n_5\,
O(1) => \cb_int_reg[3]_i_1_n_6\,
O(0) => \cb_int_reg[3]_i_1_n_7\,
S(3) => \cb_int[3]_i_5_n_0\,
S(2) => \cb_int[3]_i_6_n_0\,
S(1) => \cb_int[3]_i_7_n_0\,
S(0) => \cb_int[3]_i_8_n_0\
);
\cb_int_reg[3]_i_15\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_21_n_0\,
CO(3) => \cb_int_reg[3]_i_15_n_0\,
CO(2) => \cb_int_reg[3]_i_15_n_1\,
CO(1) => \cb_int_reg[3]_i_15_n_2\,
CO(0) => \cb_int_reg[3]_i_15_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => cb_int_reg7(10 downto 8),
O(0) => \NLW_cb_int_reg[3]_i_15_O_UNCONNECTED\(0),
S(3) => \cb_int[3]_i_22_n_0\,
S(2) => \cb_int[3]_i_23_n_0\,
S(1) => \cb_int[3]_i_24_n_0\,
S(0) => \cb_int[3]_i_25_n_0\
);
\cb_int_reg[3]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_26_n_0\,
CO(3) => \cb_int_reg[3]_i_16_n_0\,
CO(2) => \cb_int_reg[3]_i_16_n_1\,
CO(1) => \cb_int_reg[3]_i_16_n_2\,
CO(0) => \cb_int_reg[3]_i_16_n_3\,
CYINIT => '0',
DI(3) => \cb_int[3]_i_27_n_0\,
DI(2 downto 0) => rgb888(21 downto 19),
O(3) => \cb_int_reg[3]_i_16_n_4\,
O(2) => \cb_int_reg[3]_i_16_n_5\,
O(1) => \cb_int_reg[3]_i_16_n_6\,
O(0) => \cb_int_reg[3]_i_16_n_7\,
S(3) => \cb_int[3]_i_28_n_0\,
S(2) => \cb_int[3]_i_29_n_0\,
S(1) => \cb_int[3]_i_30_n_0\,
S(0) => \cb_int[3]_i_31_n_0\
);
\cb_int_reg[3]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[27]_0\(0),
CO(2) => \cb_int_reg[3]_i_20_n_1\,
CO(1) => \cb_int_reg[3]_i_20_n_2\,
CO(0) => \cb_int_reg[3]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 2) => \rgb888[0]_8\(1 downto 0),
DI(1) => \cb_int_reg[3]_i_44_n_4\,
DI(0) => '0',
O(3) => \cb_int_reg[3]_i_20_n_4\,
O(2) => \cb_int_reg[3]_i_20_n_5\,
O(1) => \cb_int_reg[3]_i_20_n_6\,
O(0) => \cb_int_reg[3]_i_20_n_7\,
S(3) => \cb_int[3]_i_45_n_0\,
S(2) => \cb_int[3]_i_46_n_0\,
S(1) => \cb_int[3]_i_47_n_0\,
S(0) => \cb_int[3]_i_48_n_0\
);
\cb_int_reg[3]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_21_n_0\,
CO(2) => \cb_int_reg[3]_i_21_n_1\,
CO(1) => \cb_int_reg[3]_i_21_n_2\,
CO(0) => \cb_int_reg[3]_i_21_n_3\,
CYINIT => \cb_int[3]_i_49_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_cb_int_reg[3]_i_21_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[3]_i_50_n_0\,
S(2) => \cb_int[3]_i_51_n_0\,
S(1) => \cb_int[3]_i_52_n_0\,
S(0) => \cb_int[3]_i_53_n_0\
);
\cb_int_reg[3]_i_26\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_26_n_0\,
CO(2) => \cb_int_reg[3]_i_26_n_1\,
CO(1) => \cb_int_reg[3]_i_26_n_2\,
CO(0) => \cb_int_reg[3]_i_26_n_3\,
CYINIT => '0',
DI(3 downto 1) => rgb888(18 downto 16),
DI(0) => '0',
O(3) => \cb_int_reg[3]_i_26_n_4\,
O(2) => \cb_int_reg[3]_i_26_n_5\,
O(1) => \cb_int_reg[3]_i_26_n_6\,
O(0) => \NLW_cb_int_reg[3]_i_26_O_UNCONNECTED\(0),
S(3) => \cb_int[3]_i_54_n_0\,
S(2) => \cb_int[3]_i_55_n_0\,
S(1) => \cb_int[3]_i_56_n_0\,
S(0) => '0'
);
\cb_int_reg[3]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_63_n_0\,
CO(3) => \cb_int_reg[3]_i_33_n_0\,
CO(2) => \cb_int_reg[3]_i_33_n_1\,
CO(1) => \cb_int_reg[3]_i_33_n_2\,
CO(0) => \cb_int_reg[3]_i_33_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[3]_i_33_n_4\,
O(2 downto 0) => \NLW_cb_int_reg[3]_i_33_O_UNCONNECTED\(2 downto 0),
S(3) => \cb_int[3]_i_64_n_0\,
S(2) => \cb_int[3]_i_65_n_0\,
S(1) => \cb_int[3]_i_66_n_0\,
S(0) => \cb_int[3]_i_67_n_0\
);
\cb_int_reg[3]_i_34\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_2\(0),
CO(2) => \cb_int_reg[3]_i_34_n_1\,
CO(1) => \cb_int_reg[3]_i_34_n_2\,
CO(0) => \cb_int_reg[3]_i_34_n_3\,
CYINIT => '0',
DI(3 downto 1) => \rgb888[8]_31\(2 downto 0),
DI(0) => '0',
O(3 downto 0) => \^cb_int_reg[3]_0\(3 downto 0),
S(3) => \cb_int[3]_i_69_n_0\,
S(2) => \cb_int[3]_i_70_n_0\,
S(1) => \cb_int[3]_i_71_n_0\,
S(0) => \cb_int[3]_i_72_n_0\
);
\cb_int_reg[3]_i_44\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_75_n_0\,
CO(3) => \cb_int_reg[3]_3\(0),
CO(2) => \cb_int_reg[3]_i_44_n_1\,
CO(1) => \cb_int_reg[3]_i_44_n_2\,
CO(0) => \cb_int_reg[3]_i_44_n_3\,
CYINIT => '0',
DI(3 downto 0) => rgb888(5 downto 2),
O(3) => \cb_int_reg[3]_i_44_n_4\,
O(2) => \cb_int_reg[3]_i_44_n_5\,
O(1) => \cb_int_reg[3]_i_44_n_6\,
O(0) => \cb_int_reg[3]_i_44_n_7\,
S(3) => \cb_int[3]_i_76_n_0\,
S(2) => \cb_int[3]_i_77_n_0\,
S(1) => \cb_int[3]_i_78_n_0\,
S(0) => \cb_int[3]_i_79_n_0\
);
\cb_int_reg[3]_i_57\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_57_n_0\,
CO(2) => \cb_int_reg[3]_i_57_n_1\,
CO(1) => \cb_int_reg[3]_i_57_n_2\,
CO(0) => \cb_int_reg[3]_i_57_n_3\,
CYINIT => '0',
DI(3 downto 1) => rgb888(20 downto 18),
DI(0) => '0',
O(3) => \cb_int_reg[3]_i_57_n_4\,
O(2) => \cb_int_reg[3]_i_57_n_5\,
O(1) => \cb_int_reg[3]_i_57_n_6\,
O(0) => \cb_int_reg[3]_i_57_n_7\,
S(3) => \cb_int[3]_i_80_n_0\,
S(2) => \cb_int[3]_i_81_n_0\,
S(1) => \cb_int[3]_i_82_n_0\,
S(0) => \cb_int[3]_i_83_n_0\
);
\cb_int_reg[3]_i_63\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_63_n_0\,
CO(2) => \cb_int_reg[3]_i_63_n_1\,
CO(1) => \cb_int_reg[3]_i_63_n_2\,
CO(0) => \cb_int_reg[3]_i_63_n_3\,
CYINIT => \cb_int[3]_i_89_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_cb_int_reg[3]_i_63_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[3]_i_90_n_0\,
S(2) => \cb_int[3]_i_91_n_0\,
S(1) => \cb_int[3]_i_92_n_0\,
S(0) => \cb_int[3]_i_93_n_0\
);
\cb_int_reg[3]_i_75\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_75_n_0\,
CO(2) => \cb_int_reg[3]_i_75_n_1\,
CO(1) => \cb_int_reg[3]_i_75_n_2\,
CO(0) => \cb_int_reg[3]_i_75_n_3\,
CYINIT => '0',
DI(3 downto 2) => rgb888(1 downto 0),
DI(1 downto 0) => B"01",
O(3) => \cb_int_reg[3]_i_75_n_4\,
O(2) => \cb_int_reg[3]_i_75_n_5\,
O(1) => \cb_int_reg[3]_i_75_n_6\,
O(0) => \cb_int_reg[3]_i_75_n_7\,
S(3) => \cb_int[3]_i_99_n_0\,
S(2) => \cb_int[3]_i_100_n_0\,
S(1) => \cb_int[3]_i_101_n_0\,
S(0) => \cb_int[3]_i_102_n_0\
);
\cb_int_reg[3]_i_94\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_1\(0),
CO(2) => \cb_int_reg[3]_i_94_n_1\,
CO(1) => \cb_int_reg[3]_i_94_n_2\,
CO(0) => \cb_int_reg[3]_i_94_n_3\,
CYINIT => '0',
DI(3) => rgb888(8),
DI(2 downto 0) => B"001",
O(3) => \cb_int_reg[3]_i_94_n_4\,
O(2 downto 1) => \^o\(1 downto 0),
O(0) => \cb_int_reg[3]_i_94_n_7\,
S(3) => \cb_int[3]_i_103_n_0\,
S(2) => \cb_int[3]_i_104_n_0\,
S(1) => \cb_int[3]_i_105_n_0\,
S(0) => \cb_int[3]_i_106_n_0\
);
\cb_int_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[7]_i_1_n_7\,
Q => \cb_int_reg_n_0_[4]\,
R => '0'
);
\cb_int_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[7]_i_1_n_6\,
Q => \cb_int_reg_n_0_[5]\,
R => '0'
);
\cb_int_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[7]_i_1_n_5\,
Q => \cb_int_reg_n_0_[6]\,
R => '0'
);
\cb_int_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[7]_i_1_n_4\,
Q => \cb_int_reg_n_0_[7]\,
R => '0'
);
\cb_int_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_1_n_0\,
CO(3) => \cb_int_reg[7]_i_1_n_0\,
CO(2) => \cb_int_reg[7]_i_1_n_1\,
CO(1) => \cb_int_reg[7]_i_1_n_2\,
CO(0) => \cb_int_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb_int[7]_i_2_n_0\,
DI(2) => \cb_int[7]_i_3_n_0\,
DI(1) => \cb_int[7]_i_4_n_0\,
DI(0) => \cb_int[7]_i_5_n_0\,
O(3) => \cb_int_reg[7]_i_1_n_4\,
O(2) => \cb_int_reg[7]_i_1_n_5\,
O(1) => \cb_int_reg[7]_i_1_n_6\,
O(0) => \cb_int_reg[7]_i_1_n_7\,
S(3) => \cb_int[7]_i_6_n_0\,
S(2) => \cb_int[7]_i_7_n_0\,
S(1) => \cb_int[7]_i_8_n_0\,
S(0) => \cb_int[7]_i_9_n_0\
);
\cb_int_reg[7]_i_25\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_38_n_0\,
CO(3) => \^co\(0),
CO(2) => \cb_int_reg[7]_i_25_n_1\,
CO(1) => \cb_int_reg[7]_i_25_n_2\,
CO(0) => \cb_int_reg[7]_i_25_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \rgb888[8]_1\(1),
DI(1) => \rgb888[8]_1\(1),
DI(0) => \rgb888[8]_1\(1),
O(3 downto 0) => \NLW_cb_int_reg[7]_i_25_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[7]_i_39_n_0\,
S(2) => \cb_int[7]_i_40_n_0\,
S(1) => \cb_int[7]_i_41_n_0\,
S(0) => \cb_int[7]_i_42_n_0\
);
\cb_int_reg[7]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[7]_i_28_n_0\,
CO(2) => \cb_int_reg[7]_i_28_n_1\,
CO(1) => \cb_int_reg[7]_i_28_n_2\,
CO(0) => \cb_int_reg[7]_i_28_n_3\,
CYINIT => \cb_int[7]_i_52_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => cb_int_reg3(4 downto 1),
S(3) => \cb_int[7]_i_53_n_0\,
S(2) => \cb_int[7]_i_54_n_0\,
S(1) => \cb_int[7]_i_55_n_0\,
S(0) => \cb_int[7]_i_56_n_0\
);
\cb_int_reg[7]_i_29\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_33_n_0\,
CO(3) => \cb_int_reg[7]_i_29_n_0\,
CO(2) => \cb_int_reg[7]_i_29_n_1\,
CO(1) => \cb_int_reg[7]_i_29_n_2\,
CO(0) => \cb_int_reg[7]_i_29_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[7]_i_29_n_4\,
O(2) => \cb_int_reg[7]_i_29_n_5\,
O(1) => \cb_int_reg[7]_i_29_n_6\,
O(0) => \cb_int_reg[7]_i_29_n_7\,
S(3) => \cb_int[7]_i_57_n_0\,
S(2) => \cb_int[7]_i_58_n_0\,
S(1) => \cb_int[7]_i_59_n_0\,
S(0) => \cb_int[7]_i_60_n_0\
);
\cb_int_reg[7]_i_38\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_61_n_0\,
CO(3) => \cb_int_reg[7]_i_38_n_0\,
CO(2) => \cb_int_reg[7]_i_38_n_1\,
CO(1) => \cb_int_reg[7]_i_38_n_2\,
CO(0) => \cb_int_reg[7]_i_38_n_3\,
CYINIT => '0',
DI(3) => \rgb888[8]_1\(1),
DI(2) => \rgb888[8]_1\(1),
DI(1) => \rgb888[8]_1\(1),
DI(0) => \rgb888[8]_1\(1),
O(3 downto 0) => \NLW_cb_int_reg[7]_i_38_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[7]_i_62_n_0\,
S(2) => \cb_int[7]_i_63_n_0\,
S(1) => \cb_int[7]_i_64_n_0\,
S(0) => \cb_int[7]_i_65_n_0\
);
\cb_int_reg[7]_i_61\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_66_n_0\,
CO(3) => \cb_int_reg[7]_i_61_n_0\,
CO(2) => \cb_int_reg[7]_i_61_n_1\,
CO(1) => \cb_int_reg[7]_i_61_n_2\,
CO(0) => \cb_int_reg[7]_i_61_n_3\,
CYINIT => '0',
DI(3) => \cb_int[7]_i_67_n_0\,
DI(2) => \cb_int[7]_i_68_n_0\,
DI(1) => \cb_int[7]_i_69_n_0\,
DI(0) => \cb_int[7]_i_70_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[7]_i_61_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[7]_i_71_n_0\,
S(2) => \cb_int[7]_i_72_n_0\,
S(1) => \cb_int[7]_i_73_n_0\,
S(0) => \cb_int[7]_i_74_n_0\
);
\cb_int_reg[7]_i_66\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[7]_i_66_n_0\,
CO(2) => \cb_int_reg[7]_i_66_n_1\,
CO(1) => \cb_int_reg[7]_i_66_n_2\,
CO(0) => \cb_int_reg[7]_i_66_n_3\,
CYINIT => '1',
DI(3) => \cb_int[7]_i_75_n_0\,
DI(2) => \cb_int[7]_i_76_n_0\,
DI(1) => \cb_int[7]_i_77_n_0\,
DI(0) => \cb_int[7]_i_78_n_0\,
O(3 downto 0) => \NLW_cb_int_reg[7]_i_66_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[7]_i_79_n_0\,
S(2) => \cb_int[7]_i_80_n_0\,
S(1) => \cb_int[7]_i_81_n_0\,
S(0) => \cb_int[7]_i_82_n_0\
);
\cb_int_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[11]_i_1_n_7\,
Q => \cb_int_reg__0\(8),
R => '0'
);
\cb_int_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cb_int_reg[11]_i_1_n_6\,
Q => \cb_int_reg__0\(9),
R => '0'
);
\cb_reg[0]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[0]_i_1_n_0\,
Q => cb(0),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[1]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[1]_i_1_n_0\,
Q => cb(1),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[2]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[2]_i_1_n_0\,
Q => cb(2),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[3]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[3]_i_1_n_0\,
Q => cb(3),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[4]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[4]_i_1_n_0\,
Q => cb(4),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[5]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[5]_i_1_n_0\,
Q => cb(5),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[6]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[6]_i_1_n_0\,
Q => cb(6),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[7]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cb[7]_i_2_n_0\,
Q => cb(7),
S => \cb_reg[7]_i_1_n_0\
);
\cb_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cb_reg[7]_i_3_n_0\,
CO(3) => \cb_reg[7]_i_1_n_0\,
CO(2) => \cb_reg[7]_i_1_n_1\,
CO(1) => \cb_reg[7]_i_1_n_2\,
CO(0) => \cb_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cb[7]_i_4_n_0\,
DI(2) => \cb[7]_i_5_n_0\,
DI(1) => \cb[7]_i_6_n_0\,
DI(0) => \cb[7]_i_7_n_0\,
O(3 downto 0) => \NLW_cb_reg[7]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \cb[7]_i_8_n_0\,
S(2) => \cb[7]_i_9_n_0\,
S(1) => \cb[7]_i_10_n_0\,
S(0) => \cb[7]_i_11_n_0\
);
\cb_reg[7]_i_12\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_reg[7]_i_12_n_0\,
CO(2) => \cb_reg[7]_i_12_n_1\,
CO(1) => \cb_reg[7]_i_12_n_2\,
CO(0) => \cb_reg[7]_i_12_n_3\,
CYINIT => '0',
DI(3) => \cb[7]_i_21_n_0\,
DI(2) => \cb[7]_i_22_n_0\,
DI(1) => \cb[7]_i_23_n_0\,
DI(0) => \cb[7]_i_24_n_0\,
O(3 downto 0) => \NLW_cb_reg[7]_i_12_O_UNCONNECTED\(3 downto 0),
S(3) => \cb[7]_i_25_n_0\,
S(2) => \cb[7]_i_26_n_0\,
S(1) => \cb[7]_i_27_n_0\,
S(0) => \cb[7]_i_28_n_0\
);
\cb_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \cb_reg[7]_i_12_n_0\,
CO(3) => \cb_reg[7]_i_3_n_0\,
CO(2) => \cb_reg[7]_i_3_n_1\,
CO(1) => \cb_reg[7]_i_3_n_2\,
CO(0) => \cb_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3) => \cb[7]_i_13_n_0\,
DI(2) => \cb[7]_i_14_n_0\,
DI(1) => \cb[7]_i_15_n_0\,
DI(0) => \cb[7]_i_16_n_0\,
O(3 downto 0) => \NLW_cb_reg[7]_i_3_O_UNCONNECTED\(3 downto 0),
S(3) => \cb[7]_i_17_n_0\,
S(2) => \cb[7]_i_18_n_0\,
S(1) => \cb[7]_i_19_n_0\,
S(0) => \cb[7]_i_20_n_0\
);
cb_regi_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => clk,
O => cb_regn_0_0
);
\cr[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[0]\,
I1 => \cr_int_reg__0\(31),
O => \cr[0]_i_1_n_0\
);
\cr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[1]\,
I1 => \cr_int_reg__0\(31),
O => \cr[1]_i_1_n_0\
);
\cr[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[2]\,
I1 => \cr_int_reg__0\(31),
O => \cr[2]_i_1_n_0\
);
\cr[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[3]\,
I1 => \cr_int_reg__0\(31),
O => \cr[3]_i_1_n_0\
);
\cr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[4]\,
I1 => \cr_int_reg__0\(31),
O => \cr[4]_i_1_n_0\
);
\cr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[5]\,
I1 => \cr_int_reg__0\(31),
O => \cr[5]_i_1_n_0\
);
\cr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[6]\,
I1 => \cr_int_reg__0\(31),
O => \cr[6]_i_1_n_0\
);
\cr[7]_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(26),
I1 => \cr_int_reg__0\(27),
O => \cr[7]_i_10_n_0\
);
\cr[7]_i_11\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(24),
I1 => \cr_int_reg__0\(25),
O => \cr[7]_i_11_n_0\
);
\cr[7]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(22),
I1 => \cr_int_reg__0\(23),
O => \cr[7]_i_13_n_0\
);
\cr[7]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(20),
I1 => \cr_int_reg__0\(21),
O => \cr[7]_i_14_n_0\
);
\cr[7]_i_15\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(18),
I1 => \cr_int_reg__0\(19),
O => \cr[7]_i_15_n_0\
);
\cr[7]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(16),
I1 => \cr_int_reg__0\(17),
O => \cr[7]_i_16_n_0\
);
\cr[7]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(22),
I1 => \cr_int_reg__0\(23),
O => \cr[7]_i_17_n_0\
);
\cr[7]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(20),
I1 => \cr_int_reg__0\(21),
O => \cr[7]_i_18_n_0\
);
\cr[7]_i_19\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(18),
I1 => \cr_int_reg__0\(19),
O => \cr[7]_i_19_n_0\
);
\cr[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg_n_0_[7]\,
I1 => \cr_int_reg__0\(31),
O => \cr[7]_i_2_n_0\
);
\cr[7]_i_20\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(16),
I1 => \cr_int_reg__0\(17),
O => \cr[7]_i_20_n_0\
);
\cr[7]_i_21\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(14),
I1 => \cr_int_reg__0\(15),
O => \cr[7]_i_21_n_0\
);
\cr[7]_i_22\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(12),
I1 => \cr_int_reg__0\(13),
O => \cr[7]_i_22_n_0\
);
\cr[7]_i_23\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(10),
I1 => \cr_int_reg__0\(11),
O => \cr[7]_i_23_n_0\
);
\cr[7]_i_24\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(8),
I1 => \cr_int_reg__0\(9),
O => \cr[7]_i_24_n_0\
);
\cr[7]_i_25\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(14),
I1 => \cr_int_reg__0\(15),
O => \cr[7]_i_25_n_0\
);
\cr[7]_i_26\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(12),
I1 => \cr_int_reg__0\(13),
O => \cr[7]_i_26_n_0\
);
\cr[7]_i_27\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(10),
I1 => \cr_int_reg__0\(11),
O => \cr[7]_i_27_n_0\
);
\cr[7]_i_28\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(8),
I1 => \cr_int_reg__0\(9),
O => \cr[7]_i_28_n_0\
);
\cr[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg__0\(30),
I1 => \cr_int_reg__0\(31),
O => \cr[7]_i_4_n_0\
);
\cr[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(28),
I1 => \cr_int_reg__0\(29),
O => \cr[7]_i_5_n_0\
);
\cr[7]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(26),
I1 => \cr_int_reg__0\(27),
O => \cr[7]_i_6_n_0\
);
\cr[7]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg__0\(24),
I1 => \cr_int_reg__0\(25),
O => \cr[7]_i_7_n_0\
);
\cr[7]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(30),
I1 => \cr_int_reg__0\(31),
O => \cr[7]_i_8_n_0\
);
\cr[7]_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg__0\(28),
I1 => \cr_int_reg__0\(29),
O => \cr[7]_i_9_n_0\
);
\cr_hold_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(0),
Q => \cr_hold_reg_n_0_[0]\,
R => '0'
);
\cr_hold_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(1),
Q => \cr_hold_reg_n_0_[1]\,
R => '0'
);
\cr_hold_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(2),
Q => \cr_hold_reg_n_0_[2]\,
R => '0'
);
\cr_hold_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(3),
Q => \cr_hold_reg_n_0_[3]\,
R => '0'
);
\cr_hold_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(4),
Q => \cr_hold_reg_n_0_[4]\,
R => '0'
);
\cr_hold_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(5),
Q => \cr_hold_reg_n_0_[5]\,
R => '0'
);
\cr_hold_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(6),
Q => \cr_hold_reg_n_0_[6]\,
R => '0'
);
\cr_hold_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => \cb_hold[7]_i_1_n_0\,
D => cr(7),
Q => \cr_hold_reg_n_0_[7]\,
R => '0'
);
\cr_int[11]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(18),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(10),
I4 => \cr_int[15]_i_26_n_0\,
I5 => \cr_int[15]_i_27_n_0\,
O => \cr_int[11]_i_10_n_0\
);
\cr_int[11]_i_100\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(11),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_30_n_6\,
O => \cr_int[11]_i_100_n_0\
);
\cr_int[11]_i_101\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(10),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_30_n_7\,
O => \cr_int[11]_i_101_n_0\
);
\cr_int[11]_i_102\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(9),
I1 => cr_int_reg7,
I2 => \cr_int_reg[3]_i_16_n_4\,
O => \cr_int[11]_i_102_n_0\
);
\cr_int[11]_i_104\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_104_n_0\
);
\cr_int[11]_i_105\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_105_n_0\
);
\cr_int[11]_i_106\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_106_n_0\
);
\cr_int[11]_i_107\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_107_n_0\
);
\cr_int[11]_i_109\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_7_n_6\,
I1 => \cr_int_reg[31]_i_7_n_5\,
O => \cr_int[11]_i_109_n_0\
);
\cr_int[11]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(17),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(9),
I4 => \cr_int[11]_i_24_n_0\,
I5 => \cr_int[11]_i_25_n_0\,
O => \cr_int[11]_i_11_n_0\
);
\cr_int[11]_i_110\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_4\,
I1 => \cr_int_reg[31]_i_7_n_7\,
O => \cr_int[11]_i_110_n_0\
);
\cr_int[11]_i_111\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_6\,
I1 => \cr_int_reg[31]_i_14_n_5\,
O => \cr_int[11]_i_111_n_0\
);
\cr_int[11]_i_112\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_112_n_0\
);
\cr_int[11]_i_113\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_7_n_5\,
I1 => \cr_int_reg[31]_i_7_n_6\,
O => \cr_int[11]_i_113_n_0\
);
\cr_int[11]_i_114\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_7_n_7\,
I1 => \cr_int_reg[31]_i_14_n_4\,
O => \cr_int[11]_i_114_n_0\
);
\cr_int[11]_i_115\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_5\,
I1 => \cr_int_reg[31]_i_14_n_6\,
O => \cr_int[11]_i_115_n_0\
);
\cr_int[11]_i_117\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_7\,
I1 => \cr_int_reg[31]_i_11_n_6\,
O => \cr_int[11]_i_117_n_0\
);
\cr_int[11]_i_118\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_5\,
I1 => \cr_int_reg[31]_i_30_n_4\,
O => \cr_int[11]_i_118_n_0\
);
\cr_int[11]_i_119\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_7\,
I1 => \cr_int_reg[31]_i_30_n_6\,
O => \cr_int[11]_i_119_n_0\
);
\cr_int[11]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(17),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(9),
I4 => \cr_int[11]_i_24_n_0\,
I5 => \cr_int[11]_i_25_n_0\,
O => \cr_int[11]_i_12_n_0\
);
\cr_int[11]_i_120\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_5\,
I1 => \cr_int_reg[3]_i_16_n_4\,
O => \cr_int[11]_i_120_n_0\
);
\cr_int[11]_i_121\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_6\,
I1 => \cr_int_reg[31]_i_11_n_7\,
O => \cr_int[11]_i_121_n_0\
);
\cr_int[11]_i_122\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_4\,
I1 => \cr_int_reg[31]_i_30_n_5\,
O => \cr_int[11]_i_122_n_0\
);
\cr_int[11]_i_123\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_6\,
I1 => \cr_int_reg[31]_i_30_n_7\,
O => \cr_int[11]_i_123_n_0\
);
\cr_int[11]_i_124\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_4\,
I1 => \cr_int_reg[3]_i_16_n_5\,
O => \cr_int[11]_i_124_n_0\
);
\cr_int[11]_i_126\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^cr_int_reg[7]_0\(3),
I1 => \^cr_int_reg[31]_2\(0),
O => \cr_int[11]_i_126_n_0\
);
\cr_int[11]_i_127\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^cr_int_reg[7]_0\(1),
I1 => \^cr_int_reg[7]_0\(2),
O => \cr_int[11]_i_127_n_0\
);
\cr_int[11]_i_128\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^cr_int_reg[3]_0\(2),
I1 => \^cr_int_reg[7]_0\(0),
O => \cr_int[11]_i_128_n_0\
);
\cr_int[11]_i_129\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^cr_int_reg[3]_0\(0),
I1 => \^cr_int_reg[3]_0\(1),
O => \cr_int[11]_i_129_n_0\
);
\cr_int[11]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"8EEE8E888EEE8EEE"
)
port map (
I0 => \cr_int_reg3__0\(8),
I1 => \cr_int[11]_i_27_n_0\,
I2 => \cr_int_reg[11]_i_16_n_4\,
I3 => \^cr_int_reg[27]_2\(0),
I4 => \cr_int_reg[11]_i_17_n_0\,
I5 => \cr_int_reg[11]_i_18_n_4\,
O => \cr_int[11]_i_13_n_0\
);
\cr_int[11]_i_130\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(0),
I1 => \^cr_int_reg[7]_0\(3),
O => \cr_int[11]_i_130_n_0\
);
\cr_int[11]_i_131\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[7]_0\(2),
I1 => \^cr_int_reg[7]_0\(1),
O => \cr_int[11]_i_131_n_0\
);
\cr_int[11]_i_132\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[7]_0\(0),
I1 => \^cr_int_reg[3]_0\(2),
O => \cr_int[11]_i_132_n_0\
);
\cr_int[11]_i_133\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[3]_0\(1),
I1 => \^cr_int_reg[3]_0\(0),
O => \cr_int[11]_i_133_n_0\
);
\cr_int[11]_i_134\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_4\,
I1 => \cr_int_reg[31]_i_14_n_7\,
O => \cr_int[11]_i_134_n_0\
);
\cr_int[11]_i_135\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_6\,
I1 => \cr_int_reg[31]_i_39_n_5\,
O => \cr_int[11]_i_135_n_0\
);
\cr_int[11]_i_136\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_86_n_6\,
I1 => \cr_int_reg[31]_i_39_n_7\,
O => \cr_int[11]_i_136_n_0\
);
\cr_int[11]_i_137\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => rgb888(0),
I1 => \cr_int_reg[31]_i_86_n_7\,
O => \cr_int[11]_i_137_n_0\
);
\cr_int[11]_i_138\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_7\,
I1 => \cr_int_reg[31]_i_39_n_4\,
O => \cr_int[11]_i_138_n_0\
);
\cr_int[11]_i_139\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_5\,
I1 => \cr_int_reg[31]_i_39_n_6\,
O => \cr_int[11]_i_139_n_0\
);
\cr_int[11]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"6999696669996999"
)
port map (
I0 => \cr_int_reg3__0\(8),
I1 => \cr_int[11]_i_27_n_0\,
I2 => \cr_int_reg[11]_i_16_n_4\,
I3 => \^cr_int_reg[27]_2\(0),
I4 => \cr_int_reg[11]_i_17_n_0\,
I5 => \cr_int_reg[11]_i_18_n_4\,
O => \cr_int[11]_i_14_n_0\
);
\cr_int[11]_i_140\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_7\,
I1 => \cr_int_reg[31]_i_86_n_6\,
O => \cr_int[11]_i_140_n_0\
);
\cr_int[11]_i_141\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_86_n_7\,
I1 => rgb888(0),
O => \cr_int[11]_i_141_n_0\
);
\cr_int[11]_i_142\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_7\,
I1 => \cr_int_reg[3]_i_16_n_6\,
O => \cr_int[11]_i_142_n_0\
);
\cr_int[11]_i_143\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_27_n_7\,
I1 => \cr_int_reg[3]_i_27_n_6\,
O => \cr_int[11]_i_143_n_0\
);
\cr_int[11]_i_144\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_5\,
I1 => \cr_int_reg[3]_i_54_n_4\,
O => \cr_int[11]_i_144_n_0\
);
\cr_int[11]_i_145\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_7\,
I1 => \cr_int_reg[3]_i_54_n_6\,
O => \cr_int[11]_i_145_n_0\
);
\cr_int[11]_i_146\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_6\,
I1 => \cr_int_reg[3]_i_16_n_7\,
O => \cr_int[11]_i_146_n_0\
);
\cr_int[11]_i_147\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_27_n_6\,
I1 => \cr_int_reg[3]_i_27_n_7\,
O => \cr_int[11]_i_147_n_0\
);
\cr_int[11]_i_148\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_4\,
I1 => \cr_int_reg[3]_i_54_n_5\,
O => \cr_int[11]_i_148_n_0\
);
\cr_int[11]_i_149\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_6\,
I1 => \cr_int_reg[3]_i_54_n_7\,
O => \cr_int[11]_i_149_n_0\
);
\cr_int[11]_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_13\(2),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[11]_0\(1),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[31]_2\(0),
O => \cr_int[11]_i_15_n_0\
);
\cr_int[11]_i_150\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_33_n_4\,
I1 => \cr_int_reg[3]_i_19_n_7\,
O => \cr_int[11]_i_150_n_0\
);
\cr_int[11]_i_151\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[3]_i_33_n_6\,
I1 => \cr_int_reg[3]_i_33_n_5\,
O => \cr_int[11]_i_151_n_0\
);
\cr_int[11]_i_152\: unisim.vcomponents.LUT3
generic map(
INIT => X"BE"
)
port map (
I0 => \cr_int_reg[3]_i_65_n_6\,
I1 => \cr_int_reg[3]_i_65_n_5\,
I2 => rgb888(8),
O => \cr_int[11]_i_152_n_0\
);
\cr_int[11]_i_153\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_19_n_7\,
I1 => \cr_int_reg[3]_i_33_n_4\,
O => \cr_int[11]_i_153_n_0\
);
\cr_int[11]_i_154\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_33_n_5\,
I1 => \cr_int_reg[3]_i_33_n_6\,
O => \cr_int[11]_i_154_n_0\
);
\cr_int[11]_i_155\: unisim.vcomponents.LUT3
generic map(
INIT => X"09"
)
port map (
I0 => rgb888(8),
I1 => \cr_int_reg[3]_i_65_n_5\,
I2 => \cr_int_reg[3]_i_65_n_6\,
O => \cr_int[11]_i_155_n_0\
);
\cr_int[11]_i_156\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_94_n_7\,
O => \cr_int[11]_i_156_n_0\
);
\cr_int[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[11]_i_10_n_0\,
I1 => \cr_int[11]_i_11_n_0\,
O => \cr_int[11]_i_2_n_0\
);
\cr_int[11]_i_22\: unisim.vcomponents.LUT5
generic map(
INIT => X"0DFDF202"
)
port map (
I0 => \cr_int_reg[11]_i_18_n_5\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \^cr_int_reg[27]_2\(0),
I3 => \cr_int_reg[11]_i_16_n_5\,
I4 => \cr_int[11]_i_15_n_0\,
O => \cr_int[11]_i_22_n_0\
);
\cr_int[11]_i_23\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF0DFD"
)
port map (
I0 => \cr_int_reg[11]_i_18_n_5\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \^cr_int_reg[27]_2\(0),
I3 => \cr_int_reg[11]_i_16_n_5\,
I4 => \cr_int[11]_i_15_n_0\,
O => \cr_int[11]_i_23_n_0\
);
\cr_int[11]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_14\(0),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[11]_0\(3),
O => \cr_int[11]_i_24_n_0\
);
\cr_int[11]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[15]_i_38_n_7\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]\(0),
O => \cr_int[11]_i_25_n_0\
);
\cr_int[11]_i_26\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(8),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_11_n_5\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(16),
O => \cr_int_reg3__0\(8)
);
\cr_int[11]_i_27\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_13\(3),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[11]_0\(2),
O => \cr_int[11]_i_27_n_0\
);
\cr_int[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[11]_i_12_n_0\,
I1 => \cr_int[11]_i_13_n_0\,
O => \cr_int[11]_i_3_n_0\
);
\cr_int[11]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[11]_i_18_n_4\,
O => \cr_int[11]_i_32_n_0\
);
\cr_int[11]_i_33\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[11]_i_18_n_5\,
O => \cr_int[11]_i_33_n_0\
);
\cr_int[11]_i_34\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[11]_i_18_n_6\,
O => \cr_int[11]_i_34_n_0\
);
\cr_int[11]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cr_int_reg[11]_i_18_n_7\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[31]_i_7_n_5\,
O => \cr_int[11]_i_35_n_0\
);
\cr_int[11]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_37_n_0\
);
\cr_int[11]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_38_n_0\
);
\cr_int[11]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_39_n_0\
);
\cr_int[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA8A888AAA8AAA"
)
port map (
I0 => \cr_int[11]_i_14_n_0\,
I1 => \cr_int[11]_i_15_n_0\,
I2 => \cr_int_reg[11]_i_16_n_5\,
I3 => \^cr_int_reg[27]_2\(0),
I4 => \cr_int_reg[11]_i_17_n_0\,
I5 => \cr_int_reg[11]_i_18_n_5\,
O => \cr_int[11]_i_4_n_0\
);
\cr_int[11]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_40_n_0\
);
\cr_int[11]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_42_n_0\
);
\cr_int[11]_i_43\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_43_n_0\
);
\cr_int[11]_i_44\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_44_n_0\
);
\cr_int[11]_i_45\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_7_n_5\,
O => \cr_int[11]_i_45_n_0\
);
\cr_int[11]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_5\,
O => \cr_int[11]_i_47_n_0\
);
\cr_int[11]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_6\,
O => \cr_int[11]_i_48_n_0\
);
\cr_int[11]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_7\,
O => \cr_int[11]_i_49_n_0\
);
\cr_int[11]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE200E200000000"
)
port map (
I0 => cr_int_reg6(15),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_11_n_6\,
I3 => \cr_int_reg[31]_i_11_n_4\,
I4 => cr_int_reg4(7),
I5 => \cr_int[11]_i_22_n_0\,
O => \cr_int[11]_i_5_n_0\
);
\cr_int[11]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_4\,
O => \cr_int[11]_i_50_n_0\
);
\cr_int[11]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_52_n_0\
);
\cr_int[11]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_53_n_0\
);
\cr_int[11]_i_54\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_54_n_0\
);
\cr_int[11]_i_55\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_55_n_0\
);
\cr_int[11]_i_57\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(16),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_11_n_5\,
O => \cr_int[11]_i_57_n_0\
);
\cr_int[11]_i_58\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(15),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_11_n_6\,
O => \cr_int[11]_i_58_n_0\
);
\cr_int[11]_i_59\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(14),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_11_n_7\,
O => \cr_int[11]_i_59_n_0\
);
\cr_int[11]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[15]_i_16_n_0\,
I1 => \cr_int[15]_i_17_n_0\,
I2 => \cr_int[11]_i_2_n_0\,
O => \cr_int[11]_i_6_n_0\
);
\cr_int[11]_i_60\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(13),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_30_n_4\,
O => \cr_int[11]_i_60_n_0\
);
\cr_int[11]_i_65\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_65_n_0\
);
\cr_int[11]_i_66\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_66_n_0\
);
\cr_int[11]_i_67\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(0),
O => \cr_int[11]_i_67_n_0\
);
\cr_int[11]_i_68\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[7]_0\(3),
O => \cr_int[11]_i_68_n_0\
);
\cr_int[11]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[11]_i_10_n_0\,
I1 => \cr_int[11]_i_11_n_0\,
I2 => \cr_int[11]_i_3_n_0\,
O => \cr_int[11]_i_7_n_0\
);
\cr_int[11]_i_70\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_70_n_0\
);
\cr_int[11]_i_71\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_71_n_0\
);
\cr_int[11]_i_72\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_72_n_0\
);
\cr_int[11]_i_73\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[11]_i_73_n_0\
);
\cr_int[11]_i_74\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cr_int_reg[3]_i_32_n_4\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[31]_i_14_n_6\,
O => \cr_int[11]_i_74_n_0\
);
\cr_int[11]_i_75\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cr_int_reg[11]_i_41_n_4\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[31]_i_7_n_6\,
O => \cr_int[11]_i_75_n_0\
);
\cr_int[11]_i_76\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cr_int_reg[11]_i_41_n_5\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[31]_i_7_n_7\,
O => \cr_int[11]_i_76_n_0\
);
\cr_int[11]_i_77\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cr_int_reg[11]_i_41_n_6\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[31]_i_14_n_4\,
O => \cr_int[11]_i_77_n_0\
);
\cr_int[11]_i_78\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cr_int_reg[11]_i_41_n_7\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[31]_i_14_n_5\,
O => \cr_int[11]_i_78_n_0\
);
\cr_int[11]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[11]_i_12_n_0\,
I1 => \cr_int[11]_i_13_n_0\,
I2 => \cr_int[11]_i_4_n_0\,
O => \cr_int[11]_i_8_n_0\
);
\cr_int[11]_i_80\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_80_n_0\
);
\cr_int[11]_i_81\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_81_n_0\
);
\cr_int[11]_i_82\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_82_n_0\
);
\cr_int[11]_i_83\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
O => \cr_int[11]_i_83_n_0\
);
\cr_int[11]_i_84\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_7_n_6\,
O => \cr_int[11]_i_84_n_0\
);
\cr_int[11]_i_85\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_7_n_7\,
O => \cr_int[11]_i_85_n_0\
);
\cr_int[11]_i_86\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_4\,
O => \cr_int[11]_i_86_n_0\
);
\cr_int[11]_i_87\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_5\,
O => \cr_int[11]_i_87_n_0\
);
\cr_int[11]_i_88\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_5\,
O => \cr_int[11]_i_88_n_0\
);
\cr_int[11]_i_89\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_6\,
O => \cr_int[11]_i_89_n_0\
);
\cr_int[11]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[11]_i_5_n_0\,
I1 => \cr_int[11]_i_14_n_0\,
I2 => \cr_int[11]_i_23_n_0\,
O => \cr_int[11]_i_9_n_0\
);
\cr_int[11]_i_90\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_30_n_7\,
O => \cr_int[11]_i_90_n_0\
);
\cr_int[11]_i_91\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_4\,
O => \cr_int[11]_i_91_n_0\
);
\cr_int[11]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_5\,
I1 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_93_n_0\
);
\cr_int[11]_i_94\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_94_n_0\
);
\cr_int[11]_i_95\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_95_n_0\
);
\cr_int[11]_i_96\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[11]_i_96_n_0\
);
\cr_int[11]_i_97\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => \cr_int_reg[31]_i_11_n_5\,
O => \cr_int[11]_i_97_n_0\
);
\cr_int[11]_i_98\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(8),
I1 => cr_int_reg7,
I2 => \cr_int_reg[3]_i_16_n_5\,
O => \cr_int[11]_i_98_n_0\
);
\cr_int[11]_i_99\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => cr_int_reg6(12),
I1 => cr_int_reg7,
I2 => \cr_int_reg[31]_i_30_n_5\,
O => \cr_int[11]_i_99_n_0\
);
\cr_int[15]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(22),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(14),
I4 => \cr_int[19]_i_26_n_0\,
I5 => \cr_int[19]_i_27_n_0\,
O => \cr_int[15]_i_10_n_0\
);
\cr_int[15]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(21),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(13),
I4 => \cr_int[15]_i_18_n_0\,
I5 => \cr_int[15]_i_19_n_0\,
O => \cr_int[15]_i_11_n_0\
);
\cr_int[15]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(21),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(13),
I4 => \cr_int[15]_i_18_n_0\,
I5 => \cr_int[15]_i_19_n_0\,
O => \cr_int[15]_i_12_n_0\
);
\cr_int[15]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(20),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(12),
I4 => \cr_int[15]_i_22_n_0\,
I5 => \cr_int[15]_i_23_n_0\,
O => \cr_int[15]_i_13_n_0\
);
\cr_int[15]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(20),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(12),
I4 => \cr_int[15]_i_22_n_0\,
I5 => \cr_int[15]_i_23_n_0\,
O => \cr_int[15]_i_14_n_0\
);
\cr_int[15]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(19),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(11),
I4 => \cr_int[15]_i_24_n_0\,
I5 => \cr_int[15]_i_25_n_0\,
O => \cr_int[15]_i_15_n_0\
);
\cr_int[15]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(19),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(11),
I4 => \cr_int[15]_i_24_n_0\,
I5 => \cr_int[15]_i_25_n_0\,
O => \cr_int[15]_i_16_n_0\
);
\cr_int[15]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(18),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(10),
I4 => \cr_int[15]_i_26_n_0\,
I5 => \cr_int[15]_i_27_n_0\,
O => \cr_int[15]_i_17_n_0\
);
\cr_int[15]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_15\(0),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[15]_0\(3),
O => \cr_int[15]_i_18_n_0\
);
\cr_int[15]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_49_n_7\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]_0\(0),
O => \cr_int[15]_i_19_n_0\
);
\cr_int[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[15]_i_10_n_0\,
I1 => \cr_int[15]_i_11_n_0\,
O => \cr_int[15]_i_2_n_0\
);
\cr_int[15]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_14\(3),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[15]_0\(2),
O => \cr_int[15]_i_22_n_0\
);
\cr_int[15]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[15]_i_38_n_4\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]\(3),
O => \cr_int[15]_i_23_n_0\
);
\cr_int[15]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_14\(2),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[15]_0\(1),
O => \cr_int[15]_i_24_n_0\
);
\cr_int[15]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[15]_i_38_n_5\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]\(2),
O => \cr_int[15]_i_25_n_0\
);
\cr_int[15]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_14\(1),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[15]_0\(0),
O => \cr_int[15]_i_26_n_0\
);
\cr_int[15]_i_27\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[15]_i_38_n_6\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]\(1),
O => \cr_int[15]_i_27_n_0\
);
\cr_int[15]_i_29\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[15]_i_29_n_0\
);
\cr_int[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[15]_i_12_n_0\,
I1 => \cr_int[15]_i_13_n_0\,
O => \cr_int[15]_i_3_n_0\
);
\cr_int[15]_i_30\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[15]_i_30_n_0\
);
\cr_int[15]_i_31\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[15]_i_31_n_0\
);
\cr_int[15]_i_32\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[15]_i_32_n_0\
);
\cr_int[15]_i_33\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(20),
O => \cr_int[15]_i_33_n_0\
);
\cr_int[15]_i_34\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(19),
O => \cr_int[15]_i_34_n_0\
);
\cr_int[15]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(18),
O => \cr_int[15]_i_35_n_0\
);
\cr_int[15]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(17),
O => \cr_int[15]_i_36_n_0\
);
\cr_int[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[15]_i_14_n_0\,
I1 => \cr_int[15]_i_15_n_0\,
O => \cr_int[15]_i_4_n_0\
);
\cr_int[15]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[15]_i_40_n_0\
);
\cr_int[15]_i_41\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[15]_i_41_n_0\
);
\cr_int[15]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[15]_i_42_n_0\
);
\cr_int[15]_i_43\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[15]_i_43_n_0\
);
\cr_int[15]_i_48\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]\(3),
O => \cr_int[15]_i_48_n_0\
);
\cr_int[15]_i_49\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]\(2),
O => \cr_int[15]_i_49_n_0\
);
\cr_int[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[15]_i_16_n_0\,
I1 => \cr_int[15]_i_17_n_0\,
O => \cr_int[15]_i_5_n_0\
);
\cr_int[15]_i_50\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]\(1),
O => \cr_int[15]_i_50_n_0\
);
\cr_int[15]_i_51\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]\(0),
O => \cr_int[15]_i_51_n_0\
);
\cr_int[15]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[19]_i_16_n_0\,
I1 => \cr_int[19]_i_17_n_0\,
I2 => \cr_int[15]_i_2_n_0\,
O => \cr_int[15]_i_6_n_0\
);
\cr_int[15]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[15]_i_10_n_0\,
I1 => \cr_int[15]_i_11_n_0\,
I2 => \cr_int[15]_i_3_n_0\,
O => \cr_int[15]_i_7_n_0\
);
\cr_int[15]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[15]_i_12_n_0\,
I1 => \cr_int[15]_i_13_n_0\,
I2 => \cr_int[15]_i_4_n_0\,
O => \cr_int[15]_i_8_n_0\
);
\cr_int[15]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[15]_i_14_n_0\,
I1 => \cr_int[15]_i_15_n_0\,
I2 => \cr_int[15]_i_5_n_0\,
O => \cr_int[15]_i_9_n_0\
);
\cr_int[19]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(26),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(18),
I4 => \cr_int[23]_i_25_n_0\,
I5 => \cr_int[23]_i_26_n_0\,
O => \cr_int[19]_i_10_n_0\
);
\cr_int[19]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(25),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(17),
I4 => \cr_int[19]_i_18_n_0\,
I5 => \cr_int[19]_i_19_n_0\,
O => \cr_int[19]_i_11_n_0\
);
\cr_int[19]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(25),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(17),
I4 => \cr_int[19]_i_18_n_0\,
I5 => \cr_int[19]_i_19_n_0\,
O => \cr_int[19]_i_12_n_0\
);
\cr_int[19]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(24),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(16),
I4 => \cr_int[19]_i_22_n_0\,
I5 => \cr_int[19]_i_23_n_0\,
O => \cr_int[19]_i_13_n_0\
);
\cr_int[19]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(24),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(16),
I4 => \cr_int[19]_i_22_n_0\,
I5 => \cr_int[19]_i_23_n_0\,
O => \cr_int[19]_i_14_n_0\
);
\cr_int[19]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(23),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(15),
I4 => \cr_int[19]_i_24_n_0\,
I5 => \cr_int[19]_i_25_n_0\,
O => \cr_int[19]_i_15_n_0\
);
\cr_int[19]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(23),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(15),
I4 => \cr_int[19]_i_24_n_0\,
I5 => \cr_int[19]_i_25_n_0\,
O => \cr_int[19]_i_16_n_0\
);
\cr_int[19]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(22),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(14),
I4 => \cr_int[19]_i_26_n_0\,
I5 => \cr_int[19]_i_27_n_0\,
O => \cr_int[19]_i_17_n_0\
);
\cr_int[19]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_16\(0),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[19]_0\(3),
O => \cr_int[19]_i_18_n_0\
);
\cr_int[19]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_21_n_7\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[0]_5\(0),
O => \cr_int[19]_i_19_n_0\
);
\cr_int[19]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[19]_i_10_n_0\,
I1 => \cr_int[19]_i_11_n_0\,
O => \cr_int[19]_i_2_n_0\
);
\cr_int[19]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_15\(3),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[19]_0\(2),
O => \cr_int[19]_i_22_n_0\
);
\cr_int[19]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_49_n_4\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]_0\(3),
O => \cr_int[19]_i_23_n_0\
);
\cr_int[19]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_15\(2),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[19]_0\(1),
O => \cr_int[19]_i_24_n_0\
);
\cr_int[19]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_49_n_5\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]_0\(2),
O => \cr_int[19]_i_25_n_0\
);
\cr_int[19]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_15\(1),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[19]_0\(0),
O => \cr_int[19]_i_26_n_0\
);
\cr_int[19]_i_27\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_49_n_6\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[3]_0\(1),
O => \cr_int[19]_i_27_n_0\
);
\cr_int[19]_i_29\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[19]_i_29_n_0\
);
\cr_int[19]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[19]_i_12_n_0\,
I1 => \cr_int[19]_i_13_n_0\,
O => \cr_int[19]_i_3_n_0\
);
\cr_int[19]_i_30\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[19]_i_30_n_0\
);
\cr_int[19]_i_31\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[19]_i_31_n_0\
);
\cr_int[19]_i_32\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[19]_i_32_n_0\
);
\cr_int[19]_i_33\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(24),
O => \cr_int[19]_i_33_n_0\
);
\cr_int[19]_i_34\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(23),
O => \cr_int[19]_i_34_n_0\
);
\cr_int[19]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(22),
O => \cr_int[19]_i_35_n_0\
);
\cr_int[19]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(21),
O => \cr_int[19]_i_36_n_0\
);
\cr_int[19]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[19]_i_38_n_0\
);
\cr_int[19]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[19]_i_39_n_0\
);
\cr_int[19]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[19]_i_14_n_0\,
I1 => \cr_int[19]_i_15_n_0\,
O => \cr_int[19]_i_4_n_0\
);
\cr_int[19]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[19]_i_40_n_0\
);
\cr_int[19]_i_41\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[19]_i_41_n_0\
);
\cr_int[19]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[19]_i_16_n_0\,
I1 => \cr_int[19]_i_17_n_0\,
O => \cr_int[19]_i_5_n_0\
);
\cr_int[19]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[23]_i_16_n_0\,
I1 => \cr_int[23]_i_17_n_0\,
I2 => \cr_int[19]_i_2_n_0\,
O => \cr_int[19]_i_6_n_0\
);
\cr_int[19]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[19]_i_10_n_0\,
I1 => \cr_int[19]_i_11_n_0\,
I2 => \cr_int[19]_i_3_n_0\,
O => \cr_int[19]_i_7_n_0\
);
\cr_int[19]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[19]_i_12_n_0\,
I1 => \cr_int[19]_i_13_n_0\,
I2 => \cr_int[19]_i_4_n_0\,
O => \cr_int[19]_i_8_n_0\
);
\cr_int[19]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[19]_i_14_n_0\,
I1 => \cr_int[19]_i_15_n_0\,
I2 => \cr_int[19]_i_5_n_0\,
O => \cr_int[19]_i_9_n_0\
);
\cr_int[23]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(30),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(22),
I4 => \cr_int[27]_i_10_n_0\,
I5 => \cr_int[27]_i_11_n_0\,
O => \cr_int[23]_i_10_n_0\
);
\cr_int[23]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(29),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(21),
I4 => \cr_int[23]_i_18_n_0\,
I5 => \cr_int[23]_i_19_n_0\,
O => \cr_int[23]_i_11_n_0\
);
\cr_int[23]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(29),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(21),
I4 => \cr_int[23]_i_18_n_0\,
I5 => \cr_int[23]_i_19_n_0\,
O => \cr_int[23]_i_12_n_0\
);
\cr_int[23]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(28),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(20),
I4 => \cr_int[23]_i_21_n_0\,
I5 => \cr_int[23]_i_22_n_0\,
O => \cr_int[23]_i_13_n_0\
);
\cr_int[23]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(28),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(20),
I4 => \cr_int[23]_i_21_n_0\,
I5 => \cr_int[23]_i_22_n_0\,
O => \cr_int[23]_i_14_n_0\
);
\cr_int[23]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(27),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(19),
I4 => \cr_int[23]_i_23_n_0\,
I5 => \cr_int[23]_i_24_n_0\,
O => \cr_int[23]_i_15_n_0\
);
\cr_int[23]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"F4040BFB0BFBF404"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(27),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(19),
I4 => \cr_int[23]_i_23_n_0\,
I5 => \cr_int[23]_i_24_n_0\,
O => \cr_int[23]_i_16_n_0\
);
\cr_int[23]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(26),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(18),
I4 => \cr_int[23]_i_25_n_0\,
I5 => \cr_int[23]_i_26_n_0\,
O => \cr_int[23]_i_17_n_0\
);
\cr_int[23]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_17\(0),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[23]_0\(3),
O => \cr_int[23]_i_18_n_0\
);
\cr_int[23]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_8_n_7\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[0]_6\(0),
O => \cr_int[23]_i_19_n_0\
);
\cr_int[23]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[23]_i_10_n_0\,
I1 => \cr_int[23]_i_11_n_0\,
O => \cr_int[23]_i_2_n_0\
);
\cr_int[23]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_16\(3),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[23]_0\(2),
O => \cr_int[23]_i_21_n_0\
);
\cr_int[23]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_21_n_4\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[0]_5\(3),
O => \cr_int[23]_i_22_n_0\
);
\cr_int[23]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_16\(2),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[23]_0\(1),
O => \cr_int[23]_i_23_n_0\
);
\cr_int[23]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_21_n_5\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[0]_5\(2),
O => \cr_int[23]_i_24_n_0\
);
\cr_int[23]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_16\(1),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[23]_0\(0),
O => \cr_int[23]_i_25_n_0\
);
\cr_int[23]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_21_n_6\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[0]_5\(1),
O => \cr_int[23]_i_26_n_0\
);
\cr_int[23]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[23]_i_27_n_0\
);
\cr_int[23]_i_28\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[23]_i_28_n_0\
);
\cr_int[23]_i_29\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[23]_i_29_n_0\
);
\cr_int[23]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[23]_i_12_n_0\,
I1 => \cr_int[23]_i_13_n_0\,
O => \cr_int[23]_i_3_n_0\
);
\cr_int[23]_i_30\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[23]_i_30_n_0\
);
\cr_int[23]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[23]_i_14_n_0\,
I1 => \cr_int[23]_i_15_n_0\,
O => \cr_int[23]_i_4_n_0\
);
\cr_int[23]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[23]_i_16_n_0\,
I1 => \cr_int[23]_i_17_n_0\,
O => \cr_int[23]_i_5_n_0\
);
\cr_int[23]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[27]_i_7_n_0\,
I1 => \cr_int[27]_i_8_n_0\,
I2 => \cr_int[23]_i_2_n_0\,
O => \cr_int[23]_i_6_n_0\
);
\cr_int[23]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[23]_i_10_n_0\,
I1 => \cr_int[23]_i_11_n_0\,
I2 => \cr_int[23]_i_3_n_0\,
O => \cr_int[23]_i_7_n_0\
);
\cr_int[23]_i_8\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[23]_i_12_n_0\,
I1 => \cr_int[23]_i_13_n_0\,
I2 => \cr_int[23]_i_4_n_0\,
O => \cr_int[23]_i_8_n_0\
);
\cr_int[23]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[23]_i_14_n_0\,
I1 => \cr_int[23]_i_15_n_0\,
I2 => \cr_int[23]_i_5_n_0\,
O => \cr_int[23]_i_9_n_0\
);
\cr_int[27]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \rgb888[8]_17\(1),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_1\(0),
I3 => \^cr_int_reg[23]_1\(0),
O => \cr_int[27]_i_10_n_0\
);
\cr_int[27]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[31]_i_8_n_6\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \rgb888[0]_6\(1),
O => \cr_int[27]_i_11_n_0\
);
\cr_int[27]_i_12\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[27]_i_12_n_0\
);
\cr_int[27]_i_13\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
O => \cr_int[27]_i_13_n_0\
);
\cr_int[27]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \cr_int[27]_i_7_n_0\,
I1 => \cr_int[27]_i_8_n_0\,
O => \cr_int[27]_i_2_n_0\
);
\cr_int[27]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[27]_i_3_n_0\
);
\cr_int[27]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[27]_i_4_n_0\
);
\cr_int[27]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[27]_i_5_n_0\
);
\cr_int[27]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[27]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[27]_i_6_n_0\
);
\cr_int[27]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"4B44B4BB4B444B44"
)
port map (
I0 => \cr_int_reg[31]_i_12_n_1\,
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \rgb888[8]_18\(0),
I3 => \^cr_int_reg[31]_2\(1),
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[27]_i_7_n_0\
);
\cr_int[27]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => cr_int_reg7,
I1 => cr_int_reg6(30),
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => cr_int_reg4(22),
I4 => \cr_int[27]_i_10_n_0\,
I5 => \cr_int[27]_i_11_n_0\,
O => \cr_int[27]_i_8_n_0\
);
\cr_int[31]_i_100\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => rgb888(13),
I1 => rgb888(11),
I2 => rgb888(10),
I3 => rgb888(12),
I4 => rgb888(14),
I5 => rgb888(15),
O => \cr_int[31]_i_100_n_0\
);
\cr_int[31]_i_103\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(15),
O => \cr_int[31]_i_103_n_0\
);
\cr_int[31]_i_108\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[31]_i_108_n_0\
);
\cr_int[31]_i_109\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[31]_i_109_n_0\
);
\cr_int[31]_i_110\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[31]_i_110_n_0\
);
\cr_int[31]_i_111\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[31]_i_111_n_0\
);
\cr_int[31]_i_112\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[31]_2\(1),
O => \cr_int[31]_i_112_n_0\
);
\cr_int[31]_i_113\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(4),
I1 => rgb888(2),
O => \cr_int[31]_i_113_n_0\
);
\cr_int[31]_i_114\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(3),
I1 => rgb888(1),
O => \cr_int[31]_i_114_n_0\
);
\cr_int[31]_i_115\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(2),
I1 => rgb888(0),
O => \cr_int[31]_i_115_n_0\
);
\cr_int[31]_i_116\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(1),
O => \cr_int[31]_i_116_n_0\
);
\cr_int[31]_i_117\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(6),
O => \cr_int[31]_i_117_n_0\
);
\cr_int[31]_i_118\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(7),
I1 => rgb888(5),
O => \cr_int[31]_i_118_n_0\
);
\cr_int[31]_i_119\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(6),
I1 => rgb888(4),
O => \cr_int[31]_i_119_n_0\
);
\cr_int[31]_i_120\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(5),
I1 => rgb888(3),
O => \cr_int[31]_i_120_n_0\
);
\cr_int[31]_i_121\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(15),
O => \cr_int[31]_i_121_n_0\
);
\cr_int[31]_i_122\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(15),
I1 => rgb888(14),
O => \cr_int[31]_i_122_n_0\
);
\cr_int[31]_i_123\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(14),
O => \cr_int[31]_i_123_n_0\
);
\cr_int[31]_i_124\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
O => \cr_int[31]_i_124_n_0\
);
\cr_int[31]_i_125\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(14),
I1 => rgb888(12),
O => \cr_int[31]_i_125_n_0\
);
\cr_int[31]_i_126\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(13),
I1 => rgb888(11),
O => \cr_int[31]_i_126_n_0\
);
\cr_int[31]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \rgb888[8]_18\(0),
I1 => \^cr_int_reg[31]_2\(1),
O => \cr_int[31]_i_13_n_0\
);
\cr_int[31]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"60"
)
port map (
I0 => \^cr_int_reg[27]_0\,
I1 => rgb888(7),
I2 => \cr_int_reg[31]_i_48_n_2\,
O => \cr_int[31]_i_15_n_0\
);
\cr_int[31]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[27]_1\(1),
I1 => \cr_int_reg[31]_i_48_n_2\,
O => \cr_int[31]_i_16_n_0\
);
\cr_int[31]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(7),
I1 => \^cr_int_reg[27]_0\,
O => \cr_int[31]_i_17_n_0\
);
\cr_int[31]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(7),
I1 => \^cr_int_reg[27]_0\,
O => \cr_int[31]_i_18_n_0\
);
\cr_int[31]_i_19\: unisim.vcomponents.LUT3
generic map(
INIT => X"17"
)
port map (
I0 => \cr_int_reg[31]_i_48_n_2\,
I1 => \^cr_int_reg[27]_0\,
I2 => rgb888(7),
O => \cr_int[31]_i_19_n_0\
);
\cr_int[31]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000DD0D0000"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[31]_i_8_n_1\,
I2 => \^cr_int_reg[31]_2\(1),
I3 => \rgb888[8]_18\(0),
I4 => \cr_int_reg[31]_i_11_n_4\,
I5 => \cr_int_reg[31]_i_12_n_1\,
O => \cr_int[31]_i_2_n_0\
);
\cr_int[31]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \^cr_int_reg[27]_0\,
I1 => rgb888(7),
I2 => \cr_int[31]_i_16_n_0\,
I3 => \cr_int_reg[31]_i_48_n_2\,
O => \cr_int[31]_i_20_n_0\
);
\cr_int[31]_i_22\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[0]_6\(1),
O => \cr_int[31]_i_22_n_0\
);
\cr_int[31]_i_23\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[0]_6\(0),
O => \cr_int[31]_i_23_n_0\
);
\cr_int[31]_i_25\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(14),
O => \cr_int[31]_i_25_n_0\
);
\cr_int[31]_i_26\: unisim.vcomponents.LUT2
generic map(
INIT => X"4"
)
port map (
I0 => \cr_int_reg[31]_i_63_n_2\,
I1 => \^di\(0),
O => \cr_int[31]_i_26_n_0\
);
\cr_int[31]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[31]_i_3_n_0\
);
\cr_int[31]_i_31\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => rgb888(22),
I1 => rgb888(20),
I2 => rgb888(18),
I3 => rgb888(17),
I4 => rgb888(19),
I5 => rgb888(21),
O => \cr_int[31]_i_31_n_0\
);
\cr_int[31]_i_32\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(23),
I1 => \cr_int[31]_i_79_n_0\,
O => \cr_int[31]_i_32_n_0\
);
\cr_int[31]_i_33\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(23),
I1 => \cr_int[31]_i_79_n_0\,
O => \cr_int[31]_i_33_n_0\
);
\cr_int[31]_i_34\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(23),
I1 => \cr_int[31]_i_79_n_0\,
O => \cr_int[31]_i_34_n_0\
);
\cr_int[31]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"95"
)
port map (
I0 => rgb888(23),
I1 => \cr_int[31]_i_80_n_0\,
I2 => rgb888(22),
O => \cr_int[31]_i_35_n_0\
);
\cr_int[31]_i_37\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(30),
O => \cr_int[31]_i_37_n_0\
);
\cr_int[31]_i_38\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(29),
O => \cr_int[31]_i_38_n_0\
);
\cr_int[31]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[31]_i_4_n_0\
);
\cr_int[31]_i_40\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888888888888882"
)
port map (
I0 => \cr_int_reg[31]_i_48_n_7\,
I1 => rgb888(5),
I2 => rgb888(3),
I3 => rgb888(1),
I4 => rgb888(2),
I5 => rgb888(4),
O => \cr_int[31]_i_40_n_0\
);
\cr_int[31]_i_41\: unisim.vcomponents.LUT5
generic map(
INIT => X"EEEEEEEB"
)
port map (
I0 => \cr_int_reg[31]_i_91_n_4\,
I1 => rgb888(4),
I2 => rgb888(2),
I3 => rgb888(1),
I4 => rgb888(3),
O => \cr_int[31]_i_41_n_0\
);
\cr_int[31]_i_42\: unisim.vcomponents.LUT5
generic map(
INIT => X"99999996"
)
port map (
I0 => \cr_int_reg[31]_i_91_n_4\,
I1 => rgb888(4),
I2 => rgb888(2),
I3 => rgb888(1),
I4 => rgb888(3),
O => \cr_int[31]_i_42_n_0\
);
\cr_int[31]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"82"
)
port map (
I0 => \cr_int_reg[31]_i_91_n_6\,
I1 => rgb888(2),
I2 => rgb888(1),
O => \cr_int[31]_i_43_n_0\
);
\cr_int[31]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cr_int_reg[27]_1\(1),
I1 => \cr_int_reg[31]_i_48_n_2\,
I2 => \cr_int[31]_i_40_n_0\,
O => \cr_int[31]_i_44_n_0\
);
\cr_int[31]_i_45\: unisim.vcomponents.LUT4
generic map(
INIT => X"1EE1"
)
port map (
I0 => \cr_int[31]_i_92_n_0\,
I1 => \cr_int_reg[31]_i_91_n_4\,
I2 => \^cr_int_reg[27]_1\(0),
I3 => \cr_int_reg[31]_i_48_n_7\,
O => \cr_int[31]_i_45_n_0\
);
\cr_int[31]_i_46\: unisim.vcomponents.LUT6
generic map(
INIT => X"6969699999999996"
)
port map (
I0 => rgb888(4),
I1 => \cr_int_reg[31]_i_91_n_4\,
I2 => \cr_int_reg[31]_i_91_n_5\,
I3 => rgb888(2),
I4 => rgb888(1),
I5 => rgb888(3),
O => \cr_int[31]_i_46_n_0\
);
\cr_int[31]_i_47\: unisim.vcomponents.LUT5
generic map(
INIT => X"817E7E81"
)
port map (
I0 => \cr_int_reg[31]_i_91_n_6\,
I1 => rgb888(2),
I2 => rgb888(1),
I3 => rgb888(3),
I4 => \cr_int_reg[31]_i_91_n_5\,
O => \cr_int[31]_i_47_n_0\
);
\cr_int[31]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[31]_i_5_n_0\
);
\cr_int[31]_i_50\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[0]_5\(3),
O => \cr_int[31]_i_50_n_0\
);
\cr_int[31]_i_51\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[0]_5\(2),
O => \cr_int[31]_i_51_n_0\
);
\cr_int[31]_i_52\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[0]_5\(1),
O => \cr_int[31]_i_52_n_0\
);
\cr_int[31]_i_53\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[0]_5\(0),
O => \cr_int[31]_i_53_n_0\
);
\cr_int[31]_i_55\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int[31]_i_100_n_0\,
I1 => \cr_int_reg[31]_i_63_n_2\,
O => \cr_int[31]_i_55_n_0\
);
\cr_int[31]_i_56\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAA00000000"
)
port map (
I0 => rgb888(14),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => \cr_int_reg[31]_i_63_n_7\,
O => \cr_int[31]_i_56_n_0\
);
\cr_int[31]_i_57\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFFFEAAA2AAA8000"
)
port map (
I0 => \cr_int_reg[31]_i_101_n_1\,
I1 => rgb888(11),
I2 => rgb888(10),
I3 => rgb888(12),
I4 => rgb888(13),
I5 => \cr_int_reg[31]_i_102_n_4\,
O => \cr_int[31]_i_57_n_0\
);
\cr_int[31]_i_58\: unisim.vcomponents.LUT5
generic map(
INIT => X"BFEA2A80"
)
port map (
I0 => \cr_int_reg[31]_i_101_n_6\,
I1 => rgb888(10),
I2 => rgb888(11),
I3 => rgb888(12),
I4 => \cr_int_reg[31]_i_102_n_5\,
O => \cr_int[31]_i_58_n_0\
);
\cr_int[31]_i_59\: unisim.vcomponents.LUT3
generic map(
INIT => X"36"
)
port map (
I0 => \cr_int[31]_i_100_n_0\,
I1 => \^di\(0),
I2 => \cr_int_reg[31]_i_63_n_2\,
O => \cr_int[31]_i_59_n_0\
);
\cr_int[31]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"6555559A65556555"
)
port map (
I0 => \cr_int[31]_i_2_n_0\,
I1 => \cr_int_reg[31]_i_12_n_1\,
I2 => \cr_int_reg[31]_i_11_n_4\,
I3 => \cr_int[31]_i_13_n_0\,
I4 => \cr_int_reg[31]_i_8_n_1\,
I5 => \^cr_int_reg[27]_2\(0),
O => \cr_int[31]_i_6_n_0\
);
\cr_int[31]_i_60\: unisim.vcomponents.LUT4
generic map(
INIT => X"7887"
)
port map (
I0 => \cr_int_reg[31]_i_63_n_7\,
I1 => \^cr_int_reg[31]_0\,
I2 => \cr_int_reg[31]_i_63_n_2\,
I3 => \cr_int[31]_i_100_n_0\,
O => \cr_int[31]_i_60_n_0\
);
\cr_int[31]_i_61\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int[31]_i_57_n_0\,
I1 => \^cr_int_reg[31]_0\,
I2 => \cr_int_reg[31]_i_63_n_7\,
O => \cr_int[31]_i_61_n_0\
);
\cr_int[31]_i_62\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int[31]_i_58_n_0\,
I1 => \cr_int_reg[31]_i_102_n_4\,
I2 => \^cr_int_reg[31]_1\,
I3 => \cr_int_reg[31]_i_101_n_1\,
O => \cr_int[31]_i_62_n_0\
);
\cr_int[31]_i_71\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000001FFFFFFFE"
)
port map (
I0 => rgb888(21),
I1 => rgb888(19),
I2 => rgb888(17),
I3 => rgb888(18),
I4 => rgb888(20),
I5 => rgb888(22),
O => \cr_int[31]_i_71_n_0\
);
\cr_int[31]_i_72\: unisim.vcomponents.LUT5
generic map(
INIT => X"0001FFFE"
)
port map (
I0 => rgb888(20),
I1 => rgb888(18),
I2 => rgb888(17),
I3 => rgb888(19),
I4 => rgb888(21),
O => \cr_int[31]_i_72_n_0\
);
\cr_int[31]_i_73\: unisim.vcomponents.LUT5
generic map(
INIT => X"99999996"
)
port map (
I0 => \cr_int_reg[3]_i_26_n_1\,
I1 => rgb888(20),
I2 => rgb888(18),
I3 => rgb888(17),
I4 => rgb888(19),
O => \cr_int[31]_i_73_n_0\
);
\cr_int[31]_i_74\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(18),
I1 => rgb888(17),
O => \cr_int[31]_i_74_n_0\
);
\cr_int[31]_i_75\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAA955555555"
)
port map (
I0 => rgb888(22),
I1 => rgb888(20),
I2 => rgb888(18),
I3 => rgb888(17),
I4 => rgb888(19),
I5 => rgb888(21),
O => \cr_int[31]_i_75_n_0\
);
\cr_int[31]_i_76\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCCCCC999999993"
)
port map (
I0 => \cr_int_reg[3]_i_26_n_1\,
I1 => rgb888(21),
I2 => rgb888(19),
I3 => rgb888(17),
I4 => rgb888(18),
I5 => rgb888(20),
O => \cr_int[31]_i_76_n_0\
);
\cr_int[31]_i_77\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAA99995"
)
port map (
I0 => rgb888(20),
I1 => \cr_int_reg[3]_i_26_n_1\,
I2 => rgb888(18),
I3 => rgb888(17),
I4 => rgb888(19),
O => \cr_int[31]_i_77_n_0\
);
\cr_int[31]_i_78\: unisim.vcomponents.LUT4
generic map(
INIT => X"6A95"
)
port map (
I0 => \cr_int_reg[3]_i_26_n_1\,
I1 => rgb888(18),
I2 => rgb888(17),
I3 => rgb888(19),
O => \cr_int[31]_i_78_n_0\
);
\cr_int[31]_i_79\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => rgb888(21),
I1 => rgb888(19),
I2 => rgb888(17),
I3 => rgb888(18),
I4 => rgb888(20),
I5 => rgb888(22),
O => \cr_int[31]_i_79_n_0\
);
\cr_int[31]_i_80\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => rgb888(20),
I1 => rgb888(18),
I2 => rgb888(17),
I3 => rgb888(19),
I4 => rgb888(21),
O => \cr_int[31]_i_80_n_0\
);
\cr_int[31]_i_81\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(28),
O => \cr_int[31]_i_81_n_0\
);
\cr_int[31]_i_82\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(27),
O => \cr_int[31]_i_82_n_0\
);
\cr_int[31]_i_83\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(26),
O => \cr_int[31]_i_83_n_0\
);
\cr_int[31]_i_84\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cr_int_reg[31]_i_11_n_4\,
I1 => cr_int_reg7,
I2 => cr_int_reg6(25),
O => \cr_int[31]_i_84_n_0\
);
\cr_int[31]_i_85\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(1),
O => \cr_int[31]_i_85_n_0\
);
\cr_int[31]_i_87\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(2),
I1 => \cr_int_reg[31]_i_91_n_6\,
O => \cr_int[31]_i_87_n_0\
);
\cr_int[31]_i_88\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(1),
I1 => \cr_int_reg[31]_i_91_n_7\,
O => \cr_int[31]_i_88_n_0\
);
\cr_int[31]_i_89\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cr_int_reg[31]_i_86_n_4\,
I1 => rgb888(0),
O => \cr_int[31]_i_89_n_0\
);
\cr_int[31]_i_90\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg[31]_i_86_n_5\,
O => \cr_int[31]_i_90_n_0\
);
\cr_int[31]_i_92\: unisim.vcomponents.LUT4
generic map(
INIT => X"FE01"
)
port map (
I0 => rgb888(3),
I1 => rgb888(1),
I2 => rgb888(2),
I3 => rgb888(4),
O => \cr_int[31]_i_92_n_0\
);
\cr_int[31]_i_93\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(7),
O => \cr_int[31]_i_93_n_0\
);
\cr_int[31]_i_94\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]_0\(3),
O => \cr_int[31]_i_94_n_0\
);
\cr_int[31]_i_95\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]_0\(2),
O => \cr_int[31]_i_95_n_0\
);
\cr_int[31]_i_96\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]_0\(1),
O => \cr_int[31]_i_96_n_0\
);
\cr_int[31]_i_97\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^cr_int_reg[27]_2\(0),
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \rgb888[3]_0\(0),
O => \cr_int[31]_i_97_n_0\
);
\cr_int[3]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_12\(1),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[7]_1\(0),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[3]_0\(2),
O => \cr_int[3]_i_10_n_0\
);
\cr_int[3]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \cr_int_reg[11]_i_31_n_6\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_41_n_6\,
I3 => \cr_int_reg[11]_i_17_n_0\,
I4 => \cr_int_reg[31]_i_14_n_4\,
O => \cr_int[3]_i_11_n_0\
);
\cr_int[3]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(1),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[3]_i_16_n_4\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(9),
O => \cr_int_reg3__0\(1)
);
\cr_int[3]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_12\(0),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[3]_2\(1),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[3]_0\(1),
O => \cr_int[3]_i_13_n_0\
);
\cr_int[3]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \cr_int_reg[11]_i_31_n_7\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_41_n_7\,
I3 => \cr_int_reg[11]_i_17_n_0\,
I4 => \cr_int_reg[31]_i_14_n_5\,
O => \cr_int[3]_i_14_n_0\
);
\cr_int[3]_i_17\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^cr_int_reg[3]_0\(0),
I1 => \^cr_int_reg[3]_1\(0),
I2 => \^cr_int_reg[3]_2\(0),
O => \cr_int[3]_i_17_n_0\
);
\cr_int[3]_i_18\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_6\,
I1 => \cr_int_reg[11]_i_17_n_0\,
I2 => \cr_int_reg[3]_i_32_n_4\,
O => \cr_int[3]_i_18_n_0\
);
\cr_int[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cr_int_reg3__0\(2),
I1 => \cr_int[3]_i_10_n_0\,
I2 => \cr_int[3]_i_11_n_0\,
O => \cr_int[3]_i_2_n_0\
);
\cr_int[3]_i_22\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_5\,
O => \cr_int[3]_i_22_n_0\
);
\cr_int[3]_i_23\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_6\,
O => \cr_int[3]_i_23_n_0\
);
\cr_int[3]_i_24\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_16_n_7\,
O => \cr_int[3]_i_24_n_0\
);
\cr_int[3]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_27_n_6\,
O => \cr_int[3]_i_25_n_0\
);
\cr_int[3]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(18),
I1 => rgb888(17),
I2 => \cr_int_reg[3]_i_26_n_6\,
O => \cr_int[3]_i_28_n_0\
);
\cr_int[3]_i_29\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \cr_int_reg[3]_i_26_n_7\,
I1 => rgb888(17),
O => \cr_int[3]_i_29_n_0\
);
\cr_int[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cr_int_reg3__0\(1),
I1 => \cr_int[3]_i_13_n_0\,
I2 => \cr_int[3]_i_14_n_0\,
O => \cr_int[3]_i_3_n_0\
);
\cr_int[3]_i_30\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cr_int_reg[3]_i_27_n_4\,
I1 => rgb888(16),
O => \cr_int[3]_i_30_n_0\
);
\cr_int[3]_i_31\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \cr_int_reg[3]_i_27_n_5\,
O => \cr_int[3]_i_31_n_0\
);
\cr_int[3]_i_34\: unisim.vcomponents.LUT4
generic map(
INIT => X"BE28"
)
port map (
I0 => \cr_int_reg[31]_i_101_n_7\,
I1 => rgb888(10),
I2 => rgb888(11),
I3 => \cr_int_reg[31]_i_102_n_6\,
O => \cr_int[3]_i_34_n_0\
);
\cr_int[3]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => rgb888(10),
I1 => \cr_int_reg[3]_i_64_n_4\,
I2 => \cr_int_reg[31]_i_102_n_7\,
O => \cr_int[3]_i_35_n_0\
);
\cr_int[3]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cr_int_reg[3]_i_64_n_5\,
I1 => rgb888(9),
I2 => \cr_int_reg[3]_i_70_n_4\,
O => \cr_int[3]_i_36_n_0\
);
\cr_int[3]_i_37\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cr_int_reg[3]_i_64_n_5\,
I1 => rgb888(9),
I2 => \cr_int_reg[3]_i_70_n_4\,
O => \cr_int[3]_i_37_n_0\
);
\cr_int[3]_i_38\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669696969969696"
)
port map (
I0 => \cr_int[3]_i_34_n_0\,
I1 => \cr_int_reg[31]_i_102_n_5\,
I2 => rgb888(12),
I3 => rgb888(11),
I4 => rgb888(10),
I5 => \cr_int_reg[31]_i_101_n_6\,
O => \cr_int[3]_i_38_n_0\
);
\cr_int[3]_i_39\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \cr_int_reg[31]_i_101_n_7\,
I1 => rgb888(10),
I2 => rgb888(11),
I3 => \cr_int_reg[31]_i_102_n_6\,
I4 => \cr_int[3]_i_35_n_0\,
O => \cr_int[3]_i_39_n_0\
);
\cr_int[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00E2E2FF"
)
port map (
I0 => cr_int_reg6(8),
I1 => cr_int_reg7,
I2 => \cr_int_reg[3]_i_16_n_5\,
I3 => \cr_int[3]_i_17_n_0\,
I4 => \cr_int[3]_i_18_n_0\,
O => \cr_int[3]_i_4_n_0\
);
\cr_int[3]_i_40\: unisim.vcomponents.LUT6
generic map(
INIT => X"E81717E817E8E817"
)
port map (
I0 => \cr_int_reg[3]_i_70_n_4\,
I1 => rgb888(9),
I2 => \cr_int_reg[3]_i_64_n_5\,
I3 => \cr_int_reg[31]_i_102_n_7\,
I4 => rgb888(10),
I5 => \cr_int_reg[3]_i_64_n_4\,
O => \cr_int[3]_i_40_n_0\
);
\cr_int[3]_i_41\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969696"
)
port map (
I0 => \cr_int_reg[3]_i_70_n_4\,
I1 => rgb888(9),
I2 => \cr_int_reg[3]_i_64_n_5\,
I3 => \cr_int_reg[3]_i_70_n_5\,
I4 => rgb888(8),
O => \cr_int[3]_i_41_n_0\
);
\cr_int[3]_i_43\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[3]_0\(1),
O => \cr_int[3]_i_43_n_0\
);
\cr_int[3]_i_44\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[3]_0\(0),
O => \cr_int[3]_i_44_n_0\
);
\cr_int[3]_i_45\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_19_n_7\,
O => \cr_int[3]_i_45_n_0\
);
\cr_int[3]_i_46\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_33_n_4\,
O => \cr_int[3]_i_46_n_0\
);
\cr_int[3]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_7\,
O => \cr_int[3]_i_47_n_0\
);
\cr_int[3]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_27_n_7\,
O => \cr_int[3]_i_48_n_0\
);
\cr_int[3]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_4\,
O => \cr_int[3]_i_49_n_0\
);
\cr_int[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int_reg3__0\(3),
I1 => \cr_int[7]_i_17_n_0\,
I2 => \cr_int[7]_i_18_n_0\,
I3 => \cr_int[3]_i_2_n_0\,
O => \cr_int[3]_i_5_n_0\
);
\cr_int[3]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_5\,
O => \cr_int[3]_i_50_n_0\
);
\cr_int[3]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_54_n_6\,
O => \cr_int[3]_i_51_n_0\
);
\cr_int[3]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(23),
O => \cr_int[3]_i_52_n_0\
);
\cr_int[3]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(22),
O => \cr_int[3]_i_53_n_0\
);
\cr_int[3]_i_55\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(21),
I1 => rgb888(23),
O => \cr_int[3]_i_55_n_0\
);
\cr_int[3]_i_56\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(20),
I1 => rgb888(22),
O => \cr_int[3]_i_56_n_0\
);
\cr_int[3]_i_57\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(19),
I1 => rgb888(21),
O => \cr_int[3]_i_57_n_0\
);
\cr_int[3]_i_58\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(18),
I1 => rgb888(20),
O => \cr_int[3]_i_58_n_0\
);
\cr_int[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int_reg3__0\(2),
I1 => \cr_int[3]_i_10_n_0\,
I2 => \cr_int[3]_i_11_n_0\,
I3 => \cr_int[3]_i_3_n_0\,
O => \cr_int[3]_i_6_n_0\
);
\cr_int[3]_i_60\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_6\,
O => \cr_int[3]_i_60_n_0\
);
\cr_int[3]_i_61\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_14_n_7\,
O => \cr_int[3]_i_61_n_0\
);
\cr_int[3]_i_62\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_4\,
O => \cr_int[3]_i_62_n_0\
);
\cr_int[3]_i_63\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_5\,
O => \cr_int[3]_i_63_n_0\
);
\cr_int[3]_i_66\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(8),
I1 => \cr_int_reg[3]_i_70_n_5\,
I2 => \cr_int_reg[3]_i_64_n_6\,
O => \cr_int[3]_i_66_n_0\
);
\cr_int[3]_i_67\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cr_int_reg[3]_i_64_n_7\,
I1 => \cr_int_reg[3]_i_70_n_6\,
O => \cr_int[3]_i_67_n_0\
);
\cr_int[3]_i_68\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cr_int_reg[3]_i_65_n_4\,
I1 => \cr_int_reg[3]_i_70_n_7\,
O => \cr_int[3]_i_68_n_0\
);
\cr_int[3]_i_69\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \cr_int_reg[3]_i_65_n_5\,
I1 => rgb888(8),
O => \cr_int[3]_i_69_n_0\
);
\cr_int[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int_reg3__0\(1),
I1 => \cr_int[3]_i_13_n_0\,
I2 => \cr_int[3]_i_14_n_0\,
I3 => \cr_int[3]_i_4_n_0\,
O => \cr_int[3]_i_7_n_0\
);
\cr_int[3]_i_71\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_94_n_7\,
O => \cr_int[3]_i_71_n_0\
);
\cr_int[3]_i_72\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_33_n_5\,
O => \cr_int[3]_i_72_n_0\
);
\cr_int[3]_i_73\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_33_n_6\,
O => \cr_int[3]_i_73_n_0\
);
\cr_int[3]_i_74\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(8),
I1 => \cr_int_reg[3]_i_65_n_5\,
O => \cr_int[3]_i_74_n_0\
);
\cr_int[3]_i_75\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[3]_i_65_n_6\,
O => \cr_int[3]_i_75_n_0\
);
\cr_int[3]_i_76\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(17),
I1 => rgb888(19),
O => \cr_int[3]_i_76_n_0\
);
\cr_int[3]_i_77\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(16),
I1 => rgb888(18),
O => \cr_int[3]_i_77_n_0\
);
\cr_int[3]_i_78\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(17),
O => \cr_int[3]_i_78_n_0\
);
\cr_int[3]_i_79\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(16),
O => \cr_int[3]_i_79_n_0\
);
\cr_int[3]_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"1DE2E21D"
)
port map (
I0 => cr_int_reg6(8),
I1 => cr_int_reg7,
I2 => \cr_int_reg[3]_i_16_n_5\,
I3 => \cr_int[3]_i_17_n_0\,
I4 => \cr_int[3]_i_18_n_0\,
O => \cr_int[3]_i_8_n_0\
);
\cr_int[3]_i_80\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(0),
O => \cr_int[3]_i_80_n_0\
);
\cr_int[3]_i_81\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_6\,
O => \cr_int[3]_i_81_n_0\
);
\cr_int[3]_i_82\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_39_n_7\,
O => \cr_int[3]_i_82_n_0\
);
\cr_int[3]_i_83\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_86_n_6\,
O => \cr_int[3]_i_83_n_0\
);
\cr_int[3]_i_84\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cr_int_reg[31]_i_86_n_7\,
O => \cr_int[3]_i_84_n_0\
);
\cr_int[3]_i_85\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
O => \cr_int[3]_i_85_n_0\
);
\cr_int[3]_i_86\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(12),
I1 => rgb888(14),
O => \cr_int[3]_i_86_n_0\
);
\cr_int[3]_i_87\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(11),
I1 => rgb888(13),
O => \cr_int[3]_i_87_n_0\
);
\cr_int[3]_i_88\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(10),
I1 => rgb888(12),
O => \cr_int[3]_i_88_n_0\
);
\cr_int[3]_i_89\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(9),
I1 => rgb888(11),
O => \cr_int[3]_i_89_n_0\
);
\cr_int[3]_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(2),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_30_n_7\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(10),
O => \cr_int_reg3__0\(2)
);
\cr_int[3]_i_90\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(8),
I1 => rgb888(10),
O => \cr_int[3]_i_90_n_0\
);
\cr_int[3]_i_91\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(9),
O => \cr_int[3]_i_91_n_0\
);
\cr_int[3]_i_92\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(8),
O => \cr_int[3]_i_92_n_0\
);
\cr_int[3]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(12),
I1 => rgb888(10),
O => \cr_int[3]_i_93_n_0\
);
\cr_int[3]_i_94\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(11),
I1 => rgb888(9),
O => \cr_int[3]_i_94_n_0\
);
\cr_int[3]_i_95\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(10),
I1 => rgb888(8),
O => \cr_int[3]_i_95_n_0\
);
\cr_int[3]_i_96\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(9),
O => \cr_int[3]_i_96_n_0\
);
\cr_int[7]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(5),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_30_n_4\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(13),
O => \cr_int_reg3__0\(5)
);
\cr_int[7]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_13\(0),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[7]_1\(3),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[7]_0\(2),
O => \cr_int[7]_i_11_n_0\
);
\cr_int[7]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \cr_int_reg[11]_i_16_n_7\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_18_n_7\,
I3 => \cr_int_reg[11]_i_17_n_0\,
I4 => \cr_int_reg[31]_i_7_n_5\,
O => \cr_int[7]_i_12_n_0\
);
\cr_int[7]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(4),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_30_n_5\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(12),
O => \cr_int_reg3__0\(4)
);
\cr_int[7]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_12\(3),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[7]_1\(2),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[7]_0\(1),
O => \cr_int[7]_i_14_n_0\
);
\cr_int[7]_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \cr_int_reg[11]_i_31_n_4\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_41_n_4\,
I3 => \cr_int_reg[11]_i_17_n_0\,
I4 => \cr_int_reg[31]_i_7_n_6\,
O => \cr_int[7]_i_15_n_0\
);
\cr_int[7]_i_16\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(3),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_30_n_6\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(11),
O => \cr_int_reg3__0\(3)
);
\cr_int[7]_i_17\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_12\(2),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[7]_1\(1),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[7]_0\(0),
O => \cr_int[7]_i_17_n_0\
);
\cr_int[7]_i_18\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \cr_int_reg[11]_i_31_n_5\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_41_n_5\,
I3 => \cr_int_reg[11]_i_17_n_0\,
I4 => \cr_int_reg[31]_i_7_n_7\,
O => \cr_int[7]_i_18_n_0\
);
\cr_int[7]_i_19\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(7),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_11_n_6\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(15),
O => cr_int_reg3(7)
);
\cr_int[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"555556A6AAAA56A6"
)
port map (
I0 => \cr_int[11]_i_22_n_0\,
I1 => cr_int_reg6(15),
I2 => cr_int_reg7,
I3 => \cr_int_reg[31]_i_11_n_6\,
I4 => \cr_int_reg[31]_i_11_n_4\,
I5 => cr_int_reg4(7),
O => \cr_int[7]_i_2_n_0\
);
\cr_int[7]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"7477"
)
port map (
I0 => \cr_int_reg[11]_i_16_n_6\,
I1 => \^cr_int_reg[27]_2\(0),
I2 => \cr_int_reg[11]_i_17_n_0\,
I3 => \cr_int_reg[11]_i_18_n_6\,
O => \cr_int[7]_i_20_n_0\
);
\cr_int[7]_i_21\: unisim.vcomponents.LUT5
generic map(
INIT => X"44477747"
)
port map (
I0 => \rgb888[8]_13\(1),
I1 => \^cr_int_reg[31]_2\(1),
I2 => \^cr_int_reg[11]_0\(0),
I3 => \^cr_int_reg[3]_1\(0),
I4 => \^cr_int_reg[7]_0\(3),
O => \cr_int[7]_i_21_n_0\
);
\cr_int[7]_i_22\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => cr_int_reg4(6),
I1 => \cr_int_reg[31]_i_11_n_4\,
I2 => \cr_int_reg[31]_i_11_n_7\,
I3 => cr_int_reg7,
I4 => cr_int_reg6(14),
O => \cr_int_reg3__0\(6)
);
\cr_int[7]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[7]_0\(2),
O => \cr_int[7]_i_25_n_0\
);
\cr_int[7]_i_26\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[7]_0\(1),
O => \cr_int[7]_i_26_n_0\
);
\cr_int[7]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[7]_0\(0),
O => \cr_int[7]_i_27_n_0\
);
\cr_int[7]_i_28\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^cr_int_reg[3]_0\(2),
O => \cr_int[7]_i_28_n_0\
);
\cr_int[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cr_int_reg3__0\(5),
I1 => \cr_int[7]_i_11_n_0\,
I2 => \cr_int[7]_i_12_n_0\,
O => \cr_int[7]_i_3_n_0\
);
\cr_int[7]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cr_int_reg3__0\(4),
I1 => \cr_int[7]_i_14_n_0\,
I2 => \cr_int[7]_i_15_n_0\,
O => \cr_int[7]_i_4_n_0\
);
\cr_int[7]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cr_int_reg3__0\(3),
I1 => \cr_int[7]_i_17_n_0\,
I2 => \cr_int[7]_i_18_n_0\,
O => \cr_int[7]_i_5_n_0\
);
\cr_int[7]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"99969666"
)
port map (
I0 => cr_int_reg3(7),
I1 => \cr_int[11]_i_22_n_0\,
I2 => \cr_int[7]_i_20_n_0\,
I3 => \cr_int[7]_i_21_n_0\,
I4 => \cr_int_reg3__0\(6),
O => \cr_int[7]_i_6_n_0\
);
\cr_int[7]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int[7]_i_3_n_0\,
I1 => \cr_int[7]_i_20_n_0\,
I2 => \cr_int[7]_i_21_n_0\,
I3 => \cr_int_reg3__0\(6),
O => \cr_int[7]_i_7_n_0\
);
\cr_int[7]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int_reg3__0\(5),
I1 => \cr_int[7]_i_11_n_0\,
I2 => \cr_int[7]_i_12_n_0\,
I3 => \cr_int[7]_i_4_n_0\,
O => \cr_int[7]_i_8_n_0\
);
\cr_int[7]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \cr_int_reg3__0\(4),
I1 => \cr_int[7]_i_14_n_0\,
I2 => \cr_int[7]_i_15_n_0\,
I3 => \cr_int[7]_i_5_n_0\,
O => \cr_int[7]_i_9_n_0\
);
\cr_int_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[3]_i_1_n_7\,
Q => \cr_int_reg_n_0_[0]\,
R => '0'
);
\cr_int_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[11]_i_1_n_5\,
Q => \cr_int_reg__0\(10),
R => '0'
);
\cr_int_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[11]_i_1_n_4\,
Q => \cr_int_reg__0\(11),
R => '0'
);
\cr_int_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[7]_i_1_n_0\,
CO(3) => \cr_int_reg[11]_i_1_n_0\,
CO(2) => \cr_int_reg[11]_i_1_n_1\,
CO(1) => \cr_int_reg[11]_i_1_n_2\,
CO(0) => \cr_int_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr_int[11]_i_2_n_0\,
DI(2) => \cr_int[11]_i_3_n_0\,
DI(1) => \cr_int[11]_i_4_n_0\,
DI(0) => \cr_int[11]_i_5_n_0\,
O(3) => \cr_int_reg[11]_i_1_n_4\,
O(2) => \cr_int_reg[11]_i_1_n_5\,
O(1) => \cr_int_reg[11]_i_1_n_6\,
O(0) => \cr_int_reg[11]_i_1_n_7\,
S(3) => \cr_int[11]_i_6_n_0\,
S(2) => \cr_int[11]_i_7_n_0\,
S(1) => \cr_int[11]_i_8_n_0\,
S(0) => \cr_int[11]_i_9_n_0\
);
\cr_int_reg[11]_i_103\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_125_n_0\,
CO(3) => \cr_int_reg[11]_i_103_n_0\,
CO(2) => \cr_int_reg[11]_i_103_n_1\,
CO(1) => \cr_int_reg[11]_i_103_n_2\,
CO(0) => \cr_int_reg[11]_i_103_n_3\,
CYINIT => '0',
DI(3) => \cr_int[11]_i_126_n_0\,
DI(2) => \cr_int[11]_i_127_n_0\,
DI(1) => \cr_int[11]_i_128_n_0\,
DI(0) => \cr_int[11]_i_129_n_0\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_103_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_130_n_0\,
S(2) => \cr_int[11]_i_131_n_0\,
S(1) => \cr_int[11]_i_132_n_0\,
S(0) => \cr_int[11]_i_133_n_0\
);
\cr_int_reg[11]_i_108\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[11]_i_108_n_0\,
CO(2) => \cr_int_reg[11]_i_108_n_1\,
CO(1) => \cr_int_reg[11]_i_108_n_2\,
CO(0) => \cr_int_reg[11]_i_108_n_3\,
CYINIT => '1',
DI(3) => \cr_int[11]_i_134_n_0\,
DI(2) => \cr_int[11]_i_135_n_0\,
DI(1) => \cr_int[11]_i_136_n_0\,
DI(0) => \cr_int[11]_i_137_n_0\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_108_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_138_n_0\,
S(2) => \cr_int[11]_i_139_n_0\,
S(1) => \cr_int[11]_i_140_n_0\,
S(0) => \cr_int[11]_i_141_n_0\
);
\cr_int_reg[11]_i_116\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[11]_i_116_n_0\,
CO(2) => \cr_int_reg[11]_i_116_n_1\,
CO(1) => \cr_int_reg[11]_i_116_n_2\,
CO(0) => \cr_int_reg[11]_i_116_n_3\,
CYINIT => '1',
DI(3) => \cr_int[11]_i_142_n_0\,
DI(2) => \cr_int[11]_i_143_n_0\,
DI(1) => \cr_int[11]_i_144_n_0\,
DI(0) => \cr_int[11]_i_145_n_0\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_116_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_146_n_0\,
S(2) => \cr_int[11]_i_147_n_0\,
S(1) => \cr_int[11]_i_148_n_0\,
S(0) => \cr_int[11]_i_149_n_0\
);
\cr_int_reg[11]_i_125\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[11]_i_125_n_0\,
CO(2) => \cr_int_reg[11]_i_125_n_1\,
CO(1) => \cr_int_reg[11]_i_125_n_2\,
CO(0) => \cr_int_reg[11]_i_125_n_3\,
CYINIT => '1',
DI(3) => \cr_int[11]_i_150_n_0\,
DI(2) => \cr_int[11]_i_151_n_0\,
DI(1) => \cr_int[11]_i_152_n_0\,
DI(0) => \cb_int_reg[3]_i_94_n_7\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_125_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_153_n_0\,
S(2) => \cr_int[11]_i_154_n_0\,
S(1) => \cr_int[11]_i_155_n_0\,
S(0) => \cr_int[11]_i_156_n_0\
);
\cr_int_reg[11]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_31_n_0\,
CO(3) => \cr_int_reg[11]_i_16_n_0\,
CO(2) => \cr_int_reg[11]_i_16_n_1\,
CO(1) => \cr_int_reg[11]_i_16_n_2\,
CO(0) => \cr_int_reg[11]_i_16_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[11]_i_16_n_4\,
O(2) => \cr_int_reg[11]_i_16_n_5\,
O(1) => \cr_int_reg[11]_i_16_n_6\,
O(0) => \cr_int_reg[11]_i_16_n_7\,
S(3) => \cr_int[11]_i_32_n_0\,
S(2) => \cr_int[11]_i_33_n_0\,
S(1) => \cr_int[11]_i_34_n_0\,
S(0) => \cr_int[11]_i_35_n_0\
);
\cr_int_reg[11]_i_17\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_36_n_0\,
CO(3) => \cr_int_reg[11]_i_17_n_0\,
CO(2) => \cr_int_reg[11]_i_17_n_1\,
CO(1) => \cr_int_reg[11]_i_17_n_2\,
CO(0) => \cr_int_reg[11]_i_17_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \^cr_int_reg[27]_2\(0),
DI(1) => \^cr_int_reg[27]_2\(0),
DI(0) => \^cr_int_reg[27]_2\(0),
O(3 downto 0) => \NLW_cr_int_reg[11]_i_17_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_37_n_0\,
S(2) => \cr_int[11]_i_38_n_0\,
S(1) => \cr_int[11]_i_39_n_0\,
S(0) => \cr_int[11]_i_40_n_0\
);
\cr_int_reg[11]_i_18\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_41_n_0\,
CO(3) => \cr_int_reg[15]_1\(0),
CO(2) => \cr_int_reg[11]_i_18_n_1\,
CO(1) => \cr_int_reg[11]_i_18_n_2\,
CO(0) => \cr_int_reg[11]_i_18_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[11]_i_18_n_4\,
O(2) => \cr_int_reg[11]_i_18_n_5\,
O(1) => \cr_int_reg[11]_i_18_n_6\,
O(0) => \cr_int_reg[11]_i_18_n_7\,
S(3) => \cr_int[11]_i_42_n_0\,
S(2) => \cr_int[11]_i_43_n_0\,
S(1) => \cr_int[11]_i_44_n_0\,
S(0) => \cr_int[11]_i_45_n_0\
);
\cr_int_reg[11]_i_19\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_46_n_0\,
CO(3) => \cr_int_reg[11]_i_19_n_0\,
CO(2) => \cr_int_reg[11]_i_19_n_1\,
CO(1) => \cr_int_reg[11]_i_19_n_2\,
CO(0) => \cr_int_reg[11]_i_19_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg6(16 downto 13),
S(3) => \cr_int[11]_i_47_n_0\,
S(2) => \cr_int[11]_i_48_n_0\,
S(1) => \cr_int[11]_i_49_n_0\,
S(0) => \cr_int[11]_i_50_n_0\
);
\cr_int_reg[11]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_51_n_0\,
CO(3) => cr_int_reg7,
CO(2) => \cr_int_reg[11]_i_20_n_1\,
CO(1) => \cr_int_reg[11]_i_20_n_2\,
CO(0) => \cr_int_reg[11]_i_20_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \cr_int_reg[31]_i_11_n_4\,
DI(1) => \cr_int_reg[31]_i_11_n_4\,
DI(0) => \cr_int_reg[31]_i_11_n_4\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_20_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_52_n_0\,
S(2) => \cr_int[11]_i_53_n_0\,
S(1) => \cr_int[11]_i_54_n_0\,
S(0) => \cr_int[11]_i_55_n_0\
);
\cr_int_reg[11]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_56_n_0\,
CO(3) => \cr_int_reg[11]_i_21_n_0\,
CO(2) => \cr_int_reg[11]_i_21_n_1\,
CO(1) => \cr_int_reg[11]_i_21_n_2\,
CO(0) => \cr_int_reg[11]_i_21_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg4(8 downto 5),
S(3) => \cr_int[11]_i_57_n_0\,
S(2) => \cr_int[11]_i_58_n_0\,
S(1) => \cr_int[11]_i_59_n_0\,
S(0) => \cr_int[11]_i_60_n_0\
);
\cr_int_reg[11]_i_29\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[7]_i_23_n_0\,
CO(3) => \cr_int_reg[11]_i_29_n_0\,
CO(2) => \cr_int_reg[11]_i_29_n_1\,
CO(1) => \cr_int_reg[11]_i_29_n_2\,
CO(0) => \cr_int_reg[11]_i_29_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^cr_int_reg[11]_0\(3 downto 0),
S(3) => \cr_int[11]_i_65_n_0\,
S(2) => \cr_int[11]_i_66_n_0\,
S(1) => \cr_int[11]_i_67_n_0\,
S(0) => \cr_int[11]_i_68_n_0\
);
\cr_int_reg[11]_i_30\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_69_n_0\,
CO(3) => \^cr_int_reg[3]_1\(0),
CO(2) => \cr_int_reg[11]_i_30_n_1\,
CO(1) => \cr_int_reg[11]_i_30_n_2\,
CO(0) => \cr_int_reg[11]_i_30_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \^cr_int_reg[31]_2\(1),
DI(1) => \^cr_int_reg[31]_2\(1),
DI(0) => \^cr_int_reg[31]_2\(1),
O(3 downto 0) => \NLW_cr_int_reg[11]_i_30_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_70_n_0\,
S(2) => \cr_int[11]_i_71_n_0\,
S(1) => \cr_int[11]_i_72_n_0\,
S(0) => \cr_int[11]_i_73_n_0\
);
\cr_int_reg[11]_i_31\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[11]_i_31_n_0\,
CO(2) => \cr_int_reg[11]_i_31_n_1\,
CO(1) => \cr_int_reg[11]_i_31_n_2\,
CO(0) => \cr_int_reg[11]_i_31_n_3\,
CYINIT => \cr_int[11]_i_74_n_0\,
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[11]_i_31_n_4\,
O(2) => \cr_int_reg[11]_i_31_n_5\,
O(1) => \cr_int_reg[11]_i_31_n_6\,
O(0) => \cr_int_reg[11]_i_31_n_7\,
S(3) => \cr_int[11]_i_75_n_0\,
S(2) => \cr_int[11]_i_76_n_0\,
S(1) => \cr_int[11]_i_77_n_0\,
S(0) => \cr_int[11]_i_78_n_0\
);
\cr_int_reg[11]_i_36\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_79_n_0\,
CO(3) => \cr_int_reg[11]_i_36_n_0\,
CO(2) => \cr_int_reg[11]_i_36_n_1\,
CO(1) => \cr_int_reg[11]_i_36_n_2\,
CO(0) => \cr_int_reg[11]_i_36_n_3\,
CYINIT => '0',
DI(3) => \^cr_int_reg[27]_2\(0),
DI(2) => \^cr_int_reg[27]_2\(0),
DI(1) => \^cr_int_reg[27]_2\(0),
DI(0) => \^cr_int_reg[27]_2\(0),
O(3 downto 0) => \NLW_cr_int_reg[11]_i_36_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_80_n_0\,
S(2) => \cr_int[11]_i_81_n_0\,
S(1) => \cr_int[11]_i_82_n_0\,
S(0) => \cr_int[11]_i_83_n_0\
);
\cr_int_reg[11]_i_41\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_32_n_0\,
CO(3) => \cr_int_reg[11]_i_41_n_0\,
CO(2) => \cr_int_reg[11]_i_41_n_1\,
CO(1) => \cr_int_reg[11]_i_41_n_2\,
CO(0) => \cr_int_reg[11]_i_41_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[11]_i_41_n_4\,
O(2) => \cr_int_reg[11]_i_41_n_5\,
O(1) => \cr_int_reg[11]_i_41_n_6\,
O(0) => \cr_int_reg[11]_i_41_n_7\,
S(3) => \cr_int[11]_i_84_n_0\,
S(2) => \cr_int[11]_i_85_n_0\,
S(1) => \cr_int[11]_i_86_n_0\,
S(0) => \cr_int[11]_i_87_n_0\
);
\cr_int_reg[11]_i_46\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_15_n_0\,
CO(3) => \cr_int_reg[11]_i_46_n_0\,
CO(2) => \cr_int_reg[11]_i_46_n_1\,
CO(1) => \cr_int_reg[11]_i_46_n_2\,
CO(0) => \cr_int_reg[11]_i_46_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg6(12 downto 9),
S(3) => \cr_int[11]_i_88_n_0\,
S(2) => \cr_int[11]_i_89_n_0\,
S(1) => \cr_int[11]_i_90_n_0\,
S(0) => \cr_int[11]_i_91_n_0\
);
\cr_int_reg[11]_i_51\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_92_n_0\,
CO(3) => \cr_int_reg[11]_i_51_n_0\,
CO(2) => \cr_int_reg[11]_i_51_n_1\,
CO(1) => \cr_int_reg[11]_i_51_n_2\,
CO(0) => \cr_int_reg[11]_i_51_n_3\,
CYINIT => '0',
DI(3) => \cr_int_reg[31]_i_11_n_4\,
DI(2) => \cr_int_reg[31]_i_11_n_4\,
DI(1) => \cr_int_reg[31]_i_11_n_4\,
DI(0) => \cr_int[11]_i_93_n_0\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_51_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_94_n_0\,
S(2) => \cr_int[11]_i_95_n_0\,
S(1) => \cr_int[11]_i_96_n_0\,
S(0) => \cr_int[11]_i_97_n_0\
);
\cr_int_reg[11]_i_56\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[11]_i_56_n_0\,
CO(2) => \cr_int_reg[11]_i_56_n_1\,
CO(1) => \cr_int_reg[11]_i_56_n_2\,
CO(0) => \cr_int_reg[11]_i_56_n_3\,
CYINIT => \cr_int[11]_i_98_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg4(4 downto 1),
S(3) => \cr_int[11]_i_99_n_0\,
S(2) => \cr_int[11]_i_100_n_0\,
S(1) => \cr_int[11]_i_101_n_0\,
S(0) => \cr_int[11]_i_102_n_0\
);
\cr_int_reg[11]_i_69\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_103_n_0\,
CO(3) => \cr_int_reg[11]_i_69_n_0\,
CO(2) => \cr_int_reg[11]_i_69_n_1\,
CO(1) => \cr_int_reg[11]_i_69_n_2\,
CO(0) => \cr_int_reg[11]_i_69_n_3\,
CYINIT => '0',
DI(3) => \^cr_int_reg[31]_2\(1),
DI(2) => \^cr_int_reg[31]_2\(1),
DI(1) => \^cr_int_reg[31]_2\(1),
DI(0) => \^cr_int_reg[31]_2\(1),
O(3 downto 0) => \NLW_cr_int_reg[11]_i_69_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_104_n_0\,
S(2) => \cr_int[11]_i_105_n_0\,
S(1) => \cr_int[11]_i_106_n_0\,
S(0) => \cr_int[11]_i_107_n_0\
);
\cr_int_reg[11]_i_79\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_108_n_0\,
CO(3) => \cr_int_reg[11]_i_79_n_0\,
CO(2) => \cr_int_reg[11]_i_79_n_1\,
CO(1) => \cr_int_reg[11]_i_79_n_2\,
CO(0) => \cr_int_reg[11]_i_79_n_3\,
CYINIT => '0',
DI(3) => \^cr_int_reg[27]_2\(0),
DI(2) => \cr_int[11]_i_109_n_0\,
DI(1) => \cr_int[11]_i_110_n_0\,
DI(0) => \cr_int[11]_i_111_n_0\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_79_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_112_n_0\,
S(2) => \cr_int[11]_i_113_n_0\,
S(1) => \cr_int[11]_i_114_n_0\,
S(0) => \cr_int[11]_i_115_n_0\
);
\cr_int_reg[11]_i_92\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_116_n_0\,
CO(3) => \cr_int_reg[11]_i_92_n_0\,
CO(2) => \cr_int_reg[11]_i_92_n_1\,
CO(1) => \cr_int_reg[11]_i_92_n_2\,
CO(0) => \cr_int_reg[11]_i_92_n_3\,
CYINIT => '0',
DI(3) => \cr_int[11]_i_117_n_0\,
DI(2) => \cr_int[11]_i_118_n_0\,
DI(1) => \cr_int[11]_i_119_n_0\,
DI(0) => \cr_int[11]_i_120_n_0\,
O(3 downto 0) => \NLW_cr_int_reg[11]_i_92_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[11]_i_121_n_0\,
S(2) => \cr_int[11]_i_122_n_0\,
S(1) => \cr_int[11]_i_123_n_0\,
S(0) => \cr_int[11]_i_124_n_0\
);
\cr_int_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[15]_i_1_n_7\,
Q => \cr_int_reg__0\(12),
R => '0'
);
\cr_int_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[15]_i_1_n_6\,
Q => \cr_int_reg__0\(13),
R => '0'
);
\cr_int_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[15]_i_1_n_5\,
Q => \cr_int_reg__0\(14),
R => '0'
);
\cr_int_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[15]_i_1_n_4\,
Q => \cr_int_reg__0\(15),
R => '0'
);
\cr_int_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_1_n_0\,
CO(3) => \cr_int_reg[15]_i_1_n_0\,
CO(2) => \cr_int_reg[15]_i_1_n_1\,
CO(1) => \cr_int_reg[15]_i_1_n_2\,
CO(0) => \cr_int_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr_int[15]_i_2_n_0\,
DI(2) => \cr_int[15]_i_3_n_0\,
DI(1) => \cr_int[15]_i_4_n_0\,
DI(0) => \cr_int[15]_i_5_n_0\,
O(3) => \cr_int_reg[15]_i_1_n_4\,
O(2) => \cr_int_reg[15]_i_1_n_5\,
O(1) => \cr_int_reg[15]_i_1_n_6\,
O(0) => \cr_int_reg[15]_i_1_n_7\,
S(3) => \cr_int[15]_i_6_n_0\,
S(2) => \cr_int[15]_i_7_n_0\,
S(1) => \cr_int[15]_i_8_n_0\,
S(0) => \cr_int[15]_i_9_n_0\
);
\cr_int_reg[15]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_19_n_0\,
CO(3) => \cr_int_reg[15]_i_20_n_0\,
CO(2) => \cr_int_reg[15]_i_20_n_1\,
CO(1) => \cr_int_reg[15]_i_20_n_2\,
CO(0) => \cr_int_reg[15]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg6(20 downto 17),
S(3) => \cr_int[15]_i_29_n_0\,
S(2) => \cr_int[15]_i_30_n_0\,
S(1) => \cr_int[15]_i_31_n_0\,
S(0) => \cr_int[15]_i_32_n_0\
);
\cr_int_reg[15]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_21_n_0\,
CO(3) => \cr_int_reg[15]_i_21_n_0\,
CO(2) => \cr_int_reg[15]_i_21_n_1\,
CO(1) => \cr_int_reg[15]_i_21_n_2\,
CO(0) => \cr_int_reg[15]_i_21_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg4(12 downto 9),
S(3) => \cr_int[15]_i_33_n_0\,
S(2) => \cr_int[15]_i_34_n_0\,
S(1) => \cr_int[15]_i_35_n_0\,
S(0) => \cr_int[15]_i_36_n_0\
);
\cr_int_reg[15]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_29_n_0\,
CO(3) => \cr_int_reg[15]_i_28_n_0\,
CO(2) => \cr_int_reg[15]_i_28_n_1\,
CO(1) => \cr_int_reg[15]_i_28_n_2\,
CO(0) => \cr_int_reg[15]_i_28_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^cr_int_reg[15]_0\(3 downto 0),
S(3) => \cr_int[15]_i_40_n_0\,
S(2) => \cr_int[15]_i_41_n_0\,
S(1) => \cr_int[15]_i_42_n_0\,
S(0) => \cr_int[15]_i_43_n_0\
);
\cr_int_reg[15]_i_38\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_16_n_0\,
CO(3) => \cr_int_reg[15]_i_38_n_0\,
CO(2) => \cr_int_reg[15]_i_38_n_1\,
CO(1) => \cr_int_reg[15]_i_38_n_2\,
CO(0) => \cr_int_reg[15]_i_38_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[15]_i_38_n_4\,
O(2) => \cr_int_reg[15]_i_38_n_5\,
O(1) => \cr_int_reg[15]_i_38_n_6\,
O(0) => \cr_int_reg[15]_i_38_n_7\,
S(3) => \cr_int[15]_i_48_n_0\,
S(2) => \cr_int[15]_i_49_n_0\,
S(1) => \cr_int[15]_i_50_n_0\,
S(0) => \cr_int[15]_i_51_n_0\
);
\cr_int_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[19]_i_1_n_7\,
Q => \cr_int_reg__0\(16),
R => '0'
);
\cr_int_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[19]_i_1_n_6\,
Q => \cr_int_reg__0\(17),
R => '0'
);
\cr_int_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[19]_i_1_n_5\,
Q => \cr_int_reg__0\(18),
R => '0'
);
\cr_int_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[19]_i_1_n_4\,
Q => \cr_int_reg__0\(19),
R => '0'
);
\cr_int_reg[19]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_1_n_0\,
CO(3) => \cr_int_reg[19]_i_1_n_0\,
CO(2) => \cr_int_reg[19]_i_1_n_1\,
CO(1) => \cr_int_reg[19]_i_1_n_2\,
CO(0) => \cr_int_reg[19]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr_int[19]_i_2_n_0\,
DI(2) => \cr_int[19]_i_3_n_0\,
DI(1) => \cr_int[19]_i_4_n_0\,
DI(0) => \cr_int[19]_i_5_n_0\,
O(3) => \cr_int_reg[19]_i_1_n_4\,
O(2) => \cr_int_reg[19]_i_1_n_5\,
O(1) => \cr_int_reg[19]_i_1_n_6\,
O(0) => \cr_int_reg[19]_i_1_n_7\,
S(3) => \cr_int[19]_i_6_n_0\,
S(2) => \cr_int[19]_i_7_n_0\,
S(1) => \cr_int[19]_i_8_n_0\,
S(0) => \cr_int[19]_i_9_n_0\
);
\cr_int_reg[19]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_20_n_0\,
CO(3) => \cr_int_reg[19]_i_20_n_0\,
CO(2) => \cr_int_reg[19]_i_20_n_1\,
CO(1) => \cr_int_reg[19]_i_20_n_2\,
CO(0) => \cr_int_reg[19]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg6(24 downto 21),
S(3) => \cr_int[19]_i_29_n_0\,
S(2) => \cr_int[19]_i_30_n_0\,
S(1) => \cr_int[19]_i_31_n_0\,
S(0) => \cr_int[19]_i_32_n_0\
);
\cr_int_reg[19]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_21_n_0\,
CO(3) => \cr_int_reg[19]_i_21_n_0\,
CO(2) => \cr_int_reg[19]_i_21_n_1\,
CO(1) => \cr_int_reg[19]_i_21_n_2\,
CO(0) => \cr_int_reg[19]_i_21_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg4(16 downto 13),
S(3) => \cr_int[19]_i_33_n_0\,
S(2) => \cr_int[19]_i_34_n_0\,
S(1) => \cr_int[19]_i_35_n_0\,
S(0) => \cr_int[19]_i_36_n_0\
);
\cr_int_reg[19]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_28_n_0\,
CO(3) => \cr_int_reg[19]_i_28_n_0\,
CO(2) => \cr_int_reg[19]_i_28_n_1\,
CO(1) => \cr_int_reg[19]_i_28_n_2\,
CO(0) => \cr_int_reg[19]_i_28_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^cr_int_reg[19]_0\(3 downto 0),
S(3) => \cr_int[19]_i_38_n_0\,
S(2) => \cr_int[19]_i_39_n_0\,
S(1) => \cr_int[19]_i_40_n_0\,
S(0) => \cr_int[19]_i_41_n_0\
);
\cr_int_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[3]_i_1_n_6\,
Q => \cr_int_reg_n_0_[1]\,
R => '0'
);
\cr_int_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[23]_i_1_n_7\,
Q => \cr_int_reg__0\(20),
R => '0'
);
\cr_int_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[23]_i_1_n_6\,
Q => \cr_int_reg__0\(21),
R => '0'
);
\cr_int_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[23]_i_1_n_5\,
Q => \cr_int_reg__0\(22),
R => '0'
);
\cr_int_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[23]_i_1_n_4\,
Q => \cr_int_reg__0\(23),
R => '0'
);
\cr_int_reg[23]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[19]_i_1_n_0\,
CO(3) => \cr_int_reg[23]_i_1_n_0\,
CO(2) => \cr_int_reg[23]_i_1_n_1\,
CO(1) => \cr_int_reg[23]_i_1_n_2\,
CO(0) => \cr_int_reg[23]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr_int[23]_i_2_n_0\,
DI(2) => \cr_int[23]_i_3_n_0\,
DI(1) => \cr_int[23]_i_4_n_0\,
DI(0) => \cr_int[23]_i_5_n_0\,
O(3) => \cr_int_reg[23]_i_1_n_4\,
O(2) => \cr_int_reg[23]_i_1_n_5\,
O(1) => \cr_int_reg[23]_i_1_n_6\,
O(0) => \cr_int_reg[23]_i_1_n_7\,
S(3) => \cr_int[23]_i_6_n_0\,
S(2) => \cr_int[23]_i_7_n_0\,
S(1) => \cr_int[23]_i_8_n_0\,
S(0) => \cr_int[23]_i_9_n_0\
);
\cr_int_reg[23]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[19]_i_20_n_0\,
CO(3) => \cr_int_reg[23]_i_20_n_0\,
CO(2) => \cr_int_reg[23]_i_20_n_1\,
CO(1) => \cr_int_reg[23]_i_20_n_2\,
CO(0) => \cr_int_reg[23]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg6(28 downto 25),
S(3) => \cr_int[23]_i_27_n_0\,
S(2) => \cr_int[23]_i_28_n_0\,
S(1) => \cr_int[23]_i_29_n_0\,
S(0) => \cr_int[23]_i_30_n_0\
);
\cr_int_reg[24]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[27]_i_1_n_7\,
Q => \cr_int_reg__0\(24),
R => '0'
);
\cr_int_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[27]_i_1_n_6\,
Q => \cr_int_reg__0\(25),
R => '0'
);
\cr_int_reg[26]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[27]_i_1_n_5\,
Q => \cr_int_reg__0\(26),
R => '0'
);
\cr_int_reg[27]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[27]_i_1_n_4\,
Q => \cr_int_reg__0\(27),
R => '0'
);
\cr_int_reg[27]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[23]_i_1_n_0\,
CO(3) => \cr_int_reg[27]_i_1_n_0\,
CO(2) => \cr_int_reg[27]_i_1_n_1\,
CO(1) => \cr_int_reg[27]_i_1_n_2\,
CO(0) => \cr_int_reg[27]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr_int[31]_i_2_n_0\,
DI(2) => \cr_int[31]_i_2_n_0\,
DI(1) => \cr_int[31]_i_2_n_0\,
DI(0) => \cr_int[27]_i_2_n_0\,
O(3) => \cr_int_reg[27]_i_1_n_4\,
O(2) => \cr_int_reg[27]_i_1_n_5\,
O(1) => \cr_int_reg[27]_i_1_n_6\,
O(0) => \cr_int_reg[27]_i_1_n_7\,
S(3) => \cr_int[27]_i_3_n_0\,
S(2) => \cr_int[27]_i_4_n_0\,
S(1) => \cr_int[27]_i_5_n_0\,
S(0) => \cr_int[27]_i_6_n_0\
);
\cr_int_reg[27]_i_9\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[23]_i_20_n_0\,
CO(3 downto 1) => \NLW_cr_int_reg[27]_i_9_CO_UNCONNECTED\(3 downto 1),
CO(0) => \cr_int_reg[27]_i_9_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cr_int_reg[27]_i_9_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => cr_int_reg6(30 downto 29),
S(3 downto 2) => B"00",
S(1) => \cr_int[27]_i_12_n_0\,
S(0) => \cr_int[27]_i_13_n_0\
);
\cr_int_reg[28]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[31]_i_1_n_7\,
Q => \cr_int_reg__0\(28),
R => '0'
);
\cr_int_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[31]_i_1_n_6\,
Q => \cr_int_reg__0\(29),
R => '0'
);
\cr_int_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[3]_i_1_n_5\,
Q => \cr_int_reg_n_0_[2]\,
R => '0'
);
\cr_int_reg[30]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[31]_i_1_n_5\,
Q => \cr_int_reg__0\(30),
R => '0'
);
\cr_int_reg[31]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[31]_i_1_n_4\,
Q => \cr_int_reg__0\(31),
R => '0'
);
\cr_int_reg[31]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[27]_i_1_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_1_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_1_n_1\,
CO(1) => \cr_int_reg[31]_i_1_n_2\,
CO(0) => \cr_int_reg[31]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \cr_int[31]_i_2_n_0\,
DI(1) => \cr_int[31]_i_2_n_0\,
DI(0) => \cr_int[31]_i_2_n_0\,
O(3) => \cr_int_reg[31]_i_1_n_4\,
O(2) => \cr_int_reg[31]_i_1_n_5\,
O(1) => \cr_int_reg[31]_i_1_n_6\,
O(0) => \cr_int_reg[31]_i_1_n_7\,
S(3) => \cr_int[31]_i_3_n_0\,
S(2) => \cr_int[31]_i_4_n_0\,
S(1) => \cr_int[31]_i_5_n_0\,
S(0) => \cr_int[31]_i_6_n_0\
);
\cr_int_reg[31]_i_101\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_64_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_101_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_101_n_1\,
CO(1) => \NLW_cr_int_reg[31]_i_101_CO_UNCONNECTED\(1),
CO(0) => \cr_int_reg[31]_i_101_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1 downto 0) => rgb888(15 downto 14),
O(3 downto 2) => \NLW_cr_int_reg[31]_i_101_O_UNCONNECTED\(3 downto 2),
O(1) => \cr_int_reg[31]_i_101_n_6\,
O(0) => \cr_int_reg[31]_i_101_n_7\,
S(3 downto 2) => B"01",
S(1) => \cr_int[31]_i_121_n_0\,
S(0) => \cr_int[31]_i_122_n_0\
);
\cr_int_reg[31]_i_102\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_70_n_0\,
CO(3) => \cr_int_reg[31]_i_102_n_0\,
CO(2) => \cr_int_reg[31]_i_102_n_1\,
CO(1) => \cr_int_reg[31]_i_102_n_2\,
CO(0) => \cr_int_reg[31]_i_102_n_3\,
CYINIT => '0',
DI(3) => rgb888(14),
DI(2 downto 0) => rgb888(15 downto 13),
O(3) => \cr_int_reg[31]_i_102_n_4\,
O(2) => \cr_int_reg[31]_i_102_n_5\,
O(1) => \cr_int_reg[31]_i_102_n_6\,
O(0) => \cr_int_reg[31]_i_102_n_7\,
S(3) => \cr_int[31]_i_123_n_0\,
S(2) => \cr_int[31]_i_124_n_0\,
S(1) => \cr_int[31]_i_125_n_0\,
S(0) => \cr_int[31]_i_126_n_0\
);
\cr_int_reg[31]_i_11\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_30_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_11_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_11_n_1\,
CO(1) => \cr_int_reg[31]_i_11_n_2\,
CO(0) => \cr_int_reg[31]_i_11_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \cr_int[31]_i_31_n_0\,
O(3) => \cr_int_reg[31]_i_11_n_4\,
O(2) => \cr_int_reg[31]_i_11_n_5\,
O(1) => \cr_int_reg[31]_i_11_n_6\,
O(0) => \cr_int_reg[31]_i_11_n_7\,
S(3) => \cr_int[31]_i_32_n_0\,
S(2) => \cr_int[31]_i_33_n_0\,
S(1) => \cr_int[31]_i_34_n_0\,
S(0) => \cr_int[31]_i_35_n_0\
);
\cr_int_reg[31]_i_12\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_36_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_12_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_12_n_1\,
CO(1) => \NLW_cr_int_reg[31]_i_12_CO_UNCONNECTED\(1),
CO(0) => \cr_int_reg[31]_i_12_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cr_int_reg[31]_i_12_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => cr_int_reg4(22 downto 21),
S(3 downto 2) => B"01",
S(1) => \cr_int[31]_i_37_n_0\,
S(0) => \cr_int[31]_i_38_n_0\
);
\cr_int_reg[31]_i_14\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_39_n_0\,
CO(3) => \cr_int_reg[31]_i_14_n_0\,
CO(2) => \cr_int_reg[31]_i_14_n_1\,
CO(1) => \cr_int_reg[31]_i_14_n_2\,
CO(0) => \cr_int_reg[31]_i_14_n_3\,
CYINIT => '0',
DI(3) => \cr_int[31]_i_40_n_0\,
DI(2) => \cr_int[31]_i_41_n_0\,
DI(1) => \cr_int[31]_i_42_n_0\,
DI(0) => \cr_int[31]_i_43_n_0\,
O(3) => \cr_int_reg[31]_i_14_n_4\,
O(2) => \cr_int_reg[31]_i_14_n_5\,
O(1) => \cr_int_reg[31]_i_14_n_6\,
O(0) => \cr_int_reg[31]_i_14_n_7\,
S(3) => \cr_int[31]_i_44_n_0\,
S(2) => \cr_int[31]_i_45_n_0\,
S(1) => \cr_int[31]_i_46_n_0\,
S(0) => \cr_int[31]_i_47_n_0\
);
\cr_int_reg[31]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_49_n_0\,
CO(3) => \cr_int_reg[31]_i_21_n_0\,
CO(2) => \cr_int_reg[31]_i_21_n_1\,
CO(1) => \cr_int_reg[31]_i_21_n_2\,
CO(0) => \cr_int_reg[31]_i_21_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[31]_i_21_n_4\,
O(2) => \cr_int_reg[31]_i_21_n_5\,
O(1) => \cr_int_reg[31]_i_21_n_6\,
O(0) => \cr_int_reg[31]_i_21_n_7\,
S(3) => \cr_int[31]_i_50_n_0\,
S(2) => \cr_int[31]_i_51_n_0\,
S(1) => \cr_int[31]_i_52_n_0\,
S(0) => \cr_int[31]_i_53_n_0\
);
\cr_int_reg[31]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_19_n_0\,
CO(3) => \cr_int_reg[31]_i_24_n_0\,
CO(2) => \cr_int_reg[31]_i_24_n_1\,
CO(1) => \cr_int_reg[31]_i_24_n_2\,
CO(0) => \cr_int_reg[31]_i_24_n_3\,
CYINIT => '0',
DI(3) => \cr_int[31]_i_55_n_0\,
DI(2) => \cr_int[31]_i_56_n_0\,
DI(1) => \cr_int[31]_i_57_n_0\,
DI(0) => \cr_int[31]_i_58_n_0\,
O(3 downto 0) => \^cr_int_reg[7]_0\(3 downto 0),
S(3) => \cr_int[31]_i_59_n_0\,
S(2) => \cr_int[31]_i_60_n_0\,
S(1) => \cr_int[31]_i_61_n_0\,
S(0) => \cr_int[31]_i_62_n_0\
);
\cr_int_reg[31]_i_30\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_16_n_0\,
CO(3) => \cr_int_reg[31]_i_30_n_0\,
CO(2) => \cr_int_reg[31]_i_30_n_1\,
CO(1) => \cr_int_reg[31]_i_30_n_2\,
CO(0) => \cr_int_reg[31]_i_30_n_3\,
CYINIT => '0',
DI(3) => \cr_int[31]_i_71_n_0\,
DI(2) => \cr_int[31]_i_72_n_0\,
DI(1) => \cr_int[31]_i_73_n_0\,
DI(0) => \cr_int[31]_i_74_n_0\,
O(3) => \cr_int_reg[31]_i_30_n_4\,
O(2) => \cr_int_reg[31]_i_30_n_5\,
O(1) => \cr_int_reg[31]_i_30_n_6\,
O(0) => \cr_int_reg[31]_i_30_n_7\,
S(3) => \cr_int[31]_i_75_n_0\,
S(2) => \cr_int[31]_i_76_n_0\,
S(1) => \cr_int[31]_i_77_n_0\,
S(0) => \cr_int[31]_i_78_n_0\
);
\cr_int_reg[31]_i_36\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[19]_i_21_n_0\,
CO(3) => \cr_int_reg[31]_i_36_n_0\,
CO(2) => \cr_int_reg[31]_i_36_n_1\,
CO(1) => \cr_int_reg[31]_i_36_n_2\,
CO(0) => \cr_int_reg[31]_i_36_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => cr_int_reg4(20 downto 17),
S(3) => \cr_int[31]_i_81_n_0\,
S(2) => \cr_int[31]_i_82_n_0\,
S(1) => \cr_int[31]_i_83_n_0\,
S(0) => \cr_int[31]_i_84_n_0\
);
\cr_int_reg[31]_i_39\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[31]_i_39_n_0\,
CO(2) => \cr_int_reg[31]_i_39_n_1\,
CO(1) => \cr_int_reg[31]_i_39_n_2\,
CO(0) => \cr_int_reg[31]_i_39_n_3\,
CYINIT => '0',
DI(3) => \cr_int[31]_i_85_n_0\,
DI(2) => rgb888(1),
DI(1) => \cr_int_reg[31]_i_86_n_4\,
DI(0) => '0',
O(3) => \cr_int_reg[31]_i_39_n_4\,
O(2) => \cr_int_reg[31]_i_39_n_5\,
O(1) => \cr_int_reg[31]_i_39_n_6\,
O(0) => \cr_int_reg[31]_i_39_n_7\,
S(3) => \cr_int[31]_i_87_n_0\,
S(2) => \cr_int[31]_i_88_n_0\,
S(1) => \cr_int[31]_i_89_n_0\,
S(0) => \cr_int[31]_i_90_n_0\
);
\cr_int_reg[31]_i_48\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_91_n_0\,
CO(3 downto 2) => \NLW_cr_int_reg[31]_i_48_CO_UNCONNECTED\(3 downto 2),
CO(1) => \cr_int_reg[31]_i_48_n_2\,
CO(0) => \NLW_cr_int_reg[31]_i_48_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => rgb888(7),
O(3 downto 1) => \NLW_cr_int_reg[31]_i_48_O_UNCONNECTED\(3 downto 1),
O(0) => \cr_int_reg[31]_i_48_n_7\,
S(3 downto 1) => B"001",
S(0) => \cr_int[31]_i_93_n_0\
);
\cr_int_reg[31]_i_49\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_38_n_0\,
CO(3) => \cr_int_reg[31]_i_49_n_0\,
CO(2) => \cr_int_reg[31]_i_49_n_1\,
CO(1) => \cr_int_reg[31]_i_49_n_2\,
CO(0) => \cr_int_reg[31]_i_49_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[31]_i_49_n_4\,
O(2) => \cr_int_reg[31]_i_49_n_5\,
O(1) => \cr_int_reg[31]_i_49_n_6\,
O(0) => \cr_int_reg[31]_i_49_n_7\,
S(3) => \cr_int[31]_i_94_n_0\,
S(2) => \cr_int[31]_i_95_n_0\,
S(1) => \cr_int[31]_i_96_n_0\,
S(0) => \cr_int[31]_i_97_n_0\
);
\cr_int_reg[31]_i_63\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_102_n_0\,
CO(3 downto 2) => \NLW_cr_int_reg[31]_i_63_CO_UNCONNECTED\(3 downto 2),
CO(1) => \cr_int_reg[31]_i_63_n_2\,
CO(0) => \NLW_cr_int_reg[31]_i_63_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => rgb888(15),
O(3 downto 1) => \NLW_cr_int_reg[31]_i_63_O_UNCONNECTED\(3 downto 1),
O(0) => \cr_int_reg[31]_i_63_n_7\,
S(3 downto 1) => B"001",
S(0) => \cr_int[31]_i_103_n_0\
);
\cr_int_reg[31]_i_69\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_70_n_0\,
CO(3 downto 0) => \NLW_cr_int_reg[31]_i_69_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_cr_int_reg[31]_i_69_O_UNCONNECTED\(3 downto 1),
O(0) => \^cr_int_reg[23]_1\(0),
S(3 downto 1) => B"000",
S(0) => \cr_int[31]_i_108_n_0\
);
\cr_int_reg[31]_i_7\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_14_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_7_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_7_n_1\,
CO(1) => \cr_int_reg[31]_i_7_n_2\,
CO(0) => \cr_int_reg[31]_i_7_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \cr_int[31]_i_15_n_0\,
DI(0) => \cr_int[31]_i_16_n_0\,
O(3) => \^cr_int_reg[27]_2\(0),
O(2) => \cr_int_reg[31]_i_7_n_5\,
O(1) => \cr_int_reg[31]_i_7_n_6\,
O(0) => \cr_int_reg[31]_i_7_n_7\,
S(3) => \cr_int[31]_i_17_n_0\,
S(2) => \cr_int[31]_i_18_n_0\,
S(1) => \cr_int[31]_i_19_n_0\,
S(0) => \cr_int[31]_i_20_n_0\
);
\cr_int_reg[31]_i_70\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[19]_i_28_n_0\,
CO(3) => \cr_int_reg[31]_i_70_n_0\,
CO(2) => \cr_int_reg[31]_i_70_n_1\,
CO(1) => \cr_int_reg[31]_i_70_n_2\,
CO(0) => \cr_int_reg[31]_i_70_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^cr_int_reg[23]_0\(3 downto 0),
S(3) => \cr_int[31]_i_109_n_0\,
S(2) => \cr_int[31]_i_110_n_0\,
S(1) => \cr_int[31]_i_111_n_0\,
S(0) => \cr_int[31]_i_112_n_0\
);
\cr_int_reg[31]_i_8\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_21_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_8_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_8_n_1\,
CO(1) => \NLW_cr_int_reg[31]_i_8_CO_UNCONNECTED\(1),
CO(0) => \cr_int_reg[31]_i_8_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cr_int_reg[31]_i_8_O_UNCONNECTED\(3 downto 2),
O(1) => \cr_int_reg[31]_i_8_n_6\,
O(0) => \cr_int_reg[31]_i_8_n_7\,
S(3 downto 2) => B"01",
S(1) => \cr_int[31]_i_22_n_0\,
S(0) => \cr_int[31]_i_23_n_0\
);
\cr_int_reg[31]_i_86\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[31]_i_86_n_0\,
CO(2) => \cr_int_reg[31]_i_86_n_1\,
CO(1) => \cr_int_reg[31]_i_86_n_2\,
CO(0) => \cr_int_reg[31]_i_86_n_3\,
CYINIT => '0',
DI(3 downto 1) => rgb888(4 downto 2),
DI(0) => '0',
O(3) => \cr_int_reg[31]_i_86_n_4\,
O(2) => \cr_int_reg[31]_i_86_n_5\,
O(1) => \cr_int_reg[31]_i_86_n_6\,
O(0) => \cr_int_reg[31]_i_86_n_7\,
S(3) => \cr_int[31]_i_113_n_0\,
S(2) => \cr_int[31]_i_114_n_0\,
S(1) => \cr_int[31]_i_115_n_0\,
S(0) => \cr_int[31]_i_116_n_0\
);
\cr_int_reg[31]_i_9\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_24_n_0\,
CO(3 downto 1) => \NLW_cr_int_reg[31]_i_9_CO_UNCONNECTED\(3 downto 1),
CO(0) => \cr_int_reg[31]_i_9_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \^di\(0),
O(3 downto 2) => \NLW_cr_int_reg[31]_i_9_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => \^cr_int_reg[31]_2\(1 downto 0),
S(3 downto 2) => B"00",
S(1) => \cr_int[31]_i_25_n_0\,
S(0) => \cr_int[31]_i_26_n_0\
);
\cr_int_reg[31]_i_91\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_86_n_0\,
CO(3) => \cr_int_reg[31]_i_91_n_0\,
CO(2) => \cr_int_reg[31]_i_91_n_1\,
CO(1) => \cr_int_reg[31]_i_91_n_2\,
CO(0) => \cr_int_reg[31]_i_91_n_3\,
CYINIT => '0',
DI(3) => rgb888(6),
DI(2 downto 0) => rgb888(7 downto 5),
O(3) => \cr_int_reg[31]_i_91_n_4\,
O(2) => \cr_int_reg[31]_i_91_n_5\,
O(1) => \cr_int_reg[31]_i_91_n_6\,
O(0) => \cr_int_reg[31]_i_91_n_7\,
S(3) => \cr_int[31]_i_117_n_0\,
S(2) => \cr_int[31]_i_118_n_0\,
S(1) => \cr_int[31]_i_119_n_0\,
S(0) => \cr_int[31]_i_120_n_0\
);
\cr_int_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[3]_i_1_n_4\,
Q => \cr_int_reg_n_0_[3]\,
R => '0'
);
\cr_int_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_1_n_0\,
CO(2) => \cr_int_reg[3]_i_1_n_1\,
CO(1) => \cr_int_reg[3]_i_1_n_2\,
CO(0) => \cr_int_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3) => \cr_int[3]_i_2_n_0\,
DI(2) => \cr_int[3]_i_3_n_0\,
DI(1) => \cr_int[3]_i_4_n_0\,
DI(0) => '1',
O(3) => \cr_int_reg[3]_i_1_n_4\,
O(2) => \cr_int_reg[3]_i_1_n_5\,
O(1) => \cr_int_reg[3]_i_1_n_6\,
O(0) => \cr_int_reg[3]_i_1_n_7\,
S(3) => \cr_int[3]_i_5_n_0\,
S(2) => \cr_int[3]_i_6_n_0\,
S(1) => \cr_int[3]_i_7_n_0\,
S(0) => \cr_int[3]_i_8_n_0\
);
\cr_int_reg[3]_i_15\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_21_n_0\,
CO(3) => \cr_int_reg[3]_i_15_n_0\,
CO(2) => \cr_int_reg[3]_i_15_n_1\,
CO(1) => \cr_int_reg[3]_i_15_n_2\,
CO(0) => \cr_int_reg[3]_i_15_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => cr_int_reg6(8),
O(2 downto 0) => \NLW_cr_int_reg[3]_i_15_O_UNCONNECTED\(2 downto 0),
S(3) => \cr_int[3]_i_22_n_0\,
S(2) => \cr_int[3]_i_23_n_0\,
S(1) => \cr_int[3]_i_24_n_0\,
S(0) => \cr_int[3]_i_25_n_0\
);
\cr_int_reg[3]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_16_n_0\,
CO(2) => \cr_int_reg[3]_i_16_n_1\,
CO(1) => \cr_int_reg[3]_i_16_n_2\,
CO(0) => \cr_int_reg[3]_i_16_n_3\,
CYINIT => '0',
DI(3) => \cr_int_reg[3]_i_26_n_6\,
DI(2) => \cr_int_reg[3]_i_26_n_7\,
DI(1) => \cr_int_reg[3]_i_27_n_4\,
DI(0) => '0',
O(3) => \cr_int_reg[3]_i_16_n_4\,
O(2) => \cr_int_reg[3]_i_16_n_5\,
O(1) => \cr_int_reg[3]_i_16_n_6\,
O(0) => \cr_int_reg[3]_i_16_n_7\,
S(3) => \cr_int[3]_i_28_n_0\,
S(2) => \cr_int[3]_i_29_n_0\,
S(1) => \cr_int[3]_i_30_n_0\,
S(0) => \cr_int[3]_i_31_n_0\
);
\cr_int_reg[3]_i_19\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_33_n_0\,
CO(3) => \cr_int_reg[3]_i_19_n_0\,
CO(2) => \cr_int_reg[3]_i_19_n_1\,
CO(1) => \cr_int_reg[3]_i_19_n_2\,
CO(0) => \cr_int_reg[3]_i_19_n_3\,
CYINIT => '0',
DI(3) => \cr_int[3]_i_34_n_0\,
DI(2) => \cr_int[3]_i_35_n_0\,
DI(1) => \cr_int[3]_i_36_n_0\,
DI(0) => \cr_int[3]_i_37_n_0\,
O(3 downto 1) => \^cr_int_reg[3]_0\(2 downto 0),
O(0) => \cr_int_reg[3]_i_19_n_7\,
S(3) => \cr_int[3]_i_38_n_0\,
S(2) => \cr_int[3]_i_39_n_0\,
S(1) => \cr_int[3]_i_40_n_0\,
S(0) => \cr_int[3]_i_41_n_0\
);
\cr_int_reg[3]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_42_n_0\,
CO(3) => \cr_int_reg[3]_i_20_n_0\,
CO(2) => \cr_int_reg[3]_i_20_n_1\,
CO(1) => \cr_int_reg[3]_i_20_n_2\,
CO(0) => \cr_int_reg[3]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \^cr_int_reg[3]_2\(1 downto 0),
O(1 downto 0) => \NLW_cr_int_reg[3]_i_20_O_UNCONNECTED\(1 downto 0),
S(3) => \cr_int[3]_i_43_n_0\,
S(2) => \cr_int[3]_i_44_n_0\,
S(1) => \cr_int[3]_i_45_n_0\,
S(0) => \cr_int[3]_i_46_n_0\
);
\cr_int_reg[3]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_21_n_0\,
CO(2) => \cr_int_reg[3]_i_21_n_1\,
CO(1) => \cr_int_reg[3]_i_21_n_2\,
CO(0) => \cr_int_reg[3]_i_21_n_3\,
CYINIT => \cr_int[3]_i_47_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_cr_int_reg[3]_i_21_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[3]_i_48_n_0\,
S(2) => \cr_int[3]_i_49_n_0\,
S(1) => \cr_int[3]_i_50_n_0\,
S(0) => \cr_int[3]_i_51_n_0\
);
\cr_int_reg[3]_i_26\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_27_n_0\,
CO(3) => \NLW_cr_int_reg[3]_i_26_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[3]_i_26_n_1\,
CO(1) => \NLW_cr_int_reg[3]_i_26_CO_UNCONNECTED\(1),
CO(0) => \cr_int_reg[3]_i_26_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => rgb888(23),
DI(0) => '0',
O(3 downto 2) => \NLW_cr_int_reg[3]_i_26_O_UNCONNECTED\(3 downto 2),
O(1) => \cr_int_reg[3]_i_26_n_6\,
O(0) => \cr_int_reg[3]_i_26_n_7\,
S(3 downto 2) => B"01",
S(1) => \cr_int[3]_i_52_n_0\,
S(0) => \cr_int[3]_i_53_n_0\
);
\cr_int_reg[3]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_54_n_0\,
CO(3) => \cr_int_reg[3]_i_27_n_0\,
CO(2) => \cr_int_reg[3]_i_27_n_1\,
CO(1) => \cr_int_reg[3]_i_27_n_2\,
CO(0) => \cr_int_reg[3]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => rgb888(21 downto 18),
O(3) => \cr_int_reg[3]_i_27_n_4\,
O(2) => \cr_int_reg[3]_i_27_n_5\,
O(1) => \cr_int_reg[3]_i_27_n_6\,
O(0) => \cr_int_reg[3]_i_27_n_7\,
S(3) => \cr_int[3]_i_55_n_0\,
S(2) => \cr_int[3]_i_56_n_0\,
S(1) => \cr_int[3]_i_57_n_0\,
S(0) => \cr_int[3]_i_58_n_0\
);
\cr_int_reg[3]_i_32\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_59_n_0\,
CO(3) => \cr_int_reg[3]_i_32_n_0\,
CO(2) => \cr_int_reg[3]_i_32_n_1\,
CO(1) => \cr_int_reg[3]_i_32_n_2\,
CO(0) => \cr_int_reg[3]_i_32_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[3]_i_32_n_4\,
O(2 downto 0) => \NLW_cr_int_reg[3]_i_32_O_UNCONNECTED\(2 downto 0),
S(3) => \cr_int[3]_i_60_n_0\,
S(2) => \cr_int[3]_i_61_n_0\,
S(1) => \cr_int[3]_i_62_n_0\,
S(0) => \cr_int[3]_i_63_n_0\
);
\cr_int_reg[3]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_33_n_0\,
CO(2) => \cr_int_reg[3]_i_33_n_1\,
CO(1) => \cr_int_reg[3]_i_33_n_2\,
CO(0) => \cr_int_reg[3]_i_33_n_3\,
CYINIT => '0',
DI(3) => \cr_int_reg[3]_i_64_n_6\,
DI(2) => \cr_int_reg[3]_i_64_n_7\,
DI(1) => \cr_int_reg[3]_i_65_n_4\,
DI(0) => \cr_int_reg[3]_i_65_n_5\,
O(3) => \cr_int_reg[3]_i_33_n_4\,
O(2) => \cr_int_reg[3]_i_33_n_5\,
O(1) => \cr_int_reg[3]_i_33_n_6\,
O(0) => \NLW_cr_int_reg[3]_i_33_O_UNCONNECTED\(0),
S(3) => \cr_int[3]_i_66_n_0\,
S(2) => \cr_int[3]_i_67_n_0\,
S(1) => \cr_int[3]_i_68_n_0\,
S(0) => \cr_int[3]_i_69_n_0\
);
\cr_int_reg[3]_i_42\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_42_n_0\,
CO(2) => \cr_int_reg[3]_i_42_n_1\,
CO(1) => \cr_int_reg[3]_i_42_n_2\,
CO(0) => \cr_int_reg[3]_i_42_n_3\,
CYINIT => \cr_int[3]_i_71_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_cr_int_reg[3]_i_42_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[3]_i_72_n_0\,
S(2) => \cr_int[3]_i_73_n_0\,
S(1) => \cr_int[3]_i_74_n_0\,
S(0) => \cr_int[3]_i_75_n_0\
);
\cr_int_reg[3]_i_54\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_54_n_0\,
CO(2) => \cr_int_reg[3]_i_54_n_1\,
CO(1) => \cr_int_reg[3]_i_54_n_2\,
CO(0) => \cr_int_reg[3]_i_54_n_3\,
CYINIT => '0',
DI(3 downto 2) => rgb888(17 downto 16),
DI(1 downto 0) => B"01",
O(3) => \cr_int_reg[3]_i_54_n_4\,
O(2) => \cr_int_reg[3]_i_54_n_5\,
O(1) => \cr_int_reg[3]_i_54_n_6\,
O(0) => \cr_int_reg[3]_i_54_n_7\,
S(3) => \cr_int[3]_i_76_n_0\,
S(2) => \cr_int[3]_i_77_n_0\,
S(1) => \cr_int[3]_i_78_n_0\,
S(0) => \cr_int[3]_i_79_n_0\
);
\cr_int_reg[3]_i_59\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_59_n_0\,
CO(2) => \cr_int_reg[3]_i_59_n_1\,
CO(1) => \cr_int_reg[3]_i_59_n_2\,
CO(0) => \cr_int_reg[3]_i_59_n_3\,
CYINIT => \cr_int[3]_i_80_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_cr_int_reg[3]_i_59_O_UNCONNECTED\(3 downto 0),
S(3) => \cr_int[3]_i_81_n_0\,
S(2) => \cr_int[3]_i_82_n_0\,
S(1) => \cr_int[3]_i_83_n_0\,
S(0) => \cr_int[3]_i_84_n_0\
);
\cr_int_reg[3]_i_64\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_65_n_0\,
CO(3) => \cr_int_reg[3]_i_64_n_0\,
CO(2) => \cr_int_reg[3]_i_64_n_1\,
CO(1) => \cr_int_reg[3]_i_64_n_2\,
CO(0) => \cr_int_reg[3]_i_64_n_3\,
CYINIT => '0',
DI(3) => rgb888(15),
DI(2 downto 0) => rgb888(12 downto 10),
O(3) => \cr_int_reg[3]_i_64_n_4\,
O(2) => \cr_int_reg[3]_i_64_n_5\,
O(1) => \cr_int_reg[3]_i_64_n_6\,
O(0) => \cr_int_reg[3]_i_64_n_7\,
S(3) => \cr_int[3]_i_85_n_0\,
S(2) => \cr_int[3]_i_86_n_0\,
S(1) => \cr_int[3]_i_87_n_0\,
S(0) => \cr_int[3]_i_88_n_0\
);
\cr_int_reg[3]_i_65\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_65_n_0\,
CO(2) => \cr_int_reg[3]_i_65_n_1\,
CO(1) => \cr_int_reg[3]_i_65_n_2\,
CO(0) => \cr_int_reg[3]_i_65_n_3\,
CYINIT => '0',
DI(3 downto 2) => rgb888(9 downto 8),
DI(1 downto 0) => B"01",
O(3) => \cr_int_reg[3]_i_65_n_4\,
O(2) => \cr_int_reg[3]_i_65_n_5\,
O(1) => \cr_int_reg[3]_i_65_n_6\,
O(0) => \NLW_cr_int_reg[3]_i_65_O_UNCONNECTED\(0),
S(3) => \cr_int[3]_i_89_n_0\,
S(2) => \cr_int[3]_i_90_n_0\,
S(1) => \cr_int[3]_i_91_n_0\,
S(0) => \cr_int[3]_i_92_n_0\
);
\cr_int_reg[3]_i_70\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[3]_i_70_n_0\,
CO(2) => \cr_int_reg[3]_i_70_n_1\,
CO(1) => \cr_int_reg[3]_i_70_n_2\,
CO(0) => \cr_int_reg[3]_i_70_n_3\,
CYINIT => '0',
DI(3 downto 1) => rgb888(12 downto 10),
DI(0) => '0',
O(3) => \cr_int_reg[3]_i_70_n_4\,
O(2) => \cr_int_reg[3]_i_70_n_5\,
O(1) => \cr_int_reg[3]_i_70_n_6\,
O(0) => \cr_int_reg[3]_i_70_n_7\,
S(3) => \cr_int[3]_i_93_n_0\,
S(2) => \cr_int[3]_i_94_n_0\,
S(1) => \cr_int[3]_i_95_n_0\,
S(0) => \cr_int[3]_i_96_n_0\
);
\cr_int_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[7]_i_1_n_7\,
Q => \cr_int_reg_n_0_[4]\,
R => '0'
);
\cr_int_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[7]_i_1_n_6\,
Q => \cr_int_reg_n_0_[5]\,
R => '0'
);
\cr_int_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[7]_i_1_n_5\,
Q => \cr_int_reg_n_0_[6]\,
R => '0'
);
\cr_int_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[7]_i_1_n_4\,
Q => \cr_int_reg_n_0_[7]\,
R => '0'
);
\cr_int_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_1_n_0\,
CO(3) => \cr_int_reg[7]_i_1_n_0\,
CO(2) => \cr_int_reg[7]_i_1_n_1\,
CO(1) => \cr_int_reg[7]_i_1_n_2\,
CO(0) => \cr_int_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr_int[7]_i_2_n_0\,
DI(2) => \cr_int[7]_i_3_n_0\,
DI(1) => \cr_int[7]_i_4_n_0\,
DI(0) => \cr_int[7]_i_5_n_0\,
O(3) => \cr_int_reg[7]_i_1_n_4\,
O(2) => \cr_int_reg[7]_i_1_n_5\,
O(1) => \cr_int_reg[7]_i_1_n_6\,
O(0) => \cr_int_reg[7]_i_1_n_7\,
S(3) => \cr_int[7]_i_6_n_0\,
S(2) => \cr_int[7]_i_7_n_0\,
S(1) => \cr_int[7]_i_8_n_0\,
S(0) => \cr_int[7]_i_9_n_0\
);
\cr_int_reg[7]_i_23\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[3]_i_20_n_0\,
CO(3) => \cr_int_reg[7]_i_23_n_0\,
CO(2) => \cr_int_reg[7]_i_23_n_1\,
CO(1) => \cr_int_reg[7]_i_23_n_2\,
CO(0) => \cr_int_reg[7]_i_23_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^cr_int_reg[7]_1\(3 downto 0),
S(3) => \cr_int[7]_i_25_n_0\,
S(2) => \cr_int[7]_i_26_n_0\,
S(1) => \cr_int[7]_i_27_n_0\,
S(0) => \cr_int[7]_i_28_n_0\
);
\cr_int_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[11]_i_1_n_7\,
Q => \cr_int_reg__0\(8),
R => '0'
);
\cr_int_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \cr_int_reg[11]_i_1_n_6\,
Q => \cr_int_reg__0\(9),
R => '0'
);
\cr_reg[0]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[0]_i_1_n_0\,
Q => cr(0),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[1]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[1]_i_1_n_0\,
Q => cr(1),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[2]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[2]_i_1_n_0\,
Q => cr(2),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[3]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[3]_i_1_n_0\,
Q => cr(3),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[4]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[4]_i_1_n_0\,
Q => cr(4),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[5]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[5]_i_1_n_0\,
Q => cr(5),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[6]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[6]_i_1_n_0\,
Q => cr(6),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[7]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \cr[7]_i_2_n_0\,
Q => cr(7),
S => \cr_reg[7]_i_1_n_0\
);
\cr_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \cr_reg[7]_i_3_n_0\,
CO(3) => \cr_reg[7]_i_1_n_0\,
CO(2) => \cr_reg[7]_i_1_n_1\,
CO(1) => \cr_reg[7]_i_1_n_2\,
CO(0) => \cr_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3) => \cr[7]_i_4_n_0\,
DI(2) => \cr[7]_i_5_n_0\,
DI(1) => \cr[7]_i_6_n_0\,
DI(0) => \cr[7]_i_7_n_0\,
O(3 downto 0) => \NLW_cr_reg[7]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \cr[7]_i_8_n_0\,
S(2) => \cr[7]_i_9_n_0\,
S(1) => \cr[7]_i_10_n_0\,
S(0) => \cr[7]_i_11_n_0\
);
\cr_reg[7]_i_12\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_reg[7]_i_12_n_0\,
CO(2) => \cr_reg[7]_i_12_n_1\,
CO(1) => \cr_reg[7]_i_12_n_2\,
CO(0) => \cr_reg[7]_i_12_n_3\,
CYINIT => '0',
DI(3) => \cr[7]_i_21_n_0\,
DI(2) => \cr[7]_i_22_n_0\,
DI(1) => \cr[7]_i_23_n_0\,
DI(0) => \cr[7]_i_24_n_0\,
O(3 downto 0) => \NLW_cr_reg[7]_i_12_O_UNCONNECTED\(3 downto 0),
S(3) => \cr[7]_i_25_n_0\,
S(2) => \cr[7]_i_26_n_0\,
S(1) => \cr[7]_i_27_n_0\,
S(0) => \cr[7]_i_28_n_0\
);
\cr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \cr_reg[7]_i_12_n_0\,
CO(3) => \cr_reg[7]_i_3_n_0\,
CO(2) => \cr_reg[7]_i_3_n_1\,
CO(1) => \cr_reg[7]_i_3_n_2\,
CO(0) => \cr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3) => \cr[7]_i_13_n_0\,
DI(2) => \cr[7]_i_14_n_0\,
DI(1) => \cr[7]_i_15_n_0\,
DI(0) => \cr[7]_i_16_n_0\,
O(3 downto 0) => \NLW_cr_reg[7]_i_3_O_UNCONNECTED\(3 downto 0),
S(3) => \cr[7]_i_17_n_0\,
S(2) => \cr[7]_i_18_n_0\,
S(1) => \cr[7]_i_19_n_0\,
S(0) => \cr[7]_i_20_n_0\
);
edge_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => edge,
O => edge_i_1_n_0
);
edge_rb_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => edge,
I1 => edge_rb,
O => edge_rb_i_1_n_0
);
edge_rb_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x2,
CE => '1',
D => edge_rb_i_1_n_0,
Q => edge_rb,
R => \hdmi_d[15]_i_1_n_0\
);
edge_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_x2,
CE => '1',
D => edge_i_1_n_0,
Q => edge,
R => '0'
);
\hdmi_clk_bits_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => edge_i_1_n_0,
Q => D1,
R => '0'
);
\hdmi_d[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(2),
I1 => \cr_hold_reg_n_0_[2]\,
I2 => y_hold(2),
I3 => edge_rb,
I4 => y(2),
I5 => edge,
O => \hdmi_d[10]_i_1_n_0\
);
\hdmi_d[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(3),
I1 => \cr_hold_reg_n_0_[3]\,
I2 => y_hold(3),
I3 => edge_rb,
I4 => y(3),
I5 => edge,
O => \hdmi_d[11]_i_1_n_0\
);
\hdmi_d[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(4),
I1 => \cr_hold_reg_n_0_[4]\,
I2 => y_hold(4),
I3 => edge_rb,
I4 => y(4),
I5 => edge,
O => \hdmi_d[12]_i_1_n_0\
);
\hdmi_d[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(5),
I1 => \cr_hold_reg_n_0_[5]\,
I2 => y_hold(5),
I3 => edge_rb,
I4 => y(5),
I5 => edge,
O => \hdmi_d[13]_i_1_n_0\
);
\hdmi_d[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(6),
I1 => \cr_hold_reg_n_0_[6]\,
I2 => y_hold(6),
I3 => edge_rb,
I4 => y(6),
I5 => edge,
O => \hdmi_d[14]_i_1_n_0\
);
\hdmi_d[15]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => active,
O => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(7),
I1 => \cr_hold_reg_n_0_[7]\,
I2 => y_hold(7),
I3 => edge_rb,
I4 => y(7),
I5 => edge,
O => \hdmi_d[15]_i_2_n_0\
);
\hdmi_d[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(0),
I1 => \cr_hold_reg_n_0_[0]\,
I2 => y_hold(0),
I3 => edge_rb,
I4 => y(0),
I5 => edge,
O => \hdmi_d[8]_i_1_n_0\
);
\hdmi_d[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AACCAACCF0FFF000"
)
port map (
I0 => cb_hold(1),
I1 => \cr_hold_reg_n_0_[1]\,
I2 => y_hold(1),
I3 => edge_rb,
I4 => y(1),
I5 => edge,
O => \hdmi_d[9]_i_1_n_0\
);
\hdmi_d_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[10]_i_1_n_0\,
Q => hdmi_d(2),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[11]_i_1_n_0\,
Q => hdmi_d(3),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[12]_i_1_n_0\,
Q => hdmi_d(4),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[13]_i_1_n_0\,
Q => hdmi_d(5),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[14]_i_1_n_0\,
Q => hdmi_d(6),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[15]_i_2_n_0\,
Q => hdmi_d(7),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[8]_i_1_n_0\,
Q => hdmi_d(0),
R => \hdmi_d[15]_i_1_n_0\
);
\hdmi_d_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => \hdmi_d[9]_i_1_n_0\,
Q => hdmi_d(1),
R => \hdmi_d[15]_i_1_n_0\
);
hdmi_de_reg: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => active,
Q => hdmi_de,
R => '0'
);
hdmi_hsync_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => hsync,
O => p_0_in
);
hdmi_hsync_reg: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => p_0_in,
Q => hdmi_hsync,
R => '0'
);
hdmi_vsync_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => vsync,
O => hdmi_vsync_i_1_n_0
);
hdmi_vsync_reg: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => '1',
D => hdmi_vsync_i_1_n_0,
Q => hdmi_vsync,
R => '0'
);
\y[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[0]\,
I1 => \y_int_reg__0\(31),
O => \y[0]_i_1_n_0\
);
\y[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[1]\,
I1 => \y_int_reg__0\(31),
O => \y[1]_i_1_n_0\
);
\y[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[2]\,
I1 => \y_int_reg__0\(31),
O => \y[2]_i_1_n_0\
);
\y[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[3]\,
I1 => \y_int_reg__0\(31),
O => \y[3]_i_1_n_0\
);
\y[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[4]\,
I1 => \y_int_reg__0\(31),
O => \y[4]_i_1_n_0\
);
\y[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[5]\,
I1 => \y_int_reg__0\(31),
O => \y[5]_i_1_n_0\
);
\y[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[6]\,
I1 => \y_int_reg__0\(31),
O => \y[6]_i_1_n_0\
);
\y[7]_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(26),
I1 => \y_int_reg__0\(27),
O => \y[7]_i_10_n_0\
);
\y[7]_i_11\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(24),
I1 => \y_int_reg__0\(25),
O => \y[7]_i_11_n_0\
);
\y[7]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(22),
I1 => \y_int_reg__0\(23),
O => \y[7]_i_13_n_0\
);
\y[7]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(20),
I1 => \y_int_reg__0\(21),
O => \y[7]_i_14_n_0\
);
\y[7]_i_15\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(18),
I1 => \y_int_reg__0\(19),
O => \y[7]_i_15_n_0\
);
\y[7]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(16),
I1 => \y_int_reg__0\(17),
O => \y[7]_i_16_n_0\
);
\y[7]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(22),
I1 => \y_int_reg__0\(23),
O => \y[7]_i_17_n_0\
);
\y[7]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(20),
I1 => \y_int_reg__0\(21),
O => \y[7]_i_18_n_0\
);
\y[7]_i_19\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(18),
I1 => \y_int_reg__0\(19),
O => \y[7]_i_19_n_0\
);
\y[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg_n_0_[7]\,
I1 => \y_int_reg__0\(31),
O => \y[7]_i_2_n_0\
);
\y[7]_i_20\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(16),
I1 => \y_int_reg__0\(17),
O => \y[7]_i_20_n_0\
);
\y[7]_i_21\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(14),
I1 => \y_int_reg__0\(15),
O => \y[7]_i_21_n_0\
);
\y[7]_i_22\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(12),
I1 => \y_int_reg__0\(13),
O => \y[7]_i_22_n_0\
);
\y[7]_i_23\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(10),
I1 => \y_int_reg__0\(11),
O => \y[7]_i_23_n_0\
);
\y[7]_i_24\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(8),
I1 => \y_int_reg__0\(9),
O => \y[7]_i_24_n_0\
);
\y[7]_i_25\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(14),
I1 => \y_int_reg__0\(15),
O => \y[7]_i_25_n_0\
);
\y[7]_i_26\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(12),
I1 => \y_int_reg__0\(13),
O => \y[7]_i_26_n_0\
);
\y[7]_i_27\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(10),
I1 => \y_int_reg__0\(11),
O => \y[7]_i_27_n_0\
);
\y[7]_i_28\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(8),
I1 => \y_int_reg__0\(9),
O => \y[7]_i_28_n_0\
);
\y[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg__0\(30),
I1 => \y_int_reg__0\(31),
O => \y[7]_i_4_n_0\
);
\y[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(28),
I1 => \y_int_reg__0\(29),
O => \y[7]_i_5_n_0\
);
\y[7]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(26),
I1 => \y_int_reg__0\(27),
O => \y[7]_i_6_n_0\
);
\y[7]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg__0\(24),
I1 => \y_int_reg__0\(25),
O => \y[7]_i_7_n_0\
);
\y[7]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(30),
I1 => \y_int_reg__0\(31),
O => \y[7]_i_8_n_0\
);
\y[7]_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg__0\(28),
I1 => \y_int_reg__0\(29),
O => \y[7]_i_9_n_0\
);
\y_hold[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(0),
I1 => y(0),
I2 => edge_rb,
O => p_1_in(0)
);
\y_hold[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(1),
I1 => y(1),
I2 => edge_rb,
O => p_1_in(1)
);
\y_hold[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(2),
I1 => y(2),
I2 => edge_rb,
O => p_1_in(2)
);
\y_hold[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(3),
I1 => y(3),
I2 => edge_rb,
O => p_1_in(3)
);
\y_hold[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(4),
I1 => y(4),
I2 => edge_rb,
O => p_1_in(4)
);
\y_hold[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(5),
I1 => y(5),
I2 => edge_rb,
O => p_1_in(5)
);
\y_hold[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(6),
I1 => y(6),
I2 => edge_rb,
O => p_1_in(6)
);
\y_hold[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => y_hold(7),
I1 => y(7),
I2 => edge_rb,
O => p_1_in(7)
);
\y_hold_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(0),
Q => y_hold(0),
R => '0'
);
\y_hold_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(1),
Q => y_hold(1),
R => '0'
);
\y_hold_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(2),
Q => y_hold(2),
R => '0'
);
\y_hold_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(3),
Q => y_hold(3),
R => '0'
);
\y_hold_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(4),
Q => y_hold(4),
R => '0'
);
\y_hold_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(5),
Q => y_hold(5),
R => '0'
);
\y_hold_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(6),
Q => y_hold(6),
R => '0'
);
\y_hold_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_x2,
CE => edge_i_1_n_0,
D => p_1_in(7),
Q => y_hold(7),
R => '0'
);
\y_int[11]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \y_int_reg[15]_i_33_n_6\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_29\(0),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[11]_i_10_n_0\
);
\y_int[11]_i_100\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(1),
I1 => rgb888(0),
O => \y_int[11]_i_100_n_0\
);
\y_int[11]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(1),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[15]_0\(1),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(10)
);
\y_int[11]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \y_int_reg[15]_i_33_n_7\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_22\(3),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[11]_i_12_n_0\
);
\y_int[11]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(0),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[15]_0\(0),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(9)
);
\y_int[11]_i_16\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[11]_i_38_n_4\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_21\(1),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[8]_22\(2),
O => \y_int[11]_i_16_n_0\
);
\y_int[11]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg2(8),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[11]_i_21_n_4\,
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(8)
);
\y_int[11]_i_18\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(7),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[31]_i_8_n_6\,
I3 => y_int_reg6,
I4 => y_int_reg5(15),
O => y_int_reg20_in(7)
);
\y_int[11]_i_19\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[11]_i_38_n_5\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_21\(0),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[8]_22\(1),
O => \y_int[11]_i_19_n_0\
);
\y_int[11]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(18),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(10),
I4 => \y_int[11]_i_10_n_0\,
I5 => y_int_reg1(10),
O => \y_int[11]_i_2_n_0\
);
\y_int[11]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(11),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(19),
I3 => y_int_reg6,
O => y_int_reg20_in(11)
);
\y_int[11]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(10),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(18),
I3 => y_int_reg6,
O => y_int_reg20_in(10)
);
\y_int[11]_i_25\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(9),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(17),
I3 => y_int_reg6,
O => y_int_reg20_in(9)
);
\y_int[11]_i_26\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(8),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(16),
I3 => y_int_reg6,
O => y_int_reg20_in(8)
);
\y_int[11]_i_29\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[11]_i_29_n_0\
);
\y_int[11]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(17),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(9),
I4 => \y_int[11]_i_12_n_0\,
I5 => y_int_reg1(9),
O => \y_int[11]_i_3_n_0\
);
\y_int[11]_i_30\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_6\,
O => \y_int[11]_i_30_n_0\
);
\y_int[11]_i_31\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_7\,
O => \y_int[11]_i_31_n_0\
);
\y_int[11]_i_32\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_16_n_4\,
O => \y_int[11]_i_32_n_0\
);
\y_int[11]_i_34\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(16),
O => \y_int[11]_i_34_n_0\
);
\y_int[11]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(15),
I1 => y_int_reg6,
I2 => \y_int_reg[31]_i_8_n_6\,
O => \y_int[11]_i_35_n_0\
);
\y_int[11]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(14),
I1 => y_int_reg6,
I2 => \y_int_reg[31]_i_8_n_7\,
O => \y_int[11]_i_36_n_0\
);
\y_int[11]_i_37\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(13),
I1 => y_int_reg6,
I2 => \y_int_reg[31]_i_16_n_4\,
O => \y_int[11]_i_37_n_0\
);
\y_int[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(16),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(8),
I4 => \y_int[11]_i_16_n_0\,
I5 => y_int_reg1(8),
O => \y_int[11]_i_4_n_0\
);
\y_int[11]_i_40\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[11]_i_21_n_4\,
O => \y_int[11]_i_40_n_0\
);
\y_int[11]_i_41\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[11]_i_21_n_5\,
O => \y_int[11]_i_41_n_0\
);
\y_int[11]_i_42\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[11]_i_21_n_6\,
O => \y_int[11]_i_42_n_0\
);
\y_int[11]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \y_int_reg[11]_i_21_n_7\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[31]_i_11_n_5\,
O => \y_int[11]_i_43_n_0\
);
\y_int[11]_i_45\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_45_n_0\
);
\y_int[11]_i_46\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_46_n_0\
);
\y_int[11]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_47_n_0\
);
\y_int[11]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_11_n_5\,
O => \y_int[11]_i_48_n_0\
);
\y_int[11]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"E888E888E8EEE888"
)
port map (
I0 => y_int_reg20_in(7),
I1 => \y_int[11]_i_19_n_0\,
I2 => y_int_reg2(7),
I3 => \^y_int_reg[23]_0\(0),
I4 => \y_int_reg[11]_i_21_n_5\,
I5 => \^y_int_reg[7]_0\(0),
O => \y_int[11]_i_5_n_0\
);
\y_int[11]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_50_n_0\
);
\y_int[11]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_51_n_0\
);
\y_int[11]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_52_n_0\
);
\y_int[11]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_53_n_0\
);
\y_int[11]_i_58\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_16_n_5\,
O => \y_int[11]_i_58_n_0\
);
\y_int[11]_i_59\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_16_n_6\,
O => \y_int[11]_i_59_n_0\
);
\y_int[11]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[11]_i_2_n_0\,
I1 => y_int_reg1(11),
I2 => \y_int[15]_i_18_n_0\,
I3 => y_int_reg20_in(11),
O => \y_int[11]_i_6_n_0\
);
\y_int[11]_i_60\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_16_n_7\,
O => \y_int[11]_i_60_n_0\
);
\y_int[11]_i_61\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_16_n_4\,
O => \y_int[11]_i_61_n_0\
);
\y_int[11]_i_62\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(8),
I1 => y_int_reg6,
I2 => \y_int_reg[3]_i_16_n_5\,
O => \y_int[11]_i_62_n_0\
);
\y_int[11]_i_63\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(12),
I1 => y_int_reg6,
I2 => \y_int_reg[31]_i_16_n_5\,
O => \y_int[11]_i_63_n_0\
);
\y_int[11]_i_64\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(11),
I1 => y_int_reg6,
I2 => \y_int_reg[31]_i_16_n_6\,
O => \y_int[11]_i_64_n_0\
);
\y_int[11]_i_65\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(10),
I1 => y_int_reg6,
I2 => \y_int_reg[31]_i_16_n_7\,
O => \y_int[11]_i_65_n_0\
);
\y_int[11]_i_66\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => y_int_reg5(9),
I1 => y_int_reg6,
I2 => \y_int_reg[3]_i_16_n_4\,
O => \y_int[11]_i_66_n_0\
);
\y_int[11]_i_67\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[8]_22\(2),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_21\(1),
O => \y_int[11]_i_67_n_0\
);
\y_int[11]_i_68\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[8]_22\(1),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_21\(0),
O => \y_int[11]_i_68_n_0\
);
\y_int[11]_i_69\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[8]_22\(0),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_20\(3),
O => \y_int[11]_i_69_n_0\
);
\y_int[11]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[11]_i_3_n_0\,
I1 => y_int_reg1(10),
I2 => \y_int[11]_i_10_n_0\,
I3 => y_int_reg20_in(10),
O => \y_int[11]_i_7_n_0\
);
\y_int[11]_i_70\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[14]_1\(3),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_20\(2),
O => \y_int[11]_i_70_n_0\
);
\y_int[11]_i_71\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \y_int_reg[3]_i_35_n_4\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[31]_i_30_n_6\,
O => \y_int[11]_i_71_n_0\
);
\y_int[11]_i_72\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \y_int_reg[11]_i_44_n_4\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[31]_i_11_n_6\,
O => \y_int[11]_i_72_n_0\
);
\y_int[11]_i_73\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \y_int_reg[11]_i_44_n_5\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[31]_i_11_n_7\,
O => \y_int[11]_i_73_n_0\
);
\y_int[11]_i_74\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \y_int_reg[11]_i_44_n_6\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[31]_i_30_n_4\,
O => \y_int[11]_i_74_n_0\
);
\y_int[11]_i_75\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \y_int_reg[11]_i_44_n_7\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[31]_i_30_n_5\,
O => \y_int[11]_i_75_n_0\
);
\y_int[11]_i_76\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_11_n_6\,
O => \y_int[11]_i_76_n_0\
);
\y_int[11]_i_77\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_11_n_7\,
O => \y_int[11]_i_77_n_0\
);
\y_int[11]_i_78\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_30_n_4\,
O => \y_int[11]_i_78_n_0\
);
\y_int[11]_i_79\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_30_n_5\,
O => \y_int[11]_i_79_n_0\
);
\y_int[11]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[11]_i_4_n_0\,
I1 => y_int_reg1(9),
I2 => \y_int[11]_i_12_n_0\,
I3 => y_int_reg20_in(9),
O => \y_int[11]_i_8_n_0\
);
\y_int[11]_i_81\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_81_n_0\
);
\y_int[11]_i_82\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_82_n_0\
);
\y_int[11]_i_83\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_83_n_0\
);
\y_int[11]_i_84\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_84_n_0\
);
\y_int[11]_i_86\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_11_n_6\,
I1 => \y_int_reg[31]_i_11_n_5\,
O => \y_int[11]_i_86_n_0\
);
\y_int[11]_i_87\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_30_n_4\,
I1 => \y_int_reg[31]_i_11_n_7\,
O => \y_int[11]_i_87_n_0\
);
\y_int[11]_i_88\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_30_n_6\,
I1 => \y_int_reg[31]_i_30_n_5\,
O => \y_int[11]_i_88_n_0\
);
\y_int[11]_i_89\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[11]_i_89_n_0\
);
\y_int[11]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[11]_i_5_n_0\,
I1 => y_int_reg1(8),
I2 => \y_int[11]_i_16_n_0\,
I3 => y_int_reg20_in(8),
O => \y_int[11]_i_9_n_0\
);
\y_int[11]_i_90\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_11_n_5\,
I1 => \y_int_reg[31]_i_11_n_6\,
O => \y_int[11]_i_90_n_0\
);
\y_int[11]_i_91\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_11_n_7\,
I1 => \y_int_reg[31]_i_30_n_4\,
O => \y_int[11]_i_91_n_0\
);
\y_int[11]_i_92\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_30_n_5\,
I1 => \y_int_reg[31]_i_30_n_6\,
O => \y_int[11]_i_92_n_0\
);
\y_int[11]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_62_n_4\,
I1 => \y_int_reg[31]_i_30_n_7\,
O => \y_int[11]_i_93_n_0\
);
\y_int[11]_i_94\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_62_n_6\,
I1 => \y_int_reg[31]_i_62_n_5\,
O => \y_int[11]_i_94_n_0\
);
\y_int[11]_i_95\: unisim.vcomponents.LUT3
generic map(
INIT => X"BE"
)
port map (
I0 => \y_int_reg[31]_i_88_n_6\,
I1 => \y_int_reg[31]_i_88_n_5\,
I2 => rgb888(0),
O => \y_int[11]_i_95_n_0\
);
\y_int[11]_i_96\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => rgb888(0),
I1 => rgb888(1),
O => \y_int[11]_i_96_n_0\
);
\y_int[11]_i_97\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_30_n_7\,
I1 => \y_int_reg[31]_i_62_n_4\,
O => \y_int[11]_i_97_n_0\
);
\y_int[11]_i_98\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_62_n_5\,
I1 => \y_int_reg[31]_i_62_n_6\,
O => \y_int[11]_i_98_n_0\
);
\y_int[11]_i_99\: unisim.vcomponents.LUT3
generic map(
INIT => X"09"
)
port map (
I0 => rgb888(0),
I1 => \y_int_reg[31]_i_88_n_5\,
I2 => \y_int_reg[31]_i_88_n_6\,
O => \y_int[11]_i_99_n_0\
);
\y_int[15]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_28\(1),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_27\(0),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[15]_i_10_n_0\
);
\y_int[15]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(5),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[19]_0\(1),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(14)
);
\y_int[15]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_28\(0),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_29\(3),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[15]_i_12_n_0\
);
\y_int[15]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(4),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[19]_0\(0),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(13)
);
\y_int[15]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \y_int_reg[15]_i_33_n_4\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_29\(2),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[15]_i_16_n_0\
);
\y_int[15]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(3),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[15]_0\(3),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(12)
);
\y_int[15]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \y_int_reg[15]_i_33_n_5\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_29\(1),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[15]_i_18_n_0\
);
\y_int[15]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(2),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[15]_0\(2),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(11)
);
\y_int[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(22),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(14),
I4 => \y_int[15]_i_10_n_0\,
I5 => y_int_reg1(14),
O => \y_int[15]_i_2_n_0\
);
\y_int[15]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(15),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(23),
I3 => y_int_reg6,
O => y_int_reg20_in(15)
);
\y_int[15]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(14),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(22),
I3 => y_int_reg6,
O => y_int_reg20_in(14)
);
\y_int[15]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(13),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(21),
I3 => y_int_reg6,
O => y_int_reg20_in(13)
);
\y_int[15]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(12),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(20),
I3 => y_int_reg6,
O => y_int_reg20_in(12)
);
\y_int[15]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[15]_i_25_n_0\
);
\y_int[15]_i_26\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[15]_i_26_n_0\
);
\y_int[15]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[15]_i_27_n_0\
);
\y_int[15]_i_28\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[15]_i_28_n_0\
);
\y_int[15]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(20),
O => \y_int[15]_i_29_n_0\
);
\y_int[15]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(21),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(13),
I4 => \y_int[15]_i_12_n_0\,
I5 => y_int_reg1(13),
O => \y_int[15]_i_3_n_0\
);
\y_int[15]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(19),
O => \y_int[15]_i_30_n_0\
);
\y_int[15]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(18),
O => \y_int[15]_i_31_n_0\
);
\y_int[15]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(17),
O => \y_int[15]_i_32_n_0\
);
\y_int[15]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(20),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(12),
I4 => \y_int[15]_i_16_n_0\,
I5 => y_int_reg1(12),
O => \y_int[15]_i_4_n_0\
);
\y_int[15]_i_40\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[8]_21\(2),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_29\(2),
O => \y_int[15]_i_40_n_0\
);
\y_int[15]_i_41\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[8]_21\(2),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_29\(1),
O => \y_int[15]_i_41_n_0\
);
\y_int[15]_i_42\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[8]_21\(2),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_29\(0),
O => \y_int[15]_i_42_n_0\
);
\y_int[15]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \rgb888[8]_21\(2),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_22\(3),
O => \y_int[15]_i_43_n_0\
);
\y_int[15]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[15]_i_48_n_0\
);
\y_int[15]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[15]_i_49_n_0\
);
\y_int[15]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(19),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(11),
I4 => \y_int[15]_i_18_n_0\,
I5 => y_int_reg1(11),
O => \y_int[15]_i_5_n_0\
);
\y_int[15]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[15]_i_50_n_0\
);
\y_int[15]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[15]_i_51_n_0\
);
\y_int[15]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[15]_i_2_n_0\,
I1 => y_int_reg1(15),
I2 => \y_int[19]_i_18_n_0\,
I3 => y_int_reg20_in(15),
O => \y_int[15]_i_6_n_0\
);
\y_int[15]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[15]_i_3_n_0\,
I1 => y_int_reg1(14),
I2 => \y_int[15]_i_10_n_0\,
I3 => y_int_reg20_in(14),
O => \y_int[15]_i_7_n_0\
);
\y_int[15]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[15]_i_4_n_0\,
I1 => y_int_reg1(13),
I2 => \y_int[15]_i_12_n_0\,
I3 => y_int_reg20_in(13),
O => \y_int[15]_i_8_n_0\
);
\y_int[15]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[15]_i_5_n_0\,
I1 => y_int_reg1(12),
I2 => \y_int[15]_i_16_n_0\,
I3 => y_int_reg20_in(12),
O => \y_int[15]_i_9_n_0\
);
\y_int[19]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_26\(1),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_25\(0),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[19]_i_10_n_0\
);
\y_int[19]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(9),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[23]_2\(1),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(18)
);
\y_int[19]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_26\(0),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_27\(3),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[19]_i_12_n_0\
);
\y_int[19]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(8),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[23]_2\(0),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(17)
);
\y_int[19]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_28\(3),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_27\(2),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[19]_i_16_n_0\
);
\y_int[19]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(7),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[19]_0\(3),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(16)
);
\y_int[19]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_28\(2),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_27\(1),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[19]_i_18_n_0\
);
\y_int[19]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(6),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[19]_0\(2),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(15)
);
\y_int[19]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(26),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(18),
I4 => \y_int[19]_i_10_n_0\,
I5 => y_int_reg1(18),
O => \y_int[19]_i_2_n_0\
);
\y_int[19]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(19),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(27),
I3 => y_int_reg6,
O => y_int_reg20_in(19)
);
\y_int[19]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(18),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(26),
I3 => y_int_reg6,
O => y_int_reg20_in(18)
);
\y_int[19]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(17),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(25),
I3 => y_int_reg6,
O => y_int_reg20_in(17)
);
\y_int[19]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(16),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(24),
I3 => y_int_reg6,
O => y_int_reg20_in(16)
);
\y_int[19]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[19]_i_25_n_0\
);
\y_int[19]_i_26\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[19]_i_26_n_0\
);
\y_int[19]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[19]_i_27_n_0\
);
\y_int[19]_i_28\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[19]_i_28_n_0\
);
\y_int[19]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(24),
O => \y_int[19]_i_29_n_0\
);
\y_int[19]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(25),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(17),
I4 => \y_int[19]_i_12_n_0\,
I5 => y_int_reg1(17),
O => \y_int[19]_i_3_n_0\
);
\y_int[19]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(23),
O => \y_int[19]_i_30_n_0\
);
\y_int[19]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(22),
O => \y_int[19]_i_31_n_0\
);
\y_int[19]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(21),
O => \y_int[19]_i_32_n_0\
);
\y_int[19]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(24),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(16),
I4 => \y_int[19]_i_16_n_0\,
I5 => y_int_reg1(16),
O => \y_int[19]_i_4_n_0\
);
\y_int[19]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[19]_i_48_n_0\
);
\y_int[19]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[19]_i_49_n_0\
);
\y_int[19]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(23),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(15),
I4 => \y_int[19]_i_18_n_0\,
I5 => y_int_reg1(15),
O => \y_int[19]_i_5_n_0\
);
\y_int[19]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[19]_i_50_n_0\
);
\y_int[19]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[19]_i_51_n_0\
);
\y_int[19]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[19]_i_2_n_0\,
I1 => y_int_reg1(19),
I2 => \y_int[23]_i_20_n_0\,
I3 => y_int_reg20_in(19),
O => \y_int[19]_i_6_n_0\
);
\y_int[19]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[19]_i_3_n_0\,
I1 => y_int_reg1(18),
I2 => \y_int[19]_i_10_n_0\,
I3 => y_int_reg20_in(18),
O => \y_int[19]_i_7_n_0\
);
\y_int[19]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[19]_i_4_n_0\,
I1 => y_int_reg1(17),
I2 => \y_int[19]_i_12_n_0\,
I3 => y_int_reg20_in(17),
O => \y_int[19]_i_8_n_0\
);
\y_int[19]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[19]_i_5_n_0\,
I1 => y_int_reg1(16),
I2 => \y_int[19]_i_16_n_0\,
I3 => y_int_reg20_in(16),
O => \y_int[19]_i_9_n_0\
);
\y_int[23]_i_100\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]_19\(0),
I1 => \^y_int_reg[3]_0\(0),
O => \y_int[23]_i_100_n_0\
);
\y_int[23]_i_101\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[14]\(0),
I1 => \^y_int_reg[3]_0\(3),
O => \y_int[23]_i_101_n_0\
);
\y_int[23]_i_102\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[3]_0\(2),
I1 => \^y_int_reg[3]_0\(1),
O => \y_int[23]_i_102_n_0\
);
\y_int[23]_i_103\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[3]_0\(0),
I1 => \rgb888[8]_19\(0),
O => \y_int[23]_i_103_n_0\
);
\y_int[23]_i_104\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(8),
O => \y_int[23]_i_104_n_0\
);
\y_int[23]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_23\(1),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_24\(0),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[23]_i_12_n_0\
);
\y_int[23]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(13),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[23]_1\(1),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(22)
);
\y_int[23]_i_14\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_23\(0),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_25\(3),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[23]_i_14_n_0\
);
\y_int[23]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(12),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[23]_1\(0),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(21)
);
\y_int[23]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_26\(3),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_25\(2),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[23]_i_18_n_0\
);
\y_int[23]_i_19\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(11),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[23]_2\(3),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(20)
);
\y_int[23]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(30),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(22),
I4 => \y_int[23]_i_12_n_0\,
I5 => y_int_reg1(22),
O => \y_int[23]_i_2_n_0\
);
\y_int[23]_i_20\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[8]_26\(2),
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_25\(1),
I3 => \^y_int_reg[3]_1\(0),
O => \y_int[23]_i_20_n_0\
);
\y_int[23]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => \rgb888[1]\(10),
I1 => \^y_int_reg[23]_0\(0),
I2 => \^y_int_reg[23]_2\(2),
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(19)
);
\y_int[23]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(22),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(30),
I3 => y_int_reg6,
O => y_int_reg20_in(22)
);
\y_int[23]_i_23\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(21),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(29),
I3 => y_int_reg6,
O => y_int_reg20_in(21)
);
\y_int[23]_i_24\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg3(20),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => y_int_reg5(28),
I3 => y_int_reg6,
O => y_int_reg20_in(20)
);
\y_int[23]_i_26\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_26_n_0\
);
\y_int[23]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_27_n_0\
);
\y_int[23]_i_28\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_28_n_0\
);
\y_int[23]_i_29\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_29_n_0\
);
\y_int[23]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(29),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(21),
I4 => \y_int[23]_i_14_n_0\,
I5 => y_int_reg1(21),
O => \y_int[23]_i_3_n_0\
);
\y_int[23]_i_30\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_30_n_0\
);
\y_int[23]_i_31\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_31_n_0\
);
\y_int[23]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_36_n_0\
);
\y_int[23]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_37_n_0\
);
\y_int[23]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_38_n_0\
);
\y_int[23]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_39_n_0\
);
\y_int[23]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(28),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(20),
I4 => \y_int[23]_i_18_n_0\,
I5 => y_int_reg1(20),
O => \y_int[23]_i_4_n_0\
);
\y_int[23]_i_40\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(28),
O => \y_int[23]_i_40_n_0\
);
\y_int[23]_i_41\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(27),
O => \y_int[23]_i_41_n_0\
);
\y_int[23]_i_42\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(26),
O => \y_int[23]_i_42_n_0\
);
\y_int[23]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(25),
O => \y_int[23]_i_43_n_0\
);
\y_int[23]_i_46\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_46_n_0\
);
\y_int[23]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_47_n_0\
);
\y_int[23]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_48_n_0\
);
\y_int[23]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
O => \y_int[23]_i_49_n_0\
);
\y_int[23]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF404F4040000"
)
port map (
I0 => y_int_reg6,
I1 => y_int_reg5(27),
I2 => \y_int_reg[31]_i_8_n_5\,
I3 => y_int_reg3(19),
I4 => \y_int[23]_i_20_n_0\,
I5 => y_int_reg1(19),
O => \y_int[23]_i_5_n_0\
);
\y_int[23]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_52_n_0\
);
\y_int[23]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_53_n_0\
);
\y_int[23]_i_54\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_54_n_0\
);
\y_int[23]_i_55\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_55_n_0\
);
\y_int[23]_i_56\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[23]_i_56_n_0\
);
\y_int[23]_i_57\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[23]_i_57_n_0\
);
\y_int[23]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[23]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[23]_i_6_n_0\
);
\y_int[23]_i_62\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[23]_i_62_n_0\
);
\y_int[23]_i_63\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[23]_i_63_n_0\
);
\y_int[23]_i_64\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[23]_i_64_n_0\
);
\y_int[23]_i_65\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^y_int_reg[23]_0\(0),
O => \y_int[23]_i_65_n_0\
);
\y_int[23]_i_67\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_8_n_7\,
I1 => \y_int_reg[31]_i_8_n_6\,
O => \y_int[23]_i_67_n_0\
);
\y_int[23]_i_68\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_16_n_5\,
I1 => \y_int_reg[31]_i_16_n_4\,
O => \y_int[23]_i_68_n_0\
);
\y_int[23]_i_69\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_16_n_7\,
I1 => \y_int_reg[31]_i_16_n_6\,
O => \y_int[23]_i_69_n_0\
);
\y_int[23]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[23]_i_3_n_0\,
I1 => y_int_reg1(22),
I2 => \y_int[23]_i_12_n_0\,
I3 => y_int_reg20_in(22),
O => \y_int[23]_i_7_n_0\
);
\y_int[23]_i_70\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[3]_i_16_n_5\,
I1 => \y_int_reg[3]_i_16_n_4\,
O => \y_int[23]_i_70_n_0\
);
\y_int[23]_i_71\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_8_n_6\,
I1 => \y_int_reg[31]_i_8_n_7\,
O => \y_int[23]_i_71_n_0\
);
\y_int[23]_i_72\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_16_n_4\,
I1 => \y_int_reg[31]_i_16_n_5\,
O => \y_int[23]_i_72_n_0\
);
\y_int[23]_i_73\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_16_n_6\,
I1 => \y_int_reg[31]_i_16_n_7\,
O => \y_int[23]_i_73_n_0\
);
\y_int[23]_i_74\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_16_n_4\,
I1 => \y_int_reg[3]_i_16_n_5\,
O => \y_int[23]_i_74_n_0\
);
\y_int[23]_i_76\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]_21\(1),
I1 => \rgb888[8]_21\(2),
O => \y_int[23]_i_76_n_0\
);
\y_int[23]_i_77\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_77_n_0\
);
\y_int[23]_i_78\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_78_n_0\
);
\y_int[23]_i_79\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
O => \y_int[23]_i_79_n_0\
);
\y_int[23]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[23]_i_4_n_0\,
I1 => y_int_reg1(21),
I2 => \y_int[23]_i_14_n_0\,
I3 => y_int_reg20_in(21),
O => \y_int[23]_i_8_n_0\
);
\y_int[23]_i_80\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(2),
I1 => \rgb888[8]_21\(1),
O => \y_int[23]_i_80_n_0\
);
\y_int[23]_i_81\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[3]_i_16_n_7\,
I1 => \y_int_reg[3]_i_16_n_6\,
O => \y_int[23]_i_81_n_0\
);
\y_int[23]_i_82\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[3]_i_26_n_5\,
I1 => \y_int_reg[3]_i_26_n_4\,
O => \y_int[23]_i_82_n_0\
);
\y_int[23]_i_83\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[3]_i_26_n_7\,
I1 => \y_int_reg[3]_i_26_n_6\,
O => \y_int[23]_i_83_n_0\
);
\y_int[23]_i_84\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => rgb888(16),
I1 => rgb888(17),
O => \y_int[23]_i_84_n_0\
);
\y_int[23]_i_85\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_16_n_6\,
I1 => \y_int_reg[3]_i_16_n_7\,
O => \y_int[23]_i_85_n_0\
);
\y_int[23]_i_86\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_26_n_4\,
I1 => \y_int_reg[3]_i_26_n_5\,
O => \y_int[23]_i_86_n_0\
);
\y_int[23]_i_87\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_26_n_6\,
I1 => \y_int_reg[3]_i_26_n_7\,
O => \y_int[23]_i_87_n_0\
);
\y_int[23]_i_88\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(17),
I1 => rgb888(16),
O => \y_int[23]_i_88_n_0\
);
\y_int[23]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[23]_i_5_n_0\,
I1 => y_int_reg1(20),
I2 => \y_int[23]_i_18_n_0\,
I3 => y_int_reg20_in(20),
O => \y_int[23]_i_9_n_0\
);
\y_int[23]_i_90\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]_20\(3),
I1 => \rgb888[8]_21\(0),
O => \y_int[23]_i_90_n_0\
);
\y_int[23]_i_91\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[8]_20\(1),
I1 => \rgb888[8]_20\(2),
O => \y_int[23]_i_91_n_0\
);
\y_int[23]_i_92\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[14]\(3),
I1 => \rgb888[8]_20\(0),
O => \y_int[23]_i_92_n_0\
);
\y_int[23]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb888[14]\(1),
I1 => \rgb888[14]\(2),
O => \y_int[23]_i_93_n_0\
);
\y_int[23]_i_94\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_21\(0),
I1 => \rgb888[8]_20\(3),
O => \y_int[23]_i_94_n_0\
);
\y_int[23]_i_95\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_20\(2),
I1 => \rgb888[8]_20\(1),
O => \y_int[23]_i_95_n_0\
);
\y_int[23]_i_96\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[8]_20\(0),
I1 => \rgb888[14]\(3),
O => \y_int[23]_i_96_n_0\
);
\y_int[23]_i_97\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \rgb888[14]\(2),
I1 => \rgb888[14]\(1),
O => \y_int[23]_i_97_n_0\
);
\y_int[23]_i_98\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^y_int_reg[3]_0\(3),
I1 => \rgb888[14]\(0),
O => \y_int[23]_i_98_n_0\
);
\y_int[23]_i_99\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^y_int_reg[3]_0\(1),
I1 => \^y_int_reg[3]_0\(2),
O => \y_int[23]_i_99_n_0\
);
\y_int[27]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[27]_i_2_n_0\
);
\y_int[27]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[27]_i_3_n_0\
);
\y_int[27]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[27]_i_4_n_0\
);
\y_int[27]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[27]_i_5_n_0\
);
\y_int[31]_i_101\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(7),
O => \y_int[31]_i_101_n_0\
);
\y_int[31]_i_104\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(1),
I1 => rgb888(3),
O => \y_int[31]_i_104_n_0\
);
\y_int[31]_i_105\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb888(3),
I1 => rgb888(1),
I2 => rgb888(2),
O => \y_int[31]_i_105_n_0\
);
\y_int[31]_i_106\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(2),
I1 => rgb888(0),
O => \y_int[31]_i_106_n_0\
);
\y_int[31]_i_107\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(1),
O => \y_int[31]_i_107_n_0\
);
\y_int[31]_i_108\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(0),
O => \y_int[31]_i_108_n_0\
);
\y_int[31]_i_109\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(6),
O => \y_int[31]_i_109_n_0\
);
\y_int[31]_i_110\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(7),
I1 => rgb888(5),
O => \y_int[31]_i_110_n_0\
);
\y_int[31]_i_111\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(6),
I1 => rgb888(4),
O => \y_int[31]_i_111_n_0\
);
\y_int[31]_i_112\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(5),
I1 => rgb888(3),
O => \y_int[31]_i_112_n_0\
);
\y_int[31]_i_113\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(4),
I1 => rgb888(2),
O => \y_int[31]_i_113_n_0\
);
\y_int[31]_i_114\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(3),
I1 => rgb888(1),
O => \y_int[31]_i_114_n_0\
);
\y_int[31]_i_115\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(2),
I1 => rgb888(0),
O => \y_int[31]_i_115_n_0\
);
\y_int[31]_i_116\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(1),
O => \y_int[31]_i_116_n_0\
);
\y_int[31]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \rgb888[8]_21\(2),
I1 => \rgb888[8]_30\(0),
O => \y_int[31]_i_13_n_0\
);
\y_int[31]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(30),
O => \y_int[31]_i_14_n_0\
);
\y_int[31]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_8_n_5\,
I1 => y_int_reg6,
I2 => y_int_reg5(29),
O => \y_int[31]_i_15_n_0\
);
\y_int[31]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => rgb888(20),
I1 => rgb888(18),
I2 => rgb888(19),
I3 => rgb888(21),
I4 => rgb888(22),
I5 => rgb888(23),
O => \y_int[31]_i_17_n_0\
);
\y_int[31]_i_18\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => rgb888(23),
I1 => rgb888(20),
I2 => rgb888(18),
I3 => rgb888(19),
I4 => rgb888(21),
I5 => rgb888(22),
O => \y_int[31]_i_18_n_0\
);
\y_int[31]_i_19\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => rgb888(23),
I1 => rgb888(20),
I2 => rgb888(18),
I3 => rgb888(19),
I4 => rgb888(21),
I5 => rgb888(22),
O => \y_int[31]_i_19_n_0\
);
\y_int[31]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0040004044F40040"
)
port map (
I0 => \y_int_reg[31]_i_7_n_1\,
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \rgb888[8]_21\(2),
I3 => \rgb888[8]_30\(0),
I4 => \^y_int_reg[23]_0\(0),
I5 => \rgb888[1]_0\(0),
O => \y_int[31]_i_2_n_0\
);
\y_int[31]_i_20\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000007FFFFFFF"
)
port map (
I0 => rgb888(22),
I1 => rgb888(21),
I2 => rgb888(19),
I3 => rgb888(18),
I4 => rgb888(20),
I5 => rgb888(23),
O => \y_int[31]_i_20_n_0\
);
\y_int[31]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[31]_i_3_n_0\
);
\y_int[31]_i_32\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \rgb888[0]_7\(3),
I1 => \y_int_reg[31]_i_75_n_2\,
O => \y_int[31]_i_32_n_0\
);
\y_int[31]_i_33\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \rgb888[0]_9\(2),
O => \y_int[31]_i_33_n_0\
);
\y_int[31]_i_34\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \rgb888[0]_9\(2),
O => \y_int[31]_i_34_n_0\
);
\y_int[31]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \y_int_reg[31]_i_75_n_2\,
I1 => \rgb888[0]_9\(0),
I2 => \rgb888[0]_9\(1),
O => \y_int[31]_i_35_n_0\
);
\y_int[31]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"36"
)
port map (
I0 => \rgb888[0]_7\(3),
I1 => \rgb888[0]_9\(0),
I2 => \y_int_reg[31]_i_75_n_2\,
O => \y_int[31]_i_36_n_0\
);
\y_int[31]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[31]_i_4_n_0\
);
\y_int[31]_i_40\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => rgb888(20),
I1 => rgb888(18),
I2 => rgb888(19),
I3 => rgb888(21),
I4 => rgb888(22),
O => \y_int[31]_i_40_n_0\
);
\y_int[31]_i_41\: unisim.vcomponents.LUT5
generic map(
INIT => X"BEEEEEEE"
)
port map (
I0 => \y_int_reg[3]_i_64_n_2\,
I1 => rgb888(21),
I2 => rgb888(20),
I3 => rgb888(18),
I4 => rgb888(19),
O => \y_int[31]_i_41_n_0\
);
\y_int[31]_i_42\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FD51540"
)
port map (
I0 => \y_int_reg[3]_i_64_n_2\,
I1 => rgb888(18),
I2 => rgb888(19),
I3 => rgb888(20),
I4 => rgb888(23),
O => \y_int[31]_i_42_n_0\
);
\y_int[31]_i_43\: unisim.vcomponents.LUT4
generic map(
INIT => X"BE28"
)
port map (
I0 => \y_int_reg[3]_i_64_n_7\,
I1 => rgb888(18),
I2 => rgb888(19),
I3 => rgb888(22),
O => \y_int[31]_i_43_n_0\
);
\y_int[31]_i_44\: unisim.vcomponents.LUT6
generic map(
INIT => X"A999999999999999"
)
port map (
I0 => rgb888(23),
I1 => rgb888(22),
I2 => rgb888(21),
I3 => rgb888(19),
I4 => rgb888(18),
I5 => rgb888(20),
O => \y_int[31]_i_44_n_0\
);
\y_int[31]_i_45\: unisim.vcomponents.LUT6
generic map(
INIT => X"6CC9C9C9C9C9C9C9"
)
port map (
I0 => \y_int_reg[3]_i_64_n_2\,
I1 => rgb888(22),
I2 => rgb888(21),
I3 => rgb888(19),
I4 => rgb888(18),
I5 => rgb888(20),
O => \y_int[31]_i_45_n_0\
);
\y_int[31]_i_46\: unisim.vcomponents.LUT6
generic map(
INIT => X"157FEA807FEA8015"
)
port map (
I0 => rgb888(23),
I1 => rgb888(19),
I2 => rgb888(18),
I3 => rgb888(20),
I4 => rgb888(21),
I5 => \y_int_reg[3]_i_64_n_2\,
O => \y_int[31]_i_46_n_0\
);
\y_int[31]_i_47\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996699669"
)
port map (
I0 => \y_int[31]_i_43_n_0\,
I1 => \y_int_reg[3]_i_64_n_2\,
I2 => rgb888(23),
I3 => rgb888(20),
I4 => rgb888(19),
I5 => rgb888(18),
O => \y_int[31]_i_47_n_0\
);
\y_int[31]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[31]_i_5_n_0\
);
\y_int[31]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"659A659A9A65659A"
)
port map (
I0 => \y_int[31]_i_2_n_0\,
I1 => \rgb888[1]_0\(0),
I2 => \^y_int_reg[23]_0\(0),
I3 => \y_int[31]_i_13_n_0\,
I4 => \y_int_reg[31]_i_8_n_5\,
I5 => \y_int_reg[31]_i_7_n_1\,
O => \y_int[31]_i_6_n_0\
);
\y_int[31]_i_63\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \rgb888[0]_7\(2),
I1 => \y_int_reg[31]_i_75_n_7\,
O => \y_int[31]_i_63_n_0\
);
\y_int[31]_i_64\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \y_int_reg[31]_i_87_n_4\,
I1 => \rgb888[0]_7\(1),
O => \y_int[31]_i_64_n_0\
);
\y_int[31]_i_65\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \y_int_reg[31]_i_87_n_4\,
I1 => \rgb888[0]_7\(1),
O => \y_int[31]_i_65_n_0\
);
\y_int[31]_i_66\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \y_int_reg[31]_i_86_n_4\,
I1 => \y_int_reg[31]_i_87_n_6\,
O => \y_int[31]_i_66_n_0\
);
\y_int[31]_i_67\: unisim.vcomponents.LUT4
generic map(
INIT => X"7887"
)
port map (
I0 => \y_int_reg[31]_i_75_n_7\,
I1 => \rgb888[0]_7\(2),
I2 => \y_int_reg[31]_i_75_n_2\,
I3 => \rgb888[0]_7\(3),
O => \y_int[31]_i_67_n_0\
);
\y_int[31]_i_68\: unisim.vcomponents.LUT4
generic map(
INIT => X"E11E"
)
port map (
I0 => \rgb888[0]_7\(1),
I1 => \y_int_reg[31]_i_87_n_4\,
I2 => \rgb888[0]_7\(2),
I3 => \y_int_reg[31]_i_75_n_7\,
O => \y_int[31]_i_68_n_0\
);
\y_int[31]_i_69\: unisim.vcomponents.LUT4
generic map(
INIT => X"6999"
)
port map (
I0 => \rgb888[0]_7\(1),
I1 => \y_int_reg[31]_i_87_n_4\,
I2 => \y_int_reg[31]_i_87_n_5\,
I3 => \rgb888[0]_7\(0),
O => \y_int[31]_i_69_n_0\
);
\y_int[31]_i_70\: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => \y_int_reg[31]_i_87_n_6\,
I1 => \y_int_reg[31]_i_86_n_4\,
I2 => \rgb888[0]_7\(0),
I3 => \y_int_reg[31]_i_87_n_5\,
O => \y_int[31]_i_70_n_0\
);
\y_int[31]_i_89\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \y_int_reg[31]_i_86_n_5\,
I1 => \y_int_reg[31]_i_86_n_4\,
I2 => \y_int_reg[31]_i_87_n_6\,
O => \y_int[31]_i_89_n_0\
);
\y_int[31]_i_90\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \y_int_reg[31]_i_86_n_5\,
I1 => \y_int_reg[31]_i_87_n_7\,
O => \y_int[31]_i_90_n_0\
);
\y_int[31]_i_91\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_int_reg[31]_i_88_n_4\,
I1 => \y_int_reg[31]_i_86_n_6\,
O => \y_int[31]_i_91_n_0\
);
\y_int[31]_i_92\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_int_reg[31]_i_88_n_5\,
I1 => rgb888(0),
O => \y_int[31]_i_92_n_0\
);
\y_int[3]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[7]_i_24_n_6\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[14]\(3),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[14]_1\(0),
O => \y_int[3]_i_10_n_0\
);
\y_int[3]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg2(2),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[31]_i_30_n_4\,
I3 => \^y_int_reg[7]_0\(0),
I4 => \y_int_reg[11]_i_44_n_6\,
O => y_int_reg1(2)
);
\y_int[3]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(1),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[3]_i_16_n_4\,
I3 => y_int_reg6,
I4 => y_int_reg5(9),
O => y_int_reg20_in(1)
);
\y_int[3]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[7]_i_24_n_7\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[14]\(2),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[14]_0\(1),
O => \y_int[3]_i_13_n_0\
);
\y_int[3]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg2(1),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[31]_i_30_n_5\,
I3 => \^y_int_reg[7]_0\(0),
I4 => \y_int_reg[11]_i_44_n_7\,
O => y_int_reg1(1)
);
\y_int[3]_i_17\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \rgb888[14]\(1),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[14]_0\(0),
O => \y_int[3]_i_17_n_0\
);
\y_int[3]_i_18\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \y_int_reg[31]_i_30_n_6\,
I1 => \^y_int_reg[7]_0\(0),
I2 => \y_int_reg[3]_i_35_n_4\,
O => \y_int[3]_i_18_n_0\
);
\y_int[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => y_int_reg20_in(2),
I1 => \y_int[3]_i_10_n_0\,
I2 => y_int_reg1(2),
O => \y_int[3]_i_2_n_0\
);
\y_int[3]_i_22\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_16_n_5\,
O => \y_int[3]_i_22_n_0\
);
\y_int[3]_i_23\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_16_n_6\,
O => \y_int[3]_i_23_n_0\
);
\y_int[3]_i_24\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_16_n_7\,
O => \y_int[3]_i_24_n_0\
);
\y_int[3]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_26_n_4\,
O => \y_int[3]_i_25_n_0\
);
\y_int[3]_i_27\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => rgb888(18),
I1 => \y_int_reg[3]_i_30_n_4\,
I2 => rgb888(21),
O => \y_int[3]_i_27_n_0\
);
\y_int[3]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \y_int_reg[3]_i_30_n_5\,
I1 => rgb888(17),
I2 => rgb888(20),
O => \y_int[3]_i_28_n_0\
);
\y_int[3]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \y_int_reg[3]_i_30_n_5\,
I1 => rgb888(17),
I2 => rgb888(20),
O => \y_int[3]_i_29_n_0\
);
\y_int[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => y_int_reg20_in(1),
I1 => \y_int[3]_i_13_n_0\,
I2 => y_int_reg1(1),
O => \y_int[3]_i_3_n_0\
);
\y_int[3]_i_31\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \y_int[3]_i_27_n_0\,
I1 => rgb888(22),
I2 => rgb888(19),
I3 => rgb888(18),
I4 => \y_int_reg[3]_i_64_n_7\,
O => \y_int[3]_i_31_n_0\
);
\y_int[3]_i_32\: unisim.vcomponents.LUT6
generic map(
INIT => X"E81717E817E8E817"
)
port map (
I0 => rgb888(20),
I1 => rgb888(17),
I2 => \y_int_reg[3]_i_30_n_5\,
I3 => rgb888(21),
I4 => rgb888(18),
I5 => \y_int_reg[3]_i_30_n_4\,
O => \y_int[3]_i_32_n_0\
);
\y_int[3]_i_33\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969696"
)
port map (
I0 => rgb888(20),
I1 => rgb888(17),
I2 => \y_int_reg[3]_i_30_n_5\,
I3 => rgb888(19),
I4 => rgb888(16),
O => \y_int[3]_i_33_n_0\
);
\y_int[3]_i_34\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(16),
I1 => rgb888(19),
I2 => \y_int_reg[3]_i_30_n_6\,
O => \y_int[3]_i_34_n_0\
);
\y_int[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE2E200"
)
port map (
I0 => y_int_reg5(8),
I1 => y_int_reg6,
I2 => \y_int_reg[3]_i_16_n_5\,
I3 => \y_int[3]_i_17_n_0\,
I4 => \y_int[3]_i_18_n_0\,
O => \y_int[3]_i_4_n_0\
);
\y_int[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => y_int_reg20_in(3),
I1 => \y_int[7]_i_19_n_0\,
I2 => y_int_reg1(3),
I3 => \y_int[3]_i_2_n_0\,
O => \y_int[3]_i_5_n_0\
);
\y_int[3]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(16),
O => \y_int[3]_i_50_n_0\
);
\y_int[3]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_26_n_5\,
O => \y_int[3]_i_51_n_0\
);
\y_int[3]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_26_n_6\,
O => \y_int[3]_i_52_n_0\
);
\y_int[3]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_26_n_7\,
O => \y_int[3]_i_53_n_0\
);
\y_int[3]_i_54\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(17),
O => \y_int[3]_i_54_n_0\
);
\y_int[3]_i_56\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_int_reg[3]_i_30_n_7\,
I1 => rgb888(18),
O => \y_int[3]_i_56_n_0\
);
\y_int[3]_i_57\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_int_reg[3]_i_55_n_4\,
I1 => rgb888(17),
O => \y_int[3]_i_57_n_0\
);
\y_int[3]_i_58\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \y_int_reg[3]_i_55_n_5\,
I1 => rgb888(16),
O => \y_int[3]_i_58_n_0\
);
\y_int[3]_i_59\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \y_int_reg[3]_i_55_n_6\,
O => \y_int[3]_i_59_n_0\
);
\y_int[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => y_int_reg20_in(2),
I1 => \y_int[3]_i_10_n_0\,
I2 => y_int_reg1(2),
I3 => \y_int[3]_i_3_n_0\,
O => \y_int[3]_i_6_n_0\
);
\y_int[3]_i_60\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(22),
O => \y_int[3]_i_60_n_0\
);
\y_int[3]_i_61\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(23),
I1 => rgb888(21),
O => \y_int[3]_i_61_n_0\
);
\y_int[3]_i_62\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(22),
I1 => rgb888(20),
O => \y_int[3]_i_62_n_0\
);
\y_int[3]_i_63\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(21),
I1 => rgb888(19),
O => \y_int[3]_i_63_n_0\
);
\y_int[3]_i_66\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_30_n_6\,
O => \y_int[3]_i_66_n_0\
);
\y_int[3]_i_67\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_30_n_7\,
O => \y_int[3]_i_67_n_0\
);
\y_int[3]_i_68\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_62_n_4\,
O => \y_int[3]_i_68_n_0\
);
\y_int[3]_i_69\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_62_n_5\,
O => \y_int[3]_i_69_n_0\
);
\y_int[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => y_int_reg20_in(1),
I1 => \y_int[3]_i_13_n_0\,
I2 => y_int_reg1(1),
I3 => \y_int[3]_i_4_n_0\,
O => \y_int[3]_i_7_n_0\
);
\y_int[3]_i_71\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \rgb888[8]_32\(1),
I1 => rgb888(10),
O => \y_int[3]_i_71_n_0\
);
\y_int[3]_i_72\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \rgb888[8]_32\(0),
I1 => rgb888(9),
O => \y_int[3]_i_72_n_0\
);
\y_int[3]_i_73\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \rgb888[8]_19\(2),
I1 => rgb888(8),
O => \y_int[3]_i_73_n_0\
);
\y_int[3]_i_74\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \rgb888[8]_19\(1),
O => \y_int[3]_i_74_n_0\
);
\y_int[3]_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"E21D1DE2"
)
port map (
I0 => y_int_reg5(8),
I1 => y_int_reg6,
I2 => \y_int_reg[3]_i_16_n_5\,
I3 => \y_int[3]_i_17_n_0\,
I4 => \y_int[3]_i_18_n_0\,
O => \y_int[3]_i_8_n_0\
);
\y_int[3]_i_84\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(20),
I1 => rgb888(18),
O => \y_int[3]_i_84_n_0\
);
\y_int[3]_i_85\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(19),
I1 => rgb888(17),
O => \y_int[3]_i_85_n_0\
);
\y_int[3]_i_86\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(18),
I1 => rgb888(16),
O => \y_int[3]_i_86_n_0\
);
\y_int[3]_i_87\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(17),
O => \y_int[3]_i_87_n_0\
);
\y_int[3]_i_88\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(23),
O => \y_int[3]_i_88_n_0\
);
\y_int[3]_i_89\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_62_n_6\,
O => \y_int[3]_i_89_n_0\
);
\y_int[3]_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(2),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[31]_i_16_n_7\,
I3 => y_int_reg6,
I4 => y_int_reg5(10),
O => y_int_reg20_in(2)
);
\y_int[3]_i_90\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(0),
I1 => \y_int_reg[31]_i_88_n_5\,
O => \y_int[3]_i_90_n_0\
);
\y_int[3]_i_91\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_88_n_6\,
O => \y_int[3]_i_91_n_0\
);
\y_int[3]_i_92\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(1),
O => \y_int[3]_i_92_n_0\
);
\y_int[7]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(6),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[31]_i_8_n_7\,
I3 => y_int_reg6,
I4 => y_int_reg5(14),
O => y_int_reg20_in(6)
);
\y_int[7]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[11]_i_38_n_6\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_20\(3),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[8]_22\(0),
O => \y_int[7]_i_11_n_0\
);
\y_int[7]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(5),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[31]_i_16_n_4\,
I3 => y_int_reg6,
I4 => y_int_reg5(13),
O => y_int_reg20_in(5)
);
\y_int[7]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[11]_i_38_n_7\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_20\(2),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[14]_1\(3),
O => \y_int[7]_i_13_n_0\
);
\y_int[7]_i_14\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg2(5),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[31]_i_11_n_5\,
I3 => \^y_int_reg[7]_0\(0),
I4 => \y_int_reg[11]_i_21_n_7\,
O => y_int_reg1(5)
);
\y_int[7]_i_15\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(4),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[31]_i_16_n_5\,
I3 => y_int_reg6,
I4 => y_int_reg5(12),
O => y_int_reg20_in(4)
);
\y_int[7]_i_16\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[7]_i_24_n_4\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_20\(1),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[14]_1\(2),
O => \y_int[7]_i_16_n_0\
);
\y_int[7]_i_17\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg2(4),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[31]_i_11_n_6\,
I3 => \^y_int_reg[7]_0\(0),
I4 => \y_int_reg[11]_i_44_n_4\,
O => y_int_reg1(4)
);
\y_int[7]_i_18\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg3(3),
I1 => \y_int_reg[31]_i_8_n_5\,
I2 => \y_int_reg[31]_i_16_n_6\,
I3 => y_int_reg6,
I4 => y_int_reg5(11),
O => y_int_reg20_in(3)
);
\y_int[7]_i_19\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \y_int_reg[7]_i_24_n_5\,
I1 => \rgb888[8]_21\(2),
I2 => \rgb888[8]_20\(0),
I3 => \^y_int_reg[3]_1\(0),
I4 => \rgb888[14]_1\(1),
O => \y_int[7]_i_19_n_0\
);
\y_int[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"E888E888E8EEE888"
)
port map (
I0 => y_int_reg20_in(6),
I1 => \y_int[7]_i_11_n_0\,
I2 => y_int_reg2(6),
I3 => \^y_int_reg[23]_0\(0),
I4 => \y_int_reg[11]_i_21_n_6\,
I5 => \^y_int_reg[7]_0\(0),
O => \y_int[7]_i_2_n_0\
);
\y_int[7]_i_20\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => y_int_reg2(3),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[31]_i_11_n_7\,
I3 => \^y_int_reg[7]_0\(0),
I4 => \y_int_reg[11]_i_44_n_5\,
O => y_int_reg1(3)
);
\y_int[7]_i_21\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg2(7),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[11]_i_21_n_5\,
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(7)
);
\y_int[7]_i_22\: unisim.vcomponents.LUT4
generic map(
INIT => X"88B8"
)
port map (
I0 => y_int_reg2(6),
I1 => \^y_int_reg[23]_0\(0),
I2 => \y_int_reg[11]_i_21_n_6\,
I3 => \^y_int_reg[7]_0\(0),
O => y_int_reg1(6)
);
\y_int[7]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[14]_0\(0),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[14]\(1),
O => \y_int[7]_i_29_n_0\
);
\y_int[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => y_int_reg20_in(5),
I1 => \y_int[7]_i_13_n_0\,
I2 => y_int_reg1(5),
O => \y_int[7]_i_3_n_0\
);
\y_int[7]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[14]_1\(2),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_20\(1),
O => \y_int[7]_i_30_n_0\
);
\y_int[7]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[14]_1\(1),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[8]_20\(0),
O => \y_int[7]_i_31_n_0\
);
\y_int[7]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[14]_1\(0),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[14]\(3),
O => \y_int[7]_i_32_n_0\
);
\y_int[7]_i_33\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \rgb888[14]_0\(1),
I1 => \^y_int_reg[3]_1\(0),
I2 => \rgb888[14]\(2),
O => \y_int[7]_i_33_n_0\
);
\y_int[7]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => y_int_reg20_in(4),
I1 => \y_int[7]_i_16_n_0\,
I2 => y_int_reg1(4),
O => \y_int[7]_i_4_n_0\
);
\y_int[7]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => y_int_reg20_in(3),
I1 => \y_int[7]_i_19_n_0\,
I2 => y_int_reg1(3),
O => \y_int[7]_i_5_n_0\
);
\y_int[7]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[7]_i_2_n_0\,
I1 => y_int_reg1(7),
I2 => \y_int[11]_i_19_n_0\,
I3 => y_int_reg20_in(7),
O => \y_int[7]_i_6_n_0\
);
\y_int[7]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \y_int[7]_i_3_n_0\,
I1 => y_int_reg1(6),
I2 => \y_int[7]_i_11_n_0\,
I3 => y_int_reg20_in(6),
O => \y_int[7]_i_7_n_0\
);
\y_int[7]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => y_int_reg20_in(5),
I1 => \y_int[7]_i_13_n_0\,
I2 => y_int_reg1(5),
I3 => \y_int[7]_i_4_n_0\,
O => \y_int[7]_i_8_n_0\
);
\y_int[7]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => y_int_reg20_in(4),
I1 => \y_int[7]_i_16_n_0\,
I2 => y_int_reg1(4),
I3 => \y_int[7]_i_5_n_0\,
O => \y_int[7]_i_9_n_0\
);
\y_int_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[3]_i_1_n_7\,
Q => \y_int_reg_n_0_[0]\,
R => '0'
);
\y_int_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[11]_i_1_n_5\,
Q => \y_int_reg__0\(10),
R => '0'
);
\y_int_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[11]_i_1_n_4\,
Q => \y_int_reg__0\(11),
R => '0'
);
\y_int_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[7]_i_1_n_0\,
CO(3) => \y_int_reg[11]_i_1_n_0\,
CO(2) => \y_int_reg[11]_i_1_n_1\,
CO(1) => \y_int_reg[11]_i_1_n_2\,
CO(0) => \y_int_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[11]_i_2_n_0\,
DI(2) => \y_int[11]_i_3_n_0\,
DI(1) => \y_int[11]_i_4_n_0\,
DI(0) => \y_int[11]_i_5_n_0\,
O(3) => \y_int_reg[11]_i_1_n_4\,
O(2) => \y_int_reg[11]_i_1_n_5\,
O(1) => \y_int_reg[11]_i_1_n_6\,
O(0) => \y_int_reg[11]_i_1_n_7\,
S(3) => \y_int[11]_i_6_n_0\,
S(2) => \y_int[11]_i_7_n_0\,
S(1) => \y_int[11]_i_8_n_0\,
S(0) => \y_int[11]_i_9_n_0\
);
\y_int_reg[11]_i_14\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_28_n_0\,
CO(3) => \y_int_reg[11]_i_14_n_0\,
CO(2) => \y_int_reg[11]_i_14_n_1\,
CO(1) => \y_int_reg[11]_i_14_n_2\,
CO(0) => \y_int_reg[11]_i_14_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg5(16 downto 13),
S(3) => \y_int[11]_i_29_n_0\,
S(2) => \y_int[11]_i_30_n_0\,
S(1) => \y_int[11]_i_31_n_0\,
S(0) => \y_int[11]_i_32_n_0\
);
\y_int_reg[11]_i_15\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_33_n_0\,
CO(3) => \y_int_reg[11]_i_15_n_0\,
CO(2) => \y_int_reg[11]_i_15_n_1\,
CO(1) => \y_int_reg[11]_i_15_n_2\,
CO(0) => \y_int_reg[11]_i_15_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg3(8 downto 5),
S(3) => \y_int[11]_i_34_n_0\,
S(2) => \y_int[11]_i_35_n_0\,
S(1) => \y_int[11]_i_36_n_0\,
S(0) => \y_int[11]_i_37_n_0\
);
\y_int_reg[11]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_39_n_0\,
CO(3) => \y_int_reg[15]_1\(0),
CO(2) => \y_int_reg[11]_i_20_n_1\,
CO(1) => \y_int_reg[11]_i_20_n_2\,
CO(0) => \y_int_reg[11]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg2(8 downto 5),
S(3) => \y_int[11]_i_40_n_0\,
S(2) => \y_int[11]_i_41_n_0\,
S(1) => \y_int[11]_i_42_n_0\,
S(0) => \y_int[11]_i_43_n_0\
);
\y_int_reg[11]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_44_n_0\,
CO(3) => \y_int_reg[11]_i_21_n_0\,
CO(2) => \y_int_reg[11]_i_21_n_1\,
CO(1) => \y_int_reg[11]_i_21_n_2\,
CO(0) => \y_int_reg[11]_i_21_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[11]_i_21_n_4\,
O(2) => \y_int_reg[11]_i_21_n_5\,
O(1) => \y_int_reg[11]_i_21_n_6\,
O(0) => \y_int_reg[11]_i_21_n_7\,
S(3) => \y_int[11]_i_45_n_0\,
S(2) => \y_int[11]_i_46_n_0\,
S(1) => \y_int[11]_i_47_n_0\,
S(0) => \y_int[11]_i_48_n_0\
);
\y_int_reg[11]_i_22\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_49_n_0\,
CO(3) => \^y_int_reg[7]_0\(0),
CO(2) => \y_int_reg[11]_i_22_n_1\,
CO(1) => \y_int_reg[11]_i_22_n_2\,
CO(0) => \y_int_reg[11]_i_22_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \^y_int_reg[23]_0\(0),
DI(1) => \^y_int_reg[23]_0\(0),
DI(0) => \^y_int_reg[23]_0\(0),
O(3 downto 0) => \NLW_y_int_reg[11]_i_22_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[11]_i_50_n_0\,
S(2) => \y_int[11]_i_51_n_0\,
S(1) => \y_int[11]_i_52_n_0\,
S(0) => \y_int[11]_i_53_n_0\
);
\y_int_reg[11]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_15_n_0\,
CO(3) => \y_int_reg[11]_i_28_n_0\,
CO(2) => \y_int_reg[11]_i_28_n_1\,
CO(1) => \y_int_reg[11]_i_28_n_2\,
CO(0) => \y_int_reg[11]_i_28_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg5(12 downto 9),
S(3) => \y_int[11]_i_58_n_0\,
S(2) => \y_int[11]_i_59_n_0\,
S(1) => \y_int[11]_i_60_n_0\,
S(0) => \y_int[11]_i_61_n_0\
);
\y_int_reg[11]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[11]_i_33_n_0\,
CO(2) => \y_int_reg[11]_i_33_n_1\,
CO(1) => \y_int_reg[11]_i_33_n_2\,
CO(0) => \y_int_reg[11]_i_33_n_3\,
CYINIT => \y_int[11]_i_62_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg3(4 downto 1),
S(3) => \y_int[11]_i_63_n_0\,
S(2) => \y_int[11]_i_64_n_0\,
S(1) => \y_int[11]_i_65_n_0\,
S(0) => \y_int[11]_i_66_n_0\
);
\y_int_reg[11]_i_38\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[7]_i_24_n_0\,
CO(3) => \y_int_reg[11]_i_38_n_0\,
CO(2) => \y_int_reg[11]_i_38_n_1\,
CO(1) => \y_int_reg[11]_i_38_n_2\,
CO(0) => \y_int_reg[11]_i_38_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[11]_i_38_n_4\,
O(2) => \y_int_reg[11]_i_38_n_5\,
O(1) => \y_int_reg[11]_i_38_n_6\,
O(0) => \y_int_reg[11]_i_38_n_7\,
S(3) => \y_int[11]_i_67_n_0\,
S(2) => \y_int[11]_i_68_n_0\,
S(1) => \y_int[11]_i_69_n_0\,
S(0) => \y_int[11]_i_70_n_0\
);
\y_int_reg[11]_i_39\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[11]_i_39_n_0\,
CO(2) => \y_int_reg[11]_i_39_n_1\,
CO(1) => \y_int_reg[11]_i_39_n_2\,
CO(0) => \y_int_reg[11]_i_39_n_3\,
CYINIT => \y_int[11]_i_71_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg2(4 downto 1),
S(3) => \y_int[11]_i_72_n_0\,
S(2) => \y_int[11]_i_73_n_0\,
S(1) => \y_int[11]_i_74_n_0\,
S(0) => \y_int[11]_i_75_n_0\
);
\y_int_reg[11]_i_44\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_35_n_0\,
CO(3) => \y_int_reg[11]_i_44_n_0\,
CO(2) => \y_int_reg[11]_i_44_n_1\,
CO(1) => \y_int_reg[11]_i_44_n_2\,
CO(0) => \y_int_reg[11]_i_44_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[11]_i_44_n_4\,
O(2) => \y_int_reg[11]_i_44_n_5\,
O(1) => \y_int_reg[11]_i_44_n_6\,
O(0) => \y_int_reg[11]_i_44_n_7\,
S(3) => \y_int[11]_i_76_n_0\,
S(2) => \y_int[11]_i_77_n_0\,
S(1) => \y_int[11]_i_78_n_0\,
S(0) => \y_int[11]_i_79_n_0\
);
\y_int_reg[11]_i_49\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_80_n_0\,
CO(3) => \y_int_reg[11]_i_49_n_0\,
CO(2) => \y_int_reg[11]_i_49_n_1\,
CO(1) => \y_int_reg[11]_i_49_n_2\,
CO(0) => \y_int_reg[11]_i_49_n_3\,
CYINIT => '0',
DI(3) => \^y_int_reg[23]_0\(0),
DI(2) => \^y_int_reg[23]_0\(0),
DI(1) => \^y_int_reg[23]_0\(0),
DI(0) => \^y_int_reg[23]_0\(0),
O(3 downto 0) => \NLW_y_int_reg[11]_i_49_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[11]_i_81_n_0\,
S(2) => \y_int[11]_i_82_n_0\,
S(1) => \y_int[11]_i_83_n_0\,
S(0) => \y_int[11]_i_84_n_0\
);
\y_int_reg[11]_i_80\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_85_n_0\,
CO(3) => \y_int_reg[11]_i_80_n_0\,
CO(2) => \y_int_reg[11]_i_80_n_1\,
CO(1) => \y_int_reg[11]_i_80_n_2\,
CO(0) => \y_int_reg[11]_i_80_n_3\,
CYINIT => '0',
DI(3) => \^y_int_reg[23]_0\(0),
DI(2) => \y_int[11]_i_86_n_0\,
DI(1) => \y_int[11]_i_87_n_0\,
DI(0) => \y_int[11]_i_88_n_0\,
O(3 downto 0) => \NLW_y_int_reg[11]_i_80_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[11]_i_89_n_0\,
S(2) => \y_int[11]_i_90_n_0\,
S(1) => \y_int[11]_i_91_n_0\,
S(0) => \y_int[11]_i_92_n_0\
);
\y_int_reg[11]_i_85\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[11]_i_85_n_0\,
CO(2) => \y_int_reg[11]_i_85_n_1\,
CO(1) => \y_int_reg[11]_i_85_n_2\,
CO(0) => \y_int_reg[11]_i_85_n_3\,
CYINIT => '1',
DI(3) => \y_int[11]_i_93_n_0\,
DI(2) => \y_int[11]_i_94_n_0\,
DI(1) => \y_int[11]_i_95_n_0\,
DI(0) => \y_int[11]_i_96_n_0\,
O(3 downto 0) => \NLW_y_int_reg[11]_i_85_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[11]_i_97_n_0\,
S(2) => \y_int[11]_i_98_n_0\,
S(1) => \y_int[11]_i_99_n_0\,
S(0) => \y_int[11]_i_100_n_0\
);
\y_int_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[15]_i_1_n_7\,
Q => \y_int_reg__0\(12),
R => '0'
);
\y_int_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[15]_i_1_n_6\,
Q => \y_int_reg__0\(13),
R => '0'
);
\y_int_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[15]_i_1_n_5\,
Q => \y_int_reg__0\(14),
R => '0'
);
\y_int_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[15]_i_1_n_4\,
Q => \y_int_reg__0\(15),
R => '0'
);
\y_int_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_1_n_0\,
CO(3) => \y_int_reg[15]_i_1_n_0\,
CO(2) => \y_int_reg[15]_i_1_n_1\,
CO(1) => \y_int_reg[15]_i_1_n_2\,
CO(0) => \y_int_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[15]_i_2_n_0\,
DI(2) => \y_int[15]_i_3_n_0\,
DI(1) => \y_int[15]_i_4_n_0\,
DI(0) => \y_int[15]_i_5_n_0\,
O(3) => \y_int_reg[15]_i_1_n_4\,
O(2) => \y_int_reg[15]_i_1_n_5\,
O(1) => \y_int_reg[15]_i_1_n_6\,
O(0) => \y_int_reg[15]_i_1_n_7\,
S(3) => \y_int[15]_i_6_n_0\,
S(2) => \y_int[15]_i_7_n_0\,
S(1) => \y_int[15]_i_8_n_0\,
S(0) => \y_int[15]_i_9_n_0\
);
\y_int_reg[15]_i_14\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_14_n_0\,
CO(3) => \y_int_reg[15]_i_14_n_0\,
CO(2) => \y_int_reg[15]_i_14_n_1\,
CO(1) => \y_int_reg[15]_i_14_n_2\,
CO(0) => \y_int_reg[15]_i_14_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg5(20 downto 17),
S(3) => \y_int[15]_i_25_n_0\,
S(2) => \y_int[15]_i_26_n_0\,
S(1) => \y_int[15]_i_27_n_0\,
S(0) => \y_int[15]_i_28_n_0\
);
\y_int_reg[15]_i_15\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_15_n_0\,
CO(3) => \y_int_reg[15]_i_15_n_0\,
CO(2) => \y_int_reg[15]_i_15_n_1\,
CO(1) => \y_int_reg[15]_i_15_n_2\,
CO(0) => \y_int_reg[15]_i_15_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg3(12 downto 9),
S(3) => \y_int[15]_i_29_n_0\,
S(2) => \y_int[15]_i_30_n_0\,
S(1) => \y_int[15]_i_31_n_0\,
S(0) => \y_int[15]_i_32_n_0\
);
\y_int_reg[15]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_38_n_0\,
CO(3) => \y_int_reg[19]_1\(0),
CO(2) => \y_int_reg[15]_i_33_n_1\,
CO(1) => \y_int_reg[15]_i_33_n_2\,
CO(0) => \y_int_reg[15]_i_33_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[15]_i_33_n_4\,
O(2) => \y_int_reg[15]_i_33_n_5\,
O(1) => \y_int_reg[15]_i_33_n_6\,
O(0) => \y_int_reg[15]_i_33_n_7\,
S(3) => \y_int[15]_i_40_n_0\,
S(2) => \y_int[15]_i_41_n_0\,
S(1) => \y_int[15]_i_42_n_0\,
S(0) => \y_int[15]_i_43_n_0\
);
\y_int_reg[15]_i_35\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_21_n_0\,
CO(3) => \y_int_reg[15]_i_35_n_0\,
CO(2) => \y_int_reg[15]_i_35_n_1\,
CO(1) => \y_int_reg[15]_i_35_n_2\,
CO(0) => \y_int_reg[15]_i_35_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^y_int_reg[15]_0\(3 downto 0),
S(3) => \y_int[15]_i_48_n_0\,
S(2) => \y_int[15]_i_49_n_0\,
S(1) => \y_int[15]_i_50_n_0\,
S(0) => \y_int[15]_i_51_n_0\
);
\y_int_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[19]_i_1_n_7\,
Q => \y_int_reg__0\(16),
R => '0'
);
\y_int_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[19]_i_1_n_6\,
Q => \y_int_reg__0\(17),
R => '0'
);
\y_int_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[19]_i_1_n_5\,
Q => \y_int_reg__0\(18),
R => '0'
);
\y_int_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[19]_i_1_n_4\,
Q => \y_int_reg__0\(19),
R => '0'
);
\y_int_reg[19]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[15]_i_1_n_0\,
CO(3) => \y_int_reg[19]_i_1_n_0\,
CO(2) => \y_int_reg[19]_i_1_n_1\,
CO(1) => \y_int_reg[19]_i_1_n_2\,
CO(0) => \y_int_reg[19]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[19]_i_2_n_0\,
DI(2) => \y_int[19]_i_3_n_0\,
DI(1) => \y_int[19]_i_4_n_0\,
DI(0) => \y_int[19]_i_5_n_0\,
O(3) => \y_int_reg[19]_i_1_n_4\,
O(2) => \y_int_reg[19]_i_1_n_5\,
O(1) => \y_int_reg[19]_i_1_n_6\,
O(0) => \y_int_reg[19]_i_1_n_7\,
S(3) => \y_int[19]_i_6_n_0\,
S(2) => \y_int[19]_i_7_n_0\,
S(1) => \y_int[19]_i_8_n_0\,
S(0) => \y_int[19]_i_9_n_0\
);
\y_int_reg[19]_i_14\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[15]_i_14_n_0\,
CO(3) => \y_int_reg[19]_i_14_n_0\,
CO(2) => \y_int_reg[19]_i_14_n_1\,
CO(1) => \y_int_reg[19]_i_14_n_2\,
CO(0) => \y_int_reg[19]_i_14_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg5(24 downto 21),
S(3) => \y_int[19]_i_25_n_0\,
S(2) => \y_int[19]_i_26_n_0\,
S(1) => \y_int[19]_i_27_n_0\,
S(0) => \y_int[19]_i_28_n_0\
);
\y_int_reg[19]_i_15\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[15]_i_15_n_0\,
CO(3) => \y_int_reg[19]_i_15_n_0\,
CO(2) => \y_int_reg[19]_i_15_n_1\,
CO(1) => \y_int_reg[19]_i_15_n_2\,
CO(0) => \y_int_reg[19]_i_15_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg3(16 downto 13),
S(3) => \y_int[19]_i_29_n_0\,
S(2) => \y_int[19]_i_30_n_0\,
S(1) => \y_int[19]_i_31_n_0\,
S(0) => \y_int[19]_i_32_n_0\
);
\y_int_reg[19]_i_35\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[15]_i_35_n_0\,
CO(3) => \y_int_reg[19]_i_35_n_0\,
CO(2) => \y_int_reg[19]_i_35_n_1\,
CO(1) => \y_int_reg[19]_i_35_n_2\,
CO(0) => \y_int_reg[19]_i_35_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^y_int_reg[19]_0\(3 downto 0),
S(3) => \y_int[19]_i_48_n_0\,
S(2) => \y_int[19]_i_49_n_0\,
S(1) => \y_int[19]_i_50_n_0\,
S(0) => \y_int[19]_i_51_n_0\
);
\y_int_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[3]_i_1_n_6\,
Q => \y_int_reg_n_0_[1]\,
R => '0'
);
\y_int_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[23]_i_1_n_7\,
Q => \y_int_reg__0\(20),
R => '0'
);
\y_int_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[23]_i_1_n_6\,
Q => \y_int_reg__0\(21),
R => '0'
);
\y_int_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[23]_i_1_n_5\,
Q => \y_int_reg__0\(22),
R => '0'
);
\y_int_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[23]_i_1_n_4\,
Q => \y_int_reg__0\(23),
R => '0'
);
\y_int_reg[23]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_1_n_0\,
CO(3) => \y_int_reg[23]_i_1_n_0\,
CO(2) => \y_int_reg[23]_i_1_n_1\,
CO(1) => \y_int_reg[23]_i_1_n_2\,
CO(0) => \y_int_reg[23]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[23]_i_2_n_0\,
DI(2) => \y_int[23]_i_3_n_0\,
DI(1) => \y_int[23]_i_4_n_0\,
DI(0) => \y_int[23]_i_5_n_0\,
O(3) => \y_int_reg[23]_i_1_n_4\,
O(2) => \y_int_reg[23]_i_1_n_5\,
O(1) => \y_int_reg[23]_i_1_n_6\,
O(0) => \y_int_reg[23]_i_1_n_7\,
S(3) => \y_int[23]_i_6_n_0\,
S(2) => \y_int[23]_i_7_n_0\,
S(1) => \y_int[23]_i_8_n_0\,
S(0) => \y_int[23]_i_9_n_0\
);
\y_int_reg[23]_i_10\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_25_n_0\,
CO(3) => y_int_reg6,
CO(2) => \y_int_reg[23]_i_10_n_1\,
CO(1) => \y_int_reg[23]_i_10_n_2\,
CO(0) => \y_int_reg[23]_i_10_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \y_int_reg[31]_i_8_n_5\,
DI(1) => \y_int_reg[31]_i_8_n_5\,
DI(0) => \y_int_reg[31]_i_8_n_5\,
O(3 downto 0) => \NLW_y_int_reg[23]_i_10_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_26_n_0\,
S(2) => \y_int[23]_i_27_n_0\,
S(1) => \y_int[23]_i_28_n_0\,
S(0) => \y_int[23]_i_29_n_0\
);
\y_int_reg[23]_i_11\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_16_n_0\,
CO(3 downto 1) => \NLW_y_int_reg[23]_i_11_CO_UNCONNECTED\(3 downto 1),
CO(0) => \y_int_reg[23]_i_11_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_y_int_reg[23]_i_11_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => y_int_reg5(30 downto 29),
S(3 downto 2) => B"00",
S(1) => \y_int[23]_i_30_n_0\,
S(0) => \y_int[23]_i_31_n_0\
);
\y_int_reg[23]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_14_n_0\,
CO(3) => \y_int_reg[23]_i_16_n_0\,
CO(2) => \y_int_reg[23]_i_16_n_1\,
CO(1) => \y_int_reg[23]_i_16_n_2\,
CO(0) => \y_int_reg[23]_i_16_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg5(28 downto 25),
S(3) => \y_int[23]_i_36_n_0\,
S(2) => \y_int[23]_i_37_n_0\,
S(1) => \y_int[23]_i_38_n_0\,
S(0) => \y_int[23]_i_39_n_0\
);
\y_int_reg[23]_i_17\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_15_n_0\,
CO(3) => \y_int_reg[23]_i_17_n_0\,
CO(2) => \y_int_reg[23]_i_17_n_1\,
CO(1) => \y_int_reg[23]_i_17_n_2\,
CO(0) => \y_int_reg[23]_i_17_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg3(20 downto 17),
S(3) => \y_int[23]_i_40_n_0\,
S(2) => \y_int[23]_i_41_n_0\,
S(1) => \y_int[23]_i_42_n_0\,
S(0) => \y_int[23]_i_43_n_0\
);
\y_int_reg[23]_i_25\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_45_n_0\,
CO(3) => \y_int_reg[23]_i_25_n_0\,
CO(2) => \y_int_reg[23]_i_25_n_1\,
CO(1) => \y_int_reg[23]_i_25_n_2\,
CO(0) => \y_int_reg[23]_i_25_n_3\,
CYINIT => '0',
DI(3) => \y_int_reg[31]_i_8_n_5\,
DI(2) => \y_int_reg[31]_i_8_n_5\,
DI(1) => \y_int_reg[31]_i_8_n_5\,
DI(0) => \y_int_reg[31]_i_8_n_5\,
O(3 downto 0) => \NLW_y_int_reg[23]_i_25_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_46_n_0\,
S(2) => \y_int[23]_i_47_n_0\,
S(1) => \y_int[23]_i_48_n_0\,
S(0) => \y_int[23]_i_49_n_0\
);
\y_int_reg[23]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_51_n_0\,
CO(3) => \^y_int_reg[3]_1\(0),
CO(2) => \y_int_reg[23]_i_33_n_1\,
CO(1) => \y_int_reg[23]_i_33_n_2\,
CO(0) => \y_int_reg[23]_i_33_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \rgb888[8]_21\(2),
DI(1) => \rgb888[8]_21\(2),
DI(0) => \rgb888[8]_21\(2),
O(3 downto 0) => \NLW_y_int_reg[23]_i_33_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_52_n_0\,
S(2) => \y_int[23]_i_53_n_0\,
S(1) => \y_int[23]_i_54_n_0\,
S(0) => \y_int[23]_i_55_n_0\
);
\y_int_reg[23]_i_34\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_44_n_0\,
CO(3 downto 1) => \NLW_y_int_reg[23]_i_34_CO_UNCONNECTED\(3 downto 1),
CO(0) => \y_int_reg[23]_i_34_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_y_int_reg[23]_i_34_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => \^y_int_reg[23]_1\(1 downto 0),
S(3 downto 2) => B"00",
S(1) => \y_int[23]_i_56_n_0\,
S(0) => \y_int[23]_i_57_n_0\
);
\y_int_reg[23]_i_44\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_35_n_0\,
CO(3) => \y_int_reg[23]_i_44_n_0\,
CO(2) => \y_int_reg[23]_i_44_n_1\,
CO(1) => \y_int_reg[23]_i_44_n_2\,
CO(0) => \y_int_reg[23]_i_44_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \^y_int_reg[23]_2\(3 downto 0),
S(3) => \y_int[23]_i_62_n_0\,
S(2) => \y_int[23]_i_63_n_0\,
S(1) => \y_int[23]_i_64_n_0\,
S(0) => \y_int[23]_i_65_n_0\
);
\y_int_reg[23]_i_45\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_66_n_0\,
CO(3) => \y_int_reg[23]_i_45_n_0\,
CO(2) => \y_int_reg[23]_i_45_n_1\,
CO(1) => \y_int_reg[23]_i_45_n_2\,
CO(0) => \y_int_reg[23]_i_45_n_3\,
CYINIT => '0',
DI(3) => \y_int[23]_i_67_n_0\,
DI(2) => \y_int[23]_i_68_n_0\,
DI(1) => \y_int[23]_i_69_n_0\,
DI(0) => \y_int[23]_i_70_n_0\,
O(3 downto 0) => \NLW_y_int_reg[23]_i_45_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_71_n_0\,
S(2) => \y_int[23]_i_72_n_0\,
S(1) => \y_int[23]_i_73_n_0\,
S(0) => \y_int[23]_i_74_n_0\
);
\y_int_reg[23]_i_51\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_75_n_0\,
CO(3) => \y_int_reg[23]_i_51_n_0\,
CO(2) => \y_int_reg[23]_i_51_n_1\,
CO(1) => \y_int_reg[23]_i_51_n_2\,
CO(0) => \y_int_reg[23]_i_51_n_3\,
CYINIT => '0',
DI(3) => \rgb888[8]_21\(2),
DI(2) => \rgb888[8]_21\(2),
DI(1) => \rgb888[8]_21\(2),
DI(0) => \y_int[23]_i_76_n_0\,
O(3 downto 0) => \NLW_y_int_reg[23]_i_51_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_77_n_0\,
S(2) => \y_int[23]_i_78_n_0\,
S(1) => \y_int[23]_i_79_n_0\,
S(0) => \y_int[23]_i_80_n_0\
);
\y_int_reg[23]_i_66\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[23]_i_66_n_0\,
CO(2) => \y_int_reg[23]_i_66_n_1\,
CO(1) => \y_int_reg[23]_i_66_n_2\,
CO(0) => \y_int_reg[23]_i_66_n_3\,
CYINIT => '1',
DI(3) => \y_int[23]_i_81_n_0\,
DI(2) => \y_int[23]_i_82_n_0\,
DI(1) => \y_int[23]_i_83_n_0\,
DI(0) => \y_int[23]_i_84_n_0\,
O(3 downto 0) => \NLW_y_int_reg[23]_i_66_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_85_n_0\,
S(2) => \y_int[23]_i_86_n_0\,
S(1) => \y_int[23]_i_87_n_0\,
S(0) => \y_int[23]_i_88_n_0\
);
\y_int_reg[23]_i_75\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_89_n_0\,
CO(3) => \y_int_reg[23]_i_75_n_0\,
CO(2) => \y_int_reg[23]_i_75_n_1\,
CO(1) => \y_int_reg[23]_i_75_n_2\,
CO(0) => \y_int_reg[23]_i_75_n_3\,
CYINIT => '0',
DI(3) => \y_int[23]_i_90_n_0\,
DI(2) => \y_int[23]_i_91_n_0\,
DI(1) => \y_int[23]_i_92_n_0\,
DI(0) => \y_int[23]_i_93_n_0\,
O(3 downto 0) => \NLW_y_int_reg[23]_i_75_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_94_n_0\,
S(2) => \y_int[23]_i_95_n_0\,
S(1) => \y_int[23]_i_96_n_0\,
S(0) => \y_int[23]_i_97_n_0\
);
\y_int_reg[23]_i_89\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[23]_i_89_n_0\,
CO(2) => \y_int_reg[23]_i_89_n_1\,
CO(1) => \y_int_reg[23]_i_89_n_2\,
CO(0) => \y_int_reg[23]_i_89_n_3\,
CYINIT => '1',
DI(3) => \y_int[23]_i_98_n_0\,
DI(2) => \y_int[23]_i_99_n_0\,
DI(1) => \y_int[23]_i_100_n_0\,
DI(0) => rgb888(8),
O(3 downto 0) => \NLW_y_int_reg[23]_i_89_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[23]_i_101_n_0\,
S(2) => \y_int[23]_i_102_n_0\,
S(1) => \y_int[23]_i_103_n_0\,
S(0) => \y_int[23]_i_104_n_0\
);
\y_int_reg[24]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[27]_i_1_n_7\,
Q => \y_int_reg__0\(24),
R => '0'
);
\y_int_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[27]_i_1_n_6\,
Q => \y_int_reg__0\(25),
R => '0'
);
\y_int_reg[26]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[27]_i_1_n_5\,
Q => \y_int_reg__0\(26),
R => '0'
);
\y_int_reg[27]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[27]_i_1_n_4\,
Q => \y_int_reg__0\(27),
R => '0'
);
\y_int_reg[27]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_1_n_0\,
CO(3) => \y_int_reg[27]_i_1_n_0\,
CO(2) => \y_int_reg[27]_i_1_n_1\,
CO(1) => \y_int_reg[27]_i_1_n_2\,
CO(0) => \y_int_reg[27]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[31]_i_2_n_0\,
DI(2) => \y_int[31]_i_2_n_0\,
DI(1) => \y_int[31]_i_2_n_0\,
DI(0) => \y_int[31]_i_2_n_0\,
O(3) => \y_int_reg[27]_i_1_n_4\,
O(2) => \y_int_reg[27]_i_1_n_5\,
O(1) => \y_int_reg[27]_i_1_n_6\,
O(0) => \y_int_reg[27]_i_1_n_7\,
S(3) => \y_int[27]_i_2_n_0\,
S(2) => \y_int[27]_i_3_n_0\,
S(1) => \y_int[27]_i_4_n_0\,
S(0) => \y_int[27]_i_5_n_0\
);
\y_int_reg[28]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[31]_i_1_n_7\,
Q => \y_int_reg__0\(28),
R => '0'
);
\y_int_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[31]_i_1_n_6\,
Q => \y_int_reg__0\(29),
R => '0'
);
\y_int_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[3]_i_1_n_5\,
Q => \y_int_reg_n_0_[2]\,
R => '0'
);
\y_int_reg[30]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[31]_i_1_n_5\,
Q => \y_int_reg__0\(30),
R => '0'
);
\y_int_reg[31]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[31]_i_1_n_4\,
Q => \y_int_reg__0\(31),
R => '0'
);
\y_int_reg[31]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[27]_i_1_n_0\,
CO(3) => \NLW_y_int_reg[31]_i_1_CO_UNCONNECTED\(3),
CO(2) => \y_int_reg[31]_i_1_n_1\,
CO(1) => \y_int_reg[31]_i_1_n_2\,
CO(0) => \y_int_reg[31]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2) => \y_int[31]_i_2_n_0\,
DI(1) => \y_int[31]_i_2_n_0\,
DI(0) => \y_int[31]_i_2_n_0\,
O(3) => \y_int_reg[31]_i_1_n_4\,
O(2) => \y_int_reg[31]_i_1_n_5\,
O(1) => \y_int_reg[31]_i_1_n_6\,
O(0) => \y_int_reg[31]_i_1_n_7\,
S(3) => \y_int[31]_i_3_n_0\,
S(2) => \y_int[31]_i_4_n_0\,
S(1) => \y_int[31]_i_5_n_0\,
S(0) => \y_int[31]_i_6_n_0\
);
\y_int_reg[31]_i_11\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_30_n_0\,
CO(3) => \NLW_y_int_reg[31]_i_11_CO_UNCONNECTED\(3),
CO(2) => \y_int_reg[31]_i_11_n_1\,
CO(1) => \y_int_reg[31]_i_11_n_2\,
CO(0) => \y_int_reg[31]_i_11_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \rgb888[0]_9\(1),
DI(0) => \y_int[31]_i_32_n_0\,
O(3) => \^y_int_reg[23]_0\(0),
O(2) => \y_int_reg[31]_i_11_n_5\,
O(1) => \y_int_reg[31]_i_11_n_6\,
O(0) => \y_int_reg[31]_i_11_n_7\,
S(3) => \y_int[31]_i_33_n_0\,
S(2) => \y_int[31]_i_34_n_0\,
S(1) => \y_int[31]_i_35_n_0\,
S(0) => \y_int[31]_i_36_n_0\
);
\y_int_reg[31]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_16_n_0\,
CO(3) => \y_int_reg[31]_i_16_n_0\,
CO(2) => \y_int_reg[31]_i_16_n_1\,
CO(1) => \y_int_reg[31]_i_16_n_2\,
CO(0) => \y_int_reg[31]_i_16_n_3\,
CYINIT => '0',
DI(3) => \y_int[31]_i_40_n_0\,
DI(2) => \y_int[31]_i_41_n_0\,
DI(1) => \y_int[31]_i_42_n_0\,
DI(0) => \y_int[31]_i_43_n_0\,
O(3) => \y_int_reg[31]_i_16_n_4\,
O(2) => \y_int_reg[31]_i_16_n_5\,
O(1) => \y_int_reg[31]_i_16_n_6\,
O(0) => \y_int_reg[31]_i_16_n_7\,
S(3) => \y_int[31]_i_44_n_0\,
S(2) => \y_int[31]_i_45_n_0\,
S(1) => \y_int[31]_i_46_n_0\,
S(0) => \y_int[31]_i_47_n_0\
);
\y_int_reg[31]_i_30\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_62_n_0\,
CO(3) => \y_int_reg[31]_i_30_n_0\,
CO(2) => \y_int_reg[31]_i_30_n_1\,
CO(1) => \y_int_reg[31]_i_30_n_2\,
CO(0) => \y_int_reg[31]_i_30_n_3\,
CYINIT => '0',
DI(3) => \y_int[31]_i_63_n_0\,
DI(2) => \y_int[31]_i_64_n_0\,
DI(1) => \y_int[31]_i_65_n_0\,
DI(0) => \y_int[31]_i_66_n_0\,
O(3) => \y_int_reg[31]_i_30_n_4\,
O(2) => \y_int_reg[31]_i_30_n_5\,
O(1) => \y_int_reg[31]_i_30_n_6\,
O(0) => \y_int_reg[31]_i_30_n_7\,
S(3) => \y_int[31]_i_67_n_0\,
S(2) => \y_int[31]_i_68_n_0\,
S(1) => \y_int[31]_i_69_n_0\,
S(0) => \y_int[31]_i_70_n_0\
);
\y_int_reg[31]_i_62\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[31]_i_62_n_0\,
CO(2) => \y_int_reg[31]_i_62_n_1\,
CO(1) => \y_int_reg[31]_i_62_n_2\,
CO(0) => \y_int_reg[31]_i_62_n_3\,
CYINIT => '0',
DI(3) => \y_int_reg[31]_i_86_n_5\,
DI(2) => \y_int_reg[31]_i_87_n_7\,
DI(1) => \y_int_reg[31]_i_88_n_4\,
DI(0) => \y_int_reg[31]_i_88_n_5\,
O(3) => \y_int_reg[31]_i_62_n_4\,
O(2) => \y_int_reg[31]_i_62_n_5\,
O(1) => \y_int_reg[31]_i_62_n_6\,
O(0) => \NLW_y_int_reg[31]_i_62_O_UNCONNECTED\(0),
S(3) => \y_int[31]_i_89_n_0\,
S(2) => \y_int[31]_i_90_n_0\,
S(1) => \y_int[31]_i_91_n_0\,
S(0) => \y_int[31]_i_92_n_0\
);
\y_int_reg[31]_i_7\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_17_n_0\,
CO(3) => \NLW_y_int_reg[31]_i_7_CO_UNCONNECTED\(3),
CO(2) => \y_int_reg[31]_i_7_n_1\,
CO(1) => \NLW_y_int_reg[31]_i_7_CO_UNCONNECTED\(1),
CO(0) => \y_int_reg[31]_i_7_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_y_int_reg[31]_i_7_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => y_int_reg3(22 downto 21),
S(3 downto 2) => B"01",
S(1) => \y_int[31]_i_14_n_0\,
S(0) => \y_int[31]_i_15_n_0\
);
\y_int_reg[31]_i_75\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_87_n_0\,
CO(3 downto 2) => \NLW_y_int_reg[31]_i_75_CO_UNCONNECTED\(3 downto 2),
CO(1) => \y_int_reg[31]_i_75_n_2\,
CO(0) => \NLW_y_int_reg[31]_i_75_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => rgb888(7),
O(3 downto 1) => \NLW_y_int_reg[31]_i_75_O_UNCONNECTED\(3 downto 1),
O(0) => \y_int_reg[31]_i_75_n_7\,
S(3 downto 1) => B"001",
S(0) => \y_int[31]_i_101_n_0\
);
\y_int_reg[31]_i_8\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_16_n_0\,
CO(3 downto 2) => \NLW_y_int_reg[31]_i_8_CO_UNCONNECTED\(3 downto 2),
CO(1) => \y_int_reg[31]_i_8_n_2\,
CO(0) => \y_int_reg[31]_i_8_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \y_int[31]_i_17_n_0\,
O(3) => \NLW_y_int_reg[31]_i_8_O_UNCONNECTED\(3),
O(2) => \y_int_reg[31]_i_8_n_5\,
O(1) => \y_int_reg[31]_i_8_n_6\,
O(0) => \y_int_reg[31]_i_8_n_7\,
S(3) => '0',
S(2) => \y_int[31]_i_18_n_0\,
S(1) => \y_int[31]_i_19_n_0\,
S(0) => \y_int[31]_i_20_n_0\
);
\y_int_reg[31]_i_86\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[23]_3\(0),
CO(2) => \y_int_reg[31]_i_86_n_1\,
CO(1) => \y_int_reg[31]_i_86_n_2\,
CO(0) => \y_int_reg[31]_i_86_n_3\,
CYINIT => '0',
DI(3) => \y_int[31]_i_104_n_0\,
DI(2) => rgb888(2),
DI(1 downto 0) => B"01",
O(3) => \y_int_reg[31]_i_86_n_4\,
O(2) => \y_int_reg[31]_i_86_n_5\,
O(1) => \y_int_reg[31]_i_86_n_6\,
O(0) => \NLW_y_int_reg[31]_i_86_O_UNCONNECTED\(0),
S(3) => \y_int[31]_i_105_n_0\,
S(2) => \y_int[31]_i_106_n_0\,
S(1) => \y_int[31]_i_107_n_0\,
S(0) => \y_int[31]_i_108_n_0\
);
\y_int_reg[31]_i_87\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_88_n_0\,
CO(3) => \y_int_reg[31]_i_87_n_0\,
CO(2) => \y_int_reg[31]_i_87_n_1\,
CO(1) => \y_int_reg[31]_i_87_n_2\,
CO(0) => \y_int_reg[31]_i_87_n_3\,
CYINIT => '0',
DI(3) => rgb888(6),
DI(2 downto 0) => rgb888(7 downto 5),
O(3) => \y_int_reg[31]_i_87_n_4\,
O(2) => \y_int_reg[31]_i_87_n_5\,
O(1) => \y_int_reg[31]_i_87_n_6\,
O(0) => \y_int_reg[31]_i_87_n_7\,
S(3) => \y_int[31]_i_109_n_0\,
S(2) => \y_int[31]_i_110_n_0\,
S(1) => \y_int[31]_i_111_n_0\,
S(0) => \y_int[31]_i_112_n_0\
);
\y_int_reg[31]_i_88\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[31]_i_88_n_0\,
CO(2) => \y_int_reg[31]_i_88_n_1\,
CO(1) => \y_int_reg[31]_i_88_n_2\,
CO(0) => \y_int_reg[31]_i_88_n_3\,
CYINIT => '0',
DI(3 downto 1) => rgb888(4 downto 2),
DI(0) => '0',
O(3) => \y_int_reg[31]_i_88_n_4\,
O(2) => \y_int_reg[31]_i_88_n_5\,
O(1) => \y_int_reg[31]_i_88_n_6\,
O(0) => \NLW_y_int_reg[31]_i_88_O_UNCONNECTED\(0),
S(3) => \y_int[31]_i_113_n_0\,
S(2) => \y_int[31]_i_114_n_0\,
S(1) => \y_int[31]_i_115_n_0\,
S(0) => \y_int[31]_i_116_n_0\
);
\y_int_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[3]_i_1_n_4\,
Q => \y_int_reg_n_0_[3]\,
R => '0'
);
\y_int_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_1_n_0\,
CO(2) => \y_int_reg[3]_i_1_n_1\,
CO(1) => \y_int_reg[3]_i_1_n_2\,
CO(0) => \y_int_reg[3]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[3]_i_2_n_0\,
DI(2) => \y_int[3]_i_3_n_0\,
DI(1) => \y_int[3]_i_4_n_0\,
DI(0) => '0',
O(3) => \y_int_reg[3]_i_1_n_4\,
O(2) => \y_int_reg[3]_i_1_n_5\,
O(1) => \y_int_reg[3]_i_1_n_6\,
O(0) => \y_int_reg[3]_i_1_n_7\,
S(3) => \y_int[3]_i_5_n_0\,
S(2) => \y_int[3]_i_6_n_0\,
S(1) => \y_int[3]_i_7_n_0\,
S(0) => \y_int[3]_i_8_n_0\
);
\y_int_reg[3]_i_15\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_21_n_0\,
CO(3) => \y_int_reg[3]_i_15_n_0\,
CO(2) => \y_int_reg[3]_i_15_n_1\,
CO(1) => \y_int_reg[3]_i_15_n_2\,
CO(0) => \y_int_reg[3]_i_15_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => y_int_reg5(8),
O(2 downto 0) => \NLW_y_int_reg[3]_i_15_O_UNCONNECTED\(2 downto 0),
S(3) => \y_int[3]_i_22_n_0\,
S(2) => \y_int[3]_i_23_n_0\,
S(1) => \y_int[3]_i_24_n_0\,
S(0) => \y_int[3]_i_25_n_0\
);
\y_int_reg[3]_i_16\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_26_n_0\,
CO(3) => \y_int_reg[3]_i_16_n_0\,
CO(2) => \y_int_reg[3]_i_16_n_1\,
CO(1) => \y_int_reg[3]_i_16_n_2\,
CO(0) => \y_int_reg[3]_i_16_n_3\,
CYINIT => '0',
DI(3) => \y_int[3]_i_27_n_0\,
DI(2) => \y_int[3]_i_28_n_0\,
DI(1) => \y_int[3]_i_29_n_0\,
DI(0) => \y_int_reg[3]_i_30_n_6\,
O(3) => \y_int_reg[3]_i_16_n_4\,
O(2) => \y_int_reg[3]_i_16_n_5\,
O(1) => \y_int_reg[3]_i_16_n_6\,
O(0) => \y_int_reg[3]_i_16_n_7\,
S(3) => \y_int[3]_i_31_n_0\,
S(2) => \y_int[3]_i_32_n_0\,
S(1) => \y_int[3]_i_33_n_0\,
S(0) => \y_int[3]_i_34_n_0\
);
\y_int_reg[3]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_21_n_0\,
CO(2) => \y_int_reg[3]_i_21_n_1\,
CO(1) => \y_int_reg[3]_i_21_n_2\,
CO(0) => \y_int_reg[3]_i_21_n_3\,
CYINIT => \y_int[3]_i_50_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_y_int_reg[3]_i_21_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[3]_i_51_n_0\,
S(2) => \y_int[3]_i_52_n_0\,
S(1) => \y_int[3]_i_53_n_0\,
S(0) => \y_int[3]_i_54_n_0\
);
\y_int_reg[3]_i_26\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_26_n_0\,
CO(2) => \y_int_reg[3]_i_26_n_1\,
CO(1) => \y_int_reg[3]_i_26_n_2\,
CO(0) => \y_int_reg[3]_i_26_n_3\,
CYINIT => '0',
DI(3) => \y_int_reg[3]_i_30_n_7\,
DI(2) => \y_int_reg[3]_i_55_n_4\,
DI(1) => \y_int_reg[3]_i_55_n_5\,
DI(0) => '0',
O(3) => \y_int_reg[3]_i_26_n_4\,
O(2) => \y_int_reg[3]_i_26_n_5\,
O(1) => \y_int_reg[3]_i_26_n_6\,
O(0) => \y_int_reg[3]_i_26_n_7\,
S(3) => \y_int[3]_i_56_n_0\,
S(2) => \y_int[3]_i_57_n_0\,
S(1) => \y_int[3]_i_58_n_0\,
S(0) => \y_int[3]_i_59_n_0\
);
\y_int_reg[3]_i_30\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_55_n_0\,
CO(3) => \y_int_reg[3]_i_30_n_0\,
CO(2) => \y_int_reg[3]_i_30_n_1\,
CO(1) => \y_int_reg[3]_i_30_n_2\,
CO(0) => \y_int_reg[3]_i_30_n_3\,
CYINIT => '0',
DI(3) => rgb888(22),
DI(2 downto 0) => rgb888(23 downto 21),
O(3) => \y_int_reg[3]_i_30_n_4\,
O(2) => \y_int_reg[3]_i_30_n_5\,
O(1) => \y_int_reg[3]_i_30_n_6\,
O(0) => \y_int_reg[3]_i_30_n_7\,
S(3) => \y_int[3]_i_60_n_0\,
S(2) => \y_int[3]_i_61_n_0\,
S(1) => \y_int[3]_i_62_n_0\,
S(0) => \y_int[3]_i_63_n_0\
);
\y_int_reg[3]_i_35\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_65_n_0\,
CO(3) => \y_int_reg[3]_i_35_n_0\,
CO(2) => \y_int_reg[3]_i_35_n_1\,
CO(1) => \y_int_reg[3]_i_35_n_2\,
CO(0) => \y_int_reg[3]_i_35_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[3]_i_35_n_4\,
O(2 downto 0) => \NLW_y_int_reg[3]_i_35_O_UNCONNECTED\(2 downto 0),
S(3) => \y_int[3]_i_66_n_0\,
S(2) => \y_int[3]_i_67_n_0\,
S(1) => \y_int[3]_i_68_n_0\,
S(0) => \y_int[3]_i_69_n_0\
);
\y_int_reg[3]_i_36\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_2\(0),
CO(2) => \y_int_reg[3]_i_36_n_1\,
CO(1) => \y_int_reg[3]_i_36_n_2\,
CO(0) => \y_int_reg[3]_i_36_n_3\,
CYINIT => '0',
DI(3 downto 2) => \rgb888[8]_32\(1 downto 0),
DI(1) => \rgb888[8]_19\(2),
DI(0) => '0',
O(3 downto 0) => \^y_int_reg[3]_0\(3 downto 0),
S(3) => \y_int[3]_i_71_n_0\,
S(2) => \y_int[3]_i_72_n_0\,
S(1) => \y_int[3]_i_73_n_0\,
S(0) => \y_int[3]_i_74_n_0\
);
\y_int_reg[3]_i_55\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_55_n_0\,
CO(2) => \y_int_reg[3]_i_55_n_1\,
CO(1) => \y_int_reg[3]_i_55_n_2\,
CO(0) => \y_int_reg[3]_i_55_n_3\,
CYINIT => '0',
DI(3 downto 1) => rgb888(20 downto 18),
DI(0) => '0',
O(3) => \y_int_reg[3]_i_55_n_4\,
O(2) => \y_int_reg[3]_i_55_n_5\,
O(1) => \y_int_reg[3]_i_55_n_6\,
O(0) => \NLW_y_int_reg[3]_i_55_O_UNCONNECTED\(0),
S(3) => \y_int[3]_i_84_n_0\,
S(2) => \y_int[3]_i_85_n_0\,
S(1) => \y_int[3]_i_86_n_0\,
S(0) => \y_int[3]_i_87_n_0\
);
\y_int_reg[3]_i_64\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_30_n_0\,
CO(3 downto 2) => \NLW_y_int_reg[3]_i_64_CO_UNCONNECTED\(3 downto 2),
CO(1) => \y_int_reg[3]_i_64_n_2\,
CO(0) => \NLW_y_int_reg[3]_i_64_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => rgb888(23),
O(3 downto 1) => \NLW_y_int_reg[3]_i_64_O_UNCONNECTED\(3 downto 1),
O(0) => \y_int_reg[3]_i_64_n_7\,
S(3 downto 1) => B"001",
S(0) => \y_int[3]_i_88_n_0\
);
\y_int_reg[3]_i_65\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_65_n_0\,
CO(2) => \y_int_reg[3]_i_65_n_1\,
CO(1) => \y_int_reg[3]_i_65_n_2\,
CO(0) => \y_int_reg[3]_i_65_n_3\,
CYINIT => \cr_int[3]_i_80_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_y_int_reg[3]_i_65_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[3]_i_89_n_0\,
S(2) => \y_int[3]_i_90_n_0\,
S(1) => \y_int[3]_i_91_n_0\,
S(0) => \y_int[3]_i_92_n_0\
);
\y_int_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[7]_i_1_n_7\,
Q => \y_int_reg_n_0_[4]\,
R => '0'
);
\y_int_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[7]_i_1_n_6\,
Q => \y_int_reg_n_0_[5]\,
R => '0'
);
\y_int_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[7]_i_1_n_5\,
Q => \y_int_reg_n_0_[6]\,
R => '0'
);
\y_int_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[7]_i_1_n_4\,
Q => \y_int_reg_n_0_[7]\,
R => '0'
);
\y_int_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_1_n_0\,
CO(3) => \y_int_reg[7]_i_1_n_0\,
CO(2) => \y_int_reg[7]_i_1_n_1\,
CO(1) => \y_int_reg[7]_i_1_n_2\,
CO(0) => \y_int_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y_int[7]_i_2_n_0\,
DI(2) => \y_int[7]_i_3_n_0\,
DI(1) => \y_int[7]_i_4_n_0\,
DI(0) => \y_int[7]_i_5_n_0\,
O(3) => \y_int_reg[7]_i_1_n_4\,
O(2) => \y_int_reg[7]_i_1_n_5\,
O(1) => \y_int_reg[7]_i_1_n_6\,
O(0) => \y_int_reg[7]_i_1_n_7\,
S(3) => \y_int[7]_i_6_n_0\,
S(2) => \y_int[7]_i_7_n_0\,
S(1) => \y_int[7]_i_8_n_0\,
S(0) => \y_int[7]_i_9_n_0\
);
\y_int_reg[7]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[7]_i_24_n_0\,
CO(2) => \y_int_reg[7]_i_24_n_1\,
CO(1) => \y_int_reg[7]_i_24_n_2\,
CO(0) => \y_int_reg[7]_i_24_n_3\,
CYINIT => \y_int[7]_i_29_n_0\,
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[7]_i_24_n_4\,
O(2) => \y_int_reg[7]_i_24_n_5\,
O(1) => \y_int_reg[7]_i_24_n_6\,
O(0) => \y_int_reg[7]_i_24_n_7\,
S(3) => \y_int[7]_i_30_n_0\,
S(2) => \y_int[7]_i_31_n_0\,
S(1) => \y_int[7]_i_32_n_0\,
S(0) => \y_int[7]_i_33_n_0\
);
\y_int_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[11]_i_1_n_7\,
Q => \y_int_reg__0\(8),
R => '0'
);
\y_int_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \y_int_reg[11]_i_1_n_6\,
Q => \y_int_reg__0\(9),
R => '0'
);
\y_reg[0]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[0]_i_1_n_0\,
Q => y(0),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[1]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[1]_i_1_n_0\,
Q => y(1),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[2]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[2]_i_1_n_0\,
Q => y(2),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[3]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[3]_i_1_n_0\,
Q => y(3),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[4]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[4]_i_1_n_0\,
Q => y(4),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[5]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[5]_i_1_n_0\,
Q => y(5),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[6]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[6]_i_1_n_0\,
Q => y(6),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[7]\: unisim.vcomponents.FDSE
port map (
C => cb_regn_0_0,
CE => '1',
D => \y[7]_i_2_n_0\,
Q => y(7),
S => \y_reg[7]_i_1_n_0\
);
\y_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \y_reg[7]_i_3_n_0\,
CO(3) => \y_reg[7]_i_1_n_0\,
CO(2) => \y_reg[7]_i_1_n_1\,
CO(1) => \y_reg[7]_i_1_n_2\,
CO(0) => \y_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3) => \y[7]_i_4_n_0\,
DI(2) => \y[7]_i_5_n_0\,
DI(1) => \y[7]_i_6_n_0\,
DI(0) => \y[7]_i_7_n_0\,
O(3 downto 0) => \NLW_y_reg[7]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \y[7]_i_8_n_0\,
S(2) => \y[7]_i_9_n_0\,
S(1) => \y[7]_i_10_n_0\,
S(0) => \y[7]_i_11_n_0\
);
\y_reg[7]_i_12\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_reg[7]_i_12_n_0\,
CO(2) => \y_reg[7]_i_12_n_1\,
CO(1) => \y_reg[7]_i_12_n_2\,
CO(0) => \y_reg[7]_i_12_n_3\,
CYINIT => '0',
DI(3) => \y[7]_i_21_n_0\,
DI(2) => \y[7]_i_22_n_0\,
DI(1) => \y[7]_i_23_n_0\,
DI(0) => \y[7]_i_24_n_0\,
O(3 downto 0) => \NLW_y_reg[7]_i_12_O_UNCONNECTED\(3 downto 0),
S(3) => \y[7]_i_25_n_0\,
S(2) => \y[7]_i_26_n_0\,
S(1) => \y[7]_i_27_n_0\,
S(0) => \y[7]_i_28_n_0\
);
\y_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \y_reg[7]_i_12_n_0\,
CO(3) => \y_reg[7]_i_3_n_0\,
CO(2) => \y_reg[7]_i_3_n_1\,
CO(1) => \y_reg[7]_i_3_n_2\,
CO(0) => \y_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3) => \y[7]_i_13_n_0\,
DI(2) => \y[7]_i_14_n_0\,
DI(1) => \y[7]_i_15_n_0\,
DI(0) => \y[7]_i_16_n_0\,
O(3 downto 0) => \NLW_y_reg[7]_i_3_O_UNCONNECTED\(3 downto 0),
S(3) => \y[7]_i_17_n_0\,
S(2) => \y[7]_i_18_n_0\,
S(1) => \y[7]_i_19_n_0\,
S(0) => \y[7]_i_20_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zed_hdmi_0_0 is
port (
clk : in STD_LOGIC;
clk_x2 : in STD_LOGIC;
clk_100 : in STD_LOGIC;
active : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 );
hdmi_clk : out STD_LOGIC;
hdmi_hsync : out STD_LOGIC;
hdmi_vsync : out STD_LOGIC;
hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 );
hdmi_de : out STD_LOGIC;
hdmi_scl : out STD_LOGIC;
hdmi_sda : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_zed_hdmi_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_zed_hdmi_0_0 : entity is "system_zed_hdmi_0_0,zed_hdmi,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_zed_hdmi_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_zed_hdmi_0_0 : entity is "zed_hdmi,Vivado 2016.4";
end system_zed_hdmi_0_0;
architecture STRUCTURE of system_zed_hdmi_0_0 is
signal \<const0>\ : STD_LOGIC;
signal U0_n_10 : STD_LOGIC;
signal U0_n_11 : STD_LOGIC;
signal U0_n_12 : STD_LOGIC;
signal U0_n_13 : STD_LOGIC;
signal U0_n_14 : STD_LOGIC;
signal U0_n_15 : STD_LOGIC;
signal U0_n_16 : STD_LOGIC;
signal U0_n_17 : STD_LOGIC;
signal U0_n_18 : STD_LOGIC;
signal U0_n_19 : STD_LOGIC;
signal U0_n_20 : STD_LOGIC;
signal U0_n_21 : STD_LOGIC;
signal U0_n_22 : STD_LOGIC;
signal U0_n_23 : STD_LOGIC;
signal U0_n_24 : STD_LOGIC;
signal U0_n_25 : STD_LOGIC;
signal U0_n_26 : STD_LOGIC;
signal U0_n_27 : STD_LOGIC;
signal U0_n_28 : STD_LOGIC;
signal U0_n_29 : STD_LOGIC;
signal U0_n_30 : STD_LOGIC;
signal U0_n_31 : STD_LOGIC;
signal U0_n_32 : STD_LOGIC;
signal U0_n_33 : STD_LOGIC;
signal U0_n_34 : STD_LOGIC;
signal U0_n_35 : STD_LOGIC;
signal U0_n_36 : STD_LOGIC;
signal U0_n_37 : STD_LOGIC;
signal U0_n_38 : STD_LOGIC;
signal U0_n_39 : STD_LOGIC;
signal U0_n_4 : STD_LOGIC;
signal U0_n_40 : STD_LOGIC;
signal U0_n_41 : STD_LOGIC;
signal U0_n_42 : STD_LOGIC;
signal U0_n_43 : STD_LOGIC;
signal U0_n_44 : STD_LOGIC;
signal U0_n_45 : STD_LOGIC;
signal U0_n_46 : STD_LOGIC;
signal U0_n_47 : STD_LOGIC;
signal U0_n_48 : STD_LOGIC;
signal U0_n_49 : STD_LOGIC;
signal U0_n_5 : STD_LOGIC;
signal U0_n_50 : STD_LOGIC;
signal U0_n_51 : STD_LOGIC;
signal U0_n_52 : STD_LOGIC;
signal U0_n_53 : STD_LOGIC;
signal U0_n_54 : STD_LOGIC;
signal U0_n_55 : STD_LOGIC;
signal U0_n_56 : STD_LOGIC;
signal U0_n_57 : STD_LOGIC;
signal U0_n_58 : STD_LOGIC;
signal U0_n_59 : STD_LOGIC;
signal U0_n_6 : STD_LOGIC;
signal U0_n_60 : STD_LOGIC;
signal U0_n_61 : STD_LOGIC;
signal U0_n_62 : STD_LOGIC;
signal U0_n_63 : STD_LOGIC;
signal U0_n_64 : STD_LOGIC;
signal U0_n_65 : STD_LOGIC;
signal U0_n_66 : STD_LOGIC;
signal U0_n_67 : STD_LOGIC;
signal U0_n_68 : STD_LOGIC;
signal U0_n_69 : STD_LOGIC;
signal U0_n_7 : STD_LOGIC;
signal U0_n_70 : STD_LOGIC;
signal U0_n_71 : STD_LOGIC;
signal U0_n_72 : STD_LOGIC;
signal U0_n_73 : STD_LOGIC;
signal U0_n_74 : STD_LOGIC;
signal U0_n_75 : STD_LOGIC;
signal U0_n_76 : STD_LOGIC;
signal U0_n_77 : STD_LOGIC;
signal U0_n_78 : STD_LOGIC;
signal U0_n_79 : STD_LOGIC;
signal U0_n_8 : STD_LOGIC;
signal U0_n_80 : STD_LOGIC;
signal U0_n_81 : STD_LOGIC;
signal U0_n_9 : STD_LOGIC;
signal \cb_int[15]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_37_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_38_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_41_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_42_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_47_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_48_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_49_n_0\ : STD_LOGIC;
signal \cb_int[15]_i_50_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_38_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_41_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_42_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_43_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_44_n_0\ : STD_LOGIC;
signal \cb_int[19]_i_45_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_33_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_34_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_37_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_38_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[23]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_100_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_101_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_18_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_19_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_20_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_21_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_22_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_25_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_26_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_28_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_29_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_45_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_46_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_47_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_48_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_49_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_50_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_52_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_53_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_54_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_55_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_56_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_57_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_58_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_59_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_60_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_62_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_63_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_64_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_65_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_83_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_84_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_88_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_89_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_90_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_91_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_92_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_93_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_94_n_0\ : STD_LOGIC;
signal \cb_int[31]_i_99_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_37_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_38_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_39_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_40_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_41_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_42_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_59_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_60_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_61_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_62_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_73_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_74_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_84_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_85_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_86_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_87_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_88_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_95_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_96_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_97_n_0\ : STD_LOGIC;
signal \cb_int[3]_i_98_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_30_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_31_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_32_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_33_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_34_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_35_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_36_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_37_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_43_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_44_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_45_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_46_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_47_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_48_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_49_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_50_n_0\ : STD_LOGIC;
signal \cb_int[7]_i_51_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_1\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_2\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_3\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_4\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_5\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_6\ : STD_LOGIC;
signal \cb_int_reg[15]_i_31_n_7\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_1\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_2\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_3\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_4\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_5\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_6\ : STD_LOGIC;
signal \cb_int_reg[15]_i_32_n_7\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_0\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_1\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_2\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_3\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_4\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_5\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_6\ : STD_LOGIC;
signal \cb_int_reg[15]_i_34_n_7\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_0\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_1\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_2\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_3\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_4\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_5\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_6\ : STD_LOGIC;
signal \cb_int_reg[19]_i_32_n_7\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_0\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_1\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_2\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_3\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_4\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_5\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_6\ : STD_LOGIC;
signal \cb_int_reg[19]_i_33_n_7\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_0\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_1\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_2\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_3\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_4\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_5\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_6\ : STD_LOGIC;
signal \cb_int_reg[23]_i_27_n_7\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_0\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_1\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_2\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_3\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_4\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_5\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_6\ : STD_LOGIC;
signal \cb_int_reg[23]_i_28_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_10_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_10_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_10_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_10_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_17_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_23_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_27_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_42_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_42_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_42_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_61_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_66_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_66_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_66_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_85_n_0\ : STD_LOGIC;
signal \cb_int_reg[31]_i_85_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_85_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_85_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_85_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_85_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_1\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_2\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_4\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_5\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_8_n_7\ : STD_LOGIC;
signal \cb_int_reg[31]_i_9_n_3\ : STD_LOGIC;
signal \cb_int_reg[31]_i_9_n_6\ : STD_LOGIC;
signal \cb_int_reg[31]_i_9_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_19_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_32_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_32_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_32_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_32_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_32_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_43_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_43_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_43_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_43_n_7\ : STD_LOGIC;
signal \cb_int_reg[3]_i_58_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_58_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_58_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_58_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_0\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_1\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_2\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_3\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_4\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_5\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_6\ : STD_LOGIC;
signal \cb_int_reg[3]_i_68_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_4\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_5\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_6\ : STD_LOGIC;
signal \cb_int_reg[7]_i_23_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_4\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_5\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_6\ : STD_LOGIC;
signal \cb_int_reg[7]_i_24_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_4\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_5\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_6\ : STD_LOGIC;
signal \cb_int_reg[7]_i_26_n_7\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_0\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_1\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_2\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_3\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_4\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_5\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_6\ : STD_LOGIC;
signal \cb_int_reg[7]_i_27_n_7\ : STD_LOGIC;
signal \cr_int[11]_i_61_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_62_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_63_n_0\ : STD_LOGIC;
signal \cr_int[11]_i_64_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_44_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_45_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_46_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_47_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_52_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_53_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_54_n_0\ : STD_LOGIC;
signal \cr_int[15]_i_55_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_42_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_43_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_44_n_0\ : STD_LOGIC;
signal \cr_int[19]_i_45_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_32_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_33_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_34_n_0\ : STD_LOGIC;
signal \cr_int[23]_i_35_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_104_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_105_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_106_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_107_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_28_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_29_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_65_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_66_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_67_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_68_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_98_n_0\ : STD_LOGIC;
signal \cr_int[31]_i_99_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_29_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_30_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_31_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_32_n_0\ : STD_LOGIC;
signal \cr_int[7]_i_33_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_0\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_1\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_2\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_3\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_4\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_5\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_6\ : STD_LOGIC;
signal \cr_int_reg[11]_i_28_n_7\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_4\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_5\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_6\ : STD_LOGIC;
signal \cr_int_reg[15]_i_37_n_7\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_0\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_1\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_2\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_3\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_4\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_5\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_6\ : STD_LOGIC;
signal \cr_int_reg[15]_i_39_n_7\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_0\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_1\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_2\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_3\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_4\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_5\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_6\ : STD_LOGIC;
signal \cr_int_reg[19]_i_37_n_7\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_0\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_1\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_2\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_3\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_4\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_5\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_6\ : STD_LOGIC;
signal \cr_int_reg[23]_i_31_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_10_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_10_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_10_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_10_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_27_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_54_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_54_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_54_n_7\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_0\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_1\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_2\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_3\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_4\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_5\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_6\ : STD_LOGIC;
signal \cr_int_reg[31]_i_64_n_7\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_0\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_1\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_2\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_3\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_4\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_5\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_6\ : STD_LOGIC;
signal \cr_int_reg[7]_i_24_n_7\ : STD_LOGIC;
signal \^hdmi_d\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \y_int[11]_i_54_n_0\ : STD_LOGIC;
signal \y_int[11]_i_55_n_0\ : STD_LOGIC;
signal \y_int[11]_i_56_n_0\ : STD_LOGIC;
signal \y_int[11]_i_57_n_0\ : STD_LOGIC;
signal \y_int[15]_i_36_n_0\ : STD_LOGIC;
signal \y_int[15]_i_37_n_0\ : STD_LOGIC;
signal \y_int[15]_i_38_n_0\ : STD_LOGIC;
signal \y_int[15]_i_39_n_0\ : STD_LOGIC;
signal \y_int[15]_i_44_n_0\ : STD_LOGIC;
signal \y_int[15]_i_45_n_0\ : STD_LOGIC;
signal \y_int[15]_i_46_n_0\ : STD_LOGIC;
signal \y_int[15]_i_47_n_0\ : STD_LOGIC;
signal \y_int[19]_i_36_n_0\ : STD_LOGIC;
signal \y_int[19]_i_37_n_0\ : STD_LOGIC;
signal \y_int[19]_i_38_n_0\ : STD_LOGIC;
signal \y_int[19]_i_39_n_0\ : STD_LOGIC;
signal \y_int[19]_i_40_n_0\ : STD_LOGIC;
signal \y_int[19]_i_41_n_0\ : STD_LOGIC;
signal \y_int[19]_i_42_n_0\ : STD_LOGIC;
signal \y_int[19]_i_43_n_0\ : STD_LOGIC;
signal \y_int[19]_i_44_n_0\ : STD_LOGIC;
signal \y_int[19]_i_45_n_0\ : STD_LOGIC;
signal \y_int[19]_i_46_n_0\ : STD_LOGIC;
signal \y_int[19]_i_47_n_0\ : STD_LOGIC;
signal \y_int[23]_i_50_n_0\ : STD_LOGIC;
signal \y_int[23]_i_58_n_0\ : STD_LOGIC;
signal \y_int[23]_i_59_n_0\ : STD_LOGIC;
signal \y_int[23]_i_60_n_0\ : STD_LOGIC;
signal \y_int[23]_i_61_n_0\ : STD_LOGIC;
signal \y_int[31]_i_100_n_0\ : STD_LOGIC;
signal \y_int[31]_i_102_n_0\ : STD_LOGIC;
signal \y_int[31]_i_103_n_0\ : STD_LOGIC;
signal \y_int[31]_i_22_n_0\ : STD_LOGIC;
signal \y_int[31]_i_23_n_0\ : STD_LOGIC;
signal \y_int[31]_i_24_n_0\ : STD_LOGIC;
signal \y_int[31]_i_25_n_0\ : STD_LOGIC;
signal \y_int[31]_i_26_n_0\ : STD_LOGIC;
signal \y_int[31]_i_28_n_0\ : STD_LOGIC;
signal \y_int[31]_i_29_n_0\ : STD_LOGIC;
signal \y_int[31]_i_38_n_0\ : STD_LOGIC;
signal \y_int[31]_i_39_n_0\ : STD_LOGIC;
signal \y_int[31]_i_48_n_0\ : STD_LOGIC;
signal \y_int[31]_i_49_n_0\ : STD_LOGIC;
signal \y_int[31]_i_50_n_0\ : STD_LOGIC;
signal \y_int[31]_i_51_n_0\ : STD_LOGIC;
signal \y_int[31]_i_52_n_0\ : STD_LOGIC;
signal \y_int[31]_i_53_n_0\ : STD_LOGIC;
signal \y_int[31]_i_54_n_0\ : STD_LOGIC;
signal \y_int[31]_i_55_n_0\ : STD_LOGIC;
signal \y_int[31]_i_56_n_0\ : STD_LOGIC;
signal \y_int[31]_i_57_n_0\ : STD_LOGIC;
signal \y_int[31]_i_58_n_0\ : STD_LOGIC;
signal \y_int[31]_i_59_n_0\ : STD_LOGIC;
signal \y_int[31]_i_60_n_0\ : STD_LOGIC;
signal \y_int[31]_i_61_n_0\ : STD_LOGIC;
signal \y_int[31]_i_72_n_0\ : STD_LOGIC;
signal \y_int[31]_i_73_n_0\ : STD_LOGIC;
signal \y_int[31]_i_74_n_0\ : STD_LOGIC;
signal \y_int[31]_i_76_n_0\ : STD_LOGIC;
signal \y_int[31]_i_77_n_0\ : STD_LOGIC;
signal \y_int[31]_i_78_n_0\ : STD_LOGIC;
signal \y_int[31]_i_79_n_0\ : STD_LOGIC;
signal \y_int[31]_i_80_n_0\ : STD_LOGIC;
signal \y_int[31]_i_81_n_0\ : STD_LOGIC;
signal \y_int[31]_i_83_n_0\ : STD_LOGIC;
signal \y_int[31]_i_84_n_0\ : STD_LOGIC;
signal \y_int[31]_i_85_n_0\ : STD_LOGIC;
signal \y_int[31]_i_93_n_0\ : STD_LOGIC;
signal \y_int[31]_i_94_n_0\ : STD_LOGIC;
signal \y_int[31]_i_95_n_0\ : STD_LOGIC;
signal \y_int[31]_i_96_n_0\ : STD_LOGIC;
signal \y_int[31]_i_97_n_0\ : STD_LOGIC;
signal \y_int[31]_i_98_n_0\ : STD_LOGIC;
signal \y_int[31]_i_99_n_0\ : STD_LOGIC;
signal \y_int[3]_i_37_n_0\ : STD_LOGIC;
signal \y_int[3]_i_38_n_0\ : STD_LOGIC;
signal \y_int[3]_i_39_n_0\ : STD_LOGIC;
signal \y_int[3]_i_41_n_0\ : STD_LOGIC;
signal \y_int[3]_i_42_n_0\ : STD_LOGIC;
signal \y_int[3]_i_43_n_0\ : STD_LOGIC;
signal \y_int[3]_i_44_n_0\ : STD_LOGIC;
signal \y_int[3]_i_46_n_0\ : STD_LOGIC;
signal \y_int[3]_i_47_n_0\ : STD_LOGIC;
signal \y_int[3]_i_48_n_0\ : STD_LOGIC;
signal \y_int[3]_i_49_n_0\ : STD_LOGIC;
signal \y_int[3]_i_75_n_0\ : STD_LOGIC;
signal \y_int[3]_i_76_n_0\ : STD_LOGIC;
signal \y_int[3]_i_77_n_0\ : STD_LOGIC;
signal \y_int[3]_i_78_n_0\ : STD_LOGIC;
signal \y_int[3]_i_79_n_0\ : STD_LOGIC;
signal \y_int[3]_i_80_n_0\ : STD_LOGIC;
signal \y_int[3]_i_81_n_0\ : STD_LOGIC;
signal \y_int[3]_i_82_n_0\ : STD_LOGIC;
signal \y_int[3]_i_83_n_0\ : STD_LOGIC;
signal \y_int[3]_i_93_n_0\ : STD_LOGIC;
signal \y_int[3]_i_94_n_0\ : STD_LOGIC;
signal \y_int[3]_i_95_n_0\ : STD_LOGIC;
signal \y_int[3]_i_96_n_0\ : STD_LOGIC;
signal \y_int[7]_i_25_n_0\ : STD_LOGIC;
signal \y_int[7]_i_26_n_0\ : STD_LOGIC;
signal \y_int[7]_i_27_n_0\ : STD_LOGIC;
signal \y_int[7]_i_28_n_0\ : STD_LOGIC;
signal y_int_reg2 : STD_LOGIC_VECTOR ( 22 downto 9 );
signal \y_int_reg[11]_i_27_n_0\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_1\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_2\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_3\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_4\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_5\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_6\ : STD_LOGIC;
signal \y_int_reg[11]_i_27_n_7\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_0\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_3\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_4\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_5\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_6\ : STD_LOGIC;
signal \y_int_reg[15]_i_24_n_7\ : STD_LOGIC;
signal \y_int_reg[15]_i_34_n_0\ : STD_LOGIC;
signal \y_int_reg[15]_i_34_n_1\ : STD_LOGIC;
signal \y_int_reg[15]_i_34_n_2\ : STD_LOGIC;
signal \y_int_reg[15]_i_34_n_3\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_3\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_4\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_5\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_6\ : STD_LOGIC;
signal \y_int_reg[19]_i_24_n_7\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_3\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_4\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_5\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_6\ : STD_LOGIC;
signal \y_int_reg[19]_i_33_n_7\ : STD_LOGIC;
signal \y_int_reg[19]_i_34_n_0\ : STD_LOGIC;
signal \y_int_reg[19]_i_34_n_1\ : STD_LOGIC;
signal \y_int_reg[19]_i_34_n_2\ : STD_LOGIC;
signal \y_int_reg[19]_i_34_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_32_n_7\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_0\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_1\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_2\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_3\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_4\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_5\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_6\ : STD_LOGIC;
signal \y_int_reg[23]_i_35_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_10_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_10_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_10_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_10_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_12_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_12_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_21_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_27_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_31_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_31_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_31_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_31_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_31_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_37_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_37_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_37_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_37_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_0\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_4\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_71_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_82_n_1\ : STD_LOGIC;
signal \y_int_reg[31]_i_82_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_82_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_82_n_7\ : STD_LOGIC;
signal \y_int_reg[31]_i_9_n_2\ : STD_LOGIC;
signal \y_int_reg[31]_i_9_n_3\ : STD_LOGIC;
signal \y_int_reg[31]_i_9_n_5\ : STD_LOGIC;
signal \y_int_reg[31]_i_9_n_6\ : STD_LOGIC;
signal \y_int_reg[31]_i_9_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_19_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_20_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_20_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_20_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_20_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_20_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_20_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_6\ : STD_LOGIC;
signal \y_int_reg[3]_i_40_n_7\ : STD_LOGIC;
signal \y_int_reg[3]_i_45_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_45_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_45_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_45_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_0\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_1\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_2\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_3\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_4\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_5\ : STD_LOGIC;
signal \y_int_reg[3]_i_70_n_6\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_0\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_1\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_2\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_3\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_4\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_5\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_6\ : STD_LOGIC;
signal \y_int_reg[7]_i_23_n_7\ : STD_LOGIC;
signal \NLW_cb_int_reg[31]_i_10_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_10_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[31]_i_42_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_42_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[31]_i_66_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_66_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[31]_i_8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cb_int_reg[31]_i_85_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \NLW_cb_int_reg[31]_i_85_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_cb_int_reg[31]_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[31]_i_9_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[3]_i_32_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_cb_int_reg[3]_i_43_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cb_int_reg[3]_i_43_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cb_int_reg[3]_i_58_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_cr_int_reg[31]_i_10_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_10_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_cr_int_reg[31]_i_54_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_cr_int_reg[31]_i_54_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[23]_i_32_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[23]_i_32_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[31]_i_10_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[31]_i_10_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_12_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[31]_i_12_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_31_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_31_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_y_int_reg[31]_i_82_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_y_int_reg[31]_i_82_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_y_int_reg[31]_i_9_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_y_int_reg[3]_i_20_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_y_int_reg[3]_i_45_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_y_int_reg[3]_i_70_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 );
attribute HLUTNM : string;
attribute HLUTNM of \cb_int[3]_i_35\ : label is "lutpair0";
attribute HLUTNM of \cb_int[3]_i_40\ : label is "lutpair0";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \y_int[31]_i_57\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \y_int[31]_i_80\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \y_int[31]_i_81\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \y_int[31]_i_84\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \y_int[31]_i_85\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \y_int[3]_i_79\ : label is "soft_lutpair38";
begin
hdmi_d(15 downto 8) <= \^hdmi_d\(15 downto 8);
hdmi_d(7) <= \<const0>\;
hdmi_d(6) <= \<const0>\;
hdmi_d(5) <= \<const0>\;
hdmi_d(4) <= \<const0>\;
hdmi_d(3) <= \<const0>\;
hdmi_d(2) <= \<const0>\;
hdmi_d(1) <= \<const0>\;
hdmi_d(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.system_zed_hdmi_0_0_zed_hdmi
port map (
CO(0) => U0_n_16,
DI(0) => U0_n_4,
O(1) => U0_n_7,
O(0) => U0_n_8,
active => active,
\cb_int_reg[15]_0\(0) => U0_n_76,
\cb_int_reg[27]_0\(0) => U0_n_75,
\cb_int_reg[3]_0\(3) => U0_n_9,
\cb_int_reg[3]_0\(2) => U0_n_10,
\cb_int_reg[3]_0\(1) => U0_n_11,
\cb_int_reg[3]_0\(0) => U0_n_12,
\cb_int_reg[3]_1\(0) => U0_n_72,
\cb_int_reg[3]_2\(0) => U0_n_73,
\cb_int_reg[3]_3\(0) => U0_n_74,
clk => clk,
clk_100 => clk_100,
clk_x2 => clk_x2,
\cr_int_reg[11]_0\(3) => U0_n_34,
\cr_int_reg[11]_0\(2) => U0_n_35,
\cr_int_reg[11]_0\(1) => U0_n_36,
\cr_int_reg[11]_0\(0) => U0_n_37,
\cr_int_reg[15]_0\(3) => U0_n_38,
\cr_int_reg[15]_0\(2) => U0_n_39,
\cr_int_reg[15]_0\(1) => U0_n_40,
\cr_int_reg[15]_0\(0) => U0_n_41,
\cr_int_reg[15]_1\(0) => U0_n_77,
\cr_int_reg[19]_0\(3) => U0_n_42,
\cr_int_reg[19]_0\(2) => U0_n_43,
\cr_int_reg[19]_0\(1) => U0_n_44,
\cr_int_reg[19]_0\(0) => U0_n_45,
\cr_int_reg[23]_0\(3) => U0_n_46,
\cr_int_reg[23]_0\(2) => U0_n_47,
\cr_int_reg[23]_0\(1) => U0_n_48,
\cr_int_reg[23]_0\(0) => U0_n_49,
\cr_int_reg[23]_1\(0) => U0_n_50,
\cr_int_reg[27]_0\ => U0_n_13,
\cr_int_reg[27]_1\(1) => U0_n_14,
\cr_int_reg[27]_1\(0) => U0_n_15,
\cr_int_reg[27]_2\(0) => U0_n_29,
\cr_int_reg[31]_0\ => U0_n_5,
\cr_int_reg[31]_1\ => U0_n_6,
\cr_int_reg[31]_2\(1) => U0_n_17,
\cr_int_reg[31]_2\(0) => U0_n_18,
\cr_int_reg[3]_0\(2) => U0_n_23,
\cr_int_reg[3]_0\(1) => U0_n_24,
\cr_int_reg[3]_0\(0) => U0_n_25,
\cr_int_reg[3]_1\(0) => U0_n_26,
\cr_int_reg[3]_2\(1) => U0_n_27,
\cr_int_reg[3]_2\(0) => U0_n_28,
\cr_int_reg[7]_0\(3) => U0_n_19,
\cr_int_reg[7]_0\(2) => U0_n_20,
\cr_int_reg[7]_0\(1) => U0_n_21,
\cr_int_reg[7]_0\(0) => U0_n_22,
\cr_int_reg[7]_1\(3) => U0_n_30,
\cr_int_reg[7]_1\(2) => U0_n_31,
\cr_int_reg[7]_1\(1) => U0_n_32,
\cr_int_reg[7]_1\(0) => U0_n_33,
hdmi_clk => hdmi_clk,
hdmi_d(7 downto 0) => \^hdmi_d\(15 downto 8),
hdmi_de => hdmi_de,
hdmi_hsync => hdmi_hsync,
hdmi_scl => hdmi_scl,
hdmi_sda => hdmi_sda,
hdmi_vsync => hdmi_vsync,
hsync => hsync,
rgb888(23 downto 0) => rgb888(23 downto 0),
\rgb888[0]\(3) => \cb_int_reg[31]_i_8_n_4\,
\rgb888[0]\(2) => \cb_int_reg[31]_i_8_n_5\,
\rgb888[0]\(1) => \cb_int_reg[31]_i_8_n_6\,
\rgb888[0]\(0) => \cb_int_reg[31]_i_8_n_7\,
\rgb888[0]_0\(3) => \cb_int_reg[31]_i_17_n_4\,
\rgb888[0]_0\(2) => \cb_int_reg[31]_i_17_n_5\,
\rgb888[0]_0\(1) => \cb_int_reg[31]_i_17_n_6\,
\rgb888[0]_0\(0) => \cb_int_reg[31]_i_17_n_7\,
\rgb888[0]_1\(1) => \cb_int_reg[31]_i_42_n_6\,
\rgb888[0]_1\(0) => \cb_int_reg[31]_i_42_n_7\,
\rgb888[0]_2\(3) => \cb_int_reg[23]_i_28_n_4\,
\rgb888[0]_2\(2) => \cb_int_reg[23]_i_28_n_5\,
\rgb888[0]_2\(1) => \cb_int_reg[23]_i_28_n_6\,
\rgb888[0]_2\(0) => \cb_int_reg[23]_i_28_n_7\,
\rgb888[0]_3\(3) => \cb_int_reg[19]_i_33_n_4\,
\rgb888[0]_3\(2) => \cb_int_reg[19]_i_33_n_5\,
\rgb888[0]_3\(1) => \cb_int_reg[19]_i_33_n_6\,
\rgb888[0]_3\(0) => \cb_int_reg[19]_i_33_n_7\,
\rgb888[0]_4\(3) => \cb_int_reg[15]_i_34_n_4\,
\rgb888[0]_4\(2) => \cb_int_reg[15]_i_34_n_5\,
\rgb888[0]_4\(1) => \cb_int_reg[15]_i_34_n_6\,
\rgb888[0]_4\(0) => \cb_int_reg[15]_i_34_n_7\,
\rgb888[0]_5\(3) => \cr_int_reg[23]_i_31_n_4\,
\rgb888[0]_5\(2) => \cr_int_reg[23]_i_31_n_5\,
\rgb888[0]_5\(1) => \cr_int_reg[23]_i_31_n_6\,
\rgb888[0]_5\(0) => \cr_int_reg[23]_i_31_n_7\,
\rgb888[0]_6\(1) => \cr_int_reg[31]_i_54_n_6\,
\rgb888[0]_6\(0) => \cr_int_reg[31]_i_54_n_7\,
\rgb888[0]_7\(3) => \y_int_reg[31]_i_71_n_4\,
\rgb888[0]_7\(2) => \y_int_reg[31]_i_71_n_5\,
\rgb888[0]_7\(1) => \y_int_reg[31]_i_71_n_6\,
\rgb888[0]_7\(0) => \y_int_reg[31]_i_71_n_7\,
\rgb888[0]_8\(1) => \cb_int_reg[3]_i_43_n_6\,
\rgb888[0]_8\(0) => \cb_int_reg[3]_i_43_n_7\,
\rgb888[0]_9\(2) => \y_int_reg[31]_i_31_n_5\,
\rgb888[0]_9\(1) => \y_int_reg[31]_i_31_n_6\,
\rgb888[0]_9\(0) => \y_int_reg[31]_i_31_n_7\,
\rgb888[12]\(3) => \cb_int_reg[7]_i_24_n_4\,
\rgb888[12]\(2) => \cb_int_reg[7]_i_24_n_5\,
\rgb888[12]\(1) => \cb_int_reg[7]_i_24_n_6\,
\rgb888[12]\(0) => \cb_int_reg[7]_i_24_n_7\,
\rgb888[12]_0\(3) => \cb_int_reg[15]_i_32_n_4\,
\rgb888[12]_0\(2) => \cb_int_reg[15]_i_32_n_5\,
\rgb888[12]_0\(1) => \cb_int_reg[15]_i_32_n_6\,
\rgb888[12]_0\(0) => \cb_int_reg[15]_i_32_n_7\,
\rgb888[13]\(0) => \cb_int_reg[3]_i_32_n_4\,
\rgb888[13]_0\(3) => \cb_int_reg[7]_i_27_n_4\,
\rgb888[13]_0\(2) => \cb_int_reg[7]_i_27_n_5\,
\rgb888[13]_0\(1) => \cb_int_reg[7]_i_27_n_6\,
\rgb888[13]_0\(0) => \cb_int_reg[7]_i_27_n_7\,
\rgb888[14]\(3) => \y_int_reg[3]_i_19_n_4\,
\rgb888[14]\(2) => \y_int_reg[3]_i_19_n_5\,
\rgb888[14]\(1) => \y_int_reg[3]_i_19_n_6\,
\rgb888[14]\(0) => \y_int_reg[3]_i_19_n_7\,
\rgb888[14]_0\(1) => \y_int_reg[3]_i_20_n_4\,
\rgb888[14]_0\(0) => \y_int_reg[3]_i_20_n_5\,
\rgb888[14]_1\(3) => \y_int_reg[7]_i_23_n_4\,
\rgb888[14]_1\(2) => \y_int_reg[7]_i_23_n_5\,
\rgb888[14]_1\(1) => \y_int_reg[7]_i_23_n_6\,
\rgb888[14]_1\(0) => \y_int_reg[7]_i_23_n_7\,
\rgb888[1]\(13 downto 0) => y_int_reg2(22 downto 9),
\rgb888[1]_0\(0) => \y_int_reg[31]_i_12_n_1\,
\rgb888[3]\(3) => \cr_int_reg[15]_i_39_n_4\,
\rgb888[3]\(2) => \cr_int_reg[15]_i_39_n_5\,
\rgb888[3]\(1) => \cr_int_reg[15]_i_39_n_6\,
\rgb888[3]\(0) => \cr_int_reg[15]_i_39_n_7\,
\rgb888[3]_0\(3) => \cr_int_reg[19]_i_37_n_4\,
\rgb888[3]_0\(2) => \cr_int_reg[19]_i_37_n_5\,
\rgb888[3]_0\(1) => \cr_int_reg[19]_i_37_n_6\,
\rgb888[3]_0\(0) => \cr_int_reg[19]_i_37_n_7\,
\rgb888[8]\(3) => \cb_int_reg[3]_i_19_n_4\,
\rgb888[8]\(2) => \cb_int_reg[3]_i_19_n_5\,
\rgb888[8]\(1) => \cb_int_reg[3]_i_19_n_6\,
\rgb888[8]\(0) => \cb_int_reg[3]_i_19_n_7\,
\rgb888[8]_0\(3) => \cb_int_reg[31]_i_23_n_4\,
\rgb888[8]_0\(2) => \cb_int_reg[31]_i_23_n_5\,
\rgb888[8]_0\(1) => \cb_int_reg[31]_i_23_n_6\,
\rgb888[8]_0\(0) => \cb_int_reg[31]_i_23_n_7\,
\rgb888[8]_1\(1) => \cb_int_reg[31]_i_9_n_6\,
\rgb888[8]_1\(0) => \cb_int_reg[31]_i_9_n_7\,
\rgb888[8]_10\(1) => \cb_int_reg[31]_i_66_n_6\,
\rgb888[8]_10\(0) => \cb_int_reg[31]_i_66_n_7\,
\rgb888[8]_11\(0) => \cb_int_reg[31]_i_10_n_1\,
\rgb888[8]_12\(3) => \cr_int_reg[7]_i_24_n_4\,
\rgb888[8]_12\(2) => \cr_int_reg[7]_i_24_n_5\,
\rgb888[8]_12\(1) => \cr_int_reg[7]_i_24_n_6\,
\rgb888[8]_12\(0) => \cr_int_reg[7]_i_24_n_7\,
\rgb888[8]_13\(3) => \cr_int_reg[11]_i_28_n_4\,
\rgb888[8]_13\(2) => \cr_int_reg[11]_i_28_n_5\,
\rgb888[8]_13\(1) => \cr_int_reg[11]_i_28_n_6\,
\rgb888[8]_13\(0) => \cr_int_reg[11]_i_28_n_7\,
\rgb888[8]_14\(3) => \cr_int_reg[15]_i_37_n_4\,
\rgb888[8]_14\(2) => \cr_int_reg[15]_i_37_n_5\,
\rgb888[8]_14\(1) => \cr_int_reg[15]_i_37_n_6\,
\rgb888[8]_14\(0) => \cr_int_reg[15]_i_37_n_7\,
\rgb888[8]_15\(3) => \cr_int_reg[31]_i_64_n_4\,
\rgb888[8]_15\(2) => \cr_int_reg[31]_i_64_n_5\,
\rgb888[8]_15\(1) => \cr_int_reg[31]_i_64_n_6\,
\rgb888[8]_15\(0) => \cr_int_reg[31]_i_64_n_7\,
\rgb888[8]_16\(3) => \cr_int_reg[31]_i_27_n_4\,
\rgb888[8]_16\(2) => \cr_int_reg[31]_i_27_n_5\,
\rgb888[8]_16\(1) => \cr_int_reg[31]_i_27_n_6\,
\rgb888[8]_16\(0) => \cr_int_reg[31]_i_27_n_7\,
\rgb888[8]_17\(1) => \cr_int_reg[31]_i_10_n_6\,
\rgb888[8]_17\(0) => \cr_int_reg[31]_i_10_n_7\,
\rgb888[8]_18\(0) => \cr_int_reg[31]_i_10_n_1\,
\rgb888[8]_19\(2) => \y_int_reg[3]_i_70_n_4\,
\rgb888[8]_19\(1) => \y_int_reg[3]_i_70_n_5\,
\rgb888[8]_19\(0) => \y_int_reg[3]_i_70_n_6\,
\rgb888[8]_2\(3) => \cb_int_reg[7]_i_26_n_4\,
\rgb888[8]_2\(2) => \cb_int_reg[7]_i_26_n_5\,
\rgb888[8]_2\(1) => \cb_int_reg[7]_i_26_n_6\,
\rgb888[8]_2\(0) => \cb_int_reg[7]_i_26_n_7\,
\rgb888[8]_20\(3) => \y_int_reg[31]_i_21_n_4\,
\rgb888[8]_20\(2) => \y_int_reg[31]_i_21_n_5\,
\rgb888[8]_20\(1) => \y_int_reg[31]_i_21_n_6\,
\rgb888[8]_20\(0) => \y_int_reg[31]_i_21_n_7\,
\rgb888[8]_21\(2) => \y_int_reg[31]_i_9_n_5\,
\rgb888[8]_21\(1) => \y_int_reg[31]_i_9_n_6\,
\rgb888[8]_21\(0) => \y_int_reg[31]_i_9_n_7\,
\rgb888[8]_22\(3) => \y_int_reg[11]_i_27_n_4\,
\rgb888[8]_22\(2) => \y_int_reg[11]_i_27_n_5\,
\rgb888[8]_22\(1) => \y_int_reg[11]_i_27_n_6\,
\rgb888[8]_22\(0) => \y_int_reg[11]_i_27_n_7\,
\rgb888[8]_23\(1) => \y_int_reg[31]_i_10_n_6\,
\rgb888[8]_23\(0) => \y_int_reg[31]_i_10_n_7\,
\rgb888[8]_24\(0) => \y_int_reg[23]_i_32_n_7\,
\rgb888[8]_25\(3) => \y_int_reg[23]_i_35_n_4\,
\rgb888[8]_25\(2) => \y_int_reg[23]_i_35_n_5\,
\rgb888[8]_25\(1) => \y_int_reg[23]_i_35_n_6\,
\rgb888[8]_25\(0) => \y_int_reg[23]_i_35_n_7\,
\rgb888[8]_26\(3) => \y_int_reg[31]_i_27_n_4\,
\rgb888[8]_26\(2) => \y_int_reg[31]_i_27_n_5\,
\rgb888[8]_26\(1) => \y_int_reg[31]_i_27_n_6\,
\rgb888[8]_26\(0) => \y_int_reg[31]_i_27_n_7\,
\rgb888[8]_27\(3) => \y_int_reg[19]_i_24_n_4\,
\rgb888[8]_27\(2) => \y_int_reg[19]_i_24_n_5\,
\rgb888[8]_27\(1) => \y_int_reg[19]_i_24_n_6\,
\rgb888[8]_27\(0) => \y_int_reg[19]_i_24_n_7\,
\rgb888[8]_28\(3) => \y_int_reg[19]_i_33_n_4\,
\rgb888[8]_28\(2) => \y_int_reg[19]_i_33_n_5\,
\rgb888[8]_28\(1) => \y_int_reg[19]_i_33_n_6\,
\rgb888[8]_28\(0) => \y_int_reg[19]_i_33_n_7\,
\rgb888[8]_29\(3) => \y_int_reg[15]_i_24_n_4\,
\rgb888[8]_29\(2) => \y_int_reg[15]_i_24_n_5\,
\rgb888[8]_29\(1) => \y_int_reg[15]_i_24_n_6\,
\rgb888[8]_29\(0) => \y_int_reg[15]_i_24_n_7\,
\rgb888[8]_3\(3) => \cb_int_reg[7]_i_23_n_4\,
\rgb888[8]_3\(2) => \cb_int_reg[7]_i_23_n_5\,
\rgb888[8]_3\(1) => \cb_int_reg[7]_i_23_n_6\,
\rgb888[8]_3\(0) => \cb_int_reg[7]_i_23_n_7\,
\rgb888[8]_30\(0) => \y_int_reg[31]_i_10_n_1\,
\rgb888[8]_31\(2) => \cb_int_reg[3]_i_68_n_5\,
\rgb888[8]_31\(1) => \cb_int_reg[3]_i_68_n_6\,
\rgb888[8]_31\(0) => \cb_int_reg[3]_i_68_n_7\,
\rgb888[8]_32\(1) => \y_int_reg[3]_i_40_n_6\,
\rgb888[8]_32\(0) => \y_int_reg[3]_i_40_n_7\,
\rgb888[8]_4\(3) => \cb_int_reg[15]_i_31_n_4\,
\rgb888[8]_4\(2) => \cb_int_reg[15]_i_31_n_5\,
\rgb888[8]_4\(1) => \cb_int_reg[15]_i_31_n_6\,
\rgb888[8]_4\(0) => \cb_int_reg[15]_i_31_n_7\,
\rgb888[8]_5\(3) => \cb_int_reg[31]_i_61_n_4\,
\rgb888[8]_5\(2) => \cb_int_reg[31]_i_61_n_5\,
\rgb888[8]_5\(1) => \cb_int_reg[31]_i_61_n_6\,
\rgb888[8]_5\(0) => \cb_int_reg[31]_i_61_n_7\,
\rgb888[8]_6\(3) => \cb_int_reg[19]_i_32_n_4\,
\rgb888[8]_6\(2) => \cb_int_reg[19]_i_32_n_5\,
\rgb888[8]_6\(1) => \cb_int_reg[19]_i_32_n_6\,
\rgb888[8]_6\(0) => \cb_int_reg[19]_i_32_n_7\,
\rgb888[8]_7\(3) => \cb_int_reg[31]_i_27_n_4\,
\rgb888[8]_7\(2) => \cb_int_reg[31]_i_27_n_5\,
\rgb888[8]_7\(1) => \cb_int_reg[31]_i_27_n_6\,
\rgb888[8]_7\(0) => \cb_int_reg[31]_i_27_n_7\,
\rgb888[8]_8\(3) => \cb_int_reg[23]_i_27_n_4\,
\rgb888[8]_8\(2) => \cb_int_reg[23]_i_27_n_5\,
\rgb888[8]_8\(1) => \cb_int_reg[23]_i_27_n_6\,
\rgb888[8]_8\(0) => \cb_int_reg[23]_i_27_n_7\,
\rgb888[8]_9\(1) => \cb_int_reg[31]_i_10_n_6\,
\rgb888[8]_9\(0) => \cb_int_reg[31]_i_10_n_7\,
vsync => vsync,
\y_int_reg[15]_0\(3) => U0_n_68,
\y_int_reg[15]_0\(2) => U0_n_69,
\y_int_reg[15]_0\(1) => U0_n_70,
\y_int_reg[15]_0\(0) => U0_n_71,
\y_int_reg[15]_1\(0) => U0_n_81,
\y_int_reg[19]_0\(3) => U0_n_64,
\y_int_reg[19]_0\(2) => U0_n_65,
\y_int_reg[19]_0\(1) => U0_n_66,
\y_int_reg[19]_0\(0) => U0_n_67,
\y_int_reg[19]_1\(0) => U0_n_79,
\y_int_reg[23]_0\(0) => U0_n_55,
\y_int_reg[23]_1\(1) => U0_n_58,
\y_int_reg[23]_1\(0) => U0_n_59,
\y_int_reg[23]_2\(3) => U0_n_60,
\y_int_reg[23]_2\(2) => U0_n_61,
\y_int_reg[23]_2\(1) => U0_n_62,
\y_int_reg[23]_2\(0) => U0_n_63,
\y_int_reg[23]_3\(0) => U0_n_80,
\y_int_reg[3]_0\(3) => U0_n_51,
\y_int_reg[3]_0\(2) => U0_n_52,
\y_int_reg[3]_0\(1) => U0_n_53,
\y_int_reg[3]_0\(0) => U0_n_54,
\y_int_reg[3]_1\(0) => U0_n_57,
\y_int_reg[3]_2\(0) => U0_n_78,
\y_int_reg[7]_0\(0) => U0_n_56
);
\cb_int[15]_i_35\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[15]_i_32_n_4\,
O => \cb_int[15]_i_35_n_0\
);
\cb_int[15]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[15]_i_32_n_5\,
O => \cb_int[15]_i_36_n_0\
);
\cb_int[15]_i_37\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[15]_i_32_n_6\,
O => \cb_int[15]_i_37_n_0\
);
\cb_int[15]_i_38\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[15]_i_32_n_7\,
O => \cb_int[15]_i_38_n_0\
);
\cb_int[15]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[15]_i_39_n_0\
);
\cb_int[15]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[15]_i_40_n_0\
);
\cb_int[15]_i_41\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[15]_i_41_n_0\
);
\cb_int[15]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[15]_i_42_n_0\
);
\cb_int[15]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[15]_i_47_n_0\
);
\cb_int[15]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[15]_i_48_n_0\
);
\cb_int[15]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[15]_i_49_n_0\
);
\cb_int[15]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[15]_i_50_n_0\
);
\cb_int[19]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[19]_i_38_n_0\
);
\cb_int[19]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[19]_i_39_n_0\
);
\cb_int[19]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[19]_i_40_n_0\
);
\cb_int[19]_i_41\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[19]_i_41_n_0\
);
\cb_int[19]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[19]_i_42_n_0\
);
\cb_int[19]_i_43\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[19]_i_43_n_0\
);
\cb_int[19]_i_44\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[19]_i_44_n_0\
);
\cb_int[19]_i_45\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[19]_i_45_n_0\
);
\cb_int[23]_i_33\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[23]_i_33_n_0\
);
\cb_int[23]_i_34\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[23]_i_34_n_0\
);
\cb_int[23]_i_35\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[23]_i_35_n_0\
);
\cb_int[23]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[23]_i_36_n_0\
);
\cb_int[23]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[23]_i_37_n_0\
);
\cb_int[23]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[23]_i_38_n_0\
);
\cb_int[23]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[23]_i_39_n_0\
);
\cb_int[23]_i_40\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[23]_i_40_n_0\
);
\cb_int[31]_i_100\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(14),
O => \cb_int[31]_i_100_n_0\
);
\cb_int[31]_i_101\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(13),
O => \cb_int[31]_i_101_n_0\
);
\cb_int[31]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => U0_n_13,
I1 => rgb888(7),
O => \cb_int[31]_i_18_n_0\
);
\cb_int[31]_i_19\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(7),
I1 => U0_n_13,
O => \cb_int[31]_i_19_n_0\
);
\cb_int[31]_i_20\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(7),
I1 => U0_n_13,
O => \cb_int[31]_i_20_n_0\
);
\cb_int[31]_i_21\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(7),
I1 => U0_n_13,
O => \cb_int[31]_i_21_n_0\
);
\cb_int[31]_i_22\: unisim.vcomponents.LUT3
generic map(
INIT => X"95"
)
port map (
I0 => rgb888(7),
I1 => \cb_int[31]_i_52_n_0\,
I2 => rgb888(6),
O => \cb_int[31]_i_22_n_0\
);
\cb_int[31]_i_25\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(14),
O => \cb_int[31]_i_25_n_0\
);
\cb_int[31]_i_26\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(15),
O => \cb_int[31]_i_26_n_0\
);
\cb_int[31]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_66_n_6\,
O => \cb_int[31]_i_28_n_0\
);
\cb_int[31]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_66_n_7\,
O => \cb_int[31]_i_29_n_0\
);
\cb_int[31]_i_45\: unisim.vcomponents.LUT5
generic map(
INIT => X"99999996"
)
port map (
I0 => \cb_int_reg[3]_i_43_n_1\,
I1 => rgb888(4),
I2 => rgb888(2),
I3 => rgb888(1),
I4 => rgb888(3),
O => \cb_int[31]_i_45_n_0\
);
\cb_int[31]_i_46\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(2),
I1 => rgb888(1),
O => \cb_int[31]_i_46_n_0\
);
\cb_int[31]_i_47\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAA955555555"
)
port map (
I0 => rgb888(6),
I1 => rgb888(4),
I2 => rgb888(2),
I3 => rgb888(1),
I4 => rgb888(3),
I5 => rgb888(5),
O => \cb_int[31]_i_47_n_0\
);
\cb_int[31]_i_48\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCCCCC999999993"
)
port map (
I0 => \cb_int_reg[3]_i_43_n_1\,
I1 => rgb888(5),
I2 => rgb888(3),
I3 => rgb888(1),
I4 => rgb888(2),
I5 => rgb888(4),
O => \cb_int[31]_i_48_n_0\
);
\cb_int[31]_i_49\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAA99995"
)
port map (
I0 => rgb888(4),
I1 => \cb_int_reg[3]_i_43_n_1\,
I2 => rgb888(2),
I3 => rgb888(1),
I4 => rgb888(3),
O => \cb_int[31]_i_49_n_0\
);
\cb_int[31]_i_50\: unisim.vcomponents.LUT4
generic map(
INIT => X"6A95"
)
port map (
I0 => \cb_int_reg[3]_i_43_n_1\,
I1 => rgb888(2),
I2 => rgb888(1),
I3 => rgb888(3),
O => \cb_int[31]_i_50_n_0\
);
\cb_int[31]_i_52\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => rgb888(4),
I1 => rgb888(2),
I2 => rgb888(1),
I3 => rgb888(3),
I4 => rgb888(5),
O => \cb_int[31]_i_52_n_0\
);
\cb_int[31]_i_53\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => rgb888(14),
I1 => rgb888(12),
I2 => rgb888(10),
I3 => rgb888(11),
I4 => rgb888(13),
I5 => rgb888(15),
O => \cb_int[31]_i_53_n_0\
);
\cb_int[31]_i_54\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000006AAAAAAA"
)
port map (
I0 => rgb888(14),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(15),
O => \cb_int[31]_i_54_n_0\
);
\cb_int[31]_i_55\: unisim.vcomponents.LUT6
generic map(
INIT => X"2BBBBBBBB2222222"
)
port map (
I0 => \cb_int_reg[31]_i_85_n_0\,
I1 => rgb888(15),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(13),
O => \cb_int[31]_i_55_n_0\
);
\cb_int[31]_i_56\: unisim.vcomponents.LUT5
generic map(
INIT => X"BFEA2A80"
)
port map (
I0 => \cb_int_reg[31]_i_85_n_5\,
I1 => rgb888(10),
I2 => rgb888(11),
I3 => rgb888(12),
I4 => rgb888(14),
O => \cb_int[31]_i_56_n_0\
);
\cb_int[31]_i_57\: unisim.vcomponents.LUT6
generic map(
INIT => X"9555555555555555"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(14),
O => \cb_int[31]_i_57_n_0\
);
\cb_int[31]_i_58\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAABFFFFFFF"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
I2 => rgb888(11),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(14),
O => \cb_int[31]_i_58_n_0\
);
\cb_int[31]_i_59\: unisim.vcomponents.LUT4
generic map(
INIT => X"7E81"
)
port map (
I0 => U0_n_6,
I1 => \cb_int_reg[31]_i_85_n_0\,
I2 => rgb888(15),
I3 => U0_n_5,
O => \cb_int[31]_i_59_n_0\
);
\cb_int[31]_i_60\: unisim.vcomponents.LUT6
generic map(
INIT => X"E81717E817E8E817"
)
port map (
I0 => rgb888(14),
I1 => \cb_int[31]_i_88_n_0\,
I2 => \cb_int_reg[31]_i_85_n_5\,
I3 => U0_n_6,
I4 => rgb888(15),
I5 => \cb_int_reg[31]_i_85_n_0\,
O => \cb_int[31]_i_60_n_0\
);
\cb_int[31]_i_62\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[23]_i_27_n_4\,
O => \cb_int[31]_i_62_n_0\
);
\cb_int[31]_i_63\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[23]_i_27_n_5\,
O => \cb_int[31]_i_63_n_0\
);
\cb_int[31]_i_64\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[23]_i_27_n_6\,
O => \cb_int[31]_i_64_n_0\
);
\cb_int[31]_i_65\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[23]_i_27_n_7\,
O => \cb_int[31]_i_65_n_0\
);
\cb_int[31]_i_83\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[31]_i_83_n_0\
);
\cb_int[31]_i_84\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_8_n_4\,
O => \cb_int[31]_i_84_n_0\
);
\cb_int[31]_i_88\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => rgb888(10),
I1 => rgb888(11),
I2 => rgb888(12),
O => \cb_int[31]_i_88_n_0\
);
\cb_int[31]_i_89\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[19]_i_32_n_4\,
O => \cb_int[31]_i_89_n_0\
);
\cb_int[31]_i_90\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[19]_i_32_n_5\,
O => \cb_int[31]_i_90_n_0\
);
\cb_int[31]_i_91\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[19]_i_32_n_6\,
O => \cb_int[31]_i_91_n_0\
);
\cb_int[31]_i_92\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[19]_i_32_n_7\,
O => \cb_int[31]_i_92_n_0\
);
\cb_int[31]_i_93\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[31]_i_93_n_0\
);
\cb_int[31]_i_94\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[31]_i_94_n_0\
);
\cb_int[31]_i_99\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(15),
O => \cb_int[31]_i_99_n_0\
);
\cb_int[3]_i_35\: unisim.vcomponents.LUT4
generic map(
INIT => X"BE28"
)
port map (
I0 => \cb_int_reg[31]_i_85_n_6\,
I1 => rgb888(10),
I2 => rgb888(11),
I3 => rgb888(13),
O => \cb_int[3]_i_35_n_0\
);
\cb_int[3]_i_36\: unisim.vcomponents.LUT3
generic map(
INIT => X"D4"
)
port map (
I0 => rgb888(10),
I1 => \cb_int_reg[31]_i_85_n_7\,
I2 => rgb888(12),
O => \cb_int[3]_i_36_n_0\
);
\cb_int[3]_i_37\: unisim.vcomponents.LUT3
generic map(
INIT => X"E8"
)
port map (
I0 => \cb_int_reg[3]_i_68_n_4\,
I1 => rgb888(9),
I2 => rgb888(11),
O => \cb_int[3]_i_37_n_0\
);
\cb_int[3]_i_38\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cb_int_reg[3]_i_68_n_4\,
I1 => rgb888(9),
I2 => rgb888(11),
O => \cb_int[3]_i_38_n_0\
);
\cb_int[3]_i_39\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669696969969696"
)
port map (
I0 => \cb_int[3]_i_35_n_0\,
I1 => rgb888(14),
I2 => rgb888(12),
I3 => rgb888(11),
I4 => rgb888(10),
I5 => \cb_int_reg[31]_i_85_n_5\,
O => \cb_int[3]_i_39_n_0\
);
\cb_int[3]_i_40\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \cb_int_reg[31]_i_85_n_6\,
I1 => rgb888(10),
I2 => rgb888(11),
I3 => rgb888(13),
I4 => \cb_int[3]_i_36_n_0\,
O => \cb_int[3]_i_40_n_0\
);
\cb_int[3]_i_41\: unisim.vcomponents.LUT6
generic map(
INIT => X"E81717E817E8E817"
)
port map (
I0 => rgb888(11),
I1 => rgb888(9),
I2 => \cb_int_reg[3]_i_68_n_4\,
I3 => rgb888(12),
I4 => rgb888(10),
I5 => \cb_int_reg[31]_i_85_n_7\,
O => \cb_int[3]_i_41_n_0\
);
\cb_int[3]_i_42\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969696"
)
port map (
I0 => rgb888(11),
I1 => rgb888(9),
I2 => \cb_int_reg[3]_i_68_n_4\,
I3 => rgb888(10),
I4 => rgb888(8),
O => \cb_int[3]_i_42_n_0\
);
\cb_int[3]_i_59\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_19_n_6\,
O => \cb_int[3]_i_59_n_0\
);
\cb_int[3]_i_60\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_19_n_7\,
O => \cb_int[3]_i_60_n_0\
);
\cb_int[3]_i_61\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_9,
O => \cb_int[3]_i_61_n_0\
);
\cb_int[3]_i_62\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_10,
O => \cb_int[3]_i_62_n_0\
);
\cb_int[3]_i_73\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(7),
O => \cb_int[3]_i_73_n_0\
);
\cb_int[3]_i_74\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(6),
O => \cb_int[3]_i_74_n_0\
);
\cb_int[3]_i_84\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(8),
O => \cb_int[3]_i_84_n_0\
);
\cb_int[3]_i_85\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_11,
O => \cb_int[3]_i_85_n_0\
);
\cb_int[3]_i_86\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_12,
O => \cb_int[3]_i_86_n_0\
);
\cb_int[3]_i_87\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_7,
O => \cb_int[3]_i_87_n_0\
);
\cb_int[3]_i_88\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_8,
O => \cb_int[3]_i_88_n_0\
);
\cb_int[3]_i_95\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(12),
I1 => rgb888(15),
O => \cb_int[3]_i_95_n_0\
);
\cb_int[3]_i_96\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(11),
I1 => rgb888(14),
O => \cb_int[3]_i_96_n_0\
);
\cb_int[3]_i_97\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(10),
I1 => rgb888(13),
O => \cb_int[3]_i_97_n_0\
);
\cb_int[3]_i_98\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(9),
I1 => rgb888(12),
O => \cb_int[3]_i_98_n_0\
);
\cb_int[7]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[7]_i_24_n_4\,
O => \cb_int[7]_i_30_n_0\
);
\cb_int[7]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_24_n_5\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_9_n_7\,
O => \cb_int[7]_i_31_n_0\
);
\cb_int[7]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_24_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_23_n_4\,
O => \cb_int[7]_i_32_n_0\
);
\cb_int[7]_i_33\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_24_n_7\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_23_n_5\,
O => \cb_int[7]_i_33_n_0\
);
\cb_int[7]_i_34\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_6\,
O => \cb_int[7]_i_34_n_0\
);
\cb_int[7]_i_35\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_9_n_7\,
O => \cb_int[7]_i_35_n_0\
);
\cb_int[7]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_23_n_4\,
O => \cb_int[7]_i_36_n_0\
);
\cb_int[7]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_23_n_5\,
O => \cb_int[7]_i_37_n_0\
);
\cb_int[7]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[3]_i_32_n_4\,
I1 => U0_n_16,
I2 => \cb_int_reg[3]_i_19_n_6\,
O => \cb_int[7]_i_43_n_0\
);
\cb_int[7]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_27_n_4\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_23_n_6\,
O => \cb_int[7]_i_44_n_0\
);
\cb_int[7]_i_45\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_27_n_5\,
I1 => U0_n_16,
I2 => \cb_int_reg[31]_i_23_n_7\,
O => \cb_int[7]_i_45_n_0\
);
\cb_int[7]_i_46\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_27_n_6\,
I1 => U0_n_16,
I2 => \cb_int_reg[3]_i_19_n_4\,
O => \cb_int[7]_i_46_n_0\
);
\cb_int[7]_i_47\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => \cb_int_reg[7]_i_27_n_7\,
I1 => U0_n_16,
I2 => \cb_int_reg[3]_i_19_n_5\,
O => \cb_int[7]_i_47_n_0\
);
\cb_int[7]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_23_n_6\,
O => \cb_int[7]_i_48_n_0\
);
\cb_int[7]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[31]_i_23_n_7\,
O => \cb_int[7]_i_49_n_0\
);
\cb_int[7]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_19_n_4\,
O => \cb_int[7]_i_50_n_0\
);
\cb_int[7]_i_51\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \cb_int_reg[3]_i_19_n_5\,
O => \cb_int[7]_i_51_n_0\
);
\cb_int_reg[15]_i_31\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_23_n_0\,
CO(3) => \cb_int_reg[15]_i_31_n_0\,
CO(2) => \cb_int_reg[15]_i_31_n_1\,
CO(1) => \cb_int_reg[15]_i_31_n_2\,
CO(0) => \cb_int_reg[15]_i_31_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[15]_i_31_n_4\,
O(2) => \cb_int_reg[15]_i_31_n_5\,
O(1) => \cb_int_reg[15]_i_31_n_6\,
O(0) => \cb_int_reg[15]_i_31_n_7\,
S(3) => \cb_int[15]_i_35_n_0\,
S(2) => \cb_int[15]_i_36_n_0\,
S(1) => \cb_int[15]_i_37_n_0\,
S(0) => \cb_int[15]_i_38_n_0\
);
\cb_int_reg[15]_i_32\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_24_n_0\,
CO(3) => \cb_int_reg[15]_i_32_n_0\,
CO(2) => \cb_int_reg[15]_i_32_n_1\,
CO(1) => \cb_int_reg[15]_i_32_n_2\,
CO(0) => \cb_int_reg[15]_i_32_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[15]_i_32_n_4\,
O(2) => \cb_int_reg[15]_i_32_n_5\,
O(1) => \cb_int_reg[15]_i_32_n_6\,
O(0) => \cb_int_reg[15]_i_32_n_7\,
S(3) => \cb_int[15]_i_39_n_0\,
S(2) => \cb_int[15]_i_40_n_0\,
S(1) => \cb_int[15]_i_41_n_0\,
S(0) => \cb_int[15]_i_42_n_0\
);
\cb_int_reg[15]_i_34\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_76,
CO(3) => \cb_int_reg[15]_i_34_n_0\,
CO(2) => \cb_int_reg[15]_i_34_n_1\,
CO(1) => \cb_int_reg[15]_i_34_n_2\,
CO(0) => \cb_int_reg[15]_i_34_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[15]_i_34_n_4\,
O(2) => \cb_int_reg[15]_i_34_n_5\,
O(1) => \cb_int_reg[15]_i_34_n_6\,
O(0) => \cb_int_reg[15]_i_34_n_7\,
S(3) => \cb_int[15]_i_47_n_0\,
S(2) => \cb_int[15]_i_48_n_0\,
S(1) => \cb_int[15]_i_49_n_0\,
S(0) => \cb_int[15]_i_50_n_0\
);
\cb_int_reg[19]_i_32\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[15]_i_32_n_0\,
CO(3) => \cb_int_reg[19]_i_32_n_0\,
CO(2) => \cb_int_reg[19]_i_32_n_1\,
CO(1) => \cb_int_reg[19]_i_32_n_2\,
CO(0) => \cb_int_reg[19]_i_32_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[19]_i_32_n_4\,
O(2) => \cb_int_reg[19]_i_32_n_5\,
O(1) => \cb_int_reg[19]_i_32_n_6\,
O(0) => \cb_int_reg[19]_i_32_n_7\,
S(3) => \cb_int[19]_i_38_n_0\,
S(2) => \cb_int[19]_i_39_n_0\,
S(1) => \cb_int[19]_i_40_n_0\,
S(0) => \cb_int[19]_i_41_n_0\
);
\cb_int_reg[19]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[15]_i_34_n_0\,
CO(3) => \cb_int_reg[19]_i_33_n_0\,
CO(2) => \cb_int_reg[19]_i_33_n_1\,
CO(1) => \cb_int_reg[19]_i_33_n_2\,
CO(0) => \cb_int_reg[19]_i_33_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[19]_i_33_n_4\,
O(2) => \cb_int_reg[19]_i_33_n_5\,
O(1) => \cb_int_reg[19]_i_33_n_6\,
O(0) => \cb_int_reg[19]_i_33_n_7\,
S(3) => \cb_int[19]_i_42_n_0\,
S(2) => \cb_int[19]_i_43_n_0\,
S(1) => \cb_int[19]_i_44_n_0\,
S(0) => \cb_int[19]_i_45_n_0\
);
\cb_int_reg[23]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[19]_i_32_n_0\,
CO(3) => \cb_int_reg[23]_i_27_n_0\,
CO(2) => \cb_int_reg[23]_i_27_n_1\,
CO(1) => \cb_int_reg[23]_i_27_n_2\,
CO(0) => \cb_int_reg[23]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[23]_i_27_n_4\,
O(2) => \cb_int_reg[23]_i_27_n_5\,
O(1) => \cb_int_reg[23]_i_27_n_6\,
O(0) => \cb_int_reg[23]_i_27_n_7\,
S(3) => \cb_int[23]_i_33_n_0\,
S(2) => \cb_int[23]_i_34_n_0\,
S(1) => \cb_int[23]_i_35_n_0\,
S(0) => \cb_int[23]_i_36_n_0\
);
\cb_int_reg[23]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[19]_i_33_n_0\,
CO(3) => \cb_int_reg[23]_i_28_n_0\,
CO(2) => \cb_int_reg[23]_i_28_n_1\,
CO(1) => \cb_int_reg[23]_i_28_n_2\,
CO(0) => \cb_int_reg[23]_i_28_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[23]_i_28_n_4\,
O(2) => \cb_int_reg[23]_i_28_n_5\,
O(1) => \cb_int_reg[23]_i_28_n_6\,
O(0) => \cb_int_reg[23]_i_28_n_7\,
S(3) => \cb_int[23]_i_37_n_0\,
S(2) => \cb_int[23]_i_38_n_0\,
S(1) => \cb_int[23]_i_39_n_0\,
S(0) => \cb_int[23]_i_40_n_0\
);
\cb_int_reg[31]_i_10\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_27_n_0\,
CO(3) => \NLW_cb_int_reg[31]_i_10_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[31]_i_10_n_1\,
CO(1) => \NLW_cb_int_reg[31]_i_10_CO_UNCONNECTED\(1),
CO(0) => \cb_int_reg[31]_i_10_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cb_int_reg[31]_i_10_O_UNCONNECTED\(3 downto 2),
O(1) => \cb_int_reg[31]_i_10_n_6\,
O(0) => \cb_int_reg[31]_i_10_n_7\,
S(3 downto 2) => B"01",
S(1) => \cb_int[31]_i_28_n_0\,
S(0) => \cb_int[31]_i_29_n_0\
);
\cb_int_reg[31]_i_17\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_75,
CO(3) => \cb_int_reg[31]_i_17_n_0\,
CO(2) => \cb_int_reg[31]_i_17_n_1\,
CO(1) => \cb_int_reg[31]_i_17_n_2\,
CO(0) => \cb_int_reg[31]_i_17_n_3\,
CYINIT => '0',
DI(3) => U0_n_14,
DI(2) => U0_n_15,
DI(1) => \cb_int[31]_i_45_n_0\,
DI(0) => \cb_int[31]_i_46_n_0\,
O(3) => \cb_int_reg[31]_i_17_n_4\,
O(2) => \cb_int_reg[31]_i_17_n_5\,
O(1) => \cb_int_reg[31]_i_17_n_6\,
O(0) => \cb_int_reg[31]_i_17_n_7\,
S(3) => \cb_int[31]_i_47_n_0\,
S(2) => \cb_int[31]_i_48_n_0\,
S(1) => \cb_int[31]_i_49_n_0\,
S(0) => \cb_int[31]_i_50_n_0\
);
\cb_int_reg[31]_i_23\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_19_n_0\,
CO(3) => \cb_int_reg[31]_i_23_n_0\,
CO(2) => \cb_int_reg[31]_i_23_n_1\,
CO(1) => \cb_int_reg[31]_i_23_n_2\,
CO(0) => \cb_int_reg[31]_i_23_n_3\,
CYINIT => '0',
DI(3) => \cb_int[31]_i_53_n_0\,
DI(2) => \cb_int[31]_i_54_n_0\,
DI(1) => \cb_int[31]_i_55_n_0\,
DI(0) => \cb_int[31]_i_56_n_0\,
O(3) => \cb_int_reg[31]_i_23_n_4\,
O(2) => \cb_int_reg[31]_i_23_n_5\,
O(1) => \cb_int_reg[31]_i_23_n_6\,
O(0) => \cb_int_reg[31]_i_23_n_7\,
S(3) => \cb_int[31]_i_57_n_0\,
S(2) => \cb_int[31]_i_58_n_0\,
S(1) => \cb_int[31]_i_59_n_0\,
S(0) => \cb_int[31]_i_60_n_0\
);
\cb_int_reg[31]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_61_n_0\,
CO(3) => \cb_int_reg[31]_i_27_n_0\,
CO(2) => \cb_int_reg[31]_i_27_n_1\,
CO(1) => \cb_int_reg[31]_i_27_n_2\,
CO(0) => \cb_int_reg[31]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[31]_i_27_n_4\,
O(2) => \cb_int_reg[31]_i_27_n_5\,
O(1) => \cb_int_reg[31]_i_27_n_6\,
O(0) => \cb_int_reg[31]_i_27_n_7\,
S(3) => \cb_int[31]_i_62_n_0\,
S(2) => \cb_int[31]_i_63_n_0\,
S(1) => \cb_int[31]_i_64_n_0\,
S(0) => \cb_int[31]_i_65_n_0\
);
\cb_int_reg[31]_i_42\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[23]_i_28_n_0\,
CO(3 downto 1) => \NLW_cb_int_reg[31]_i_42_CO_UNCONNECTED\(3 downto 1),
CO(0) => \cb_int_reg[31]_i_42_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cb_int_reg[31]_i_42_O_UNCONNECTED\(3 downto 2),
O(1) => \cb_int_reg[31]_i_42_n_6\,
O(0) => \cb_int_reg[31]_i_42_n_7\,
S(3 downto 2) => B"00",
S(1) => \cb_int[31]_i_83_n_0\,
S(0) => \cb_int[31]_i_84_n_0\
);
\cb_int_reg[31]_i_61\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[15]_i_31_n_0\,
CO(3) => \cb_int_reg[31]_i_61_n_0\,
CO(2) => \cb_int_reg[31]_i_61_n_1\,
CO(1) => \cb_int_reg[31]_i_61_n_2\,
CO(0) => \cb_int_reg[31]_i_61_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[31]_i_61_n_4\,
O(2) => \cb_int_reg[31]_i_61_n_5\,
O(1) => \cb_int_reg[31]_i_61_n_6\,
O(0) => \cb_int_reg[31]_i_61_n_7\,
S(3) => \cb_int[31]_i_89_n_0\,
S(2) => \cb_int[31]_i_90_n_0\,
S(1) => \cb_int[31]_i_91_n_0\,
S(0) => \cb_int[31]_i_92_n_0\
);
\cb_int_reg[31]_i_66\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[23]_i_27_n_0\,
CO(3 downto 1) => \NLW_cb_int_reg[31]_i_66_CO_UNCONNECTED\(3 downto 1),
CO(0) => \cb_int_reg[31]_i_66_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cb_int_reg[31]_i_66_O_UNCONNECTED\(3 downto 2),
O(1) => \cb_int_reg[31]_i_66_n_6\,
O(0) => \cb_int_reg[31]_i_66_n_7\,
S(3 downto 2) => B"00",
S(1) => \cb_int[31]_i_93_n_0\,
S(0) => \cb_int[31]_i_94_n_0\
);
\cb_int_reg[31]_i_8\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_17_n_0\,
CO(3) => \NLW_cb_int_reg[31]_i_8_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[31]_i_8_n_1\,
CO(1) => \cb_int_reg[31]_i_8_n_2\,
CO(0) => \cb_int_reg[31]_i_8_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \cb_int[31]_i_18_n_0\,
O(3) => \cb_int_reg[31]_i_8_n_4\,
O(2) => \cb_int_reg[31]_i_8_n_5\,
O(1) => \cb_int_reg[31]_i_8_n_6\,
O(0) => \cb_int_reg[31]_i_8_n_7\,
S(3) => \cb_int[31]_i_19_n_0\,
S(2) => \cb_int[31]_i_20_n_0\,
S(1) => \cb_int[31]_i_21_n_0\,
S(0) => \cb_int[31]_i_22_n_0\
);
\cb_int_reg[31]_i_85\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_68_n_0\,
CO(3) => \cb_int_reg[31]_i_85_n_0\,
CO(2) => \NLW_cb_int_reg[31]_i_85_CO_UNCONNECTED\(2),
CO(1) => \cb_int_reg[31]_i_85_n_2\,
CO(0) => \cb_int_reg[31]_i_85_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 1) => rgb888(15 downto 14),
DI(0) => '0',
O(3) => \NLW_cb_int_reg[31]_i_85_O_UNCONNECTED\(3),
O(2) => \cb_int_reg[31]_i_85_n_5\,
O(1) => \cb_int_reg[31]_i_85_n_6\,
O(0) => \cb_int_reg[31]_i_85_n_7\,
S(3) => '1',
S(2) => \cb_int[31]_i_99_n_0\,
S(1) => \cb_int[31]_i_100_n_0\,
S(0) => \cb_int[31]_i_101_n_0\
);
\cb_int_reg[31]_i_9\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[31]_i_23_n_0\,
CO(3 downto 1) => \NLW_cb_int_reg[31]_i_9_CO_UNCONNECTED\(3 downto 1),
CO(0) => \cb_int_reg[31]_i_9_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => U0_n_4,
O(3 downto 2) => \NLW_cb_int_reg[31]_i_9_O_UNCONNECTED\(3 downto 2),
O(1) => \cb_int_reg[31]_i_9_n_6\,
O(0) => \cb_int_reg[31]_i_9_n_7\,
S(3 downto 2) => B"00",
S(1) => \cb_int[31]_i_25_n_0\,
S(0) => \cb_int[31]_i_26_n_0\
);
\cb_int_reg[3]_i_19\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_73,
CO(3) => \cb_int_reg[3]_i_19_n_0\,
CO(2) => \cb_int_reg[3]_i_19_n_1\,
CO(1) => \cb_int_reg[3]_i_19_n_2\,
CO(0) => \cb_int_reg[3]_i_19_n_3\,
CYINIT => '0',
DI(3) => \cb_int[3]_i_35_n_0\,
DI(2) => \cb_int[3]_i_36_n_0\,
DI(1) => \cb_int[3]_i_37_n_0\,
DI(0) => \cb_int[3]_i_38_n_0\,
O(3) => \cb_int_reg[3]_i_19_n_4\,
O(2) => \cb_int_reg[3]_i_19_n_5\,
O(1) => \cb_int_reg[3]_i_19_n_6\,
O(0) => \cb_int_reg[3]_i_19_n_7\,
S(3) => \cb_int[3]_i_39_n_0\,
S(2) => \cb_int[3]_i_40_n_0\,
S(1) => \cb_int[3]_i_41_n_0\,
S(0) => \cb_int[3]_i_42_n_0\
);
\cb_int_reg[3]_i_32\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_58_n_0\,
CO(3) => \cb_int_reg[3]_i_32_n_0\,
CO(2) => \cb_int_reg[3]_i_32_n_1\,
CO(1) => \cb_int_reg[3]_i_32_n_2\,
CO(0) => \cb_int_reg[3]_i_32_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[3]_i_32_n_4\,
O(2 downto 0) => \NLW_cb_int_reg[3]_i_32_O_UNCONNECTED\(2 downto 0),
S(3) => \cb_int[3]_i_59_n_0\,
S(2) => \cb_int[3]_i_60_n_0\,
S(1) => \cb_int[3]_i_61_n_0\,
S(0) => \cb_int[3]_i_62_n_0\
);
\cb_int_reg[3]_i_43\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_74,
CO(3) => \NLW_cb_int_reg[3]_i_43_CO_UNCONNECTED\(3),
CO(2) => \cb_int_reg[3]_i_43_n_1\,
CO(1) => \NLW_cb_int_reg[3]_i_43_CO_UNCONNECTED\(1),
CO(0) => \cb_int_reg[3]_i_43_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => rgb888(7),
DI(0) => '0',
O(3 downto 2) => \NLW_cb_int_reg[3]_i_43_O_UNCONNECTED\(3 downto 2),
O(1) => \cb_int_reg[3]_i_43_n_6\,
O(0) => \cb_int_reg[3]_i_43_n_7\,
S(3 downto 2) => B"01",
S(1) => \cb_int[3]_i_73_n_0\,
S(0) => \cb_int[3]_i_74_n_0\
);
\cb_int_reg[3]_i_58\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[3]_i_58_n_0\,
CO(2) => \cb_int_reg[3]_i_58_n_1\,
CO(1) => \cb_int_reg[3]_i_58_n_2\,
CO(0) => \cb_int_reg[3]_i_58_n_3\,
CYINIT => \cb_int[3]_i_84_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_cb_int_reg[3]_i_58_O_UNCONNECTED\(3 downto 0),
S(3) => \cb_int[3]_i_85_n_0\,
S(2) => \cb_int[3]_i_86_n_0\,
S(1) => \cb_int[3]_i_87_n_0\,
S(0) => \cb_int[3]_i_88_n_0\
);
\cb_int_reg[3]_i_68\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_72,
CO(3) => \cb_int_reg[3]_i_68_n_0\,
CO(2) => \cb_int_reg[3]_i_68_n_1\,
CO(1) => \cb_int_reg[3]_i_68_n_2\,
CO(0) => \cb_int_reg[3]_i_68_n_3\,
CYINIT => '0',
DI(3 downto 0) => rgb888(12 downto 9),
O(3) => \cb_int_reg[3]_i_68_n_4\,
O(2) => \cb_int_reg[3]_i_68_n_5\,
O(1) => \cb_int_reg[3]_i_68_n_6\,
O(0) => \cb_int_reg[3]_i_68_n_7\,
S(3) => \cb_int[3]_i_95_n_0\,
S(2) => \cb_int[3]_i_96_n_0\,
S(1) => \cb_int[3]_i_97_n_0\,
S(0) => \cb_int[3]_i_98_n_0\
);
\cb_int_reg[7]_i_23\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_26_n_0\,
CO(3) => \cb_int_reg[7]_i_23_n_0\,
CO(2) => \cb_int_reg[7]_i_23_n_1\,
CO(1) => \cb_int_reg[7]_i_23_n_2\,
CO(0) => \cb_int_reg[7]_i_23_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[7]_i_23_n_4\,
O(2) => \cb_int_reg[7]_i_23_n_5\,
O(1) => \cb_int_reg[7]_i_23_n_6\,
O(0) => \cb_int_reg[7]_i_23_n_7\,
S(3) => \cb_int[7]_i_30_n_0\,
S(2) => \cb_int[7]_i_31_n_0\,
S(1) => \cb_int[7]_i_32_n_0\,
S(0) => \cb_int[7]_i_33_n_0\
);
\cb_int_reg[7]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[7]_i_27_n_0\,
CO(3) => \cb_int_reg[7]_i_24_n_0\,
CO(2) => \cb_int_reg[7]_i_24_n_1\,
CO(1) => \cb_int_reg[7]_i_24_n_2\,
CO(0) => \cb_int_reg[7]_i_24_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[7]_i_24_n_4\,
O(2) => \cb_int_reg[7]_i_24_n_5\,
O(1) => \cb_int_reg[7]_i_24_n_6\,
O(0) => \cb_int_reg[7]_i_24_n_7\,
S(3) => \cb_int[7]_i_34_n_0\,
S(2) => \cb_int[7]_i_35_n_0\,
S(1) => \cb_int[7]_i_36_n_0\,
S(0) => \cb_int[7]_i_37_n_0\
);
\cb_int_reg[7]_i_26\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cb_int_reg[7]_i_26_n_0\,
CO(2) => \cb_int_reg[7]_i_26_n_1\,
CO(1) => \cb_int_reg[7]_i_26_n_2\,
CO(0) => \cb_int_reg[7]_i_26_n_3\,
CYINIT => \cb_int[7]_i_43_n_0\,
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[7]_i_26_n_4\,
O(2) => \cb_int_reg[7]_i_26_n_5\,
O(1) => \cb_int_reg[7]_i_26_n_6\,
O(0) => \cb_int_reg[7]_i_26_n_7\,
S(3) => \cb_int[7]_i_44_n_0\,
S(2) => \cb_int[7]_i_45_n_0\,
S(1) => \cb_int[7]_i_46_n_0\,
S(0) => \cb_int[7]_i_47_n_0\
);
\cb_int_reg[7]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \cb_int_reg[3]_i_32_n_0\,
CO(3) => \cb_int_reg[7]_i_27_n_0\,
CO(2) => \cb_int_reg[7]_i_27_n_1\,
CO(1) => \cb_int_reg[7]_i_27_n_2\,
CO(0) => \cb_int_reg[7]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cb_int_reg[7]_i_27_n_4\,
O(2) => \cb_int_reg[7]_i_27_n_5\,
O(1) => \cb_int_reg[7]_i_27_n_6\,
O(0) => \cb_int_reg[7]_i_27_n_7\,
S(3) => \cb_int[7]_i_48_n_0\,
S(2) => \cb_int[7]_i_49_n_0\,
S(1) => \cb_int[7]_i_50_n_0\,
S(0) => \cb_int[7]_i_51_n_0\
);
\cr_int[11]_i_61\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_35,
O => \cr_int[11]_i_61_n_0\
);
\cr_int[11]_i_62\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_36,
I1 => U0_n_26,
I2 => U0_n_18,
O => \cr_int[11]_i_62_n_0\
);
\cr_int[11]_i_63\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_37,
I1 => U0_n_26,
I2 => U0_n_19,
O => \cr_int[11]_i_63_n_0\
);
\cr_int[11]_i_64\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_30,
I1 => U0_n_26,
I2 => U0_n_20,
O => \cr_int[11]_i_64_n_0\
);
\cr_int[15]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_39,
O => \cr_int[15]_i_44_n_0\
);
\cr_int[15]_i_45\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_40,
O => \cr_int[15]_i_45_n_0\
);
\cr_int[15]_i_46\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_41,
O => \cr_int[15]_i_46_n_0\
);
\cr_int[15]_i_47\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_34,
O => \cr_int[15]_i_47_n_0\
);
\cr_int[15]_i_52\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[15]_i_52_n_0\
);
\cr_int[15]_i_53\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[15]_i_53_n_0\
);
\cr_int[15]_i_54\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[15]_i_54_n_0\
);
\cr_int[15]_i_55\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[15]_i_55_n_0\
);
\cr_int[19]_i_42\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[19]_i_42_n_0\
);
\cr_int[19]_i_43\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[19]_i_43_n_0\
);
\cr_int[19]_i_44\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[19]_i_44_n_0\
);
\cr_int[19]_i_45\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[19]_i_45_n_0\
);
\cr_int[23]_i_32\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[23]_i_32_n_0\
);
\cr_int[23]_i_33\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[23]_i_33_n_0\
);
\cr_int[23]_i_34\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[23]_i_34_n_0\
);
\cr_int[23]_i_35\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[23]_i_35_n_0\
);
\cr_int[31]_i_104\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_43,
O => \cr_int[31]_i_104_n_0\
);
\cr_int[31]_i_105\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_44,
O => \cr_int[31]_i_105_n_0\
);
\cr_int[31]_i_106\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_45,
O => \cr_int[31]_i_106_n_0\
);
\cr_int[31]_i_107\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_38,
O => \cr_int[31]_i_107_n_0\
);
\cr_int[31]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_50,
O => \cr_int[31]_i_28_n_0\
);
\cr_int[31]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_46,
O => \cr_int[31]_i_29_n_0\
);
\cr_int[31]_i_65\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_47,
O => \cr_int[31]_i_65_n_0\
);
\cr_int[31]_i_66\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_48,
O => \cr_int[31]_i_66_n_0\
);
\cr_int[31]_i_67\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_49,
O => \cr_int[31]_i_67_n_0\
);
\cr_int[31]_i_68\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_17,
I1 => U0_n_26,
I2 => U0_n_42,
O => \cr_int[31]_i_68_n_0\
);
\cr_int[31]_i_98\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[31]_i_98_n_0\
);
\cr_int[31]_i_99\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_29,
O => \cr_int[31]_i_99_n_0\
);
\cr_int[7]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_28,
I1 => U0_n_26,
I2 => U0_n_25,
O => \cr_int[7]_i_29_n_0\
);
\cr_int[7]_i_30\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_31,
I1 => U0_n_26,
I2 => U0_n_21,
O => \cr_int[7]_i_30_n_0\
);
\cr_int[7]_i_31\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_32,
I1 => U0_n_26,
I2 => U0_n_22,
O => \cr_int[7]_i_31_n_0\
);
\cr_int[7]_i_32\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_33,
I1 => U0_n_26,
I2 => U0_n_23,
O => \cr_int[7]_i_32_n_0\
);
\cr_int[7]_i_33\: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => U0_n_27,
I1 => U0_n_26,
I2 => U0_n_24,
O => \cr_int[7]_i_33_n_0\
);
\cr_int_reg[11]_i_28\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[7]_i_24_n_0\,
CO(3) => \cr_int_reg[11]_i_28_n_0\,
CO(2) => \cr_int_reg[11]_i_28_n_1\,
CO(1) => \cr_int_reg[11]_i_28_n_2\,
CO(0) => \cr_int_reg[11]_i_28_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[11]_i_28_n_4\,
O(2) => \cr_int_reg[11]_i_28_n_5\,
O(1) => \cr_int_reg[11]_i_28_n_6\,
O(0) => \cr_int_reg[11]_i_28_n_7\,
S(3) => \cr_int[11]_i_61_n_0\,
S(2) => \cr_int[11]_i_62_n_0\,
S(1) => \cr_int[11]_i_63_n_0\,
S(0) => \cr_int[11]_i_64_n_0\
);
\cr_int_reg[15]_i_37\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[11]_i_28_n_0\,
CO(3) => \cr_int_reg[15]_i_37_n_0\,
CO(2) => \cr_int_reg[15]_i_37_n_1\,
CO(1) => \cr_int_reg[15]_i_37_n_2\,
CO(0) => \cr_int_reg[15]_i_37_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[15]_i_37_n_4\,
O(2) => \cr_int_reg[15]_i_37_n_5\,
O(1) => \cr_int_reg[15]_i_37_n_6\,
O(0) => \cr_int_reg[15]_i_37_n_7\,
S(3) => \cr_int[15]_i_44_n_0\,
S(2) => \cr_int[15]_i_45_n_0\,
S(1) => \cr_int[15]_i_46_n_0\,
S(0) => \cr_int[15]_i_47_n_0\
);
\cr_int_reg[15]_i_39\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_77,
CO(3) => \cr_int_reg[15]_i_39_n_0\,
CO(2) => \cr_int_reg[15]_i_39_n_1\,
CO(1) => \cr_int_reg[15]_i_39_n_2\,
CO(0) => \cr_int_reg[15]_i_39_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[15]_i_39_n_4\,
O(2) => \cr_int_reg[15]_i_39_n_5\,
O(1) => \cr_int_reg[15]_i_39_n_6\,
O(0) => \cr_int_reg[15]_i_39_n_7\,
S(3) => \cr_int[15]_i_52_n_0\,
S(2) => \cr_int[15]_i_53_n_0\,
S(1) => \cr_int[15]_i_54_n_0\,
S(0) => \cr_int[15]_i_55_n_0\
);
\cr_int_reg[19]_i_37\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_39_n_0\,
CO(3) => \cr_int_reg[19]_i_37_n_0\,
CO(2) => \cr_int_reg[19]_i_37_n_1\,
CO(1) => \cr_int_reg[19]_i_37_n_2\,
CO(0) => \cr_int_reg[19]_i_37_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[19]_i_37_n_4\,
O(2) => \cr_int_reg[19]_i_37_n_5\,
O(1) => \cr_int_reg[19]_i_37_n_6\,
O(0) => \cr_int_reg[19]_i_37_n_7\,
S(3) => \cr_int[19]_i_42_n_0\,
S(2) => \cr_int[19]_i_43_n_0\,
S(1) => \cr_int[19]_i_44_n_0\,
S(0) => \cr_int[19]_i_45_n_0\
);
\cr_int_reg[23]_i_31\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[19]_i_37_n_0\,
CO(3) => \cr_int_reg[23]_i_31_n_0\,
CO(2) => \cr_int_reg[23]_i_31_n_1\,
CO(1) => \cr_int_reg[23]_i_31_n_2\,
CO(0) => \cr_int_reg[23]_i_31_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[23]_i_31_n_4\,
O(2) => \cr_int_reg[23]_i_31_n_5\,
O(1) => \cr_int_reg[23]_i_31_n_6\,
O(0) => \cr_int_reg[23]_i_31_n_7\,
S(3) => \cr_int[23]_i_32_n_0\,
S(2) => \cr_int[23]_i_33_n_0\,
S(1) => \cr_int[23]_i_34_n_0\,
S(0) => \cr_int[23]_i_35_n_0\
);
\cr_int_reg[31]_i_10\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_27_n_0\,
CO(3) => \NLW_cr_int_reg[31]_i_10_CO_UNCONNECTED\(3),
CO(2) => \cr_int_reg[31]_i_10_n_1\,
CO(1) => \NLW_cr_int_reg[31]_i_10_CO_UNCONNECTED\(1),
CO(0) => \cr_int_reg[31]_i_10_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cr_int_reg[31]_i_10_O_UNCONNECTED\(3 downto 2),
O(1) => \cr_int_reg[31]_i_10_n_6\,
O(0) => \cr_int_reg[31]_i_10_n_7\,
S(3 downto 2) => B"01",
S(1) => \cr_int[31]_i_28_n_0\,
S(0) => \cr_int[31]_i_29_n_0\
);
\cr_int_reg[31]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[31]_i_64_n_0\,
CO(3) => \cr_int_reg[31]_i_27_n_0\,
CO(2) => \cr_int_reg[31]_i_27_n_1\,
CO(1) => \cr_int_reg[31]_i_27_n_2\,
CO(0) => \cr_int_reg[31]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[31]_i_27_n_4\,
O(2) => \cr_int_reg[31]_i_27_n_5\,
O(1) => \cr_int_reg[31]_i_27_n_6\,
O(0) => \cr_int_reg[31]_i_27_n_7\,
S(3) => \cr_int[31]_i_65_n_0\,
S(2) => \cr_int[31]_i_66_n_0\,
S(1) => \cr_int[31]_i_67_n_0\,
S(0) => \cr_int[31]_i_68_n_0\
);
\cr_int_reg[31]_i_54\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[23]_i_31_n_0\,
CO(3 downto 1) => \NLW_cr_int_reg[31]_i_54_CO_UNCONNECTED\(3 downto 1),
CO(0) => \cr_int_reg[31]_i_54_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_cr_int_reg[31]_i_54_O_UNCONNECTED\(3 downto 2),
O(1) => \cr_int_reg[31]_i_54_n_6\,
O(0) => \cr_int_reg[31]_i_54_n_7\,
S(3 downto 2) => B"00",
S(1) => \cr_int[31]_i_98_n_0\,
S(0) => \cr_int[31]_i_99_n_0\
);
\cr_int_reg[31]_i_64\: unisim.vcomponents.CARRY4
port map (
CI => \cr_int_reg[15]_i_37_n_0\,
CO(3) => \cr_int_reg[31]_i_64_n_0\,
CO(2) => \cr_int_reg[31]_i_64_n_1\,
CO(1) => \cr_int_reg[31]_i_64_n_2\,
CO(0) => \cr_int_reg[31]_i_64_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[31]_i_64_n_4\,
O(2) => \cr_int_reg[31]_i_64_n_5\,
O(1) => \cr_int_reg[31]_i_64_n_6\,
O(0) => \cr_int_reg[31]_i_64_n_7\,
S(3) => \cr_int[31]_i_104_n_0\,
S(2) => \cr_int[31]_i_105_n_0\,
S(1) => \cr_int[31]_i_106_n_0\,
S(0) => \cr_int[31]_i_107_n_0\
);
\cr_int_reg[7]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \cr_int_reg[7]_i_24_n_0\,
CO(2) => \cr_int_reg[7]_i_24_n_1\,
CO(1) => \cr_int_reg[7]_i_24_n_2\,
CO(0) => \cr_int_reg[7]_i_24_n_3\,
CYINIT => \cr_int[7]_i_29_n_0\,
DI(3 downto 0) => B"0000",
O(3) => \cr_int_reg[7]_i_24_n_4\,
O(2) => \cr_int_reg[7]_i_24_n_5\,
O(1) => \cr_int_reg[7]_i_24_n_6\,
O(0) => \cr_int_reg[7]_i_24_n_7\,
S(3) => \cr_int[7]_i_30_n_0\,
S(2) => \cr_int[7]_i_31_n_0\,
S(1) => \cr_int[7]_i_32_n_0\,
S(0) => \cr_int[7]_i_33_n_0\
);
\y_int[11]_i_54\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[11]_i_54_n_0\
);
\y_int[11]_i_55\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_6\,
O => \y_int[11]_i_55_n_0\
);
\y_int[11]_i_56\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_7\,
O => \y_int[11]_i_56_n_0\
);
\y_int[11]_i_57\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_21_n_4\,
O => \y_int[11]_i_57_n_0\
);
\y_int[15]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[15]_i_36_n_0\
);
\y_int[15]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[15]_i_37_n_0\
);
\y_int[15]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[15]_i_38_n_0\
);
\y_int[15]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[15]_i_39_n_0\
);
\y_int[15]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_68,
O => \y_int[15]_i_44_n_0\
);
\y_int[15]_i_45\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_69,
O => \y_int[15]_i_45_n_0\
);
\y_int[15]_i_46\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_70,
O => \y_int[15]_i_46_n_0\
);
\y_int[15]_i_47\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_71,
O => \y_int[15]_i_47_n_0\
);
\y_int[19]_i_36\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[19]_i_36_n_0\
);
\y_int[19]_i_37\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[19]_i_37_n_0\
);
\y_int[19]_i_38\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[19]_i_38_n_0\
);
\y_int[19]_i_39\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[19]_i_39_n_0\
);
\y_int[19]_i_40\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[19]_i_24_n_5\,
O => \y_int[19]_i_40_n_0\
);
\y_int[19]_i_41\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[19]_i_24_n_6\,
O => \y_int[19]_i_41_n_0\
);
\y_int[19]_i_42\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[19]_i_24_n_7\,
O => \y_int[19]_i_42_n_0\
);
\y_int[19]_i_43\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[15]_i_24_n_4\,
O => \y_int[19]_i_43_n_0\
);
\y_int[19]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_64,
O => \y_int[19]_i_44_n_0\
);
\y_int[19]_i_45\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_65,
O => \y_int[19]_i_45_n_0\
);
\y_int[19]_i_46\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_66,
O => \y_int[19]_i_46_n_0\
);
\y_int[19]_i_47\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_67,
O => \y_int[19]_i_47_n_0\
);
\y_int[23]_i_50\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[23]_i_50_n_0\
);
\y_int[23]_i_58\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[23]_i_58_n_0\
);
\y_int[23]_i_59\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[23]_i_59_n_0\
);
\y_int[23]_i_60\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[23]_i_60_n_0\
);
\y_int[23]_i_61\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
O => \y_int[23]_i_61_n_0\
);
\y_int[31]_i_100\: unisim.vcomponents.LUT4
generic map(
INIT => X"D22D"
)
port map (
I0 => rgb888(3),
I1 => rgb888(1),
I2 => rgb888(4),
I3 => rgb888(2),
O => \y_int[31]_i_100_n_0\
);
\y_int[31]_i_102\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(15),
O => \y_int[31]_i_102_n_0\
);
\y_int[31]_i_103\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(15),
I1 => rgb888(14),
O => \y_int[31]_i_103_n_0\
);
\y_int[31]_i_22\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(15),
I1 => \y_int[31]_i_56_n_0\,
O => \y_int[31]_i_22_n_0\
);
\y_int[31]_i_23\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => rgb888(15),
I1 => \y_int[31]_i_57_n_0\,
I2 => rgb888(14),
O => \y_int[31]_i_23_n_0\
);
\y_int[31]_i_24\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => rgb888(15),
I1 => \y_int[31]_i_56_n_0\,
O => \y_int[31]_i_24_n_0\
);
\y_int[31]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(15),
O => \y_int[31]_i_25_n_0\
);
\y_int[31]_i_26\: unisim.vcomponents.LUT3
generic map(
INIT => X"15"
)
port map (
I0 => rgb888(15),
I1 => rgb888(14),
I2 => \y_int[31]_i_57_n_0\,
O => \y_int[31]_i_26_n_0\
);
\y_int[31]_i_28\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[23]_i_32_n_7\,
O => \y_int[31]_i_28_n_0\
);
\y_int[31]_i_29\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[23]_i_35_n_4\,
O => \y_int[31]_i_29_n_0\
);
\y_int[31]_i_38\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_58,
O => \y_int[31]_i_38_n_0\
);
\y_int[31]_i_39\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_59,
O => \y_int[31]_i_39_n_0\
);
\y_int[31]_i_48\: unisim.vcomponents.LUT4
generic map(
INIT => X"1002"
)
port map (
I0 => rgb888(14),
I1 => rgb888(15),
I2 => \y_int[31]_i_80_n_0\,
I3 => rgb888(13),
O => \y_int[31]_i_48_n_0\
);
\y_int[31]_i_49\: unisim.vcomponents.LUT5
generic map(
INIT => X"81560042"
)
port map (
I0 => rgb888(13),
I1 => rgb888(12),
I2 => \y_int[31]_i_81_n_0\,
I3 => rgb888(15),
I4 => \y_int_reg[31]_i_82_n_1\,
O => \y_int[31]_i_49_n_0\
);
\y_int[31]_i_50\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A88A80808008"
)
port map (
I0 => \y_int[31]_i_83_n_0\,
I1 => rgb888(14),
I2 => rgb888(11),
I3 => rgb888(9),
I4 => rgb888(10),
I5 => \y_int_reg[31]_i_82_n_6\,
O => \y_int[31]_i_50_n_0\
);
\y_int[31]_i_51\: unisim.vcomponents.LUT6
generic map(
INIT => X"9696966996000069"
)
port map (
I0 => rgb888(14),
I1 => rgb888(11),
I2 => \y_int_reg[31]_i_82_n_6\,
I3 => rgb888(9),
I4 => rgb888(10),
I5 => rgb888(13),
O => \y_int[31]_i_51_n_0\
);
\y_int[31]_i_52\: unisim.vcomponents.LUT4
generic map(
INIT => X"6559"
)
port map (
I0 => \y_int[31]_i_48_n_0\,
I1 => rgb888(15),
I2 => \y_int[31]_i_57_n_0\,
I3 => rgb888(14),
O => \y_int[31]_i_52_n_0\
);
\y_int[31]_i_53\: unisim.vcomponents.LUT6
generic map(
INIT => X"6CCCCCC9CCCCC993"
)
port map (
I0 => \y_int_reg[31]_i_82_n_1\,
I1 => rgb888(14),
I2 => rgb888(12),
I3 => \y_int[31]_i_81_n_0\,
I4 => rgb888(13),
I5 => rgb888(15),
O => \y_int[31]_i_53_n_0\
);
\y_int[31]_i_54\: unisim.vcomponents.LUT6
generic map(
INIT => X"366C6CC96CC9C993"
)
port map (
I0 => \y_int[31]_i_84_n_0\,
I1 => rgb888(13),
I2 => \y_int[31]_i_81_n_0\,
I3 => rgb888(12),
I4 => rgb888(15),
I5 => \y_int_reg[31]_i_82_n_1\,
O => \y_int[31]_i_54_n_0\
);
\y_int[31]_i_55\: unisim.vcomponents.LUT5
generic map(
INIT => X"99969666"
)
port map (
I0 => \y_int[31]_i_51_n_0\,
I1 => \y_int[31]_i_83_n_0\,
I2 => \y_int_reg[31]_i_82_n_6\,
I3 => \y_int[31]_i_85_n_0\,
I4 => rgb888(14),
O => \y_int[31]_i_55_n_0\
);
\y_int[31]_i_56\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => rgb888(13),
I1 => rgb888(11),
I2 => rgb888(9),
I3 => rgb888(10),
I4 => rgb888(12),
I5 => rgb888(14),
O => \y_int[31]_i_56_n_0\
);
\y_int[31]_i_57\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => rgb888(12),
I1 => rgb888(10),
I2 => rgb888(9),
I3 => rgb888(11),
I4 => rgb888(13),
O => \y_int[31]_i_57_n_0\
);
\y_int[31]_i_58\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[23]_i_35_n_5\,
O => \y_int[31]_i_58_n_0\
);
\y_int[31]_i_59\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[23]_i_35_n_6\,
O => \y_int[31]_i_59_n_0\
);
\y_int[31]_i_60\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[23]_i_35_n_7\,
O => \y_int[31]_i_60_n_0\
);
\y_int[31]_i_61\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \y_int_reg[31]_i_9_n_5\,
I1 => U0_n_57,
I2 => \y_int_reg[19]_i_24_n_4\,
O => \y_int[31]_i_61_n_0\
);
\y_int[31]_i_72\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => rgb888(5),
I1 => rgb888(7),
O => \y_int[31]_i_72_n_0\
);
\y_int[31]_i_73\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(6),
I1 => rgb888(7),
O => \y_int[31]_i_73_n_0\
);
\y_int[31]_i_74\: unisim.vcomponents.LUT3
generic map(
INIT => X"D2"
)
port map (
I0 => rgb888(7),
I1 => rgb888(5),
I2 => rgb888(6),
O => \y_int[31]_i_74_n_0\
);
\y_int[31]_i_76\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_60,
O => \y_int[31]_i_76_n_0\
);
\y_int[31]_i_77\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_61,
O => \y_int[31]_i_77_n_0\
);
\y_int[31]_i_78\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_62,
O => \y_int[31]_i_78_n_0\
);
\y_int[31]_i_79\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => U0_n_55,
I1 => U0_n_56,
I2 => U0_n_63,
O => \y_int[31]_i_79_n_0\
);
\y_int[31]_i_80\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => rgb888(11),
I1 => rgb888(9),
I2 => rgb888(10),
I3 => rgb888(12),
O => \y_int[31]_i_80_n_0\
);
\y_int[31]_i_81\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => rgb888(10),
I1 => rgb888(9),
I2 => rgb888(11),
O => \y_int[31]_i_81_n_0\
);
\y_int[31]_i_83\: unisim.vcomponents.LUT6
generic map(
INIT => X"6666666999999996"
)
port map (
I0 => \y_int_reg[31]_i_82_n_1\,
I1 => rgb888(15),
I2 => rgb888(11),
I3 => rgb888(9),
I4 => rgb888(10),
I5 => rgb888(12),
O => \y_int[31]_i_83_n_0\
);
\y_int[31]_i_84\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEABA802"
)
port map (
I0 => \y_int_reg[31]_i_82_n_6\,
I1 => rgb888(10),
I2 => rgb888(9),
I3 => rgb888(11),
I4 => rgb888(14),
O => \y_int[31]_i_84_n_0\
);
\y_int[31]_i_85\: unisim.vcomponents.LUT3
generic map(
INIT => X"E1"
)
port map (
I0 => rgb888(10),
I1 => rgb888(9),
I2 => rgb888(11),
O => \y_int[31]_i_85_n_0\
);
\y_int[31]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => rgb888(4),
I1 => rgb888(6),
O => \y_int[31]_i_93_n_0\
);
\y_int[31]_i_94\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => rgb888(3),
I1 => rgb888(5),
O => \y_int[31]_i_94_n_0\
);
\y_int[31]_i_95\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => rgb888(2),
I1 => rgb888(4),
O => \y_int[31]_i_95_n_0\
);
\y_int[31]_i_96\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => rgb888(1),
I1 => rgb888(3),
O => \y_int[31]_i_96_n_0\
);
\y_int[31]_i_97\: unisim.vcomponents.LUT4
generic map(
INIT => X"D22D"
)
port map (
I0 => rgb888(6),
I1 => rgb888(4),
I2 => rgb888(7),
I3 => rgb888(5),
O => \y_int[31]_i_97_n_0\
);
\y_int[31]_i_98\: unisim.vcomponents.LUT4
generic map(
INIT => X"D22D"
)
port map (
I0 => rgb888(5),
I1 => rgb888(3),
I2 => rgb888(6),
I3 => rgb888(4),
O => \y_int[31]_i_98_n_0\
);
\y_int[31]_i_99\: unisim.vcomponents.LUT4
generic map(
INIT => X"D22D"
)
port map (
I0 => rgb888(4),
I1 => rgb888(2),
I2 => rgb888(5),
I3 => rgb888(3),
O => \y_int[31]_i_99_n_0\
);
\y_int[3]_i_37\: unisim.vcomponents.LUT4
generic map(
INIT => X"8228"
)
port map (
I0 => \y_int_reg[31]_i_82_n_7\,
I1 => rgb888(9),
I2 => rgb888(10),
I3 => rgb888(13),
O => \y_int[3]_i_37_n_0\
);
\y_int[3]_i_38\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb888(9),
I1 => rgb888(10),
I2 => rgb888(13),
I3 => \y_int_reg[31]_i_82_n_7\,
O => \y_int[3]_i_38_n_0\
);
\y_int[3]_i_39\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \y_int_reg[3]_i_40_n_4\,
I1 => rgb888(9),
I2 => rgb888(12),
O => \y_int[3]_i_39_n_0\
);
\y_int[3]_i_41\: unisim.vcomponents.LUT5
generic map(
INIT => X"99969699"
)
port map (
I0 => \y_int[3]_i_37_n_0\,
I1 => \y_int[3]_i_79_n_0\,
I2 => rgb888(13),
I3 => rgb888(10),
I4 => rgb888(9),
O => \y_int[3]_i_41_n_0\
);
\y_int[3]_i_42\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669696969696996"
)
port map (
I0 => \y_int_reg[31]_i_82_n_7\,
I1 => rgb888(13),
I2 => rgb888(10),
I3 => rgb888(12),
I4 => \y_int_reg[3]_i_40_n_4\,
I5 => rgb888(9),
O => \y_int[3]_i_42_n_0\
);
\y_int[3]_i_43\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696969"
)
port map (
I0 => rgb888(12),
I1 => rgb888(9),
I2 => \y_int_reg[3]_i_40_n_4\,
I3 => rgb888(11),
I4 => rgb888(8),
O => \y_int[3]_i_43_n_0\
);
\y_int[3]_i_44\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb888(8),
I1 => rgb888(11),
I2 => \y_int_reg[3]_i_40_n_5\,
O => \y_int[3]_i_44_n_0\
);
\y_int[3]_i_46\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_19_n_5\,
O => \y_int[3]_i_46_n_0\
);
\y_int[3]_i_47\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_19_n_6\,
O => \y_int[3]_i_47_n_0\
);
\y_int[3]_i_48\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_19_n_7\,
O => \y_int[3]_i_48_n_0\
);
\y_int[3]_i_49\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_51,
O => \y_int[3]_i_49_n_0\
);
\y_int[3]_i_75\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb888(15),
I1 => rgb888(13),
O => \y_int[3]_i_75_n_0\
);
\y_int[3]_i_76\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(12),
I1 => rgb888(14),
O => \y_int[3]_i_76_n_0\
);
\y_int[3]_i_77\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(11),
I1 => rgb888(13),
O => \y_int[3]_i_77_n_0\
);
\y_int[3]_i_78\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(10),
I1 => rgb888(12),
O => \y_int[3]_i_78_n_0\
);
\y_int[3]_i_79\: unisim.vcomponents.LUT5
generic map(
INIT => X"A95656A9"
)
port map (
I0 => \y_int_reg[31]_i_82_n_6\,
I1 => rgb888(10),
I2 => rgb888(9),
I3 => rgb888(11),
I4 => rgb888(14),
O => \y_int[3]_i_79_n_0\
);
\y_int[3]_i_80\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_52,
O => \y_int[3]_i_80_n_0\
);
\y_int[3]_i_81\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_53,
O => \y_int[3]_i_81_n_0\
);
\y_int[3]_i_82\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => U0_n_54,
O => \y_int[3]_i_82_n_0\
);
\y_int[3]_i_83\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_70_n_6\,
O => \y_int[3]_i_83_n_0\
);
\y_int[3]_i_93\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(9),
I1 => rgb888(11),
O => \y_int[3]_i_93_n_0\
);
\y_int[3]_i_94\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb888(8),
I1 => rgb888(10),
O => \y_int[3]_i_94_n_0\
);
\y_int[3]_i_95\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rgb888(9),
O => \y_int[3]_i_95_n_0\
);
\y_int[3]_i_96\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => rgb888(8),
O => \y_int[3]_i_96_n_0\
);
\y_int[7]_i_25\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_21_n_5\,
O => \y_int[7]_i_25_n_0\
);
\y_int[7]_i_26\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_21_n_6\,
O => \y_int[7]_i_26_n_0\
);
\y_int[7]_i_27\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[31]_i_21_n_7\,
O => \y_int[7]_i_27_n_0\
);
\y_int[7]_i_28\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \y_int_reg[3]_i_19_n_4\,
O => \y_int[7]_i_28_n_0\
);
\y_int_reg[11]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[7]_i_23_n_0\,
CO(3) => \y_int_reg[11]_i_27_n_0\,
CO(2) => \y_int_reg[11]_i_27_n_1\,
CO(1) => \y_int_reg[11]_i_27_n_2\,
CO(0) => \y_int_reg[11]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[11]_i_27_n_4\,
O(2) => \y_int_reg[11]_i_27_n_5\,
O(1) => \y_int_reg[11]_i_27_n_6\,
O(0) => \y_int_reg[11]_i_27_n_7\,
S(3) => \y_int[11]_i_54_n_0\,
S(2) => \y_int[11]_i_55_n_0\,
S(1) => \y_int[11]_i_56_n_0\,
S(0) => \y_int[11]_i_57_n_0\
);
\y_int_reg[15]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[11]_i_27_n_0\,
CO(3) => \y_int_reg[15]_i_24_n_0\,
CO(2) => \y_int_reg[15]_i_24_n_1\,
CO(1) => \y_int_reg[15]_i_24_n_2\,
CO(0) => \y_int_reg[15]_i_24_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[15]_i_24_n_4\,
O(2) => \y_int_reg[15]_i_24_n_5\,
O(1) => \y_int_reg[15]_i_24_n_6\,
O(0) => \y_int_reg[15]_i_24_n_7\,
S(3) => \y_int[15]_i_36_n_0\,
S(2) => \y_int[15]_i_37_n_0\,
S(1) => \y_int[15]_i_38_n_0\,
S(0) => \y_int[15]_i_39_n_0\
);
\y_int_reg[15]_i_34\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_81,
CO(3) => \y_int_reg[15]_i_34_n_0\,
CO(2) => \y_int_reg[15]_i_34_n_1\,
CO(1) => \y_int_reg[15]_i_34_n_2\,
CO(0) => \y_int_reg[15]_i_34_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg2(12 downto 9),
S(3) => \y_int[15]_i_44_n_0\,
S(2) => \y_int[15]_i_45_n_0\,
S(1) => \y_int[15]_i_46_n_0\,
S(0) => \y_int[15]_i_47_n_0\
);
\y_int_reg[19]_i_24\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[15]_i_24_n_0\,
CO(3) => \y_int_reg[19]_i_24_n_0\,
CO(2) => \y_int_reg[19]_i_24_n_1\,
CO(1) => \y_int_reg[19]_i_24_n_2\,
CO(0) => \y_int_reg[19]_i_24_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[19]_i_24_n_4\,
O(2) => \y_int_reg[19]_i_24_n_5\,
O(1) => \y_int_reg[19]_i_24_n_6\,
O(0) => \y_int_reg[19]_i_24_n_7\,
S(3) => \y_int[19]_i_36_n_0\,
S(2) => \y_int[19]_i_37_n_0\,
S(1) => \y_int[19]_i_38_n_0\,
S(0) => \y_int[19]_i_39_n_0\
);
\y_int_reg[19]_i_33\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_79,
CO(3) => \y_int_reg[19]_i_33_n_0\,
CO(2) => \y_int_reg[19]_i_33_n_1\,
CO(1) => \y_int_reg[19]_i_33_n_2\,
CO(0) => \y_int_reg[19]_i_33_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[19]_i_33_n_4\,
O(2) => \y_int_reg[19]_i_33_n_5\,
O(1) => \y_int_reg[19]_i_33_n_6\,
O(0) => \y_int_reg[19]_i_33_n_7\,
S(3) => \y_int[19]_i_40_n_0\,
S(2) => \y_int[19]_i_41_n_0\,
S(1) => \y_int[19]_i_42_n_0\,
S(0) => \y_int[19]_i_43_n_0\
);
\y_int_reg[19]_i_34\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[15]_i_34_n_0\,
CO(3) => \y_int_reg[19]_i_34_n_0\,
CO(2) => \y_int_reg[19]_i_34_n_1\,
CO(1) => \y_int_reg[19]_i_34_n_2\,
CO(0) => \y_int_reg[19]_i_34_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg2(16 downto 13),
S(3) => \y_int[19]_i_44_n_0\,
S(2) => \y_int[19]_i_45_n_0\,
S(1) => \y_int[19]_i_46_n_0\,
S(0) => \y_int[19]_i_47_n_0\
);
\y_int_reg[23]_i_32\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[23]_i_35_n_0\,
CO(3 downto 0) => \NLW_y_int_reg[23]_i_32_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_y_int_reg[23]_i_32_O_UNCONNECTED\(3 downto 1),
O(0) => \y_int_reg[23]_i_32_n_7\,
S(3 downto 1) => B"000",
S(0) => \y_int[23]_i_50_n_0\
);
\y_int_reg[23]_i_35\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_24_n_0\,
CO(3) => \y_int_reg[23]_i_35_n_0\,
CO(2) => \y_int_reg[23]_i_35_n_1\,
CO(1) => \y_int_reg[23]_i_35_n_2\,
CO(0) => \y_int_reg[23]_i_35_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[23]_i_35_n_4\,
O(2) => \y_int_reg[23]_i_35_n_5\,
O(1) => \y_int_reg[23]_i_35_n_6\,
O(0) => \y_int_reg[23]_i_35_n_7\,
S(3) => \y_int[23]_i_58_n_0\,
S(2) => \y_int[23]_i_59_n_0\,
S(1) => \y_int[23]_i_60_n_0\,
S(0) => \y_int[23]_i_61_n_0\
);
\y_int_reg[31]_i_10\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_27_n_0\,
CO(3) => \NLW_y_int_reg[31]_i_10_CO_UNCONNECTED\(3),
CO(2) => \y_int_reg[31]_i_10_n_1\,
CO(1) => \NLW_y_int_reg[31]_i_10_CO_UNCONNECTED\(1),
CO(0) => \y_int_reg[31]_i_10_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_y_int_reg[31]_i_10_O_UNCONNECTED\(3 downto 2),
O(1) => \y_int_reg[31]_i_10_n_6\,
O(0) => \y_int_reg[31]_i_10_n_7\,
S(3 downto 2) => B"01",
S(1) => \y_int[31]_i_28_n_0\,
S(0) => \y_int[31]_i_29_n_0\
);
\y_int_reg[31]_i_12\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_37_n_0\,
CO(3) => \NLW_y_int_reg[31]_i_12_CO_UNCONNECTED\(3),
CO(2) => \y_int_reg[31]_i_12_n_1\,
CO(1) => \NLW_y_int_reg[31]_i_12_CO_UNCONNECTED\(1),
CO(0) => \y_int_reg[31]_i_12_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_y_int_reg[31]_i_12_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => y_int_reg2(22 downto 21),
S(3 downto 2) => B"01",
S(1) => \y_int[31]_i_38_n_0\,
S(0) => \y_int[31]_i_39_n_0\
);
\y_int_reg[31]_i_21\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_19_n_0\,
CO(3) => \y_int_reg[31]_i_21_n_0\,
CO(2) => \y_int_reg[31]_i_21_n_1\,
CO(1) => \y_int_reg[31]_i_21_n_2\,
CO(0) => \y_int_reg[31]_i_21_n_3\,
CYINIT => '0',
DI(3) => \y_int[31]_i_48_n_0\,
DI(2) => \y_int[31]_i_49_n_0\,
DI(1) => \y_int[31]_i_50_n_0\,
DI(0) => \y_int[31]_i_51_n_0\,
O(3) => \y_int_reg[31]_i_21_n_4\,
O(2) => \y_int_reg[31]_i_21_n_5\,
O(1) => \y_int_reg[31]_i_21_n_6\,
O(0) => \y_int_reg[31]_i_21_n_7\,
S(3) => \y_int[31]_i_52_n_0\,
S(2) => \y_int[31]_i_53_n_0\,
S(1) => \y_int[31]_i_54_n_0\,
S(0) => \y_int[31]_i_55_n_0\
);
\y_int_reg[31]_i_27\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_33_n_0\,
CO(3) => \y_int_reg[31]_i_27_n_0\,
CO(2) => \y_int_reg[31]_i_27_n_1\,
CO(1) => \y_int_reg[31]_i_27_n_2\,
CO(0) => \y_int_reg[31]_i_27_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[31]_i_27_n_4\,
O(2) => \y_int_reg[31]_i_27_n_5\,
O(1) => \y_int_reg[31]_i_27_n_6\,
O(0) => \y_int_reg[31]_i_27_n_7\,
S(3) => \y_int[31]_i_58_n_0\,
S(2) => \y_int[31]_i_59_n_0\,
S(1) => \y_int[31]_i_60_n_0\,
S(0) => \y_int[31]_i_61_n_0\
);
\y_int_reg[31]_i_31\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_71_n_0\,
CO(3 downto 2) => \NLW_y_int_reg[31]_i_31_CO_UNCONNECTED\(3 downto 2),
CO(1) => \y_int_reg[31]_i_31_n_2\,
CO(0) => \y_int_reg[31]_i_31_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => rgb888(6),
DI(0) => \y_int[31]_i_72_n_0\,
O(3) => \NLW_y_int_reg[31]_i_31_O_UNCONNECTED\(3),
O(2) => \y_int_reg[31]_i_31_n_5\,
O(1) => \y_int_reg[31]_i_31_n_6\,
O(0) => \y_int_reg[31]_i_31_n_7\,
S(3 downto 2) => B"01",
S(1) => \y_int[31]_i_73_n_0\,
S(0) => \y_int[31]_i_74_n_0\
);
\y_int_reg[31]_i_37\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[19]_i_34_n_0\,
CO(3) => \y_int_reg[31]_i_37_n_0\,
CO(2) => \y_int_reg[31]_i_37_n_1\,
CO(1) => \y_int_reg[31]_i_37_n_2\,
CO(0) => \y_int_reg[31]_i_37_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => y_int_reg2(20 downto 17),
S(3) => \y_int[31]_i_76_n_0\,
S(2) => \y_int[31]_i_77_n_0\,
S(1) => \y_int[31]_i_78_n_0\,
S(0) => \y_int[31]_i_79_n_0\
);
\y_int_reg[31]_i_71\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_80,
CO(3) => \y_int_reg[31]_i_71_n_0\,
CO(2) => \y_int_reg[31]_i_71_n_1\,
CO(1) => \y_int_reg[31]_i_71_n_2\,
CO(0) => \y_int_reg[31]_i_71_n_3\,
CYINIT => '0',
DI(3) => \y_int[31]_i_93_n_0\,
DI(2) => \y_int[31]_i_94_n_0\,
DI(1) => \y_int[31]_i_95_n_0\,
DI(0) => \y_int[31]_i_96_n_0\,
O(3) => \y_int_reg[31]_i_71_n_4\,
O(2) => \y_int_reg[31]_i_71_n_5\,
O(1) => \y_int_reg[31]_i_71_n_6\,
O(0) => \y_int_reg[31]_i_71_n_7\,
S(3) => \y_int[31]_i_97_n_0\,
S(2) => \y_int[31]_i_98_n_0\,
S(1) => \y_int[31]_i_99_n_0\,
S(0) => \y_int[31]_i_100_n_0\
);
\y_int_reg[31]_i_82\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_40_n_0\,
CO(3) => \NLW_y_int_reg[31]_i_82_CO_UNCONNECTED\(3),
CO(2) => \y_int_reg[31]_i_82_n_1\,
CO(1) => \NLW_y_int_reg[31]_i_82_CO_UNCONNECTED\(1),
CO(0) => \y_int_reg[31]_i_82_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1 downto 0) => rgb888(15 downto 14),
O(3 downto 2) => \NLW_y_int_reg[31]_i_82_O_UNCONNECTED\(3 downto 2),
O(1) => \y_int_reg[31]_i_82_n_6\,
O(0) => \y_int_reg[31]_i_82_n_7\,
S(3 downto 2) => B"01",
S(1) => \y_int[31]_i_102_n_0\,
S(0) => \y_int[31]_i_103_n_0\
);
\y_int_reg[31]_i_9\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[31]_i_21_n_0\,
CO(3 downto 2) => \NLW_y_int_reg[31]_i_9_CO_UNCONNECTED\(3 downto 2),
CO(1) => \y_int_reg[31]_i_9_n_2\,
CO(0) => \y_int_reg[31]_i_9_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \y_int[31]_i_22_n_0\,
DI(0) => \y_int[31]_i_23_n_0\,
O(3) => \NLW_y_int_reg[31]_i_9_O_UNCONNECTED\(3),
O(2) => \y_int_reg[31]_i_9_n_5\,
O(1) => \y_int_reg[31]_i_9_n_6\,
O(0) => \y_int_reg[31]_i_9_n_7\,
S(3) => '0',
S(2) => \y_int[31]_i_24_n_0\,
S(1) => \y_int[31]_i_25_n_0\,
S(0) => \y_int[31]_i_26_n_0\
);
\y_int_reg[3]_i_19\: unisim.vcomponents.CARRY4
port map (
CI => U0_n_78,
CO(3) => \y_int_reg[3]_i_19_n_0\,
CO(2) => \y_int_reg[3]_i_19_n_1\,
CO(1) => \y_int_reg[3]_i_19_n_2\,
CO(0) => \y_int_reg[3]_i_19_n_3\,
CYINIT => '0',
DI(3) => \y_int[3]_i_37_n_0\,
DI(2) => \y_int[3]_i_38_n_0\,
DI(1) => \y_int[3]_i_39_n_0\,
DI(0) => \y_int_reg[3]_i_40_n_5\,
O(3) => \y_int_reg[3]_i_19_n_4\,
O(2) => \y_int_reg[3]_i_19_n_5\,
O(1) => \y_int_reg[3]_i_19_n_6\,
O(0) => \y_int_reg[3]_i_19_n_7\,
S(3) => \y_int[3]_i_41_n_0\,
S(2) => \y_int[3]_i_42_n_0\,
S(1) => \y_int[3]_i_43_n_0\,
S(0) => \y_int[3]_i_44_n_0\
);
\y_int_reg[3]_i_20\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_45_n_0\,
CO(3) => \y_int_reg[3]_i_20_n_0\,
CO(2) => \y_int_reg[3]_i_20_n_1\,
CO(1) => \y_int_reg[3]_i_20_n_2\,
CO(0) => \y_int_reg[3]_i_20_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[3]_i_20_n_4\,
O(2) => \y_int_reg[3]_i_20_n_5\,
O(1 downto 0) => \NLW_y_int_reg[3]_i_20_O_UNCONNECTED\(1 downto 0),
S(3) => \y_int[3]_i_46_n_0\,
S(2) => \y_int[3]_i_47_n_0\,
S(1) => \y_int[3]_i_48_n_0\,
S(0) => \y_int[3]_i_49_n_0\
);
\y_int_reg[3]_i_40\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_70_n_0\,
CO(3) => \y_int_reg[3]_i_40_n_0\,
CO(2) => \y_int_reg[3]_i_40_n_1\,
CO(1) => \y_int_reg[3]_i_40_n_2\,
CO(0) => \y_int_reg[3]_i_40_n_3\,
CYINIT => '0',
DI(3) => rgb888(15),
DI(2 downto 0) => rgb888(12 downto 10),
O(3) => \y_int_reg[3]_i_40_n_4\,
O(2) => \y_int_reg[3]_i_40_n_5\,
O(1) => \y_int_reg[3]_i_40_n_6\,
O(0) => \y_int_reg[3]_i_40_n_7\,
S(3) => \y_int[3]_i_75_n_0\,
S(2) => \y_int[3]_i_76_n_0\,
S(1) => \y_int[3]_i_77_n_0\,
S(0) => \y_int[3]_i_78_n_0\
);
\y_int_reg[3]_i_45\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_45_n_0\,
CO(2) => \y_int_reg[3]_i_45_n_1\,
CO(1) => \y_int_reg[3]_i_45_n_2\,
CO(0) => \y_int_reg[3]_i_45_n_3\,
CYINIT => \cb_int[3]_i_84_n_0\,
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_y_int_reg[3]_i_45_O_UNCONNECTED\(3 downto 0),
S(3) => \y_int[3]_i_80_n_0\,
S(2) => \y_int[3]_i_81_n_0\,
S(1) => \y_int[3]_i_82_n_0\,
S(0) => \y_int[3]_i_83_n_0\
);
\y_int_reg[3]_i_70\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \y_int_reg[3]_i_70_n_0\,
CO(2) => \y_int_reg[3]_i_70_n_1\,
CO(1) => \y_int_reg[3]_i_70_n_2\,
CO(0) => \y_int_reg[3]_i_70_n_3\,
CYINIT => '0',
DI(3 downto 2) => rgb888(9 downto 8),
DI(1 downto 0) => B"01",
O(3) => \y_int_reg[3]_i_70_n_4\,
O(2) => \y_int_reg[3]_i_70_n_5\,
O(1) => \y_int_reg[3]_i_70_n_6\,
O(0) => \NLW_y_int_reg[3]_i_70_O_UNCONNECTED\(0),
S(3) => \y_int[3]_i_93_n_0\,
S(2) => \y_int[3]_i_94_n_0\,
S(1) => \y_int[3]_i_95_n_0\,
S(0) => \y_int[3]_i_96_n_0\
);
\y_int_reg[7]_i_23\: unisim.vcomponents.CARRY4
port map (
CI => \y_int_reg[3]_i_20_n_0\,
CO(3) => \y_int_reg[7]_i_23_n_0\,
CO(2) => \y_int_reg[7]_i_23_n_1\,
CO(1) => \y_int_reg[7]_i_23_n_2\,
CO(0) => \y_int_reg[7]_i_23_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \y_int_reg[7]_i_23_n_4\,
O(2) => \y_int_reg[7]_i_23_n_5\,
O(1) => \y_int_reg[7]_i_23_n_6\,
O(0) => \y_int_reg[7]_i_23_n_7\,
S(3) => \y_int[7]_i_25_n_0\,
S(2) => \y_int[7]_i_26_n_0\,
S(1) => \y_int[7]_i_27_n_0\,
S(0) => \y_int[7]_i_28_n_0\
);
end STRUCTURE;
| mit | 6dea6901d3949f617fd52f2592e4e907 | 0.480239 | 2.232005 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_vga_split_controller_0_0/sim/system_vga_split_controller_0_0.vhd | 1 | 3,633 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_split_controller:1.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_split_controller_0_0 IS
PORT (
rgb_0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
rgb_1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clock : IN STD_LOGIC;
hsync : IN STD_LOGIC;
rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END system_vga_split_controller_0_0;
ARCHITECTURE system_vga_split_controller_0_0_arch OF system_vga_split_controller_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_split_controller_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_split_controller IS
GENERIC (
HALF_ROW : INTEGER
);
PORT (
rgb_0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
rgb_1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clock : IN STD_LOGIC;
hsync : IN STD_LOGIC;
rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT vga_split_controller;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clock: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : vga_split_controller
GENERIC MAP (
HALF_ROW => 320
)
PORT MAP (
rgb_0 => rgb_0,
rgb_1 => rgb_1,
clock => clock,
hsync => hsync,
rgb => rgb
);
END system_vga_split_controller_0_0_arch;
| mit | 7fd76c35fcd6eb6a18d10ccfb1dd487b | 0.722819 | 3.9967 | false | false | false | false |
smithe0/GestureControlInterface | DE2Component_FLASH/db/ip/niosII_system/submodules/usb_component.vhd | 1 | 2,339 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity usb is
port(
--Clock and Reset
clk : in std_logic;
reset_n : in std_logic;
-- USB Conduit interface to DE2 (Export)
USB_DATA : inout std_logic_vector(15 downto 0);
USB_ADDR : out std_logic_vector(1 downto 0);
USB_WR_N : out std_logic := '1';
USB_RD_N : out std_logic := '1';
USB_RST_N : out std_logic := '1';
USB_CS_N : out std_logic := '1';
USB_INT0 : in std_logic; -- Irq 0 DC
USB_INT1 : in std_logic; -- Irq 1 HC
-- Avalon Memory-Mapped-Slave interface Device Controller (DC)
avs_dc_address : in std_logic;
avs_dc_writedata : in std_logic_vector(15 downto 0);
avs_dc_write_n : in std_logic;
avs_dc_read_n : in std_logic;
avs_dc_CS_n : in std_logic;
avs_dc_readdata : out std_logic_vector(15 downto 0);
avs_dc_irq : out std_logic;
-- Avalon Memory-Mapped-Slave interface Host Controller (HC)
-- Probably will not use this interface.
avs_hc_address : in std_logic;
avs_hc_writedata : in std_logic_vector(15 downto 0);
avs_hc_write_n : in std_logic;
avs_hc_read_n : in std_logic;
avs_hc_CS_n : in std_logic;
avs_hc_readdata : out std_logic_vector(15 downto 0);
avs_hc_irq : out std_logic
);
end usb;
architecture connections of usb is
begin
-- Send interrupt from DE2 connection to proper controller
avs_dc_irq <= USB_INT0;
avs_hc_irq <= USB_INT1;
--Two cases possible, using the host controller or the device controller. The github project combines them with when else style assignments
--Device controller signals
USB_DATA <= avs_dc_writedata when avs_dc_write_n = '0' else (others => 'Z'); -- Only does device controller
avs_dc_readdata <= USB_DATA when avs_dc_read_n = '0' else (others => 'Z');
avs_hc_readdata <= USB_DATA when avs_hc_read_n = '0' else (others => 'Z');
USB_CS_N <= '1' when avs_dc_CS_n = '0' and avs_hc_CS_n = '0' else '0';
USB_ADDR(0) <= '1';
USB_ADDR(1) <= avs_dc_address;
USB_RD_N <= avs_dc_read_n; --Just Ignoring the HC controller right now.
USB_WR_N <= avs_dc_write_n;
USB_RST_N <= reset_n;
end architecture connections;
-- If chip_select_n == 1
-- I could probably have processes for chip select for toggling between HC and DC
-- but for now i'm less than interested when I havent gotten DC working
| apache-2.0 | 28387fd30a39740d32434d750291201f | 0.657973 | 2.729288 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/csi2_rx/csi2_rx.srcs/sources_1/imports/mipi-csi-rx/csi_rx_word_align.vhd | 1 | 4,426 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_MISC.ALL;
--MIPI CSI-2 word aligner
--Copyright (C) 2016 David Shah
--Licensed under the MIT License
--This receives aligned bytes and status signals from the 4 byte aligners
--and compensates for up to 2 clock cycles of skew between channels. It also
--controls the packet_done input to the byte aligner, resetting byte aligners'
--sync status if all 4 byte aligners fail to find the sync pattern
--Similar to the byte aligner, this locks the alignment once a valid alignment
--has been found until packet_done is asserted
entity csi_rx_word_align is
Port ( word_clock : in STD_LOGIC; --byte/word clock in
reset : in STD_LOGIC; --active high synchronous reset
enable : in STD_LOGIC; --active high enable
packet_done : in STD_LOGIC; --packet done input from packet handler entity
wait_for_sync : in STD_LOGIC; --whether or not to be looking for an alignment
packet_done_out : out STD_LOGIC; --packet done output to byte aligners
word_in : in STD_LOGIC_VECTOR (31 downto 0); --unaligned word from the 4 byte aligners
valid_in : in STD_LOGIC_VECTOR (3 downto 0); --valid_out from the byte aligners (MSB is index 3, LSB index 0)
word_out : out STD_LOGIC_VECTOR (31 downto 0); --aligned word out to packet handler
valid_out : out STD_LOGIC); --goes high once alignment is valid, such that the first word with it high is the CSI packet header
end csi_rx_word_align;
architecture Behavioral of csi_rx_word_align is
signal word_dly_0 : std_logic_vector(31 downto 0);
signal word_dly_1 : std_logic_vector(31 downto 0);
signal word_dly_2 : std_logic_vector(31 downto 0);
signal valid_dly_0 : std_logic_vector (3 downto 0);
signal valid_dly_1 : std_logic_vector (3 downto 0);
signal valid_dly_2 : std_logic_vector (3 downto 0);
type taps_t is array(0 to 3) of std_logic_vector(1 downto 0);
signal taps : taps_t;
signal next_taps : taps_t;
signal valid : std_logic := '0';
signal next_valid : std_logic;
signal invalid_start : std_logic := '0';
signal aligned_word : std_logic_vector(31 downto 0);
begin
process(word_clock)
begin
if rising_edge(word_clock) then
if reset = '1' then
valid <= '0';
taps <= ("00", "00", "00", "00");
elsif enable = '1' then
word_dly_0 <= word_in;
valid_dly_0 <= valid_in;
word_dly_1 <= word_dly_0;
valid_dly_1 <= valid_dly_0;
word_dly_2 <= word_dly_1;
valid_dly_2 <= valid_dly_1;
valid_out <= valid;
word_out <= aligned_word;
if next_valid = '1' and valid = '0' and wait_for_sync = '1' then
valid <= '1';
taps <= next_taps;
elsif packet_done = '1' then
valid <= '0';
end if;
end if;
end if;
end process;
process(valid_dly_0, valid_dly_1, valid_dly_2)
variable next_valid_int : std_logic;
variable is_triggered : std_logic := '0';
begin
next_valid_int := and_reduce(valid_dly_0);
--Reset if all channels fail to sync
is_triggered := '0';
for i in 0 to 3 loop
if valid_dly_0(i) = '1' and valid_dly_1(i) = '1' and valid_dly_2(i) = '1' then
is_triggered := '1';
end if;
end loop;
invalid_start <= (not next_valid_int) and is_triggered;
next_valid <= next_valid_int;
for i in 0 to 3 loop
if valid_dly_2(i) = '1' then
next_taps(i) <= "10";
elsif valid_dly_1(i) = '1' then
next_taps(i) <= "01";
else
next_taps(i) <= "00";
end if;
end loop;
end process;
packet_done_out <= packet_done or invalid_start;
process(word_dly_0, word_dly_1, word_dly_2, taps)
begin
for i in 0 to 3 loop
if taps(i) = "10" then
aligned_word((8*i) + 7 downto 8 * i) <= word_dly_2((8*i) + 7 downto 8 * i);
elsif taps(i) = "01" then
aligned_word((8*i) + 7 downto 8 * i) <= word_dly_1((8*i) + 7 downto 8 * i);
else
aligned_word((8*i) + 7 downto 8 * i) <= word_dly_0((8*i) + 7 downto 8 * i);
end if;
end loop;
end process;
end Behavioral;
| mit | 6d4e585b1c6e92aa8ed3370e600bf7c1 | 0.579756 | 3.449727 | false | false | false | false |
adelapie/xtea | tb_xtea.vhd | 1 | 3,023 |
-- Copyright (c) 2013 Antonio de la Piedra
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY tb_xtea IS
END tb_xtea;
ARCHITECTURE behavior OF tb_xtea IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT xtea
PORT(
clk : IN std_logic;
rst : IN std_logic;
enc : in std_logic;
block_in : IN std_logic_vector(63 downto 0);
key : IN std_logic_vector(127 downto 0);
v_0_out : out std_logic_vector(31 downto 0);
v_1_out : out std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal rst : std_logic := '0';
signal enc : std_logic := '0';
signal block_in : std_logic_vector(63 downto 0) := (others => '0');
signal key : std_logic_vector(127 downto 0) := (others => '0');
--Outputs
signal v_0_out : std_logic_vector(31 downto 0);
signal v_1_out : std_logic_vector(31 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: xtea PORT MAP (
clk => clk,
rst => rst,
enc => enc,
block_in => block_in,
key => key,
v_0_out => v_0_out,
v_1_out => v_1_out
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
wait for clk_period/2 + 10*clk_period;
enc <= '0';
rst <= '1';
block_in <= X"bbbbbbbb" & X"aaaaaaaa" ;
key <= X"44444444" & X"33333333" & X"22222222" & X"11111111";
wait for clk_period;
rst <= '0';
wait for 4*64*clk_period;
assert v_0_out = X"3a53039a"
report "ENCRYPT ERROR (v_0)" severity FAILURE;
wait for clk_period;
assert v_1_out = X"fe2d9913"
report "ENCRYPT ERROR (v_1)" severity FAILURE;
wait for clk_period*10;
enc <= '1';
rst <= '1';
block_in <= X"fe2d9913" & X"3a53039a" ;
key <= X"44444444" & X"33333333" & X"22222222" & X"11111111";
wait for clk_period;
rst <= '0';
wait for 4*64*clk_period;
assert v_0_out = X"bbbbbbbb"
report "DECRYPT ERROR (v_0)" severity FAILURE;
wait for clk_period;
assert v_1_out = X"aaaaaaaa"
report "DECRYPT ERROR (v_1)" severity FAILURE;
wait;
end process;
END;
| gpl-3.0 | 9f1e065c0af16e1bf6b7888cba7bc8c1 | 0.620906 | 3.21254 | false | false | false | false |
pgavin/carpe | hdl/tech/inferred/mul_trunc_seq-rtl.vhdl | 1 | 1,612 | -- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
architecture rtl of mul_trunc_seq is
begin
mul : entity work.mul_trunc_seq_inferred(rtl)
generic map (
latency => latency,
src_bits => src_bits
)
port map (
clk => clk,
rstn => rstn,
en => en,
unsgnd => unsgnd,
src1 => src1,
src2 => src2,
valid => valid,
overflow => overflow,
result => result
);
end;
| apache-2.0 | 8c8775e2d0d7823fc69b8c297388fcb3 | 0.476427 | 5.216828 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0/system_vga_color_test_0_0_sim_netlist.vhdl | 1 | 25,036 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Wed Feb 08 00:48:14 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/Zybo-Open-Source-Video-IP-Toolbox/video_processing_examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0/system_vga_color_test_0_0_sim_netlist.vhdl
-- Design : system_vga_color_test_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_color_test_0_0_vga_color_test is
port (
rgb : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 6 downto 0 );
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_vga_color_test_0_0_vga_color_test : entity is "vga_color_test";
end system_vga_color_test_0_0_vga_color_test;
architecture STRUCTURE of system_vga_color_test_0_0_vga_color_test is
signal \rgb[13]_i_1_n_0\ : STD_LOGIC;
signal \rgb[14]_i_1_n_0\ : STD_LOGIC;
signal \rgb[14]_i_2_n_0\ : STD_LOGIC;
signal \rgb[14]_i_3_n_0\ : STD_LOGIC;
signal \rgb[14]_i_4_n_0\ : STD_LOGIC;
signal \rgb[14]_i_5_n_0\ : STD_LOGIC;
signal \rgb[14]_i_6_n_0\ : STD_LOGIC;
signal \rgb[15]_i_1_n_0\ : STD_LOGIC;
signal \rgb[15]_i_2_n_0\ : STD_LOGIC;
signal \rgb[15]_i_3_n_0\ : STD_LOGIC;
signal \rgb[15]_i_4_n_0\ : STD_LOGIC;
signal \rgb[15]_i_5_n_0\ : STD_LOGIC;
signal \rgb[15]_i_6_n_0\ : STD_LOGIC;
signal \rgb[15]_i_7_n_0\ : STD_LOGIC;
signal \rgb[21]_i_1_n_0\ : STD_LOGIC;
signal \rgb[22]_i_10_n_0\ : STD_LOGIC;
signal \rgb[22]_i_11_n_0\ : STD_LOGIC;
signal \rgb[22]_i_1_n_0\ : STD_LOGIC;
signal \rgb[22]_i_2_n_0\ : STD_LOGIC;
signal \rgb[22]_i_3_n_0\ : STD_LOGIC;
signal \rgb[22]_i_4_n_0\ : STD_LOGIC;
signal \rgb[22]_i_5_n_0\ : STD_LOGIC;
signal \rgb[22]_i_6_n_0\ : STD_LOGIC;
signal \rgb[22]_i_7_n_0\ : STD_LOGIC;
signal \rgb[22]_i_8_n_0\ : STD_LOGIC;
signal \rgb[22]_i_9_n_0\ : STD_LOGIC;
signal \rgb[23]_i_10_n_0\ : STD_LOGIC;
signal \rgb[23]_i_11_n_0\ : STD_LOGIC;
signal \rgb[23]_i_12_n_0\ : STD_LOGIC;
signal \rgb[23]_i_13_n_0\ : STD_LOGIC;
signal \rgb[23]_i_14_n_0\ : STD_LOGIC;
signal \rgb[23]_i_15_n_0\ : STD_LOGIC;
signal \rgb[23]_i_16_n_0\ : STD_LOGIC;
signal \rgb[23]_i_17_n_0\ : STD_LOGIC;
signal \rgb[23]_i_18_n_0\ : STD_LOGIC;
signal \rgb[23]_i_1_n_0\ : STD_LOGIC;
signal \rgb[23]_i_2_n_0\ : STD_LOGIC;
signal \rgb[23]_i_3_n_0\ : STD_LOGIC;
signal \rgb[23]_i_4_n_0\ : STD_LOGIC;
signal \rgb[23]_i_5_n_0\ : STD_LOGIC;
signal \rgb[23]_i_6_n_0\ : STD_LOGIC;
signal \rgb[23]_i_7_n_0\ : STD_LOGIC;
signal \rgb[23]_i_8_n_0\ : STD_LOGIC;
signal \rgb[23]_i_9_n_0\ : STD_LOGIC;
signal \rgb[4]_i_1_n_0\ : STD_LOGIC;
signal \rgb[4]_i_2_n_0\ : STD_LOGIC;
signal \rgb[5]_i_1_n_0\ : STD_LOGIC;
signal \rgb[5]_i_2_n_0\ : STD_LOGIC;
signal \rgb[6]_i_1_n_0\ : STD_LOGIC;
signal \rgb[6]_i_2_n_0\ : STD_LOGIC;
signal \rgb[6]_i_3_n_0\ : STD_LOGIC;
signal \rgb[6]_i_4_n_0\ : STD_LOGIC;
signal \rgb[6]_i_5_n_0\ : STD_LOGIC;
signal \rgb[7]_i_1_n_0\ : STD_LOGIC;
signal \rgb[7]_i_2_n_0\ : STD_LOGIC;
signal \rgb[7]_i_3_n_0\ : STD_LOGIC;
signal \rgb[7]_i_4_n_0\ : STD_LOGIC;
signal \rgb[7]_i_5_n_0\ : STD_LOGIC;
signal \rgb[7]_i_6_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rgb[14]_i_3\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb[14]_i_5\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb[15]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb[15]_i_3\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb[15]_i_5\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb[15]_i_6\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb[15]_i_7\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb[22]_i_10\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb[22]_i_11\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb[23]_i_10\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb[23]_i_11\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb[23]_i_14\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb[23]_i_15\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb[23]_i_17\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb[23]_i_18\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb[23]_i_6\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb[5]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb[6]_i_2\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rgb[6]_i_4\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb[6]_i_5\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb[7]_i_3\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb[7]_i_4\ : label is "soft_lutpair5";
begin
\rgb[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"5555FF02"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => \rgb[14]_i_2_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[22]_i_2_n_0\,
I4 => \rgb[23]_i_6_n_0\,
O => \rgb[13]_i_1_n_0\
);
\rgb[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"55555555FFFFFF02"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => \rgb[14]_i_2_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[22]_i_3_n_0\,
I4 => \rgb[22]_i_2_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[14]_i_1_n_0\
);
\rgb[14]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"02F20202"
)
port map (
I0 => \rgb[14]_i_4_n_0\,
I1 => \rgb[23]_i_11_n_0\,
I2 => xaddr(9),
I3 => \rgb[14]_i_5_n_0\,
I4 => \rgb[23]_i_10_n_0\,
O => \rgb[14]_i_2_n_0\
);
\rgb[14]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb[14]_i_6_n_0\,
I1 => yaddr(6),
O => \rgb[14]_i_3_n_0\
);
\rgb[14]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFEFEFEFEFEFEEE"
)
port map (
I0 => xaddr(4),
I1 => xaddr(5),
I2 => xaddr(3),
I3 => xaddr(0),
I4 => xaddr(1),
I5 => xaddr(2),
O => \rgb[14]_i_4_n_0\
);
\rgb[14]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFF8"
)
port map (
I0 => xaddr(2),
I1 => xaddr(5),
I2 => xaddr(7),
I3 => xaddr(6),
I4 => xaddr(8),
O => \rgb[14]_i_5_n_0\
);
\rgb[14]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A888A888A8888888"
)
port map (
I0 => yaddr(5),
I1 => yaddr(4),
I2 => yaddr(2),
I3 => yaddr(3),
I4 => yaddr(1),
I5 => yaddr(0),
O => \rgb[14]_i_6_n_0\
);
\rgb[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFF55455545"
)
port map (
I0 => \rgb[23]_i_4_n_0\,
I1 => \rgb[22]_i_2_n_0\,
I2 => \rgb[15]_i_2_n_0\,
I3 => \rgb[15]_i_3_n_0\,
I4 => \rgb[15]_i_4_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[15]_i_1_n_0\
);
\rgb[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \rgb[22]_i_8_n_0\,
I1 => \rgb[23]_i_12_n_0\,
O => \rgb[15]_i_2_n_0\
);
\rgb[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAA88888"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => xaddr(9),
I2 => xaddr(6),
I3 => xaddr(7),
I4 => xaddr(8),
O => \rgb[15]_i_3_n_0\
);
\rgb[15]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"ECEEEEEEECECECEC"
)
port map (
I0 => xaddr(8),
I1 => xaddr(9),
I2 => xaddr(7),
I3 => \rgb[15]_i_5_n_0\,
I4 => \rgb[15]_i_6_n_0\,
I5 => \rgb[15]_i_7_n_0\,
O => \rgb[15]_i_4_n_0\
);
\rgb[15]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"1F"
)
port map (
I0 => xaddr(0),
I1 => xaddr(1),
I2 => xaddr(2),
O => \rgb[15]_i_5_n_0\
);
\rgb[15]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => xaddr(5),
I1 => xaddr(4),
O => \rgb[15]_i_6_n_0\
);
\rgb[15]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"8880"
)
port map (
I0 => xaddr(6),
I1 => xaddr(5),
I2 => xaddr(4),
I3 => xaddr(3),
O => \rgb[15]_i_7_n_0\
);
\rgb[21]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFBF0FB"
)
port map (
I0 => \rgb[22]_i_2_n_0\,
I1 => \rgb[22]_i_4_n_0\,
I2 => \rgb[23]_i_2_n_0\,
I3 => \rgb[23]_i_6_n_0\,
I4 => \rgb[23]_i_7_n_0\,
O => \rgb[21]_i_1_n_0\
);
\rgb[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEFFF00FFEF"
)
port map (
I0 => \rgb[22]_i_2_n_0\,
I1 => \rgb[22]_i_3_n_0\,
I2 => \rgb[22]_i_4_n_0\,
I3 => \rgb[23]_i_2_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_7_n_0\,
O => \rgb[22]_i_1_n_0\
);
\rgb[22]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => xaddr(9),
I1 => xaddr(6),
I2 => xaddr(7),
O => \rgb[22]_i_10_n_0\
);
\rgb[22]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"0070"
)
port map (
I0 => xaddr(3),
I1 => xaddr(4),
I2 => xaddr(8),
I3 => xaddr(5),
O => \rgb[22]_i_11_n_0\
);
\rgb[22]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000AAABABAB"
)
port map (
I0 => \rgb[22]_i_5_n_0\,
I1 => xaddr(8),
I2 => xaddr(9),
I3 => xaddr(6),
I4 => xaddr(7),
I5 => \rgb[22]_i_6_n_0\,
O => \rgb[22]_i_2_n_0\
);
\rgb[22]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000FD0000"
)
port map (
I0 => \rgb[23]_i_15_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[22]_i_7_n_0\,
I4 => xaddr(9),
I5 => \rgb[22]_i_6_n_0\,
O => \rgb[22]_i_3_n_0\
);
\rgb[22]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFAE"
)
port map (
I0 => \rgb[23]_i_7_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[23]_i_8_n_0\,
I3 => \rgb[14]_i_3_n_0\,
O => \rgb[22]_i_4_n_0\
);
\rgb[22]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000200030003"
)
port map (
I0 => \rgb[15]_i_5_n_0\,
I1 => xaddr(9),
I2 => xaddr(8),
I3 => xaddr(5),
I4 => xaddr(3),
I5 => xaddr(4),
O => \rgb[22]_i_5_n_0\
);
\rgb[22]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"111111111111111F"
)
port map (
I0 => \rgb[14]_i_6_n_0\,
I1 => yaddr(6),
I2 => \rgb[22]_i_9_n_0\,
I3 => xaddr(7),
I4 => xaddr(8),
I5 => xaddr(9),
O => \rgb[22]_i_6_n_0\
);
\rgb[22]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFEFEFFFFFFFF"
)
port map (
I0 => xaddr(8),
I1 => xaddr(6),
I2 => xaddr(7),
I3 => xaddr(5),
I4 => xaddr(2),
I5 => \rgb[23]_i_10_n_0\,
O => \rgb[22]_i_7_n_0\
);
\rgb[22]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"5515551555151515"
)
port map (
I0 => \rgb[23]_i_14_n_0\,
I1 => \rgb[22]_i_10_n_0\,
I2 => \rgb[22]_i_11_n_0\,
I3 => xaddr(4),
I4 => xaddr(1),
I5 => xaddr(2),
O => \rgb[22]_i_8_n_0\
);
\rgb[22]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCC000088800000"
)
port map (
I0 => xaddr(3),
I1 => xaddr(6),
I2 => xaddr(2),
I3 => xaddr(1),
I4 => xaddr(5),
I5 => xaddr(4),
O => \rgb[22]_i_9_n_0\
);
\rgb[23]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFAAAEAAAEAAAE"
)
port map (
I0 => \rgb[23]_i_2_n_0\,
I1 => \rgb[23]_i_3_n_0\,
I2 => \rgb[23]_i_4_n_0\,
I3 => \rgb[23]_i_5_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_7_n_0\,
O => \rgb[23]_i_1_n_0\
);
\rgb[23]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"1F"
)
port map (
I0 => xaddr(3),
I1 => xaddr(4),
I2 => xaddr(5),
O => \rgb[23]_i_10_n_0\
);
\rgb[23]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => xaddr(8),
I1 => xaddr(6),
I2 => xaddr(7),
O => \rgb[23]_i_11_n_0\
);
\rgb[23]_i_12\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => yaddr(6),
I1 => \rgb[14]_i_6_n_0\,
O => \rgb[23]_i_12_n_0\
);
\rgb[23]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"0515555515155555"
)
port map (
I0 => \rgb[23]_i_18_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[23]_i_17_n_0\,
I4 => xaddr(6),
I5 => xaddr(3),
O => \rgb[23]_i_13_n_0\
);
\rgb[23]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => xaddr(9),
I1 => xaddr(8),
O => \rgb[23]_i_14_n_0\
);
\rgb[23]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"15"
)
port map (
I0 => xaddr(3),
I1 => xaddr(1),
I2 => xaddr(2),
O => \rgb[23]_i_15_n_0\
);
\rgb[23]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => xaddr(7),
I1 => xaddr(6),
O => \rgb[23]_i_16_n_0\
);
\rgb[23]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => xaddr(2),
I1 => xaddr(1),
O => \rgb[23]_i_17_n_0\
);
\rgb[23]_i_18\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => xaddr(7),
I1 => xaddr(8),
I2 => xaddr(9),
O => \rgb[23]_i_18_n_0\
);
\rgb[23]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000022222"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => yaddr(6),
I2 => yaddr(4),
I3 => yaddr(3),
I4 => yaddr(5),
I5 => \rgb[23]_i_8_n_0\,
O => \rgb[23]_i_2_n_0\
);
\rgb[23]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAFFFB"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => \rgb[15]_i_4_n_0\,
I2 => \rgb[23]_i_9_n_0\,
I3 => xaddr(9),
I4 => \rgb[23]_i_7_n_0\,
O => \rgb[23]_i_3_n_0\
);
\rgb[23]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00004440"
)
port map (
I0 => xaddr(9),
I1 => \rgb[23]_i_9_n_0\,
I2 => \rgb[23]_i_10_n_0\,
I3 => \rgb[23]_i_11_n_0\,
I4 => \rgb[23]_i_12_n_0\,
O => \rgb[23]_i_4_n_0\
);
\rgb[23]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0057FFFF00570057"
)
port map (
I0 => yaddr(5),
I1 => yaddr(3),
I2 => yaddr(4),
I3 => yaddr(6),
I4 => \rgb[23]_i_12_n_0\,
I5 => \rgb[23]_i_13_n_0\,
O => \rgb[23]_i_5_n_0\
);
\rgb[23]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"0155"
)
port map (
I0 => yaddr(6),
I1 => yaddr(4),
I2 => yaddr(3),
I3 => yaddr(5),
O => \rgb[23]_i_6_n_0\
);
\rgb[23]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"40CC44CC44CC44CC"
)
port map (
I0 => xaddr(6),
I1 => \rgb[23]_i_14_n_0\,
I2 => \rgb[23]_i_15_n_0\,
I3 => xaddr(7),
I4 => xaddr(4),
I5 => xaddr(5),
O => \rgb[23]_i_7_n_0\
);
\rgb[23]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFD500000000"
)
port map (
I0 => \rgb[23]_i_10_n_0\,
I1 => xaddr(2),
I2 => xaddr(5),
I3 => \rgb[23]_i_16_n_0\,
I4 => xaddr(8),
I5 => xaddr(9),
O => \rgb[23]_i_8_n_0\
);
\rgb[23]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFFFFFE0"
)
port map (
I0 => \rgb[23]_i_17_n_0\,
I1 => xaddr(0),
I2 => xaddr(3),
I3 => xaddr(5),
I4 => xaddr(4),
I5 => \rgb[23]_i_11_n_0\,
O => \rgb[23]_i_9_n_0\
);
\rgb[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"04770404"
)
port map (
I0 => \rgb[6]_i_2_n_0\,
I1 => \rgb[23]_i_6_n_0\,
I2 => \rgb[23]_i_7_n_0\,
I3 => \rgb[4]_i_2_n_0\,
I4 => \rgb[5]_i_2_n_0\,
O => \rgb[4]_i_1_n_0\
);
\rgb[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF2F2FFFFF202F"
)
port map (
I0 => \rgb[22]_i_8_n_0\,
I1 => \rgb[15]_i_4_n_0\,
I2 => \rgb[23]_i_12_n_0\,
I3 => \rgb[6]_i_5_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_13_n_0\,
O => \rgb[4]_i_2_n_0\
);
\rgb[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAFEAAAAAAAA"
)
port map (
I0 => \rgb[7]_i_4_n_0\,
I1 => \rgb[15]_i_2_n_0\,
I2 => \rgb[15]_i_4_n_0\,
I3 => \rgb[15]_i_3_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[5]_i_2_n_0\,
O => \rgb[5]_i_1_n_0\
);
\rgb[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7F7F0F7F"
)
port map (
I0 => \rgb[14]_i_2_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[23]_i_12_n_0\,
I3 => \rgb[23]_i_7_n_0\,
I4 => \rgb[7]_i_3_n_0\,
O => \rgb[5]_i_2_n_0\
);
\rgb[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000F000FFFFF0045"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => \rgb[7]_i_3_n_0\,
I2 => \rgb[23]_i_7_n_0\,
I3 => \rgb[6]_i_2_n_0\,
I4 => \rgb[6]_i_3_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[6]_i_1_n_0\
);
\rgb[6]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => \rgb[14]_i_2_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[7]_i_6_n_0\,
O => \rgb[6]_i_2_n_0\
);
\rgb[6]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00FF0002"
)
port map (
I0 => xaddr(9),
I1 => \rgb[22]_i_7_n_0\,
I2 => \rgb[6]_i_4_n_0\,
I3 => \rgb[22]_i_6_n_0\,
I4 => \rgb[6]_i_5_n_0\,
O => \rgb[6]_i_3_n_0\
);
\rgb[6]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000007"
)
port map (
I0 => xaddr(2),
I1 => xaddr(1),
I2 => xaddr(3),
I3 => xaddr(4),
I4 => xaddr(5),
O => \rgb[6]_i_4_n_0\
);
\rgb[6]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"0057"
)
port map (
I0 => xaddr(8),
I1 => xaddr(7),
I2 => xaddr(6),
I3 => xaddr(9),
O => \rgb[6]_i_5_n_0\
);
\rgb[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000222A"
)
port map (
I0 => \rgb[7]_i_3_n_0\,
I1 => yaddr(5),
I2 => yaddr(3),
I3 => yaddr(4),
I4 => yaddr(6),
O => \rgb[7]_i_1_n_0\
);
\rgb[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF000000FB"
)
port map (
I0 => \rgb[7]_i_3_n_0\,
I1 => \rgb[23]_i_7_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[23]_i_4_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[7]_i_4_n_0\,
O => \rgb[7]_i_2_n_0\
);
\rgb[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000000D"
)
port map (
I0 => xaddr(6),
I1 => \rgb[7]_i_5_n_0\,
I2 => xaddr(9),
I3 => xaddr(8),
I4 => xaddr(7),
O => \rgb[7]_i_3_n_0\
);
\rgb[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000444"
)
port map (
I0 => \rgb[23]_i_7_n_0\,
I1 => \rgb[23]_i_6_n_0\,
I2 => \rgb[7]_i_6_n_0\,
I3 => \rgb[22]_i_8_n_0\,
I4 => \rgb[14]_i_2_n_0\,
O => \rgb[7]_i_4_n_0\
);
\rgb[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"1515155515155555"
)
port map (
I0 => xaddr(5),
I1 => xaddr(3),
I2 => xaddr(4),
I3 => xaddr(0),
I4 => xaddr(2),
I5 => xaddr(1),
O => \rgb[7]_i_5_n_0\
);
\rgb[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000007F55"
)
port map (
I0 => \rgb[15]_i_7_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[15]_i_5_n_0\,
I4 => xaddr(7),
I5 => xaddr(9),
O => \rgb[7]_i_6_n_0\
);
\rgb_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[13]_i_1_n_0\,
Q => rgb(4),
R => '0'
);
\rgb_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[14]_i_1_n_0\,
Q => rgb(5),
R => '0'
);
\rgb_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[15]_i_1_n_0\,
Q => rgb(6),
R => '0'
);
\rgb_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[21]_i_1_n_0\,
Q => rgb(7),
R => '0'
);
\rgb_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[22]_i_1_n_0\,
Q => rgb(8),
R => '0'
);
\rgb_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[23]_i_1_n_0\,
Q => rgb(9),
R => '0'
);
\rgb_reg[4]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[4]_i_1_n_0\,
Q => rgb(0),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[5]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[5]_i_1_n_0\,
Q => rgb(1),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[6]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[6]_i_1_n_0\,
Q => rgb(2),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[7]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[7]_i_2_n_0\,
Q => rgb(3),
S => \rgb[7]_i_1_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_color_test_0_0 is
port (
clk_25 : in STD_LOGIC;
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_vga_color_test_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_color_test_0_0 : entity is "system_vga_color_test_0_0,vga_color_test,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_color_test_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_color_test_0_0 : entity is "vga_color_test,Vivado 2016.4";
end system_vga_color_test_0_0;
architecture STRUCTURE of system_vga_color_test_0_0 is
signal \^rgb\ : STD_LOGIC_VECTOR ( 23 downto 3 );
begin
rgb(23 downto 22) <= \^rgb\(23 downto 22);
rgb(21) <= \^rgb\(20);
rgb(20) <= \^rgb\(20);
rgb(19) <= \^rgb\(20);
rgb(18) <= \^rgb\(20);
rgb(17) <= \^rgb\(20);
rgb(16) <= \^rgb\(20);
rgb(15 downto 14) <= \^rgb\(15 downto 14);
rgb(13) <= \^rgb\(12);
rgb(12) <= \^rgb\(12);
rgb(11) <= \^rgb\(12);
rgb(10) <= \^rgb\(12);
rgb(9) <= \^rgb\(12);
rgb(8) <= \^rgb\(12);
rgb(7 downto 5) <= \^rgb\(7 downto 5);
rgb(4) <= \^rgb\(3);
rgb(3) <= \^rgb\(3);
rgb(2) <= \^rgb\(3);
rgb(1) <= \^rgb\(3);
rgb(0) <= \^rgb\(3);
U0: entity work.system_vga_color_test_0_0_vga_color_test
port map (
clk_25 => clk_25,
rgb(9 downto 8) => \^rgb\(23 downto 22),
rgb(7) => \^rgb\(20),
rgb(6 downto 5) => \^rgb\(15 downto 14),
rgb(4) => \^rgb\(12),
rgb(3 downto 1) => \^rgb\(7 downto 5),
rgb(0) => \^rgb\(3),
xaddr(9 downto 0) => xaddr(9 downto 0),
yaddr(6 downto 0) => yaddr(9 downto 3)
);
end STRUCTURE;
| mit | 16f939645b960c1183ab323e85b05f80 | 0.47887 | 2.488173 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_zybo_hdmi_0_0/system_zybo_hdmi_0_0_sim_netlist.vhdl | 1 | 129,288 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Wed Mar 01 09:54:28 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_zybo_hdmi_0_0/system_zybo_hdmi_0_0_sim_netlist.vhdl
-- Design : system_zybo_hdmi_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zybo_hdmi_0_0_TMDS_encoder is
port (
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
rgb : in STD_LOGIC_VECTOR ( 7 downto 0 );
active : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
shift_blue : in STD_LOGIC_VECTOR ( 7 downto 0 );
\shift_clock_reg[5]\ : in STD_LOGIC;
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zybo_hdmi_0_0_TMDS_encoder : entity is "TMDS_encoder";
end system_zybo_hdmi_0_0_TMDS_encoder;
architecture STRUCTURE of system_zybo_hdmi_0_0_TMDS_encoder is
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \dc_bias[0]_i_1__1_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_2__1_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_3__1_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_4__1_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_5__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_1__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_2__1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_3__1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_4__1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_5__1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_6__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_7__1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_8_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_9__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_10_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_11__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_12__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_13__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_14__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_15__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_1__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_2__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_3__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_4__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_5__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_6__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_7__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_8__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_9__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_10__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_11__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_12__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_13__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_14__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_15__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_16__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_17__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_18__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_19__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_1__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_20__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_21_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_22__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_23__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_24__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_25__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_26__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_27__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_28__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_29__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_2__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_30__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_31__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_32__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_33__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_3__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_4__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_5_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_6__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_7__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_8__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_9__1_n_0\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[0]\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[1]\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[2]\ : STD_LOGIC;
signal \encoded[0]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[1]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[1]_i_2_n_0\ : STD_LOGIC;
signal \encoded[2]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[2]_i_2_n_0\ : STD_LOGIC;
signal \encoded[3]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[3]_i_2_n_0\ : STD_LOGIC;
signal \encoded[4]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[4]_i_2_n_0\ : STD_LOGIC;
signal \encoded[5]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[5]_i_2_n_0\ : STD_LOGIC;
signal \encoded[6]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[6]_i_2__1_n_0\ : STD_LOGIC;
signal \encoded[7]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[7]_i_2__1_n_0\ : STD_LOGIC;
signal \encoded[8]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded[9]_i_1__1_n_0\ : STD_LOGIC;
signal \encoded_reg_n_0_[0]\ : STD_LOGIC;
signal \encoded_reg_n_0_[1]\ : STD_LOGIC;
signal \encoded_reg_n_0_[2]\ : STD_LOGIC;
signal \encoded_reg_n_0_[3]\ : STD_LOGIC;
signal \encoded_reg_n_0_[4]\ : STD_LOGIC;
signal \encoded_reg_n_0_[5]\ : STD_LOGIC;
signal \encoded_reg_n_0_[6]\ : STD_LOGIC;
signal \encoded_reg_n_0_[7]\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \dc_bias[0]_i_3__1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \dc_bias[0]_i_4__1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \dc_bias[1]_i_3__1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \dc_bias[1]_i_4__1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \dc_bias[1]_i_6__0\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \dc_bias[1]_i_7__1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \dc_bias[1]_i_9__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \dc_bias[2]_i_11__1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \dc_bias[2]_i_14__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \dc_bias[2]_i_15__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \dc_bias[2]_i_7__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \dc_bias[2]_i_9__0\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \dc_bias[3]_i_11__1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \dc_bias[3]_i_16__0\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \dc_bias[3]_i_19__1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \dc_bias[3]_i_26__1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \dc_bias[3]_i_29__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \encoded[1]_i_2\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \encoded[2]_i_2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \encoded[3]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \encoded[4]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \encoded[6]_i_2__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \encoded[7]_i_2__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \encoded[8]_i_1__1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \shift_blue[0]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \shift_blue[1]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \shift_blue[2]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \shift_blue[3]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \shift_blue[4]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \shift_blue[5]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \shift_blue[6]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \shift_blue[7]_i_1\ : label is "soft_lutpair11";
begin
SR(0) <= \^sr\(0);
\dc_bias[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9F90909F909F9F90"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2__1_n_0\,
I2 => \dc_bias[3]_i_5_n_0\,
I3 => \dc_bias[2]_i_2__0_n_0\,
I4 => \dc_bias[0]_i_3__1_n_0\,
I5 => \dc_bias[0]_i_4__1_n_0\,
O => \dc_bias[0]_i_1__1_n_0\
);
\dc_bias[0]_i_2__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => \encoded[7]_i_2__1_n_0\,
I2 => \dc_bias[0]_i_5__0_n_0\,
I3 => rgb(1),
I4 => rgb(3),
O => \dc_bias[0]_i_2__1_n_0\
);
\dc_bias[0]_i_3__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => \encoded[3]_i_2_n_0\,
I1 => rgb(5),
I2 => rgb(0),
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(7),
O => \dc_bias[0]_i_3__1_n_0\
);
\dc_bias[0]_i_4__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb(2),
I1 => \dc_bias[3]_i_3__1_n_0\,
O => \dc_bias[0]_i_4__1_n_0\
);
\dc_bias[0]_i_5__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6696969999696966"
)
port map (
I0 => rgb(6),
I1 => rgb(4),
I2 => \dc_bias[2]_i_13__0_n_0\,
I3 => \dc_bias[3]_i_13__0_n_0\,
I4 => \dc_bias[2]_i_12__0_n_0\,
I5 => \encoded[3]_i_2_n_0\,
O => \dc_bias[0]_i_5__0_n_0\
);
\dc_bias[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"C5C0CFCACFCAC5C0"
)
port map (
I0 => \dc_bias[2]_i_2__0_n_0\,
I1 => \dc_bias[1]_i_2__1_n_0\,
I2 => \dc_bias[3]_i_5_n_0\,
I3 => \dc_bias[1]_i_3__1_n_0\,
I4 => \dc_bias[1]_i_4__1_n_0\,
I5 => \dc_bias[1]_i_5__1_n_0\,
O => \dc_bias[1]_i_1__0_n_0\
);
\dc_bias[1]_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6F60606F606F6F60"
)
port map (
I0 => \dc_bias[1]_i_6__0_n_0\,
I1 => \dc_bias[1]_i_7__1_n_0\,
I2 => \dc_bias[3]_i_3__1_n_0\,
I3 => \dc_bias[1]_i_8_n_0\,
I4 => \dc_bias[1]_i_9__0_n_0\,
I5 => \dc_bias[3]_i_17__0_n_0\,
O => \dc_bias[1]_i_2__1_n_0\
);
\dc_bias[1]_i_3__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"5695"
)
port map (
I0 => \dc_bias[1]_i_7__1_n_0\,
I1 => \dc_bias[0]_i_2__1_n_0\,
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[3]_i_3__1_n_0\,
O => \dc_bias[1]_i_3__1_n_0\
);
\dc_bias[1]_i_4__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"D7BE2841"
)
port map (
I0 => rgb(2),
I1 => rgb(1),
I2 => rgb(0),
I3 => \dc_bias[3]_i_3__1_n_0\,
I4 => \dc_bias[2]_i_10_n_0\,
O => \dc_bias[1]_i_4__1_n_0\
);
\dc_bias[1]_i_5__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EB7D7DEB7D14147D"
)
port map (
I0 => rgb(7),
I1 => \dc_bias_reg_n_0_[0]\,
I2 => rgb(0),
I3 => rgb(5),
I4 => \encoded[3]_i_2_n_0\,
I5 => \dc_bias[0]_i_4__1_n_0\,
O => \dc_bias[1]_i_5__1_n_0\
);
\dc_bias[1]_i_6__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2__1_n_0\,
O => \dc_bias[1]_i_6__0_n_0\
);
\dc_bias[1]_i_7__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias[3]_i_25__1_n_0\,
O => \dc_bias[1]_i_7__1_n_0\
);
\dc_bias[1]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"14D782BE82BE14D7"
)
port map (
I0 => rgb(0),
I1 => \dc_bias_reg_n_0_[0]\,
I2 => \dc_bias[3]_i_31__0_n_0\,
I3 => \dc_bias[0]_i_5__0_n_0\,
I4 => rgb(3),
I5 => rgb(1),
O => \dc_bias[1]_i_8_n_0\
);
\dc_bias[1]_i_9__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"6A56566A"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => rgb(0),
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[3]_i_3__1_n_0\,
I4 => \encoded[7]_i_2__1_n_0\,
O => \dc_bias[1]_i_9__0_n_0\
);
\dc_bias[2]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"9A5965A665A69A59"
)
port map (
I0 => \dc_bias[2]_i_8__1_n_0\,
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => rgb(7),
I3 => \encoded[6]_i_2__1_n_0\,
I4 => \dc_bias_reg_n_0_[1]\,
I5 => \dc_bias[2]_i_14__0_n_0\,
O => \dc_bias[2]_i_10_n_0\
);
\dc_bias[2]_i_11__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"82EBEB82"
)
port map (
I0 => rgb(7),
I1 => \dc_bias_reg_n_0_[0]\,
I2 => rgb(0),
I3 => rgb(5),
I4 => \encoded[3]_i_2_n_0\,
O => \dc_bias[2]_i_11__1_n_0\
);
\dc_bias[2]_i_12__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"022BBFFF"
)
port map (
I0 => \dc_bias[2]_i_15__0_n_0\,
I1 => rgb(0),
I2 => rgb(7),
I3 => \dc_bias[3]_i_29__0_n_0\,
I4 => \dc_bias[3]_i_12__1_n_0\,
O => \dc_bias[2]_i_12__0_n_0\
);
\dc_bias[2]_i_13__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"79E9EF7FFFFFFFFF"
)
port map (
I0 => rgb(7),
I1 => \dc_bias[3]_i_29__0_n_0\,
I2 => \encoded[3]_i_2_n_0\,
I3 => \dc_bias[2]_i_15__0_n_0\,
I4 => \dc_bias[3]_i_12__1_n_0\,
I5 => rgb(0),
O => \dc_bias[2]_i_13__0_n_0\
);
\dc_bias[2]_i_14__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => rgb(0),
I1 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[2]_i_14__0_n_0\
);
\dc_bias[2]_i_15__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(3),
I1 => rgb(2),
I2 => rgb(1),
O => \dc_bias[2]_i_15__0_n_0\
);
\dc_bias[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C5C0CFCACFCAC5C0"
)
port map (
I0 => \dc_bias[2]_i_2__0_n_0\,
I1 => \dc_bias[2]_i_3__1_n_0\,
I2 => \dc_bias[3]_i_5_n_0\,
I3 => \dc_bias[2]_i_4__1_n_0\,
I4 => \dc_bias[2]_i_5__1_n_0\,
I5 => \dc_bias[2]_i_6__1_n_0\,
O => \dc_bias[2]_i_1__1_n_0\
);
\dc_bias[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"999999A999A9AAAA"
)
port map (
I0 => p_1_in,
I1 => \dc_bias[3]_i_21_n_0\,
I2 => \dc_bias[3]_i_20__0_n_0\,
I3 => \dc_bias[3]_i_19__1_n_0\,
I4 => \dc_bias[3]_i_18__0_n_0\,
I5 => \dc_bias[3]_i_17__0_n_0\,
O => \dc_bias[2]_i_2__0_n_0\
);
\dc_bias[2]_i_3__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6699A5A566995A5A"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[3]_i_14__0_n_0\,
I2 => \dc_bias[3]_i_9__1_n_0\,
I3 => \dc_bias[3]_i_15__1_n_0\,
I4 => \dc_bias[3]_i_3__1_n_0\,
I5 => \dc_bias[3]_i_8__1_n_0\,
O => \dc_bias[2]_i_3__1_n_0\
);
\dc_bias[2]_i_4__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"4BB4B44B"
)
port map (
I0 => \dc_bias[3]_i_25__1_n_0\,
I1 => \dc_bias_reg_n_0_[1]\,
I2 => \dc_bias_reg_n_0_[2]\,
I3 => \dc_bias[3]_i_14__0_n_0\,
I4 => \dc_bias[3]_i_26__1_n_0\,
O => \dc_bias[2]_i_4__1_n_0\
);
\dc_bias[2]_i_5__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"75F710518A08EFAE"
)
port map (
I0 => \dc_bias[2]_i_7__0_n_0\,
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => rgb(7),
I3 => \encoded[6]_i_2__1_n_0\,
I4 => \dc_bias[2]_i_8__1_n_0\,
I5 => \dc_bias[2]_i_9__0_n_0\,
O => \dc_bias[2]_i_5__1_n_0\
);
\dc_bias[2]_i_6__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"177E777777777E17"
)
port map (
I0 => \dc_bias[2]_i_10_n_0\,
I1 => \dc_bias[2]_i_11__1_n_0\,
I2 => \dc_bias[0]_i_3__1_n_0\,
I3 => \encoded[1]_i_2_n_0\,
I4 => \dc_bias[3]_i_3__1_n_0\,
I5 => rgb(2),
O => \dc_bias[2]_i_6__1_n_0\
);
\dc_bias[2]_i_7__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias_reg_n_0_[0]\,
I2 => rgb(0),
O => \dc_bias[2]_i_7__0_n_0\
);
\dc_bias[2]_i_8__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"2DB4B4B42D2D2DB4"
)
port map (
I0 => rgb(4),
I1 => rgb(5),
I2 => \encoded[3]_i_2_n_0\,
I3 => \dc_bias[2]_i_12__0_n_0\,
I4 => \dc_bias[3]_i_13__0_n_0\,
I5 => \dc_bias[2]_i_13__0_n_0\,
O => \dc_bias[2]_i_8__1_n_0\
);
\dc_bias[2]_i_9__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA95"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => rgb(0),
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[2]_i_9__0_n_0\
);
\dc_bias[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => active,
O => \^sr\(0)
);
\dc_bias[3]_i_10__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"69FFFF69FF6969FF"
)
port map (
I0 => rgb(1),
I1 => rgb(2),
I2 => rgb(3),
I3 => rgb(0),
I4 => rgb(7),
I5 => \dc_bias[3]_i_29__0_n_0\,
O => \dc_bias[3]_i_10__1_n_0\
);
\dc_bias[3]_i_11__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"17717117"
)
port map (
I0 => rgb(0),
I1 => rgb(7),
I2 => rgb(6),
I3 => rgb(5),
I4 => rgb(4),
O => \dc_bias[3]_i_11__1_n_0\
);
\dc_bias[3]_i_12__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"171717E817E8E8E8"
)
port map (
I0 => rgb(3),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(5),
I4 => rgb(4),
I5 => rgb(6),
O => \dc_bias[3]_i_12__1_n_0\
);
\dc_bias[3]_i_13__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"171717FF17FFFFFF"
)
port map (
I0 => rgb(3),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(5),
I4 => rgb(4),
I5 => rgb(6),
O => \dc_bias[3]_i_13__0_n_0\
);
\dc_bias[3]_i_14__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"4DDD444D444D2444"
)
port map (
I0 => \dc_bias[3]_i_28__0_n_0\,
I1 => \dc_bias[3]_i_30__0_n_0\,
I2 => \dc_bias[0]_i_5__0_n_0\,
I3 => rgb(0),
I4 => \dc_bias[3]_i_31__0_n_0\,
I5 => \dc_bias[3]_i_19__1_n_0\,
O => \dc_bias[3]_i_14__0_n_0\
);
\dc_bias[3]_i_15__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"ECFE8FC88FC8ECFE"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias_reg_n_0_[1]\,
I2 => \dc_bias[3]_i_19__1_n_0\,
I3 => \dc_bias[3]_i_20__0_n_0\,
I4 => \dc_bias[3]_i_18__0_n_0\,
I5 => \dc_bias[3]_i_17__0_n_0\,
O => \dc_bias[3]_i_15__1_n_0\
);
\dc_bias[3]_i_16__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias_reg_n_0_[2]\,
I2 => \dc_bias_reg_n_0_[0]\,
I3 => p_1_in,
O => \dc_bias[3]_i_16__0_n_0\
);
\dc_bias[3]_i_17__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"D22D4BB42DD2B44B"
)
port map (
I0 => rgb(3),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => \dc_bias[3]_i_3__1_n_0\,
I5 => \dc_bias[3]_i_28__0_n_0\,
O => \dc_bias[3]_i_17__0_n_0\
);
\dc_bias[3]_i_18__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"1D8B8B1D8B1D1D8B"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => \encoded[7]_i_2__1_n_0\,
I2 => rgb(0),
I3 => rgb(6),
I4 => rgb(4),
I5 => \encoded[3]_i_2_n_0\,
O => \dc_bias[3]_i_18__0_n_0\
);
\dc_bias[3]_i_19__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(3),
I1 => rgb(1),
I2 => rgb(0),
O => \dc_bias[3]_i_19__1_n_0\
);
\dc_bias[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"1DFF1D001DFF1DFF"
)
port map (
I0 => \dc_bias[3]_i_2__1_n_0\,
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => \dc_bias[3]_i_4__1_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \dc_bias[3]_i_6__1_n_0\,
I5 => \dc_bias[3]_i_7__1_n_0\,
O => \dc_bias[3]_i_1__1_n_0\
);
\dc_bias[3]_i_20__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => \encoded[3]_i_2_n_0\,
I1 => rgb(4),
I2 => rgb(6),
I3 => \encoded[7]_i_2__1_n_0\,
I4 => rgb(0),
O => \dc_bias[3]_i_20__0_n_0\
);
\dc_bias[3]_i_21\: unisim.vcomponents.LUT6
generic map(
INIT => X"A20808A2208A8A20"
)
port map (
I0 => \dc_bias[3]_i_28__0_n_0\,
I1 => rgb(3),
I2 => rgb(2),
I3 => rgb(1),
I4 => rgb(0),
I5 => \dc_bias[3]_i_3__1_n_0\,
O => \dc_bias[3]_i_21_n_0\
);
\dc_bias[3]_i_22__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBABA22BA22BA22"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[3]_i_32__0_n_0\,
I2 => \dc_bias[3]_i_33__0_n_0\,
I3 => \dc_bias_reg_n_0_[1]\,
I4 => \dc_bias_reg_n_0_[0]\,
I5 => rgb(0),
O => \dc_bias[3]_i_22__1_n_0\
);
\dc_bias[3]_i_23__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFEFFFFEF"
)
port map (
I0 => \dc_bias[2]_i_10_n_0\,
I1 => \dc_bias[0]_i_3__1_n_0\,
I2 => \encoded[1]_i_2_n_0\,
I3 => \dc_bias[3]_i_3__1_n_0\,
I4 => rgb(2),
I5 => \dc_bias[2]_i_11__1_n_0\,
O => \dc_bias[3]_i_23__0_n_0\
);
\dc_bias[3]_i_24__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFE7810081000000"
)
port map (
I0 => rgb(2),
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => \encoded[1]_i_2_n_0\,
I3 => \dc_bias[0]_i_3__1_n_0\,
I4 => \dc_bias[2]_i_11__1_n_0\,
I5 => \dc_bias[2]_i_10_n_0\,
O => \dc_bias[3]_i_24__1_n_0\
);
\dc_bias[3]_i_25__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"188EE771E771188E"
)
port map (
I0 => \dc_bias[3]_i_19__1_n_0\,
I1 => \dc_bias[3]_i_31__0_n_0\,
I2 => rgb(0),
I3 => \dc_bias[0]_i_5__0_n_0\,
I4 => \dc_bias[3]_i_30__0_n_0\,
I5 => \dc_bias[3]_i_28__0_n_0\,
O => \dc_bias[3]_i_25__1_n_0\
);
\dc_bias[3]_i_26__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"9990F999"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias[3]_i_25__1_n_0\,
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[0]_i_2__1_n_0\,
I4 => \dc_bias[3]_i_3__1_n_0\,
O => \dc_bias[3]_i_26__1_n_0\
);
\dc_bias[3]_i_27__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA696955559696AA"
)
port map (
I0 => \dc_bias[3]_i_28__0_n_0\,
I1 => \encoded[7]_i_2__1_n_0\,
I2 => \dc_bias[3]_i_3__1_n_0\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
I5 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[3]_i_27__1_n_0\
);
\dc_bias[3]_i_28__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"28882228BEEEBBBE"
)
port map (
I0 => \encoded[4]_i_2_n_0\,
I1 => \encoded[5]_i_2_n_0\,
I2 => \dc_bias[2]_i_12__0_n_0\,
I3 => \dc_bias[3]_i_13__0_n_0\,
I4 => \dc_bias[2]_i_13__0_n_0\,
I5 => \encoded[6]_i_2__1_n_0\,
O => \dc_bias[3]_i_28__0_n_0\
);
\dc_bias[3]_i_29__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb(6),
I1 => rgb(5),
I2 => rgb(4),
O => \dc_bias[3]_i_29__0_n_0\
);
\dc_bias[3]_i_2__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"24DB"
)
port map (
I0 => \dc_bias[3]_i_8__1_n_0\,
I1 => \dc_bias[3]_i_9__1_n_0\,
I2 => \dc_bias_reg_n_0_[2]\,
I3 => p_1_in,
O => \dc_bias[3]_i_2__1_n_0\
);
\dc_bias[3]_i_30__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"2BD400FFFF002BD4"
)
port map (
I0 => \dc_bias[2]_i_13__0_n_0\,
I1 => \dc_bias[3]_i_13__0_n_0\,
I2 => \dc_bias[2]_i_12__0_n_0\,
I3 => \encoded[1]_i_2_n_0\,
I4 => rgb(2),
I5 => rgb(3),
O => \dc_bias[3]_i_30__0_n_0\
);
\dc_bias[3]_i_31__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"55F5F5FFAE8A8A08"
)
port map (
I0 => \dc_bias[3]_i_13__0_n_0\,
I1 => rgb(0),
I2 => \dc_bias[3]_i_12__1_n_0\,
I3 => \dc_bias[3]_i_11__1_n_0\,
I4 => \dc_bias[3]_i_10__1_n_0\,
I5 => \encoded[7]_i_2__1_n_0\,
O => \dc_bias[3]_i_31__0_n_0\
);
\dc_bias[3]_i_32__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"01B00071B20001B0"
)
port map (
I0 => rgb(6),
I1 => rgb(7),
I2 => \dc_bias[3]_i_3__1_n_0\,
I3 => \encoded[3]_i_2_n_0\,
I4 => rgb(5),
I5 => rgb(4),
O => \dc_bias[3]_i_32__0_n_0\
);
\dc_bias[3]_i_33__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"9208000059591049"
)
port map (
I0 => \encoded[3]_i_2_n_0\,
I1 => rgb(4),
I2 => rgb(5),
I3 => rgb(6),
I4 => rgb(7),
I5 => \dc_bias[3]_i_3__1_n_0\,
O => \dc_bias[3]_i_33__0_n_0\
);
\dc_bias[3]_i_3__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"2B023F03FFBFFFFF"
)
port map (
I0 => \encoded[7]_i_2__1_n_0\,
I1 => \dc_bias[3]_i_10__1_n_0\,
I2 => \dc_bias[3]_i_11__1_n_0\,
I3 => \dc_bias[3]_i_12__1_n_0\,
I4 => rgb(0),
I5 => \dc_bias[3]_i_13__0_n_0\,
O => \dc_bias[3]_i_3__1_n_0\
);
\dc_bias[3]_i_4__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"65A6"
)
port map (
I0 => \dc_bias[2]_i_2__0_n_0\,
I1 => \dc_bias_reg_n_0_[2]\,
I2 => \dc_bias[3]_i_14__0_n_0\,
I3 => \dc_bias[3]_i_15__1_n_0\,
O => \dc_bias[3]_i_4__1_n_0\
);
\dc_bias[3]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAEAAAAABEABAA"
)
port map (
I0 => \dc_bias[3]_i_16__0_n_0\,
I1 => \dc_bias[3]_i_17__0_n_0\,
I2 => \dc_bias[3]_i_18__0_n_0\,
I3 => \dc_bias[3]_i_19__1_n_0\,
I4 => \dc_bias[3]_i_20__0_n_0\,
I5 => \dc_bias[3]_i_21_n_0\,
O => \dc_bias[3]_i_5_n_0\
);
\dc_bias[3]_i_6__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8228822828288228"
)
port map (
I0 => \dc_bias[2]_i_2__0_n_0\,
I1 => p_1_in,
I2 => \dc_bias[3]_i_22__1_n_0\,
I3 => \dc_bias[3]_i_23__0_n_0\,
I4 => \dc_bias[2]_i_5__1_n_0\,
I5 => \dc_bias[3]_i_24__1_n_0\,
O => \dc_bias[3]_i_6__1_n_0\
);
\dc_bias[3]_i_7__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF4F4F0FBFFFFF4"
)
port map (
I0 => \dc_bias[3]_i_25__1_n_0\,
I1 => \dc_bias_reg_n_0_[1]\,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_26__1_n_0\,
I4 => \dc_bias_reg_n_0_[2]\,
I5 => \dc_bias[3]_i_14__0_n_0\,
O => \dc_bias[3]_i_7__1_n_0\
);
\dc_bias[3]_i_8__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"08A28A20AEFBEFBA"
)
port map (
I0 => \dc_bias[3]_i_27__1_n_0\,
I1 => rgb(3),
I2 => rgb(2),
I3 => \encoded[1]_i_2_n_0\,
I4 => \dc_bias[3]_i_3__1_n_0\,
I5 => \dc_bias[1]_i_8_n_0\,
O => \dc_bias[3]_i_8__1_n_0\
);
\dc_bias[3]_i_9__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000099F099FFFFF"
)
port map (
I0 => \encoded[7]_i_2__1_n_0\,
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => rgb(0),
I3 => \dc_bias_reg_n_0_[0]\,
I4 => \dc_bias_reg_n_0_[1]\,
I5 => \dc_bias[3]_i_28__0_n_0\,
O => \dc_bias[3]_i_9__1_n_0\
);
\dc_bias_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[0]_i_1__1_n_0\,
Q => \dc_bias_reg_n_0_[0]\,
R => \^sr\(0)
);
\dc_bias_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[1]_i_1__0_n_0\,
Q => \dc_bias_reg_n_0_[1]\,
R => \^sr\(0)
);
\dc_bias_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[2]_i_1__1_n_0\,
Q => \dc_bias_reg_n_0_[2]\,
R => \^sr\(0)
);
\dc_bias_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[3]_i_1__1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
\encoded[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6F6FAF5F6060A050"
)
port map (
I0 => rgb(0),
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => active,
I3 => \dc_bias[2]_i_2__0_n_0\,
I4 => \dc_bias[3]_i_5_n_0\,
I5 => hsync,
O => \encoded[0]_i_1__1_n_0\
);
\encoded[1]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7B33B7CC480084"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \encoded[1]_i_2_n_0\,
I5 => hsync,
O => \encoded[1]_i_1__1_n_0\
);
\encoded[1]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb(0),
I1 => rgb(1),
O => \encoded[1]_i_2_n_0\
);
\encoded[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"880C44C0BB3F77F3"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \encoded[2]_i_2_n_0\,
I5 => hsync,
O => \encoded[2]_i_1__1_n_0\
);
\encoded[2]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(2),
I1 => rgb(1),
I2 => rgb(0),
O => \encoded[2]_i_2_n_0\
);
\encoded[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"33B7FF7B0084CC48"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \encoded[3]_i_2_n_0\,
I5 => hsync,
O => \encoded[3]_i_1__1_n_0\
);
\encoded[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => rgb(3),
I1 => rgb(0),
I2 => rgb(1),
I3 => rgb(2),
O => \encoded[3]_i_2_n_0\
);
\encoded[4]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"44C0880C77F3BB3F"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \encoded[4]_i_2_n_0\,
I5 => hsync,
O => \encoded[4]_i_1__1_n_0\
);
\encoded[4]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => rgb(4),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => rgb(3),
O => \encoded[4]_i_2_n_0\
);
\encoded[5]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"33B7FF7B0084CC48"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \encoded[5]_i_2_n_0\,
I5 => hsync,
O => \encoded[5]_i_1__1_n_0\
);
\encoded[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669699669969669"
)
port map (
I0 => rgb(2),
I1 => rgb(1),
I2 => rgb(0),
I3 => rgb(3),
I4 => rgb(5),
I5 => rgb(4),
O => \encoded[5]_i_2_n_0\
);
\encoded[6]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"880C44C0BB3F77F3"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5_n_0\,
I4 => \encoded[6]_i_2__1_n_0\,
I5 => hsync,
O => \encoded[6]_i_1__1_n_0\
);
\encoded[6]_i_2__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \encoded[3]_i_2_n_0\,
I1 => rgb(4),
I2 => rgb(5),
I3 => rgb(6),
O => \encoded[6]_i_2__1_n_0\
);
\encoded[7]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF337BB7CC004884"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => \dc_bias[2]_i_2__0_n_0\,
I3 => \encoded[7]_i_2__1_n_0\,
I4 => \dc_bias[3]_i_5_n_0\,
I5 => hsync,
O => \encoded[7]_i_1__1_n_0\
);
\encoded[7]_i_2__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => rgb(7),
I1 => rgb(6),
I2 => rgb(5),
I3 => rgb(4),
I4 => \encoded[3]_i_2_n_0\,
O => \encoded[7]_i_2__1_n_0\
);
\encoded[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"47"
)
port map (
I0 => \dc_bias[3]_i_3__1_n_0\,
I1 => active,
I2 => hsync,
O => \encoded[8]_i_1__1_n_0\
);
\encoded[9]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C5FFC500C500C5FF"
)
port map (
I0 => \dc_bias[2]_i_2__0_n_0\,
I1 => \dc_bias[3]_i_3__1_n_0\,
I2 => \dc_bias[3]_i_5_n_0\,
I3 => active,
I4 => hsync,
I5 => vsync,
O => \encoded[9]_i_1__1_n_0\
);
\encoded_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[0]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[0]\,
R => '0'
);
\encoded_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[1]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[1]\,
R => '0'
);
\encoded_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[2]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[2]\,
R => '0'
);
\encoded_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[3]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[3]\,
R => '0'
);
\encoded_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[4]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[4]\,
R => '0'
);
\encoded_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[5]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[5]\,
R => '0'
);
\encoded_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[6]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[6]\,
R => '0'
);
\encoded_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[7]_i_1__1_n_0\,
Q => \encoded_reg_n_0_[7]\,
R => '0'
);
\encoded_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[8]_i_1__1_n_0\,
Q => Q(0),
R => '0'
);
\encoded_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[9]_i_1__1_n_0\,
Q => Q(1),
R => '0'
);
\shift_blue[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(0),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[0]\,
O => D(0)
);
\shift_blue[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(1),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[1]\,
O => D(1)
);
\shift_blue[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(2),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[2]\,
O => D(2)
);
\shift_blue[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(3),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[3]\,
O => D(3)
);
\shift_blue[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(4),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[4]\,
O => D(4)
);
\shift_blue[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(5),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[5]\,
O => D(5)
);
\shift_blue[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(6),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[6]\,
O => D(6)
);
\shift_blue[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_blue(7),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[7]\,
O => D(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zybo_hdmi_0_0_TMDS_encoder_0 is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
rgb : in STD_LOGIC_VECTOR ( 7 downto 0 );
active : in STD_LOGIC;
shift_green : in STD_LOGIC_VECTOR ( 7 downto 0 );
\shift_clock_reg[5]\ : in STD_LOGIC;
SR : in STD_LOGIC_VECTOR ( 0 to 0 );
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zybo_hdmi_0_0_TMDS_encoder_0 : entity is "TMDS_encoder";
end system_zybo_hdmi_0_0_TMDS_encoder_0;
architecture STRUCTURE of system_zybo_hdmi_0_0_TMDS_encoder_0 is
signal \dc_bias[0]_i_1__0_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_2__0_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_3__0_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_4__0_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_5__1_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_6_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_7_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_2__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_3__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_4__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_5_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_6__1_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_7__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_8__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_9_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_10__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_11__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_1__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_2__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_3__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_4_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_5__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_6__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_7_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_8__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_9_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_10__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_11__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_12__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_13__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_14__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_15__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_16_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_17_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_18__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_19__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_1__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_20_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_21__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_22__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_23__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_24__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_25__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_26__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_27__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_28_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_29_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_2__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_30_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_31_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_32_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_33_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_34_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_3__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_4__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_5__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_6__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_7__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_8__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_9__0_n_0\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[0]\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[1]\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[2]\ : STD_LOGIC;
signal \encoded[0]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[1]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[2]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[3]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[4]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[5]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[6]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[6]_i_2__0_n_0\ : STD_LOGIC;
signal \encoded[7]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[7]_i_2_n_0\ : STD_LOGIC;
signal \encoded[7]_i_3__0_n_0\ : STD_LOGIC;
signal \encoded[8]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[8]_i_2_n_0\ : STD_LOGIC;
signal \encoded[8]_i_3_n_0\ : STD_LOGIC;
signal \encoded[8]_i_4_n_0\ : STD_LOGIC;
signal \encoded[8]_i_5_n_0\ : STD_LOGIC;
signal \encoded[8]_i_6_n_0\ : STD_LOGIC;
signal \encoded[8]_i_7_n_0\ : STD_LOGIC;
signal \encoded[9]_i_1_n_0\ : STD_LOGIC;
signal \encoded[9]_i_2__0_n_0\ : STD_LOGIC;
signal \encoded_reg_n_0_[0]\ : STD_LOGIC;
signal \encoded_reg_n_0_[1]\ : STD_LOGIC;
signal \encoded_reg_n_0_[2]\ : STD_LOGIC;
signal \encoded_reg_n_0_[3]\ : STD_LOGIC;
signal \encoded_reg_n_0_[4]\ : STD_LOGIC;
signal \encoded_reg_n_0_[5]\ : STD_LOGIC;
signal \encoded_reg_n_0_[6]\ : STD_LOGIC;
signal \encoded_reg_n_0_[7]\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \dc_bias[0]_i_2__0\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \dc_bias[0]_i_3__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \dc_bias[0]_i_4__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \dc_bias[0]_i_5__1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \dc_bias[0]_i_6\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \dc_bias[0]_i_7\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \dc_bias[1]_i_3__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \dc_bias[1]_i_8__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \dc_bias[2]_i_10__1\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \dc_bias[2]_i_11__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \dc_bias[2]_i_8__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \dc_bias[2]_i_9\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \dc_bias[3]_i_11__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \dc_bias[3]_i_12__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \dc_bias[3]_i_13__1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \dc_bias[3]_i_14__1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \dc_bias[3]_i_15__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \dc_bias[3]_i_16\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \dc_bias[3]_i_18__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \dc_bias[3]_i_22__0\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \dc_bias[3]_i_23__1\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \dc_bias[3]_i_24__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \dc_bias[3]_i_2__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \dc_bias[3]_i_33\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \dc_bias[3]_i_7__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \dc_bias[3]_i_8__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \encoded[0]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \encoded[1]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \encoded[2]_i_1__0\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \encoded[4]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \encoded[5]_i_1__0\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \encoded[6]_i_2__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \encoded[7]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \encoded[7]_i_2\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \encoded[7]_i_3__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \encoded[8]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \encoded[8]_i_4\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \encoded[8]_i_7\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \shift_green[0]_i_1\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \shift_green[1]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \shift_green[2]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \shift_green[3]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \shift_green[4]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \shift_green[5]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \shift_green[6]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \shift_green[7]_i_1\ : label is "soft_lutpair34";
begin
\dc_bias[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6F60606F606F6F60"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2__0_n_0\,
I2 => \dc_bias[3]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_5__1_n_0\,
I4 => \dc_bias[0]_i_3__0_n_0\,
I5 => \dc_bias[0]_i_4__0_n_0\,
O => \dc_bias[0]_i_1__0_n_0\
);
\dc_bias[0]_i_2__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => \dc_bias[0]_i_5__1_n_0\,
I1 => rgb(0),
I2 => \dc_bias[0]_i_6_n_0\,
I3 => \dc_bias[0]_i_7_n_0\,
I4 => rgb(6),
O => \dc_bias[0]_i_2__0_n_0\
);
\dc_bias[0]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => \encoded[6]_i_2__0_n_0\,
I1 => rgb(5),
I2 => rgb(0),
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(7),
O => \dc_bias[0]_i_3__0_n_0\
);
\dc_bias[0]_i_4__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb(2),
I1 => \encoded[8]_i_2_n_0\,
O => \dc_bias[0]_i_4__0_n_0\
);
\dc_bias[0]_i_5__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(3),
I1 => rgb(1),
I2 => rgb(0),
O => \dc_bias[0]_i_5__1_n_0\
);
\dc_bias[0]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => rgb(7),
I1 => \encoded[6]_i_2__0_n_0\,
I2 => rgb(6),
I3 => rgb(5),
I4 => rgb(4),
O => \dc_bias[0]_i_6_n_0\
);
\dc_bias[0]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => rgb(4),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => rgb(3),
O => \dc_bias[0]_i_7_n_0\
);
\dc_bias[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \dc_bias[1]_i_2__0_n_0\,
I1 => \dc_bias[3]_i_2__0_n_0\,
I2 => \dc_bias[1]_i_3__0_n_0\,
I3 => \dc_bias[3]_i_5__1_n_0\,
I4 => \dc_bias[1]_i_4__0_n_0\,
O => \dc_bias[1]_i_1_n_0\
);
\dc_bias[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"960096FF96FF9600"
)
port map (
I0 => \dc_bias[1]_i_5_n_0\,
I1 => \dc_bias[1]_i_6__1_n_0\,
I2 => \dc_bias[1]_i_7__0_n_0\,
I3 => \encoded[8]_i_2_n_0\,
I4 => \dc_bias[1]_i_8__0_n_0\,
I5 => \dc_bias[2]_i_10__1_n_0\,
O => \dc_bias[1]_i_2__0_n_0\
);
\dc_bias[1]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"5965"
)
port map (
I0 => \dc_bias[2]_i_10__1_n_0\,
I1 => \encoded[8]_i_2_n_0\,
I2 => \dc_bias[0]_i_2__0_n_0\,
I3 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[1]_i_3__0_n_0\
);
\dc_bias[1]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"56955965A96AA69A"
)
port map (
I0 => \dc_bias[3]_i_11__0_n_0\,
I1 => \dc_bias[0]_i_3__0_n_0\,
I2 => rgb(2),
I3 => \encoded[8]_i_2_n_0\,
I4 => \dc_bias[2]_i_11__0_n_0\,
I5 => \dc_bias[3]_i_12__0_n_0\,
O => \dc_bias[1]_i_4__0_n_0\
);
\dc_bias[1]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"066090096FF6F99F"
)
port map (
I0 => rgb(6),
I1 => \dc_bias[0]_i_7_n_0\,
I2 => \dc_bias[1]_i_9_n_0\,
I3 => \dc_bias[0]_i_6_n_0\,
I4 => \encoded[8]_i_2_n_0\,
I5 => \dc_bias[0]_i_5__1_n_0\,
O => \dc_bias[1]_i_5_n_0\
);
\dc_bias[1]_i_6__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"556969AAAA969655"
)
port map (
I0 => \dc_bias[3]_i_27__0_n_0\,
I1 => \dc_bias[0]_i_6_n_0\,
I2 => \encoded[8]_i_2_n_0\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
I5 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[1]_i_6__1_n_0\
);
\dc_bias[1]_i_7__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"9C3939399C9C9C39"
)
port map (
I0 => rgb(2),
I1 => \dc_bias[2]_i_11__0_n_0\,
I2 => rgb(3),
I3 => \dc_bias[3]_i_30_n_0\,
I4 => \encoded[8]_i_6_n_0\,
I5 => \dc_bias[3]_i_31_n_0\,
O => \dc_bias[1]_i_7__0_n_0\
);
\dc_bias[1]_i_8__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2__0_n_0\,
O => \dc_bias[1]_i_8__0_n_0\
);
\dc_bias[1]_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb(0),
I1 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[1]_i_9_n_0\
);
\dc_bias[2]_i_10__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias[3]_i_10__0_n_0\,
O => \dc_bias[2]_i_10__1_n_0\
);
\dc_bias[2]_i_11__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb(0),
I1 => rgb(1),
O => \dc_bias[2]_i_11__0_n_0\
);
\dc_bias[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"B888B8BBB8BBB888"
)
port map (
I0 => \dc_bias[2]_i_2__1_n_0\,
I1 => \dc_bias[3]_i_2__0_n_0\,
I2 => \dc_bias[2]_i_3__0_n_0\,
I3 => \dc_bias[3]_i_5__1_n_0\,
I4 => \dc_bias[2]_i_4_n_0\,
I5 => \dc_bias[2]_i_5__0_n_0\,
O => \dc_bias[2]_i_1__0_n_0\
);
\dc_bias[2]_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"96FF9600960096FF"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[2]_i_6__0_n_0\,
I2 => \dc_bias[2]_i_7_n_0\,
I3 => \encoded[8]_i_2_n_0\,
I4 => \dc_bias[2]_i_8__0_n_0\,
I5 => \dc_bias[2]_i_9_n_0\,
O => \dc_bias[2]_i_2__1_n_0\
);
\dc_bias[2]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"04DFFB20FB2004DF"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2__0_n_0\,
I2 => \encoded[8]_i_2_n_0\,
I3 => \dc_bias[2]_i_10__1_n_0\,
I4 => \dc_bias[3]_i_23__1_n_0\,
I5 => \dc_bias[2]_i_8__0_n_0\,
O => \dc_bias[2]_i_3__0_n_0\
);
\dc_bias[2]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"711818188EE7E7E7"
)
port map (
I0 => \dc_bias[3]_i_16_n_0\,
I1 => \dc_bias[3]_i_17_n_0\,
I2 => \dc_bias_reg_n_0_[1]\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
I5 => \dc_bias_reg_n_0_[2]\,
O => \dc_bias[2]_i_4_n_0\
);
\dc_bias[2]_i_5__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"BB2BB2BBBBBDDBBB"
)
port map (
I0 => \dc_bias[3]_i_11__0_n_0\,
I1 => \dc_bias[3]_i_12__0_n_0\,
I2 => \dc_bias[2]_i_11__0_n_0\,
I3 => \encoded[8]_i_2_n_0\,
I4 => rgb(2),
I5 => \dc_bias[0]_i_3__0_n_0\,
O => \dc_bias[2]_i_5__0_n_0\
);
\dc_bias[2]_i_6__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"01151501577F7F57"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => rgb(0),
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[0]_i_6_n_0\,
I4 => \encoded[8]_i_2_n_0\,
I5 => \dc_bias[3]_i_27__0_n_0\,
O => \dc_bias[2]_i_6__0_n_0\
);
\dc_bias[2]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"802AA802EABFFEAB"
)
port map (
I0 => \dc_bias[1]_i_5_n_0\,
I1 => \encoded[8]_i_2_n_0\,
I2 => rgb(3),
I3 => \dc_bias[2]_i_11__0_n_0\,
I4 => rgb(2),
I5 => \dc_bias[1]_i_6__1_n_0\,
O => \dc_bias[2]_i_7_n_0\
);
\dc_bias[2]_i_8__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[3]_i_9__0_n_0\,
O => \dc_bias[2]_i_8__0_n_0\
);
\dc_bias[2]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"2B22"
)
port map (
I0 => \dc_bias[3]_i_10__0_n_0\,
I1 => \dc_bias_reg_n_0_[1]\,
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[0]_i_2__0_n_0\,
O => \dc_bias[2]_i_9_n_0\
);
\dc_bias[3]_i_10__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"188EE771E771188E"
)
port map (
I0 => \dc_bias[0]_i_5__1_n_0\,
I1 => \dc_bias[3]_i_29_n_0\,
I2 => rgb(0),
I3 => \dc_bias[3]_i_28_n_0\,
I4 => \dc_bias[3]_i_27__0_n_0\,
I5 => \dc_bias[1]_i_7__0_n_0\,
O => \dc_bias[3]_i_10__0_n_0\
);
\dc_bias[3]_i_11__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696969"
)
port map (
I0 => \dc_bias[3]_i_16_n_0\,
I1 => \dc_bias[3]_i_17_n_0\,
I2 => \dc_bias_reg_n_0_[1]\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
O => \dc_bias[3]_i_11__0_n_0\
);
\dc_bias[3]_i_12__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"82EBEB82"
)
port map (
I0 => rgb(7),
I1 => \dc_bias_reg_n_0_[0]\,
I2 => rgb(0),
I3 => rgb(5),
I4 => \encoded[6]_i_2__0_n_0\,
O => \dc_bias[3]_i_12__0_n_0\
);
\dc_bias[3]_i_13__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96669996"
)
port map (
I0 => rgb(1),
I1 => rgb(0),
I2 => \dc_bias[3]_i_30_n_0\,
I3 => \encoded[8]_i_6_n_0\,
I4 => \dc_bias[3]_i_31_n_0\,
O => \dc_bias[3]_i_13__1_n_0\
);
\dc_bias[3]_i_14__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(2),
I1 => rgb(1),
I2 => rgb(0),
O => \dc_bias[3]_i_14__1_n_0\
);
\dc_bias[3]_i_15__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => rgb(0),
I1 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[3]_i_15__0_n_0\
);
\dc_bias[3]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"B42D"
)
port map (
I0 => \encoded[8]_i_2_n_0\,
I1 => rgb(4),
I2 => \encoded[6]_i_2__0_n_0\,
I3 => rgb(5),
O => \dc_bias[3]_i_16_n_0\
);
\dc_bias[3]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"1771711771171771"
)
port map (
I0 => \encoded[8]_i_2_n_0\,
I1 => rgb(7),
I2 => \encoded[6]_i_2__0_n_0\,
I3 => rgb(6),
I4 => rgb(5),
I5 => rgb(4),
O => \dc_bias[3]_i_17_n_0\
);
\dc_bias[3]_i_18__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"14414114"
)
port map (
I0 => \dc_bias[0]_i_5__1_n_0\,
I1 => rgb(0),
I2 => \dc_bias[0]_i_6_n_0\,
I3 => \dc_bias[0]_i_7_n_0\,
I4 => rgb(6),
O => \dc_bias[3]_i_18__1_n_0\
);
\dc_bias[3]_i_19__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"82BE14D714D782BE"
)
port map (
I0 => \encoded[8]_i_2_n_0\,
I1 => rgb(7),
I2 => \encoded[7]_i_2_n_0\,
I3 => rgb(0),
I4 => \dc_bias[0]_i_7_n_0\,
I5 => rgb(6),
O => \dc_bias[3]_i_19__0_n_0\
);
\dc_bias[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFFFAAEB"
)
port map (
I0 => \dc_bias[3]_i_2__0_n_0\,
I1 => \dc_bias[3]_i_3__0_n_0\,
I2 => \dc_bias[3]_i_4__0_n_0\,
I3 => \dc_bias[3]_i_5__1_n_0\,
I4 => \dc_bias[3]_i_6__0_n_0\,
I5 => \dc_bias[3]_i_7__0_n_0\,
O => \dc_bias[3]_i_1__0_n_0\
);
\dc_bias[3]_i_20\: unisim.vcomponents.LUT6
generic map(
INIT => X"42BDBD42BD4242BD"
)
port map (
I0 => rgb(6),
I1 => \encoded[8]_i_2_n_0\,
I2 => rgb(5),
I3 => rgb(4),
I4 => \encoded[6]_i_2__0_n_0\,
I5 => \dc_bias[1]_i_7__0_n_0\,
O => \dc_bias[3]_i_20_n_0\
);
\dc_bias[3]_i_21__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BAAEEFFBEFFBBAAE"
)
port map (
I0 => \dc_bias[1]_i_7__0_n_0\,
I1 => rgb(6),
I2 => \encoded[8]_i_2_n_0\,
I3 => rgb(5),
I4 => rgb(4),
I5 => \encoded[6]_i_2__0_n_0\,
O => \dc_bias[3]_i_21__1_n_0\
);
\dc_bias[3]_i_22__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"99F99099"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias[3]_i_10__0_n_0\,
I2 => \encoded[8]_i_2_n_0\,
I3 => \dc_bias[0]_i_2__0_n_0\,
I4 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[3]_i_22__0_n_0\
);
\dc_bias[3]_i_23__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \dc_bias[3]_i_10__0_n_0\,
I1 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[3]_i_23__1_n_0\
);
\dc_bias[3]_i_24__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"DF"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2__0_n_0\,
I2 => \encoded[8]_i_2_n_0\,
O => \dc_bias[3]_i_24__0_n_0\
);
\dc_bias[3]_i_25__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"002BD400FFD42BFF"
)
port map (
I0 => \dc_bias[1]_i_5_n_0\,
I1 => \dc_bias[1]_i_7__0_n_0\,
I2 => \dc_bias[1]_i_6__1_n_0\,
I3 => \dc_bias[2]_i_6__0_n_0\,
I4 => \dc_bias_reg_n_0_[2]\,
I5 => p_1_in,
O => \dc_bias[3]_i_25__0_n_0\
);
\dc_bias[3]_i_26__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFD4DDD4DD0000"
)
port map (
I0 => \dc_bias[3]_i_10__0_n_0\,
I1 => \dc_bias_reg_n_0_[1]\,
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[0]_i_2__0_n_0\,
I4 => \dc_bias_reg_n_0_[2]\,
I5 => \dc_bias[3]_i_9__0_n_0\,
O => \dc_bias[3]_i_26__0_n_0\
);
\dc_bias[3]_i_27__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EBBBEEEB82228882"
)
port map (
I0 => \dc_bias[0]_i_7_n_0\,
I1 => \dc_bias[3]_i_32_n_0\,
I2 => \dc_bias[3]_i_30_n_0\,
I3 => \encoded[8]_i_6_n_0\,
I4 => \dc_bias[3]_i_31_n_0\,
I5 => \encoded[7]_i_2_n_0\,
O => \dc_bias[3]_i_27__0_n_0\
);
\dc_bias[3]_i_28\: unisim.vcomponents.LUT6
generic map(
INIT => X"8E71718E718E8E71"
)
port map (
I0 => \dc_bias[3]_i_30_n_0\,
I1 => \encoded[8]_i_6_n_0\,
I2 => \dc_bias[3]_i_31_n_0\,
I3 => rgb(4),
I4 => \encoded[6]_i_2__0_n_0\,
I5 => rgb(6),
O => \dc_bias[3]_i_28_n_0\
);
\dc_bias[3]_i_29\: unisim.vcomponents.LUT6
generic map(
INIT => X"BAFB5D45BAFB4504"
)
port map (
I0 => \encoded[8]_i_6_n_0\,
I1 => \encoded[8]_i_5_n_0\,
I2 => \encoded[8]_i_4_n_0\,
I3 => \encoded[8]_i_3_n_0\,
I4 => \dc_bias[0]_i_6_n_0\,
I5 => rgb(0),
O => \dc_bias[3]_i_29_n_0\
);
\dc_bias[3]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAAE"
)
port map (
I0 => \dc_bias[3]_i_8__0_n_0\,
I1 => \dc_bias[3]_i_9__0_n_0\,
I2 => \dc_bias[3]_i_10__0_n_0\,
I3 => \dc_bias[0]_i_2__0_n_0\,
O => \dc_bias[3]_i_2__0_n_0\
);
\dc_bias[3]_i_30\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000F6606000FFF6"
)
port map (
I0 => \dc_bias[3]_i_33_n_0\,
I1 => rgb(6),
I2 => rgb(7),
I3 => rgb(0),
I4 => \encoded[8]_i_5_n_0\,
I5 => \dc_bias[3]_i_34_n_0\,
O => \dc_bias[3]_i_30_n_0\
);
\dc_bias[3]_i_31\: unisim.vcomponents.LUT6
generic map(
INIT => X"4008000029610000"
)
port map (
I0 => rgb(7),
I1 => \encoded[6]_i_2__0_n_0\,
I2 => \encoded[8]_i_7_n_0\,
I3 => \dc_bias[3]_i_34_n_0\,
I4 => rgb(0),
I5 => \encoded[8]_i_5_n_0\,
O => \dc_bias[3]_i_31_n_0\
);
\dc_bias[3]_i_32\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669699669969669"
)
port map (
I0 => rgb(5),
I1 => rgb(4),
I2 => rgb(2),
I3 => rgb(1),
I4 => rgb(0),
I5 => rgb(3),
O => \dc_bias[3]_i_32_n_0\
);
\dc_bias[3]_i_33\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb(4),
I1 => rgb(5),
O => \dc_bias[3]_i_33_n_0\
);
\dc_bias[3]_i_34\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(3),
I1 => rgb(2),
I2 => rgb(1),
O => \dc_bias[3]_i_34_n_0\
);
\dc_bias[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"8A088A8A8A8AAE8A"
)
port map (
I0 => \dc_bias[2]_i_4_n_0\,
I1 => \dc_bias[3]_i_11__0_n_0\,
I2 => \dc_bias[3]_i_12__0_n_0\,
I3 => \dc_bias[3]_i_13__1_n_0\,
I4 => \dc_bias[3]_i_14__1_n_0\,
I5 => \dc_bias[0]_i_3__0_n_0\,
O => \dc_bias[3]_i_3__0_n_0\
);
\dc_bias[3]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"56555555AA6A6A56"
)
port map (
I0 => p_1_in,
I1 => \dc_bias[3]_i_15__0_n_0\,
I2 => \dc_bias_reg_n_0_[1]\,
I3 => \dc_bias[3]_i_16_n_0\,
I4 => \dc_bias[3]_i_17_n_0\,
I5 => \dc_bias_reg_n_0_[2]\,
O => \dc_bias[3]_i_4__0_n_0\
);
\dc_bias[3]_i_5__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A6655555"
)
port map (
I0 => p_1_in,
I1 => \dc_bias[3]_i_18__1_n_0\,
I2 => \dc_bias[3]_i_19__0_n_0\,
I3 => \dc_bias[3]_i_20_n_0\,
I4 => \dc_bias[3]_i_21__1_n_0\,
O => \dc_bias[3]_i_5__1_n_0\
);
\dc_bias[3]_i_6__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000C40404040CCC0"
)
port map (
I0 => \dc_bias[3]_i_22__0_n_0\,
I1 => \dc_bias[3]_i_5__1_n_0\,
I2 => \dc_bias[3]_i_23__1_n_0\,
I3 => \dc_bias[3]_i_24__0_n_0\,
I4 => \dc_bias[3]_i_9__0_n_0\,
I5 => \dc_bias_reg_n_0_[2]\,
O => \dc_bias[3]_i_6__0_n_0\
);
\dc_bias[3]_i_7__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B08080B0"
)
port map (
I0 => \dc_bias[3]_i_25__0_n_0\,
I1 => \encoded[8]_i_2_n_0\,
I2 => \dc_bias[3]_i_2__0_n_0\,
I3 => \dc_bias[3]_i_26__0_n_0\,
I4 => \dc_bias[3]_i_5__1_n_0\,
O => \dc_bias[3]_i_7__0_n_0\
);
\dc_bias[3]_i_8__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias_reg_n_0_[2]\,
I2 => p_1_in,
I3 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[3]_i_8__0_n_0\
);
\dc_bias[3]_i_9__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"D444DDD4DDD4BDDD"
)
port map (
I0 => \dc_bias[1]_i_7__0_n_0\,
I1 => \dc_bias[3]_i_27__0_n_0\,
I2 => \dc_bias[3]_i_28_n_0\,
I3 => rgb(0),
I4 => \dc_bias[3]_i_29_n_0\,
I5 => \dc_bias[0]_i_5__1_n_0\,
O => \dc_bias[3]_i_9__0_n_0\
);
\dc_bias_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[0]_i_1__0_n_0\,
Q => \dc_bias_reg_n_0_[0]\,
R => SR(0)
);
\dc_bias_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[1]_i_1_n_0\,
Q => \dc_bias_reg_n_0_[1]\,
R => SR(0)
);
\dc_bias_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[2]_i_1__0_n_0\,
Q => \dc_bias_reg_n_0_[2]\,
R => SR(0)
);
\dc_bias_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[3]_i_1__0_n_0\,
Q => p_1_in,
R => SR(0)
);
\encoded[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"82"
)
port map (
I0 => active,
I1 => rgb(0),
I2 => \encoded[9]_i_2__0_n_0\,
O => \encoded[0]_i_1__0_n_0\
);
\encoded[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2882"
)
port map (
I0 => active,
I1 => rgb(1),
I2 => rgb(0),
I3 => \encoded[7]_i_3__0_n_0\,
O => \encoded[1]_i_1__0_n_0\
);
\encoded[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"D77D7DD7"
)
port map (
I0 => active,
I1 => rgb(0),
I2 => rgb(1),
I3 => rgb(2),
I4 => \encoded[9]_i_2__0_n_0\,
O => \encoded[2]_i_1__0_n_0\
);
\encoded[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"2882822882282882"
)
port map (
I0 => active,
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => rgb(3),
I5 => \encoded[7]_i_3__0_n_0\,
O => \encoded[3]_i_1__0_n_0\
);
\encoded[4]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"D77D"
)
port map (
I0 => active,
I1 => \encoded[6]_i_2__0_n_0\,
I2 => rgb(4),
I3 => \encoded[9]_i_2__0_n_0\,
O => \encoded[4]_i_1__0_n_0\
);
\encoded[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"28828228"
)
port map (
I0 => active,
I1 => \encoded[6]_i_2__0_n_0\,
I2 => rgb(4),
I3 => rgb(5),
I4 => \encoded[7]_i_3__0_n_0\,
O => \encoded[5]_i_1__0_n_0\
);
\encoded[6]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"D77D7DD77DD7D77D"
)
port map (
I0 => active,
I1 => \encoded[6]_i_2__0_n_0\,
I2 => rgb(6),
I3 => rgb(5),
I4 => rgb(4),
I5 => \encoded[9]_i_2__0_n_0\,
O => \encoded[6]_i_1__0_n_0\
);
\encoded[6]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => rgb(3),
I1 => rgb(0),
I2 => rgb(1),
I3 => rgb(2),
O => \encoded[6]_i_2__0_n_0\
);
\encoded[7]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2882"
)
port map (
I0 => active,
I1 => \encoded[7]_i_2_n_0\,
I2 => rgb(7),
I3 => \encoded[7]_i_3__0_n_0\,
O => \encoded[7]_i_1__0_n_0\
);
\encoded[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => rgb(4),
I1 => rgb(5),
I2 => rgb(6),
I3 => \encoded[6]_i_2__0_n_0\,
O => \encoded[7]_i_2_n_0\
);
\encoded[7]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"BE"
)
port map (
I0 => \dc_bias[3]_i_2__0_n_0\,
I1 => \dc_bias[3]_i_5__1_n_0\,
I2 => \encoded[8]_i_2_n_0\,
O => \encoded[7]_i_3__0_n_0\
);
\encoded[8]_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \encoded[8]_i_2_n_0\,
I1 => active,
O => \encoded[8]_i_1__0_n_0\
);
\encoded[8]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00200000F2FF20F2"
)
port map (
I0 => rgb(0),
I1 => \dc_bias[0]_i_6_n_0\,
I2 => \encoded[8]_i_3_n_0\,
I3 => \encoded[8]_i_4_n_0\,
I4 => \encoded[8]_i_5_n_0\,
I5 => \encoded[8]_i_6_n_0\,
O => \encoded[8]_i_2_n_0\
);
\encoded[8]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF6969FF69FFFF69"
)
port map (
I0 => rgb(1),
I1 => rgb(2),
I2 => rgb(3),
I3 => rgb(0),
I4 => rgb(7),
I5 => \encoded[8]_i_7_n_0\,
O => \encoded[8]_i_3_n_0\
);
\encoded[8]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"E88E8EE8"
)
port map (
I0 => rgb(0),
I1 => rgb(7),
I2 => rgb(6),
I3 => rgb(5),
I4 => rgb(4),
O => \encoded[8]_i_4_n_0\
);
\encoded[8]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"E8E8E817E8171717"
)
port map (
I0 => rgb(2),
I1 => rgb(3),
I2 => rgb(1),
I3 => rgb(6),
I4 => rgb(5),
I5 => rgb(4),
O => \encoded[8]_i_5_n_0\
);
\encoded[8]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"E8E8E800E8000000"
)
port map (
I0 => rgb(6),
I1 => rgb(5),
I2 => rgb(4),
I3 => rgb(2),
I4 => rgb(3),
I5 => rgb(1),
O => \encoded[8]_i_6_n_0\
);
\encoded[8]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(6),
I1 => rgb(5),
I2 => rgb(4),
O => \encoded[8]_i_7_n_0\
);
\encoded[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => active,
I1 => \encoded[9]_i_2__0_n_0\,
O => \encoded[9]_i_1_n_0\
);
\encoded[9]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \encoded[8]_i_2_n_0\,
I1 => \dc_bias[3]_i_2__0_n_0\,
I2 => \dc_bias[3]_i_5__1_n_0\,
O => \encoded[9]_i_2__0_n_0\
);
\encoded_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[0]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[0]\,
R => '0'
);
\encoded_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[1]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[1]\,
R => '0'
);
\encoded_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[2]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[2]\,
R => '0'
);
\encoded_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[3]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[3]\,
R => '0'
);
\encoded_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[4]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[4]\,
R => '0'
);
\encoded_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[5]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[5]\,
R => '0'
);
\encoded_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[6]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[6]\,
R => '0'
);
\encoded_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[7]_i_1__0_n_0\,
Q => \encoded_reg_n_0_[7]\,
R => '0'
);
\encoded_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[8]_i_1__0_n_0\,
Q => Q(0),
R => '0'
);
\encoded_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[9]_i_1_n_0\,
Q => Q(1),
R => '0'
);
\shift_green[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(0),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[0]\,
O => D(0)
);
\shift_green[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(1),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[1]\,
O => D(1)
);
\shift_green[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(2),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[2]\,
O => D(2)
);
\shift_green[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(3),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[3]\,
O => D(3)
);
\shift_green[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(4),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[4]\,
O => D(4)
);
\shift_green[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(5),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[5]\,
O => D(5)
);
\shift_green[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(6),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[6]\,
O => D(6)
);
\shift_green[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => shift_green(7),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[7]\,
O => D(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zybo_hdmi_0_0_TMDS_encoder_1 is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
rgb : in STD_LOGIC_VECTOR ( 7 downto 0 );
active : in STD_LOGIC;
data1 : in STD_LOGIC_VECTOR ( 7 downto 0 );
\shift_clock_reg[5]\ : in STD_LOGIC;
SR : in STD_LOGIC_VECTOR ( 0 to 0 );
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zybo_hdmi_0_0_TMDS_encoder_1 : entity is "TMDS_encoder";
end system_zybo_hdmi_0_0_TMDS_encoder_1;
architecture STRUCTURE of system_zybo_hdmi_0_0_TMDS_encoder_1 is
signal \dc_bias[0]_i_1_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_2_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_3_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_4_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_5_n_0\ : STD_LOGIC;
signal \dc_bias[0]_i_6__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_2_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_3_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_4_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_5__0_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_6_n_0\ : STD_LOGIC;
signal \dc_bias[1]_i_7_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_10__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_11_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_12_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_13_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_14_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_15_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_16_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_17_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_18_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_19_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_20_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_21_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_22_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_2_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_3_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_4__0_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_5_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_6_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_7__1_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_8_n_0\ : STD_LOGIC;
signal \dc_bias[2]_i_9__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_10_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_11_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_12_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_13_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_14_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_15_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_16__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_17__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_18_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_19_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_20__1_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_21__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_22_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_23_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_24_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_25_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_26_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_27_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_2_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_3_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_4_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_5__0_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_6_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_7_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_8_n_0\ : STD_LOGIC;
signal \dc_bias[3]_i_9_n_0\ : STD_LOGIC;
signal \dc_bias_reg[1]_i_1_n_0\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[0]\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[1]\ : STD_LOGIC;
signal \dc_bias_reg_n_0_[2]\ : STD_LOGIC;
signal encoded : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \encoded[6]_i_2_n_0\ : STD_LOGIC;
signal \encoded[7]_i_2__0_n_0\ : STD_LOGIC;
signal \encoded[7]_i_3_n_0\ : STD_LOGIC;
signal \encoded[8]_i_1_n_0\ : STD_LOGIC;
signal \encoded[9]_i_1__0_n_0\ : STD_LOGIC;
signal \encoded[9]_i_2_n_0\ : STD_LOGIC;
signal \encoded_reg_n_0_[0]\ : STD_LOGIC;
signal \encoded_reg_n_0_[1]\ : STD_LOGIC;
signal \encoded_reg_n_0_[2]\ : STD_LOGIC;
signal \encoded_reg_n_0_[3]\ : STD_LOGIC;
signal \encoded_reg_n_0_[4]\ : STD_LOGIC;
signal \encoded_reg_n_0_[5]\ : STD_LOGIC;
signal \encoded_reg_n_0_[6]\ : STD_LOGIC;
signal \encoded_reg_n_0_[7]\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \dc_bias[0]_i_2\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \dc_bias[0]_i_4\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \dc_bias[0]_i_6__0\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \dc_bias[1]_i_7\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \dc_bias[2]_i_10__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \dc_bias[2]_i_12\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \dc_bias[2]_i_13\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \dc_bias[2]_i_15\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \dc_bias[2]_i_16\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \dc_bias[2]_i_17\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \dc_bias[2]_i_18\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \dc_bias[2]_i_19\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \dc_bias[2]_i_20\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \dc_bias[2]_i_22\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \dc_bias[2]_i_8\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \dc_bias[3]_i_10\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \dc_bias[3]_i_14\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \dc_bias[3]_i_16__1\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \dc_bias[3]_i_20__1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \dc_bias[3]_i_25\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \dc_bias[3]_i_3\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \encoded[0]_i_1\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \encoded[1]_i_1\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \encoded[2]_i_1\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \encoded[4]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \encoded[6]_i_2\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \encoded[7]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \encoded[7]_i_2__0\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \encoded[7]_i_3\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \encoded[8]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \encoded[9]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \encoded[9]_i_2\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \shift_red[0]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \shift_red[1]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \shift_red[2]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \shift_red[3]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \shift_red[4]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \shift_red[5]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \shift_red[6]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \shift_red[7]_i_1\ : label is "soft_lutpair55";
begin
\dc_bias[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6F60606F606F6F60"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2_n_0\,
I2 => \dc_bias[3]_i_6_n_0\,
I3 => \dc_bias[2]_i_4__0_n_0\,
I4 => \dc_bias[0]_i_3_n_0\,
I5 => \dc_bias[0]_i_4_n_0\,
O => \dc_bias[0]_i_1_n_0\
);
\dc_bias[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => rgb(1),
I1 => rgb(3),
I2 => \dc_bias[0]_i_5_n_0\,
I3 => \dc_bias[0]_i_6__0_n_0\,
O => \dc_bias[0]_i_2_n_0\
);
\dc_bias[0]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969669"
)
port map (
I0 => \encoded[6]_i_2_n_0\,
I1 => rgb(5),
I2 => rgb(0),
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(7),
O => \dc_bias[0]_i_3_n_0\
);
\dc_bias[0]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rgb(2),
I1 => \dc_bias[3]_i_4_n_0\,
O => \dc_bias[0]_i_4_n_0\
);
\dc_bias[0]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"9669699669969669"
)
port map (
I0 => \encoded[6]_i_2_n_0\,
I1 => rgb(4),
I2 => rgb(5),
I3 => rgb(6),
I4 => rgb(7),
I5 => \dc_bias[3]_i_4_n_0\,
O => \dc_bias[0]_i_5_n_0\
);
\dc_bias[0]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \dc_bias[3]_i_4_n_0\,
I1 => rgb(4),
I2 => \encoded[6]_i_2_n_0\,
I3 => rgb(6),
O => \dc_bias[0]_i_6__0_n_0\
);
\dc_bias[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CC3CC3CC55555555"
)
port map (
I0 => \dc_bias[1]_i_4_n_0\,
I1 => \dc_bias[1]_i_5__0_n_0\,
I2 => \dc_bias[3]_i_4_n_0\,
I3 => \dc_bias[0]_i_2_n_0\,
I4 => \dc_bias_reg_n_0_[0]\,
I5 => \dc_bias[2]_i_4__0_n_0\,
O => \dc_bias[1]_i_2_n_0\
);
\dc_bias[1]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00F0FF099999999"
)
port map (
I0 => \dc_bias[3]_i_16__1_n_0\,
I1 => \dc_bias[1]_i_5__0_n_0\,
I2 => \dc_bias[1]_i_6_n_0\,
I3 => \dc_bias[1]_i_7_n_0\,
I4 => \dc_bias[2]_i_12_n_0\,
I5 => \dc_bias[3]_i_4_n_0\,
O => \dc_bias[1]_i_3_n_0\
);
\dc_bias[1]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"95A9A96A569595A9"
)
port map (
I0 => \dc_bias[2]_i_18_n_0\,
I1 => \dc_bias[2]_i_16_n_0\,
I2 => \dc_bias[2]_i_17_n_0\,
I3 => \dc_bias[2]_i_19_n_0\,
I4 => \dc_bias[2]_i_20_n_0\,
I5 => rgb(7),
O => \dc_bias[1]_i_4_n_0\
);
\dc_bias[1]_i_5__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"9996699969996669"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias[3]_i_26_n_0\,
I2 => \dc_bias[0]_i_6__0_n_0\,
I3 => \dc_bias[0]_i_5_n_0\,
I4 => rgb(0),
I5 => \dc_bias[3]_i_25_n_0\,
O => \dc_bias[1]_i_5__0_n_0\
);
\dc_bias[1]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"5CC5355335535CC5"
)
port map (
I0 => \dc_bias[0]_i_6__0_n_0\,
I1 => rgb(0),
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[0]_i_5_n_0\,
I4 => rgb(3),
I5 => rgb(1),
O => \dc_bias[1]_i_6_n_0\
);
\dc_bias[1]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"A665599A"
)
port map (
I0 => \dc_bias[2]_i_13_n_0\,
I1 => \dc_bias[0]_i_5_n_0\,
I2 => \dc_bias_reg_n_0_[0]\,
I3 => rgb(0),
I4 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[1]_i_7_n_0\
);
\dc_bias[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B888B8BBB8BBB888"
)
port map (
I0 => \dc_bias[2]_i_2_n_0\,
I1 => \dc_bias[3]_i_6_n_0\,
I2 => \dc_bias[2]_i_3_n_0\,
I3 => \dc_bias[2]_i_4__0_n_0\,
I4 => \dc_bias[2]_i_5_n_0\,
I5 => \dc_bias[2]_i_6_n_0\,
O => \dc_bias[2]_i_1_n_0\
);
\dc_bias[2]_i_10__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"90060690"
)
port map (
I0 => \dc_bias[0]_i_5_n_0\,
I1 => \dc_bias[0]_i_6__0_n_0\,
I2 => rgb(0),
I3 => rgb(1),
I4 => rgb(3),
O => \dc_bias[2]_i_10__0_n_0\
);
\dc_bias[2]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"3AA3ACCAACCA3AA3"
)
port map (
I0 => rgb(0),
I1 => \dc_bias[3]_i_4_n_0\,
I2 => rgb(7),
I3 => \encoded[7]_i_2__0_n_0\,
I4 => \dc_bias[2]_i_22_n_0\,
I5 => rgb(6),
O => \dc_bias[2]_i_11_n_0\
);
\dc_bias[2]_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"2DD2B44B"
)
port map (
I0 => rgb(2),
I1 => \dc_bias[3]_i_4_n_0\,
I2 => rgb(0),
I3 => rgb(1),
I4 => rgb(3),
O => \dc_bias[2]_i_12_n_0\
);
\dc_bias[2]_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"A59669A5"
)
port map (
I0 => rgb(4),
I1 => rgb(5),
I2 => \encoded[6]_i_2_n_0\,
I3 => \dc_bias[3]_i_4_n_0\,
I4 => rgb(6),
O => \dc_bias[2]_i_13_n_0\
);
\dc_bias[2]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"1771711771171771"
)
port map (
I0 => \dc_bias[3]_i_4_n_0\,
I1 => rgb(7),
I2 => rgb(6),
I3 => rgb(5),
I4 => rgb(4),
I5 => \encoded[6]_i_2_n_0\,
O => \dc_bias[2]_i_14_n_0\
);
\dc_bias[2]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"4BD2"
)
port map (
I0 => \dc_bias[3]_i_4_n_0\,
I1 => rgb(4),
I2 => \encoded[6]_i_2_n_0\,
I3 => rgb(5),
O => \dc_bias[2]_i_15_n_0\
);
\dc_bias[2]_i_16\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => rgb(2),
I1 => rgb(1),
I2 => rgb(0),
O => \dc_bias[2]_i_16_n_0\
);
\dc_bias[2]_i_17\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb(1),
I1 => rgb(0),
I2 => \dc_bias[3]_i_4_n_0\,
O => \dc_bias[2]_i_17_n_0\
);
\dc_bias[2]_i_18\: unisim.vcomponents.LUT5
generic map(
INIT => X"69969696"
)
port map (
I0 => \dc_bias[2]_i_15_n_0\,
I1 => \dc_bias[2]_i_14_n_0\,
I2 => \dc_bias_reg_n_0_[1]\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
O => \dc_bias[2]_i_18_n_0\
);
\dc_bias[2]_i_19\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => rgb(5),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => rgb(3),
O => \dc_bias[2]_i_19_n_0\
);
\dc_bias[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"6F60606F"
)
port map (
I0 => \dc_bias[2]_i_7__1_n_0\,
I1 => \dc_bias[3]_i_9_n_0\,
I2 => \dc_bias[3]_i_4_n_0\,
I3 => \dc_bias[2]_i_8_n_0\,
I4 => \dc_bias[2]_i_9__1_n_0\,
O => \dc_bias[2]_i_2_n_0\
);
\dc_bias[2]_i_20\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rgb(0),
I1 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[2]_i_20_n_0\
);
\dc_bias[2]_i_21\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => rgb(6),
I1 => \dc_bias[2]_i_22_n_0\,
I2 => \encoded[7]_i_2__0_n_0\,
I3 => rgb(7),
I4 => rgb(0),
O => \dc_bias[2]_i_21_n_0\
);
\dc_bias[2]_i_22\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => rgb(4),
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => rgb(3),
O => \dc_bias[2]_i_22_n_0\
);
\dc_bias[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"56569556566A5656"
)
port map (
I0 => \dc_bias[2]_i_8_n_0\,
I1 => \dc_bias_reg_n_0_[1]\,
I2 => \dc_bias[3]_i_17__1_n_0\,
I3 => \dc_bias[3]_i_4_n_0\,
I4 => \dc_bias[0]_i_2_n_0\,
I5 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[2]_i_3_n_0\
);
\dc_bias[2]_i_4__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"5556566A"
)
port map (
I0 => p_1_in,
I1 => \dc_bias[2]_i_10__0_n_0\,
I2 => \dc_bias[2]_i_11_n_0\,
I3 => \dc_bias[2]_i_12_n_0\,
I4 => \dc_bias[2]_i_13_n_0\,
O => \dc_bias[2]_i_4__0_n_0\
);
\dc_bias[2]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"D44242422BBDBDBD"
)
port map (
I0 => \dc_bias[2]_i_14_n_0\,
I1 => \dc_bias[2]_i_15_n_0\,
I2 => \dc_bias_reg_n_0_[1]\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
I5 => \dc_bias_reg_n_0_[2]\,
O => \dc_bias[2]_i_5_n_0\
);
\dc_bias[2]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7F1F170EFF7F7F1"
)
port map (
I0 => \dc_bias[2]_i_16_n_0\,
I1 => \dc_bias[2]_i_17_n_0\,
I2 => \dc_bias[2]_i_18_n_0\,
I3 => \dc_bias[2]_i_19_n_0\,
I4 => \dc_bias[2]_i_20_n_0\,
I5 => rgb(7),
O => \dc_bias[2]_i_6_n_0\
);
\dc_bias[2]_i_7__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"5565656666A6A6AA"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[2]_i_13_n_0\,
I2 => \dc_bias[0]_i_5_n_0\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => rgb(0),
I5 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[2]_i_7__1_n_0\
);
\dc_bias[2]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[3]_i_15_n_0\,
O => \dc_bias[2]_i_8_n_0\
);
\dc_bias[2]_i_9__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"41141414417D7D14"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => \dc_bias[3]_i_26_n_0\,
I2 => \dc_bias[2]_i_11_n_0\,
I3 => \dc_bias[2]_i_21_n_0\,
I4 => \dc_bias[3]_i_25_n_0\,
I5 => \dc_bias_reg_n_0_[0]\,
O => \dc_bias[2]_i_9__1_n_0\
);
\dc_bias[3]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"15017F57"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => rgb(0),
I2 => \dc_bias_reg_n_0_[0]\,
I3 => \dc_bias[0]_i_5_n_0\,
I4 => \dc_bias[2]_i_13_n_0\,
O => \dc_bias[3]_i_10_n_0\
);
\dc_bias[3]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"171717FF17FFFFFF"
)
port map (
I0 => rgb(1),
I1 => rgb(3),
I2 => rgb(2),
I3 => rgb(6),
I4 => rgb(5),
I5 => rgb(4),
O => \dc_bias[3]_i_11_n_0\
);
\dc_bias[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb(6),
I1 => rgb(5),
I2 => rgb(4),
O => \dc_bias[3]_i_12_n_0\
);
\dc_bias[3]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"171717E817E8E8E8"
)
port map (
I0 => rgb(1),
I1 => rgb(3),
I2 => rgb(2),
I3 => rgb(6),
I4 => rgb(5),
I5 => rgb(4),
O => \dc_bias[3]_i_13_n_0\
);
\dc_bias[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb(3),
I1 => rgb(2),
I2 => rgb(1),
O => \dc_bias[3]_i_14_n_0\
);
\dc_bias[3]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEE78EEE8EEE888E"
)
port map (
I0 => \dc_bias[2]_i_13_n_0\,
I1 => \dc_bias[2]_i_12_n_0\,
I2 => \dc_bias[0]_i_6__0_n_0\,
I3 => \dc_bias[0]_i_5_n_0\,
I4 => rgb(0),
I5 => \dc_bias[3]_i_25_n_0\,
O => \dc_bias[3]_i_15_n_0\
);
\dc_bias[3]_i_16__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EBBEBEEB"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_6__0_n_0\,
I2 => \dc_bias[0]_i_5_n_0\,
I3 => rgb(3),
I4 => rgb(1),
O => \dc_bias[3]_i_16__1_n_0\
);
\dc_bias[3]_i_17__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"90F6F66F6F090990"
)
port map (
I0 => rgb(3),
I1 => rgb(1),
I2 => rgb(0),
I3 => \dc_bias[0]_i_5_n_0\,
I4 => \dc_bias[0]_i_6__0_n_0\,
I5 => \dc_bias[3]_i_26_n_0\,
O => \dc_bias[3]_i_17__1_n_0\
);
\dc_bias[3]_i_18\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFFF799E799EFFF7"
)
port map (
I0 => \dc_bias[3]_i_25_n_0\,
I1 => rgb(0),
I2 => \dc_bias[0]_i_5_n_0\,
I3 => \dc_bias[0]_i_6__0_n_0\,
I4 => \dc_bias[2]_i_12_n_0\,
I5 => \dc_bias[2]_i_13_n_0\,
O => \dc_bias[3]_i_18_n_0\
);
\dc_bias[3]_i_19\: unisim.vcomponents.LUT6
generic map(
INIT => X"E00E0EE00EE0E00E"
)
port map (
I0 => \dc_bias[3]_i_16__1_n_0\,
I1 => \dc_bias[3]_i_4_n_0\,
I2 => \dc_bias[2]_i_10__0_n_0\,
I3 => \dc_bias[2]_i_11_n_0\,
I4 => \dc_bias[3]_i_26_n_0\,
I5 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[3]_i_19_n_0\
);
\dc_bias[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8FFB8FFB8FFB800"
)
port map (
I0 => \dc_bias[3]_i_3_n_0\,
I1 => \dc_bias[3]_i_4_n_0\,
I2 => \dc_bias[3]_i_5__0_n_0\,
I3 => \dc_bias[3]_i_6_n_0\,
I4 => \dc_bias[3]_i_7_n_0\,
I5 => \dc_bias[3]_i_8_n_0\,
O => \dc_bias[3]_i_2_n_0\
);
\dc_bias[3]_i_20__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"DF"
)
port map (
I0 => \dc_bias_reg_n_0_[0]\,
I1 => \dc_bias[0]_i_2_n_0\,
I2 => \dc_bias[3]_i_4_n_0\,
O => \dc_bias[3]_i_20__1_n_0\
);
\dc_bias[3]_i_21__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A96A6A5600000000"
)
port map (
I0 => \dc_bias[3]_i_26_n_0\,
I1 => \dc_bias[0]_i_6__0_n_0\,
I2 => \dc_bias[0]_i_5_n_0\,
I3 => rgb(0),
I4 => \dc_bias[3]_i_25_n_0\,
I5 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[3]_i_21__0_n_0\
);
\dc_bias[3]_i_22\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFAEAE8AAE8AAE8A"
)
port map (
I0 => \dc_bias_reg_n_0_[2]\,
I1 => \dc_bias[2]_i_15_n_0\,
I2 => \dc_bias[2]_i_14_n_0\,
I3 => \dc_bias_reg_n_0_[1]\,
I4 => \dc_bias_reg_n_0_[0]\,
I5 => rgb(0),
O => \dc_bias[3]_i_22_n_0\
);
\dc_bias[3]_i_23\: unisim.vcomponents.LUT6
generic map(
INIT => X"02BF002B002B0002"
)
port map (
I0 => rgb(7),
I1 => \dc_bias[2]_i_20_n_0\,
I2 => \dc_bias[2]_i_19_n_0\,
I3 => \dc_bias[2]_i_18_n_0\,
I4 => \dc_bias[2]_i_17_n_0\,
I5 => \dc_bias[2]_i_16_n_0\,
O => \dc_bias[3]_i_23_n_0\
);
\dc_bias[3]_i_24\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF5775D55D"
)
port map (
I0 => \dc_bias[2]_i_18_n_0\,
I1 => \dc_bias[3]_i_4_n_0\,
I2 => rgb(0),
I3 => rgb(1),
I4 => rgb(2),
I5 => \dc_bias[3]_i_27_n_0\,
O => \dc_bias[3]_i_24_n_0\
);
\dc_bias[3]_i_25\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => rgb(3),
I1 => rgb(1),
I2 => rgb(0),
O => \dc_bias[3]_i_25_n_0\
);
\dc_bias[3]_i_26\: unisim.vcomponents.LUT6
generic map(
INIT => X"963CC39669C33C69"
)
port map (
I0 => rgb(3),
I1 => rgb(1),
I2 => rgb(0),
I3 => \dc_bias[3]_i_4_n_0\,
I4 => rgb(2),
I5 => \dc_bias[2]_i_13_n_0\,
O => \dc_bias[3]_i_26_n_0\
);
\dc_bias[3]_i_27\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFBEBEFF"
)
port map (
I0 => \dc_bias[0]_i_4_n_0\,
I1 => \encoded[6]_i_2_n_0\,
I2 => rgb(5),
I3 => rgb(0),
I4 => \dc_bias_reg_n_0_[0]\,
I5 => rgb(7),
O => \dc_bias[3]_i_27_n_0\
);
\dc_bias[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \dc_bias[3]_i_9_n_0\,
I1 => \dc_bias[3]_i_10_n_0\,
I2 => \dc_bias_reg_n_0_[2]\,
I3 => p_1_in,
O => \dc_bias[3]_i_3_n_0\
);
\dc_bias[3]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"0022AAAA32EAAAAA"
)
port map (
I0 => \dc_bias[3]_i_11_n_0\,
I1 => \dc_bias[3]_i_12_n_0\,
I2 => rgb(0),
I3 => rgb(7),
I4 => \dc_bias[3]_i_13_n_0\,
I5 => \dc_bias[3]_i_14_n_0\,
O => \dc_bias[3]_i_4_n_0\
);
\dc_bias[3]_i_5__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"5656566A566A6A6A"
)
port map (
I0 => \dc_bias[2]_i_4__0_n_0\,
I1 => \dc_bias[3]_i_15_n_0\,
I2 => \dc_bias_reg_n_0_[2]\,
I3 => \dc_bias[3]_i_16__1_n_0\,
I4 => \dc_bias[3]_i_17__1_n_0\,
I5 => \dc_bias_reg_n_0_[1]\,
O => \dc_bias[3]_i_5__0_n_0\
);
\dc_bias[3]_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"0001FFFF"
)
port map (
I0 => \dc_bias_reg_n_0_[1]\,
I1 => p_1_in,
I2 => \dc_bias_reg_n_0_[2]\,
I3 => \dc_bias_reg_n_0_[0]\,
I4 => \dc_bias[3]_i_18_n_0\,
O => \dc_bias[3]_i_6_n_0\
);
\dc_bias[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0000400040C0CC"
)
port map (
I0 => \dc_bias[3]_i_19_n_0\,
I1 => \dc_bias[2]_i_4__0_n_0\,
I2 => \dc_bias[3]_i_20__1_n_0\,
I3 => \dc_bias[3]_i_21__0_n_0\,
I4 => \dc_bias_reg_n_0_[2]\,
I5 => \dc_bias[3]_i_15_n_0\,
O => \dc_bias[3]_i_7_n_0\
);
\dc_bias[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000096969996"
)
port map (
I0 => p_1_in,
I1 => \dc_bias[3]_i_22_n_0\,
I2 => \dc_bias[3]_i_23_n_0\,
I3 => \dc_bias[3]_i_24_n_0\,
I4 => \dc_bias[2]_i_5_n_0\,
I5 => \dc_bias[2]_i_4__0_n_0\,
O => \dc_bias[3]_i_8_n_0\
);
\dc_bias[3]_i_9\: unisim.vcomponents.LUT3
generic map(
INIT => X"17"
)
port map (
I0 => \dc_bias[1]_i_6_n_0\,
I1 => \dc_bias[2]_i_12_n_0\,
I2 => \dc_bias[1]_i_7_n_0\,
O => \dc_bias[3]_i_9_n_0\
);
\dc_bias_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[0]_i_1_n_0\,
Q => \dc_bias_reg_n_0_[0]\,
R => SR(0)
);
\dc_bias_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias_reg[1]_i_1_n_0\,
Q => \dc_bias_reg_n_0_[1]\,
R => SR(0)
);
\dc_bias_reg[1]_i_1\: unisim.vcomponents.MUXF7
port map (
I0 => \dc_bias[1]_i_2_n_0\,
I1 => \dc_bias[1]_i_3_n_0\,
O => \dc_bias_reg[1]_i_1_n_0\,
S => \dc_bias[3]_i_6_n_0\
);
\dc_bias_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[2]_i_1_n_0\,
Q => \dc_bias_reg_n_0_[2]\,
R => SR(0)
);
\dc_bias_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_25,
CE => '1',
D => \dc_bias[3]_i_2_n_0\,
Q => p_1_in,
R => SR(0)
);
\encoded[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"28"
)
port map (
I0 => active,
I1 => rgb(0),
I2 => \encoded[9]_i_2_n_0\,
O => encoded(0)
);
\encoded[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8228"
)
port map (
I0 => active,
I1 => \encoded[7]_i_3_n_0\,
I2 => rgb(1),
I3 => rgb(0),
O => encoded(1)
);
\encoded[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7DD7D77D"
)
port map (
I0 => active,
I1 => rgb(0),
I2 => rgb(1),
I3 => rgb(2),
I4 => \encoded[9]_i_2_n_0\,
O => encoded(2)
);
\encoded[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8228288228828228"
)
port map (
I0 => active,
I1 => rgb(2),
I2 => rgb(1),
I3 => rgb(0),
I4 => rgb(3),
I5 => \encoded[7]_i_3_n_0\,
O => encoded(3)
);
\encoded[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7DD7"
)
port map (
I0 => active,
I1 => \encoded[6]_i_2_n_0\,
I2 => rgb(4),
I3 => \encoded[9]_i_2_n_0\,
O => encoded(4)
);
\encoded[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"82282882"
)
port map (
I0 => active,
I1 => rgb(4),
I2 => rgb(5),
I3 => \encoded[6]_i_2_n_0\,
I4 => \encoded[7]_i_3_n_0\,
O => encoded(5)
);
\encoded[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7DD7D77DD77D7DD7"
)
port map (
I0 => active,
I1 => rgb(6),
I2 => rgb(5),
I3 => rgb(4),
I4 => \encoded[6]_i_2_n_0\,
I5 => \encoded[9]_i_2_n_0\,
O => encoded(6)
);
\encoded[6]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => rgb(3),
I1 => rgb(0),
I2 => rgb(1),
I3 => rgb(2),
O => \encoded[6]_i_2_n_0\
);
\encoded[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8228"
)
port map (
I0 => active,
I1 => \encoded[7]_i_2__0_n_0\,
I2 => rgb(7),
I3 => \encoded[7]_i_3_n_0\,
O => encoded(7)
);
\encoded[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9669"
)
port map (
I0 => \encoded[6]_i_2_n_0\,
I1 => rgb(4),
I2 => rgb(5),
I3 => rgb(6),
O => \encoded[7]_i_2__0_n_0\
);
\encoded[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \dc_bias[3]_i_6_n_0\,
I1 => \dc_bias[2]_i_4__0_n_0\,
I2 => \dc_bias[3]_i_4_n_0\,
O => \encoded[7]_i_3_n_0\
);
\encoded[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \dc_bias[3]_i_4_n_0\,
I1 => active,
O => \encoded[8]_i_1_n_0\
);
\encoded[9]_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \encoded[9]_i_2_n_0\,
I1 => active,
O => \encoded[9]_i_1__0_n_0\
);
\encoded[9]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"74"
)
port map (
I0 => \dc_bias[3]_i_4_n_0\,
I1 => \dc_bias[3]_i_6_n_0\,
I2 => \dc_bias[2]_i_4__0_n_0\,
O => \encoded[9]_i_2_n_0\
);
\encoded_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(0),
Q => \encoded_reg_n_0_[0]\,
R => '0'
);
\encoded_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(1),
Q => \encoded_reg_n_0_[1]\,
R => '0'
);
\encoded_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(2),
Q => \encoded_reg_n_0_[2]\,
R => '0'
);
\encoded_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(3),
Q => \encoded_reg_n_0_[3]\,
R => '0'
);
\encoded_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(4),
Q => \encoded_reg_n_0_[4]\,
R => '0'
);
\encoded_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(5),
Q => \encoded_reg_n_0_[5]\,
R => '0'
);
\encoded_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(6),
Q => \encoded_reg_n_0_[6]\,
R => '0'
);
\encoded_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => encoded(7),
Q => \encoded_reg_n_0_[7]\,
R => '0'
);
\encoded_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[8]_i_1_n_0\,
Q => Q(0),
R => '0'
);
\encoded_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \encoded[9]_i_1__0_n_0\,
Q => Q(1),
R => '0'
);
\shift_red[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(0),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[0]\,
O => D(0)
);
\shift_red[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(1),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[1]\,
O => D(1)
);
\shift_red[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(2),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[2]\,
O => D(2)
);
\shift_red[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(3),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[3]\,
O => D(3)
);
\shift_red[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(4),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[4]\,
O => D(4)
);
\shift_red[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(5),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[5]\,
O => D(5)
);
\shift_red[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(6),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[6]\,
O => D(6)
);
\shift_red[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => data1(7),
I1 => \shift_clock_reg[5]\,
I2 => \encoded_reg_n_0_[7]\,
O => D(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zybo_hdmi_0_0_dvid is
port (
red_s : out STD_LOGIC;
green_s : out STD_LOGIC;
blue_s : out STD_LOGIC;
clock_s : out STD_LOGIC;
clk_125 : in STD_LOGIC;
rgb : in STD_LOGIC_VECTOR ( 23 downto 0 );
active : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zybo_hdmi_0_0_dvid : entity is "dvid";
end system_zybo_hdmi_0_0_dvid;
architecture STRUCTURE of system_zybo_hdmi_0_0_dvid is
signal D0 : STD_LOGIC;
signal D1 : STD_LOGIC;
signal TMDS_encoder_BLUE_n_0 : STD_LOGIC;
signal TMDS_encoder_BLUE_n_10 : STD_LOGIC;
signal TMDS_encoder_BLUE_n_9 : STD_LOGIC;
signal TMDS_encoder_GREEN_n_8 : STD_LOGIC;
signal TMDS_encoder_GREEN_n_9 : STD_LOGIC;
signal TMDS_encoder_RED_n_8 : STD_LOGIC;
signal TMDS_encoder_RED_n_9 : STD_LOGIC;
signal clk_dvin : STD_LOGIC;
signal data1 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal shift_blue : STD_LOGIC_VECTOR ( 9 downto 2 );
signal shift_blue_0 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \shift_blue_reg_n_0_[0]\ : STD_LOGIC;
signal \shift_blue_reg_n_0_[1]\ : STD_LOGIC;
signal shift_clock : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \shift_clock_reg_n_0_[2]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[3]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[4]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[5]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[6]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[7]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[8]\ : STD_LOGIC;
signal \shift_clock_reg_n_0_[9]\ : STD_LOGIC;
signal shift_green : STD_LOGIC_VECTOR ( 9 downto 2 );
signal shift_green_1 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \shift_green_reg_n_0_[0]\ : STD_LOGIC;
signal \shift_green_reg_n_0_[1]\ : STD_LOGIC;
signal shift_red : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \shift_red[9]_i_1_n_0\ : STD_LOGIC;
signal \shift_red[9]_i_2_n_0\ : STD_LOGIC;
signal NLW_ODDR2_BLUE_R_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_BLUE_S_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_CLK_R_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_CLK_S_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_GREEN_R_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_GREEN_S_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_RED_R_UNCONNECTED : STD_LOGIC;
signal NLW_ODDR2_RED_S_UNCONNECTED : STD_LOGIC;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of ODDR2_BLUE : label is "ODDR2";
attribute XILINX_TRANSFORM_PINMAP : string;
attribute XILINX_TRANSFORM_PINMAP of ODDR2_BLUE : label is "D0:D1 D1:D2 C0:C";
attribute \__SRVAL\ : string;
attribute \__SRVAL\ of ODDR2_BLUE : label is "TRUE";
attribute box_type : string;
attribute box_type of ODDR2_BLUE : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of ODDR2_CLK : label is "ODDR2";
attribute XILINX_TRANSFORM_PINMAP of ODDR2_CLK : label is "D0:D1 D1:D2 C0:C";
attribute \__SRVAL\ of ODDR2_CLK : label is "TRUE";
attribute box_type of ODDR2_CLK : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of ODDR2_GREEN : label is "ODDR2";
attribute XILINX_TRANSFORM_PINMAP of ODDR2_GREEN : label is "D0:D1 D1:D2 C0:C";
attribute \__SRVAL\ of ODDR2_GREEN : label is "TRUE";
attribute box_type of ODDR2_GREEN : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of ODDR2_RED : label is "ODDR2";
attribute XILINX_TRANSFORM_PINMAP of ODDR2_RED : label is "D0:D1 D1:D2 C0:C";
attribute \__SRVAL\ of ODDR2_RED : label is "TRUE";
attribute box_type of ODDR2_RED : label is "PRIMITIVE";
begin
ODDR2_BLUE: unisim.vcomponents.ODDR
generic map(
DDR_CLK_EDGE => "SAME_EDGE",
INIT => '0',
SRTYPE => "ASYNC"
)
port map (
C => clk_125,
CE => '1',
D1 => \shift_blue_reg_n_0_[0]\,
D2 => \shift_blue_reg_n_0_[1]\,
Q => blue_s,
R => NLW_ODDR2_BLUE_R_UNCONNECTED,
S => NLW_ODDR2_BLUE_S_UNCONNECTED
);
ODDR2_CLK: unisim.vcomponents.ODDR
generic map(
DDR_CLK_EDGE => "SAME_EDGE",
INIT => '0',
SRTYPE => "ASYNC"
)
port map (
C => clk_125,
CE => '1',
D1 => shift_clock(0),
D2 => shift_clock(1),
Q => clock_s,
R => NLW_ODDR2_CLK_R_UNCONNECTED,
S => NLW_ODDR2_CLK_S_UNCONNECTED
);
ODDR2_GREEN: unisim.vcomponents.ODDR
generic map(
DDR_CLK_EDGE => "SAME_EDGE",
INIT => '0',
SRTYPE => "ASYNC"
)
port map (
C => clk_125,
CE => '1',
D1 => \shift_green_reg_n_0_[0]\,
D2 => \shift_green_reg_n_0_[1]\,
Q => green_s,
R => NLW_ODDR2_GREEN_R_UNCONNECTED,
S => NLW_ODDR2_GREEN_S_UNCONNECTED
);
ODDR2_RED: unisim.vcomponents.ODDR
generic map(
DDR_CLK_EDGE => "SAME_EDGE",
INIT => '0',
SRTYPE => "ASYNC"
)
port map (
C => clk_125,
CE => '1',
D1 => D0,
D2 => D1,
Q => red_s,
R => NLW_ODDR2_RED_R_UNCONNECTED,
S => NLW_ODDR2_RED_S_UNCONNECTED
);
ODDR2_RED_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => clk_125,
O => clk_dvin
);
TMDS_encoder_BLUE: entity work.system_zybo_hdmi_0_0_TMDS_encoder
port map (
D(7 downto 0) => shift_blue_0(7 downto 0),
Q(1) => TMDS_encoder_BLUE_n_9,
Q(0) => TMDS_encoder_BLUE_n_10,
SR(0) => TMDS_encoder_BLUE_n_0,
active => active,
clk_25 => clk_25,
hsync => hsync,
rgb(7 downto 0) => rgb(7 downto 0),
shift_blue(7 downto 0) => shift_blue(9 downto 2),
\shift_clock_reg[5]\ => \shift_red[9]_i_1_n_0\,
vsync => vsync
);
TMDS_encoder_GREEN: entity work.system_zybo_hdmi_0_0_TMDS_encoder_0
port map (
D(7 downto 0) => shift_green_1(7 downto 0),
Q(1) => TMDS_encoder_GREEN_n_8,
Q(0) => TMDS_encoder_GREEN_n_9,
SR(0) => TMDS_encoder_BLUE_n_0,
active => active,
clk_25 => clk_25,
rgb(7 downto 0) => rgb(15 downto 8),
\shift_clock_reg[5]\ => \shift_red[9]_i_1_n_0\,
shift_green(7 downto 0) => shift_green(9 downto 2)
);
TMDS_encoder_RED: entity work.system_zybo_hdmi_0_0_TMDS_encoder_1
port map (
D(7 downto 0) => shift_red(7 downto 0),
Q(1) => TMDS_encoder_RED_n_8,
Q(0) => TMDS_encoder_RED_n_9,
SR(0) => TMDS_encoder_BLUE_n_0,
active => active,
clk_25 => clk_25,
data1(7 downto 0) => data1(7 downto 0),
rgb(7 downto 0) => rgb(23 downto 16),
\shift_clock_reg[5]\ => \shift_red[9]_i_1_n_0\
);
\shift_blue_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(0),
Q => \shift_blue_reg_n_0_[0]\,
R => '0'
);
\shift_blue_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(1),
Q => \shift_blue_reg_n_0_[1]\,
R => '0'
);
\shift_blue_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(2),
Q => shift_blue(2),
R => '0'
);
\shift_blue_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(3),
Q => shift_blue(3),
R => '0'
);
\shift_blue_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(4),
Q => shift_blue(4),
R => '0'
);
\shift_blue_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(5),
Q => shift_blue(5),
R => '0'
);
\shift_blue_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(6),
Q => shift_blue(6),
R => '0'
);
\shift_blue_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_blue_0(7),
Q => shift_blue(7),
R => '0'
);
\shift_blue_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => TMDS_encoder_BLUE_n_10,
Q => shift_blue(8),
R => \shift_red[9]_i_1_n_0\
);
\shift_blue_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => TMDS_encoder_BLUE_n_9,
Q => shift_blue(9),
R => \shift_red[9]_i_1_n_0\
);
\shift_clock_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[2]\,
Q => shift_clock(0),
R => '0'
);
\shift_clock_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[3]\,
Q => shift_clock(1),
R => '0'
);
\shift_clock_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[4]\,
Q => \shift_clock_reg_n_0_[2]\,
R => '0'
);
\shift_clock_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[5]\,
Q => \shift_clock_reg_n_0_[3]\,
R => '0'
);
\shift_clock_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[6]\,
Q => \shift_clock_reg_n_0_[4]\,
R => '0'
);
\shift_clock_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[7]\,
Q => \shift_clock_reg_n_0_[5]\,
R => '0'
);
\shift_clock_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[8]\,
Q => \shift_clock_reg_n_0_[6]\,
R => '0'
);
\shift_clock_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => \shift_clock_reg_n_0_[9]\,
Q => \shift_clock_reg_n_0_[7]\,
R => '0'
);
\shift_clock_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_clock(0),
Q => \shift_clock_reg_n_0_[8]\,
R => '0'
);
\shift_clock_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_clock(1),
Q => \shift_clock_reg_n_0_[9]\,
R => '0'
);
\shift_green_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(0),
Q => \shift_green_reg_n_0_[0]\,
R => '0'
);
\shift_green_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(1),
Q => \shift_green_reg_n_0_[1]\,
R => '0'
);
\shift_green_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(2),
Q => shift_green(2),
R => '0'
);
\shift_green_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(3),
Q => shift_green(3),
R => '0'
);
\shift_green_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(4),
Q => shift_green(4),
R => '0'
);
\shift_green_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(5),
Q => shift_green(5),
R => '0'
);
\shift_green_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(6),
Q => shift_green(6),
R => '0'
);
\shift_green_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_green_1(7),
Q => shift_green(7),
R => '0'
);
\shift_green_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => TMDS_encoder_GREEN_n_9,
Q => shift_green(8),
R => \shift_red[9]_i_1_n_0\
);
\shift_green_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => TMDS_encoder_GREEN_n_8,
Q => shift_green(9),
R => \shift_red[9]_i_1_n_0\
);
\shift_red[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EFFFFFFF"
)
port map (
I0 => \shift_red[9]_i_2_n_0\,
I1 => \shift_clock_reg_n_0_[5]\,
I2 => \shift_clock_reg_n_0_[4]\,
I3 => \shift_clock_reg_n_0_[2]\,
I4 => \shift_clock_reg_n_0_[3]\,
O => \shift_red[9]_i_1_n_0\
);
\shift_red[9]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFFFFFFFFFFFF"
)
port map (
I0 => \shift_clock_reg_n_0_[8]\,
I1 => \shift_clock_reg_n_0_[9]\,
I2 => \shift_clock_reg_n_0_[6]\,
I3 => \shift_clock_reg_n_0_[7]\,
I4 => shift_clock(1),
I5 => shift_clock(0),
O => \shift_red[9]_i_2_n_0\
);
\shift_red_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(0),
Q => D0,
R => '0'
);
\shift_red_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(1),
Q => D1,
R => '0'
);
\shift_red_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(2),
Q => data1(0),
R => '0'
);
\shift_red_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(3),
Q => data1(1),
R => '0'
);
\shift_red_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(4),
Q => data1(2),
R => '0'
);
\shift_red_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(5),
Q => data1(3),
R => '0'
);
\shift_red_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(6),
Q => data1(4),
R => '0'
);
\shift_red_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => shift_red(7),
Q => data1(5),
R => '0'
);
\shift_red_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => TMDS_encoder_RED_n_9,
Q => data1(6),
R => \shift_red[9]_i_1_n_0\
);
\shift_red_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_125,
CE => '1',
D => TMDS_encoder_RED_n_8,
Q => data1(7),
R => \shift_red[9]_i_1_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zybo_hdmi_0_0_zybo_hdmi is
port (
tmds : out STD_LOGIC_VECTOR ( 3 downto 0 );
tmdsb : out STD_LOGIC_VECTOR ( 3 downto 0 );
rgb : in STD_LOGIC_VECTOR ( 23 downto 0 );
active : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
clk_125 : in STD_LOGIC;
clk_25 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_zybo_hdmi_0_0_zybo_hdmi : entity is "zybo_hdmi";
end system_zybo_hdmi_0_0_zybo_hdmi;
architecture STRUCTURE of system_zybo_hdmi_0_0_zybo_hdmi is
signal blue_s : STD_LOGIC;
signal clock_s : STD_LOGIC;
signal green_s : STD_LOGIC;
signal red_s : STD_LOGIC;
attribute CAPACITANCE : string;
attribute CAPACITANCE of OBUFDS_blue : label is "DONT_CARE";
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of OBUFDS_blue : label is "OBUFDS";
attribute box_type : string;
attribute box_type of OBUFDS_blue : label is "PRIMITIVE";
attribute CAPACITANCE of OBUFDS_clock : label is "DONT_CARE";
attribute XILINX_LEGACY_PRIM of OBUFDS_clock : label is "OBUFDS";
attribute box_type of OBUFDS_clock : label is "PRIMITIVE";
attribute CAPACITANCE of OBUFDS_green : label is "DONT_CARE";
attribute XILINX_LEGACY_PRIM of OBUFDS_green : label is "OBUFDS";
attribute box_type of OBUFDS_green : label is "PRIMITIVE";
attribute CAPACITANCE of OBUFDS_red : label is "DONT_CARE";
attribute XILINX_LEGACY_PRIM of OBUFDS_red : label is "OBUFDS";
attribute box_type of OBUFDS_red : label is "PRIMITIVE";
begin
DVID: entity work.system_zybo_hdmi_0_0_dvid
port map (
active => active,
blue_s => blue_s,
clk_125 => clk_125,
clk_25 => clk_25,
clock_s => clock_s,
green_s => green_s,
hsync => hsync,
red_s => red_s,
rgb(23 downto 0) => rgb(23 downto 0),
vsync => vsync
);
OBUFDS_blue: unisim.vcomponents.OBUFDS
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => blue_s,
O => tmds(0),
OB => tmdsb(0)
);
OBUFDS_clock: unisim.vcomponents.OBUFDS
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clock_s,
O => tmds(3),
OB => tmdsb(3)
);
OBUFDS_green: unisim.vcomponents.OBUFDS
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => red_s,
O => tmds(2),
OB => tmdsb(2)
);
OBUFDS_red: unisim.vcomponents.OBUFDS
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => green_s,
O => tmds(1),
OB => tmdsb(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_zybo_hdmi_0_0 is
port (
clk_125 : in STD_LOGIC;
clk_25 : in STD_LOGIC;
hsync : in STD_LOGIC;
vsync : in STD_LOGIC;
active : in STD_LOGIC;
rgb : in STD_LOGIC_VECTOR ( 23 downto 0 );
tmds : out STD_LOGIC_VECTOR ( 3 downto 0 );
tmdsb : out STD_LOGIC_VECTOR ( 3 downto 0 );
hdmi_cec : in STD_LOGIC;
hdmi_hpd : in STD_LOGIC;
hdmi_out_en : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_zybo_hdmi_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_zybo_hdmi_0_0 : entity is "system_zybo_hdmi_0_0,zybo_hdmi,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_zybo_hdmi_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_zybo_hdmi_0_0 : entity is "zybo_hdmi,Vivado 2016.4";
end system_zybo_hdmi_0_0;
architecture STRUCTURE of system_zybo_hdmi_0_0 is
signal \<const1>\ : STD_LOGIC;
begin
hdmi_out_en <= \<const1>\;
U0: entity work.system_zybo_hdmi_0_0_zybo_hdmi
port map (
active => active,
clk_125 => clk_125,
clk_25 => clk_25,
hsync => hsync,
rgb(23 downto 0) => rgb(23 downto 0),
tmds(3 downto 0) => tmds(3 downto 0),
tmdsb(3 downto 0) => tmdsb(3 downto 0),
vsync => vsync
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
| mit | 916c29d4e634737bffaa3f55cd37533c | 0.48484 | 2.424483 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_comparator_0_0/system_comparator_0_0_sim_netlist.vhdl | 1 | 14,590 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sat May 27 21:33:31 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/ZyboIP/examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_comparator_0_0/system_comparator_0_0_sim_netlist.vhdl
-- Design : system_comparator_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_comparator_0_0_comparator is
port (
z : out STD_LOGIC;
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
x : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_comparator_0_0_comparator : entity is "comparator";
end system_comparator_0_0_comparator;
architecture STRUCTURE of system_comparator_0_0_comparator is
signal z1 : STD_LOGIC;
signal \z1_carry__0_i_1_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_2_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_3_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_4_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_5_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_6_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_7_n_0\ : STD_LOGIC;
signal \z1_carry__0_i_8_n_0\ : STD_LOGIC;
signal \z1_carry__0_n_0\ : STD_LOGIC;
signal \z1_carry__0_n_1\ : STD_LOGIC;
signal \z1_carry__0_n_2\ : STD_LOGIC;
signal \z1_carry__0_n_3\ : STD_LOGIC;
signal \z1_carry__1_i_1_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_2_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_3_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_4_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_5_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_6_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_7_n_0\ : STD_LOGIC;
signal \z1_carry__1_i_8_n_0\ : STD_LOGIC;
signal \z1_carry__1_n_0\ : STD_LOGIC;
signal \z1_carry__1_n_1\ : STD_LOGIC;
signal \z1_carry__1_n_2\ : STD_LOGIC;
signal \z1_carry__1_n_3\ : STD_LOGIC;
signal \z1_carry__2_i_1_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_2_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_3_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_4_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_5_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_6_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_7_n_0\ : STD_LOGIC;
signal \z1_carry__2_i_8_n_0\ : STD_LOGIC;
signal \z1_carry__2_n_1\ : STD_LOGIC;
signal \z1_carry__2_n_2\ : STD_LOGIC;
signal \z1_carry__2_n_3\ : STD_LOGIC;
signal z1_carry_i_1_n_0 : STD_LOGIC;
signal z1_carry_i_2_n_0 : STD_LOGIC;
signal z1_carry_i_3_n_0 : STD_LOGIC;
signal z1_carry_i_4_n_0 : STD_LOGIC;
signal z1_carry_i_5_n_0 : STD_LOGIC;
signal z1_carry_i_6_n_0 : STD_LOGIC;
signal z1_carry_i_7_n_0 : STD_LOGIC;
signal z1_carry_i_8_n_0 : STD_LOGIC;
signal z1_carry_n_0 : STD_LOGIC;
signal z1_carry_n_1 : STD_LOGIC;
signal z1_carry_n_2 : STD_LOGIC;
signal z1_carry_n_3 : STD_LOGIC;
signal NLW_z1_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_z1_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_z1_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_z1_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_z1_carry__3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_z1_carry__3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
begin
z1_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => z1_carry_n_0,
CO(2) => z1_carry_n_1,
CO(1) => z1_carry_n_2,
CO(0) => z1_carry_n_3,
CYINIT => '0',
DI(3) => z1_carry_i_1_n_0,
DI(2) => z1_carry_i_2_n_0,
DI(1) => z1_carry_i_3_n_0,
DI(0) => z1_carry_i_4_n_0,
O(3 downto 0) => NLW_z1_carry_O_UNCONNECTED(3 downto 0),
S(3) => z1_carry_i_5_n_0,
S(2) => z1_carry_i_6_n_0,
S(1) => z1_carry_i_7_n_0,
S(0) => z1_carry_i_8_n_0
);
\z1_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => z1_carry_n_0,
CO(3) => \z1_carry__0_n_0\,
CO(2) => \z1_carry__0_n_1\,
CO(1) => \z1_carry__0_n_2\,
CO(0) => \z1_carry__0_n_3\,
CYINIT => '0',
DI(3) => \z1_carry__0_i_1_n_0\,
DI(2) => \z1_carry__0_i_2_n_0\,
DI(1) => \z1_carry__0_i_3_n_0\,
DI(0) => \z1_carry__0_i_4_n_0\,
O(3 downto 0) => \NLW_z1_carry__0_O_UNCONNECTED\(3 downto 0),
S(3) => \z1_carry__0_i_5_n_0\,
S(2) => \z1_carry__0_i_6_n_0\,
S(1) => \z1_carry__0_i_7_n_0\,
S(0) => \z1_carry__0_i_8_n_0\
);
\z1_carry__0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(14),
I1 => x(14),
I2 => x(15),
I3 => y(15),
O => \z1_carry__0_i_1_n_0\
);
\z1_carry__0_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(12),
I1 => x(12),
I2 => x(13),
I3 => y(13),
O => \z1_carry__0_i_2_n_0\
);
\z1_carry__0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(10),
I1 => x(10),
I2 => x(11),
I3 => y(11),
O => \z1_carry__0_i_3_n_0\
);
\z1_carry__0_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(8),
I1 => x(8),
I2 => x(9),
I3 => y(9),
O => \z1_carry__0_i_4_n_0\
);
\z1_carry__0_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(14),
I1 => x(14),
I2 => y(15),
I3 => x(15),
O => \z1_carry__0_i_5_n_0\
);
\z1_carry__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(12),
I1 => x(12),
I2 => y(13),
I3 => x(13),
O => \z1_carry__0_i_6_n_0\
);
\z1_carry__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(10),
I1 => x(10),
I2 => y(11),
I3 => x(11),
O => \z1_carry__0_i_7_n_0\
);
\z1_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(8),
I1 => x(8),
I2 => y(9),
I3 => x(9),
O => \z1_carry__0_i_8_n_0\
);
\z1_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \z1_carry__0_n_0\,
CO(3) => \z1_carry__1_n_0\,
CO(2) => \z1_carry__1_n_1\,
CO(1) => \z1_carry__1_n_2\,
CO(0) => \z1_carry__1_n_3\,
CYINIT => '0',
DI(3) => \z1_carry__1_i_1_n_0\,
DI(2) => \z1_carry__1_i_2_n_0\,
DI(1) => \z1_carry__1_i_3_n_0\,
DI(0) => \z1_carry__1_i_4_n_0\,
O(3 downto 0) => \NLW_z1_carry__1_O_UNCONNECTED\(3 downto 0),
S(3) => \z1_carry__1_i_5_n_0\,
S(2) => \z1_carry__1_i_6_n_0\,
S(1) => \z1_carry__1_i_7_n_0\,
S(0) => \z1_carry__1_i_8_n_0\
);
\z1_carry__1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(22),
I1 => x(22),
I2 => x(23),
I3 => y(23),
O => \z1_carry__1_i_1_n_0\
);
\z1_carry__1_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(20),
I1 => x(20),
I2 => x(21),
I3 => y(21),
O => \z1_carry__1_i_2_n_0\
);
\z1_carry__1_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(18),
I1 => x(18),
I2 => x(19),
I3 => y(19),
O => \z1_carry__1_i_3_n_0\
);
\z1_carry__1_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(16),
I1 => x(16),
I2 => x(17),
I3 => y(17),
O => \z1_carry__1_i_4_n_0\
);
\z1_carry__1_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(22),
I1 => x(22),
I2 => y(23),
I3 => x(23),
O => \z1_carry__1_i_5_n_0\
);
\z1_carry__1_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(20),
I1 => x(20),
I2 => y(21),
I3 => x(21),
O => \z1_carry__1_i_6_n_0\
);
\z1_carry__1_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(18),
I1 => x(18),
I2 => y(19),
I3 => x(19),
O => \z1_carry__1_i_7_n_0\
);
\z1_carry__1_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(16),
I1 => x(16),
I2 => y(17),
I3 => x(17),
O => \z1_carry__1_i_8_n_0\
);
\z1_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \z1_carry__1_n_0\,
CO(3) => z1,
CO(2) => \z1_carry__2_n_1\,
CO(1) => \z1_carry__2_n_2\,
CO(0) => \z1_carry__2_n_3\,
CYINIT => '0',
DI(3) => \z1_carry__2_i_1_n_0\,
DI(2) => \z1_carry__2_i_2_n_0\,
DI(1) => \z1_carry__2_i_3_n_0\,
DI(0) => \z1_carry__2_i_4_n_0\,
O(3 downto 0) => \NLW_z1_carry__2_O_UNCONNECTED\(3 downto 0),
S(3) => \z1_carry__2_i_5_n_0\,
S(2) => \z1_carry__2_i_6_n_0\,
S(1) => \z1_carry__2_i_7_n_0\,
S(0) => \z1_carry__2_i_8_n_0\
);
\z1_carry__2_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(30),
I1 => x(30),
I2 => x(31),
I3 => y(31),
O => \z1_carry__2_i_1_n_0\
);
\z1_carry__2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(28),
I1 => x(28),
I2 => x(29),
I3 => y(29),
O => \z1_carry__2_i_2_n_0\
);
\z1_carry__2_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(26),
I1 => x(26),
I2 => x(27),
I3 => y(27),
O => \z1_carry__2_i_3_n_0\
);
\z1_carry__2_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(24),
I1 => x(24),
I2 => x(25),
I3 => y(25),
O => \z1_carry__2_i_4_n_0\
);
\z1_carry__2_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(30),
I1 => x(30),
I2 => y(31),
I3 => x(31),
O => \z1_carry__2_i_5_n_0\
);
\z1_carry__2_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(28),
I1 => x(28),
I2 => y(29),
I3 => x(29),
O => \z1_carry__2_i_6_n_0\
);
\z1_carry__2_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(26),
I1 => x(26),
I2 => y(27),
I3 => x(27),
O => \z1_carry__2_i_7_n_0\
);
\z1_carry__2_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(24),
I1 => x(24),
I2 => y(25),
I3 => x(25),
O => \z1_carry__2_i_8_n_0\
);
\z1_carry__3\: unisim.vcomponents.CARRY4
port map (
CI => z1,
CO(3 downto 0) => \NLW_z1_carry__3_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_z1_carry__3_O_UNCONNECTED\(3 downto 1),
O(0) => z,
S(3 downto 0) => B"0001"
);
z1_carry_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(6),
I1 => x(6),
I2 => x(7),
I3 => y(7),
O => z1_carry_i_1_n_0
);
z1_carry_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(4),
I1 => x(4),
I2 => x(5),
I3 => y(5),
O => z1_carry_i_2_n_0
);
z1_carry_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(2),
I1 => x(2),
I2 => x(3),
I3 => y(3),
O => z1_carry_i_3_n_0
);
z1_carry_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => y(0),
I1 => x(0),
I2 => x(1),
I3 => y(1),
O => z1_carry_i_4_n_0
);
z1_carry_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(6),
I1 => x(6),
I2 => y(7),
I3 => x(7),
O => z1_carry_i_5_n_0
);
z1_carry_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(4),
I1 => x(4),
I2 => y(5),
I3 => x(5),
O => z1_carry_i_6_n_0
);
z1_carry_i_7: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(2),
I1 => x(2),
I2 => y(3),
I3 => x(3),
O => z1_carry_i_7_n_0
);
z1_carry_i_8: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => y(0),
I1 => x(0),
I2 => y(1),
I3 => x(1),
O => z1_carry_i_8_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_comparator_0_0 is
port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_comparator_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_comparator_0_0 : entity is "system_comparator_0_0,comparator,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_comparator_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_comparator_0_0 : entity is "comparator,Vivado 2016.4";
end system_comparator_0_0;
architecture STRUCTURE of system_comparator_0_0 is
begin
U0: entity work.system_comparator_0_0_comparator
port map (
x(31 downto 0) => x(31 downto 0),
y(31 downto 0) => y(31 downto 0),
z => z
);
end STRUCTURE;
| mit | ba12115a802789a1f53b3f7291a3f38c | 0.490267 | 2.462863 | false | false | false | false |
ashikpoojari/Hardware-Security | Interfaces/UART_Version_3/Uart_working/RS232RefComp.vhd | 2 | 10,758 |
-- Description: This file defines a UART which tranfers data from
-- serial form to parallel form and vice versa.
------------------------------------------------------------------------
-- Revision History:
-- 07/15/04 (Created) DanP
-- 02/25/08 (Created) ClaudiaG: made use of the baudDivide constant
-- in the Clock Dividing Processes
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Rs232RefComp is
Port (
TXD : out std_logic := '1';
RXD : in std_logic;
CLK : in std_logic; --Master Clock = 50MHz
DBIN: in std_logic_vector (7 downto 0); --Data Bus in
DBOUT: out std_logic_vector (7 downto 0); --Data Bus out
RDA : inout std_logic; --Read Data Available
TBE : inout std_logic := '1'; --Transfer Bus Empty
RD : in std_logic; --Read Strobe
WR : in std_logic; --Write Strobe
PE : out std_logic; --Parity Error Flag
FE : out std_logic; --Frame Error Flag
OE : out std_logic; --Overwrite Error Flag
RST : in std_logic := '0'); --Master Reset
end Rs232RefComp;
architecture Behavioral of Rs232RefComp is
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
------------------------------------------------------------------------
-- Local Type Declarations
------------------------------------------------------------------------
--Receive state machine
type rstate is (
strIdle, --Idle state
strEightDelay, --Delays for 8 clock cycles
strGetData, --Shifts in the 8 data bits, and checks parity
strCheckStop --Sets framing error flag if Stop bit is wrong
);
type tstate is (
sttIdle, --Idle state
sttTransfer, --Move data into shift register
sttShift --Shift out data
);
type TBEstate is (
stbeIdle,
stbeSetTBE,
stbeWaitLoad,
stbeWaitWrite
);
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
constant baudDivide : std_logic_vector(7 downto 0) := "10100011"; --Baud Rate dividor, set now for a rate of 9600.
--Found by dividing 50MHz by 9600 and 16.
signal rdReg : std_logic_vector(7 downto 0) := x"00"; --Receive holding register
signal rdSReg : std_logic_vector(9 downto 0) := "1111111111"; --Receive shift register
signal tfReg : std_logic_vector(7 downto 0); --Transfer holding register
signal tfSReg : std_logic_vector(10 downto 0) := "11111111111"; --Transfer shift register
signal clkDiv : std_logic_vector(8 downto 0) := "000000000"; --used for rClk
signal rClkDiv : std_logic_vector(3 downto 0) := "0000"; --used for tClk
signal ctr : std_logic_vector(3 downto 0) := "0000"; --used for delay times
signal tfCtr : std_logic_vector(3 downto 0) := "0000"; --used to delay in transfer
signal rClk : std_logic := '0'; --Receiving Clock
signal tClk : std_logic; --Transfering Clock
signal dataCtr : std_logic_vector(3 downto 0) := "0000"; --Counts the number of read data bits
signal parError: std_logic; --Parity error bit
signal frameError: std_logic; --Frame error bit
signal CE : std_logic; --Clock enable for the latch
signal ctRst : std_logic := '0';
signal load : std_logic := '0';
signal shift : std_logic := '0';
signal par : std_logic;
signal tClkRST : std_logic := '0';
signal rShift : std_logic := '0';
signal dataRST : std_logic := '0';
signal dataIncr: std_logic := '0';
signal trainingdone: std_logic:= '0';
signal strCur : rstate := strIdle; --Current state in the Receive state machine
signal strNext : rstate; --Next state in the Receive state machine
signal sttCur : tstate := sttIdle; --Current state in the Transfer state machine
signal sttNext : tstate; --Next state in the Transfer staet machine
signal stbeCur : TBEstate := stbeIdle;
signal stbeNext: TBEstate;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
frameError <= not rdSReg(9);
parError <= not ( rdSReg(8) xor (((rdSReg(0) xor rdSReg(1)) xor (rdSReg(2) xor rdSReg(3))) xor ((rdSReg(4) xor rdSReg(5)) xor (rdSReg(6) xor rdSReg(7)))) );
DBOUT <= rdReg;
tfReg <= DBIN;
par <= not ( ((tfReg(0) xor tfReg(1)) xor (tfReg(2) xor tfReg(3))) xor ((tfReg(4) xor tfReg(5)) xor (tfReg(6) xor tfReg(7))) );
--Clock Dividing Functions--
process (CLK, clkDiv) --set up clock divide for rClk
begin
if (Clk = '1' and Clk'event) then
if (clkDiv = baudDivide) then
clkDiv <= "000000000";
else
clkDiv <= clkDiv +1;
end if;
end if;
end process;
process (clkDiv, rClk, CLK) --Define rClk
begin
if CLK = '1' and CLK'Event then
if clkDiv = baudDivide then
rClk <= not rClk;
else
rClk <= rClk;
end if;
end if;
end process;
process (rClk) --set up clock divide for tClk
begin
if (rClk = '1' and rClk'event) then
rClkDiv <= rClkDiv +1;
end if;
end process;
tClk <= rClkDiv(3); --define tClk
process (rClk, ctRst) --set up a counter based on rClk
begin
if rClk = '1' and rClk'Event then
if ctRst = '1' then
ctr <= "0000";
else
ctr <= ctr +1;
end if;
end if;
end process;
process (tClk, tClkRST) --set up a counter based on tClk
begin
if (tClk = '1' and tClk'event) then
if tClkRST = '1' then
tfCtr <= "0000";
else
tfCtr <= tfCtr +1;
end if;
end if;
end process;
--This process controls the error flags--
process (rClk, RST, RD, CE)
begin
if RD = '1' or RST = '1' then
FE <= '0';
OE <= '0';
RDA <= '0';
PE <= '0';
elsif rClk = '1' and rClk'event then
if CE = '1' then
FE <= frameError;
OE <= RDA;
RDA <= '1';
PE <= parError;
rdReg(7 downto 0) <= rdSReg (7 downto 0);
end if;
end if;
end process;
--This process controls the receiving shift register--
process (rClk, rShift)
begin
if rClk = '1' and rClk'Event then
if rShift = '1' then
rdSReg <= (RXD & rdSReg(9 downto 1));
end if;
end if;
end process;
--This process controls the dataCtr to keep track of shifted values--
process (rClk, dataRST)
begin
if (rClk = '1' and rClk'event) then
if dataRST = '1' then
dataCtr <= "0000";
elsif dataIncr = '1' then
dataCtr <= dataCtr +1;
end if;
end if;
end process;
--Receiving State Machine--
process (rClk, RST)
begin
if rClk = '1' and rClk'Event then
if RST = '1' then
strCur <= strIdle;
else
strCur <= strNext;
end if;
end if;
end process;
--This process generates the sequence of steps needed receive the data
process (strCur, ctr, RXD, dataCtr, rdSReg, rdReg, RDA)
begin
case strCur is
when strIdle =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
CE <= '0';
if RXD = '0' then
ctRst <= '1';
strNext <= strEightDelay;
else
ctRst <= '0';
strNext <= strIdle;
end if;
when strEightDelay =>
dataIncr <= '0';
rShift <= '0';
CE <= '0';
if ctr(2 downto 0) = "111" then
ctRst <= '1';
dataRST <= '1';
strNext <= strGetData;
else
ctRst <= '0';
dataRST <= '0';
strNext <= strEightDelay;
end if;
when strGetData =>
CE <= '0';
dataRst <= '0';
if ctr(3 downto 0) = "1111" then
ctRst <= '1';
dataIncr <= '1';
rShift <= '1';
else
ctRst <= '0';
dataIncr <= '0';
rShift <= '0';
end if;
if dataCtr = "1010" then
strNext <= strCheckStop;
else
strNext <= strGetData;
end if;
when strCheckStop =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
ctRst <= '0';
CE <= '1';
strNext <= strIdle;
end case;
end process;
--TBE State Machine--
process (CLK, RST)
begin
if CLK = '1' and CLK'Event then
if RST = '1' then
stbeCur <= stbeIdle;
else
stbeCur <= stbeNext;
end if;
end if;
end process;
--This process gererates the sequence of events needed to control the TBE flag--
process (stbeCur, CLK, WR, DBIN, load)
begin
case stbeCur is
when stbeIdle =>
TBE <= '1';
if WR = '1' then
stbeNext <= stbeSetTBE;
else
stbeNext <= stbeIdle;
end if;
when stbeSetTBE =>
TBE <= '0';
if load = '1' then
stbeNext <= stbeWaitLoad;
else
stbeNext <= stbeSetTBE;
end if;
when stbeWaitLoad =>
if load = '0' then
stbeNext <= stbeWaitWrite;
else
stbeNext <= stbeWaitLoad;
end if;
when stbeWaitWrite =>
if WR = '0' then
stbeNext <= stbeIdle;
else
stbeNext <= stbeWaitWrite;
end if;
end case;
end process;
--This process loads and shifts out the transfer shift register--
process (load, shift, tClk, tfSReg)
begin
TXD <= tfsReg(0);
if tClk = '1' and tClk'Event then
if load = '1' then
tfSReg (10 downto 0) <= ('1' & par & tfReg(7 downto 0) &'0');
end if;
if shift = '1' then
tfSReg (10 downto 0) <= ('1' & tfSReg(10 downto 1));
end if;
end if;
end process;
-- Transfer State Machine--
process (tClk, RST)
begin
if (tClk = '1' and tClk'Event) then
if RST = '1' then
sttCur <= sttIdle;
else
sttCur <= sttNext;
end if;
end if;
end process;
-- This process generates the sequence of steps needed transfer the data--
process (sttCur, tfCtr, tfReg, TBE, tclk)
begin
case sttCur is
when sttIdle =>
tClkRST <= '0';
shift <= '0';
load <= '0';
if TBE = '1' then
sttNext <= sttIdle;
else
sttNext <= sttTransfer;
end if;
when sttTransfer =>
shift <= '0';
load <= '1';
tClkRST <= '1';
sttNext <= sttShift;
when sttShift =>
shift <= '1';
load <= '0';
tClkRST <= '0';
if tfCtr = "1100" then
sttNext <= sttIdle;
else
sttNext <= sttShift;
end if;
end case;
end process;
end Behavioral; | mit | e9d5a258a65f6249098d17b949589824 | 0.54499 | 3.086059 | false | false | false | false |
sbourdeauducq/dspunit | rtl/dspalu_acc.vhd | 2 | 13,985 | -- ----------------------------------------------------------------------
-- DspUnit : Advanced So(P)C Sequential Signal Processor
-- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com)
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the
-- Free Software Foundation, Inc.,
-- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
-- ----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.dspalu_pac.all;
use work.dsputil_pac.all;
-------------------------------------------------------------------------------
entity dspalu_acc is
generic (
sig_width : integer := 16;
acc_width : integer := 32;
acc_reduce_width : integer := 32);
port (
--@inputs
a1 : in std_logic_vector((sig_width - 1) downto 0);
b1 : in std_logic_vector((sig_width - 1) downto 0);
a2 : in std_logic_vector((sig_width - 1) downto 0);
b2 : in std_logic_vector((sig_width - 1) downto 0);
clk : in std_logic;
clr_acc : in std_logic;
acc_mode1 : in std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
acc_mode2 : in std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
alu_select : in std_logic_vector((alu_select_width - 1) downto 0); -- t_alu_select;
cmp_mode : in std_logic_vector((cmp_mode_width - 1) downto 0); -- t_cmp_mode;
cmp_pol : in std_logic;
cmp_store : in std_logic;
chain_acc : in std_logic;
--@outputs
result1 : out std_logic_vector((sig_width - 1) downto 0);
result_acc1 : out std_logic_vector((acc_width - 1) downto 0);
result2 : out std_logic_vector((sig_width - 1) downto 0);
result_acc2 : out std_logic_vector((acc_width - 1) downto 0);
cmp_reg : out std_logic_vector((acc_width - 1) downto 0);
cmp_greater : out std_logic;
cmp_out : out std_logic
);
end dspalu_acc;
--=----------------------------------------------------------------------------
architecture archi_dspalu_acc of dspalu_acc is
-----------------------------------------------------------------------------
-- @constants definition
-----------------------------------------------------------------------------
--=--------------------------------------------------------------------------
--
-- @component declarations
--
-----------------------------------------------------------------------------
--=--------------------------------------------------------------------------
-- @signals definition
-----------------------------------------------------------------------------
signal s_result1 : signed((2*sig_width - 1) downto 0);
signal s_result2 : signed((2*sig_width - 1) downto 0);
signal s_mul_out1 : signed((2*sig_width - 1) downto 0);
signal s_mul_out2 : signed((2*sig_width - 1) downto 0);
signal s_result_acc1 : signed((acc_width - 1) downto 0);
signal s_result_acc2 : signed((acc_width - 1) downto 0);
signal s_back_acc1 : signed((acc_width - 1) downto 0);
signal s_back_acc2 : signed((acc_width - 1) downto 0);
signal s_cmp_reg : signed((acc_width - 1) downto 0);
signal s_cmp_in : signed((acc_width - 1) downto 0);
signal s_cmp_reg_r : unsigned((acc_reduce_width - 2) downto 0);
signal s_cmp_in_r : unsigned((acc_reduce_width - 2) downto 0);
signal s_acc_mode1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode2 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode1_n1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode2_n1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode1_inreg : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode2_inreg : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_cmul_acc_mode1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_cmul_acc_mode2 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_mul_a1 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_a2 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b1 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b2 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_a1_in : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_a2_in : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b1_in : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b2_in : std_logic_vector((sig_width - 1) downto 0);
type t_cmul_state is (cmul_step, cmul_end);
signal s_cmul_state : t_cmul_state;
signal s_cmp_greater : std_logic;
signal s_cmp_greater_inreg : std_logic;
signal s_b2 : std_logic_vector((sig_width - 1) downto 0);
signal s_cmp_store : std_logic;
begin -- archs_dspalu_acc
-----------------------------------------------------------------------------
--
-- @instantiations
--
-----------------------------------------------------------------------------
--=---------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- First accumulator
-------------------------------------------------------------------------------
p_acc1 : process (clk)
variable v_tmp_acc1 : signed((acc_width - 1) downto 0);
begin -- process p_acc
if rising_edge(clk) then -- rising clock edge
if(clr_acc = '1') then
s_result_acc1 <= (others => '0');
else
v_tmp_acc1 := resize(s_result1, acc_width);
-- Accumulation mode
case s_acc_mode1 is
when acc_store =>
s_result_acc1 <= v_tmp_acc1;
when acc_sumstore =>
s_result_acc1 <= resize(signed(s_result1) + signed(s_result2), acc_width);
when acc_add =>
s_result_acc1 <= s_result_acc1 + v_tmp_acc1;
when acc_sub =>
s_result_acc1 <= s_result_acc1 - v_tmp_acc1;
when acc_back_add =>
s_result_acc1 <= s_back_acc1 + v_tmp_acc1;
when acc_minback_sub =>
s_result_acc1 <= - v_tmp_acc1 - s_back_acc1;
when others =>
s_result_acc1 <= (others => '0');
end case;
-- backup of accumulator content
s_back_acc1 <= s_result_acc1;
end if;
end if;
end process p_acc1;
-------------------------------------------------------------------------------
-- Second accumulator
-------------------------------------------------------------------------------
p_acc2 : process (clk)
variable v_tmp_acc2 : signed((acc_width - 1) downto 0);
begin -- process p_acc
if rising_edge(clk) then -- rising clock edge
if(clr_acc = '1') then
s_result_acc2 <= (others => '0');
else
v_tmp_acc2 := resize(s_result2, acc_width);
-- Accumulation mode
case s_acc_mode2 is
when acc_store =>
s_result_acc2 <= v_tmp_acc2;
when acc_diff =>
-- s_result_acc2 <= resize(signed(a2) + signed(b2), acc_width);
s_result_acc2 <= s_result_acc2 - s_result_acc1;
when acc_abs =>
s_result_acc2 <= s_result_acc2 + dsp_abs(s_result_acc1);
when acc_add =>
s_result_acc2 <= s_result_acc2 + v_tmp_acc2;
when acc_sub =>
s_result_acc2 <= s_result_acc2 - v_tmp_acc2;
when acc_back_add =>
s_result_acc2 <= s_back_acc2 + v_tmp_acc2;
when acc_minback_sub =>
s_result_acc2 <= - v_tmp_acc2 - s_back_acc2;
when others =>
s_result_acc2 <= (others => '0');
end case;
-- backup of accumulator content
s_back_acc2 <= s_result_acc2;
end if;
end if;
end process p_acc2;
-------------------------------------------------------------------------------
-- Comparator
-------------------------------------------------------------------------------
-- p_cmp_in : process (cmp_mode, s_result_acc1, s_result_acc2, s_cmp_reg, s_cmp_in)
p_cmp_in : process (clk)
begin -- process p_cmp_in
if rising_edge(clk) then
case cmp_mode is
when cmp_acc1 =>
if(s_result_acc1(acc_width - 1) = '0') then
s_cmp_in <= s_result_acc1;
else
s_cmp_in <= not s_result_acc1;
end if;
when cmp_acc2 =>
if(s_result_acc2(acc_width - 1) = '0') then
s_cmp_in <= s_result_acc2;
else
s_cmp_in <= not s_result_acc2;
end if;
when others =>
s_cmp_in <= (others => '0');
end case;
s_cmp_greater <= s_cmp_greater_inreg;
end if;
end process p_cmp_in;
s_cmp_reg_r <= unsigned(s_cmp_reg((acc_width - 2) downto (acc_width - acc_reduce_width))) ;
s_cmp_in_r <= unsigned(s_cmp_in((acc_width - 2) downto (acc_width - acc_reduce_width)));
s_cmp_greater_inreg <= '1' when s_cmp_reg_r < s_cmp_in_r else '0';
-- s_cmp_greater_inreg <= '1' when s_cmp_reg < s_cmp_in else '0';
p_cmp : process (clk)
begin -- process p_cmp_in
if rising_edge(clk) then -- rising clock edge
s_cmp_store <= cmp_store;
-- if(((s_cmp_greater_inreg xor cmp_pol) or s_cmp_store) = '1') then
if(s_cmp_store = '1') then
s_cmp_reg <= s_cmp_in;
elsif(s_cmp_greater_inreg = '1') then
s_cmp_reg <= s_cmp_in;
else
s_cmp_reg <= s_cmp_reg;
end if;
end if;
end process p_cmp;
-------------------------------------------------------------------------------
-- Operation controller (manage the complex multiplication)
-------------------------------------------------------------------------------
p_alu_ctrl : process (clk)
begin -- process p_alu_ctrl
if rising_edge(clk) then -- rising clock edge
if (alu_select = alu_mul or alu_select = alu_none) then
s_cmul_state <= cmul_end;
elsif (s_cmul_state = cmul_step) then
s_cmul_state <= cmul_end;
else
s_cmul_state <= cmul_step;
end if;
end if;
end process p_alu_ctrl;
p_mul_reg : process (clk)
begin -- process p_mul_reg
if rising_edge(clk) then -- rising clock edge
s_result1 <= s_mul_out1;
s_result2 <= s_mul_out2;
s_acc_mode1 <= s_acc_mode1_n1;
s_acc_mode2 <= s_acc_mode2_n1;
s_acc_mode1_n1 <= s_acc_mode1_inreg;
s_acc_mode2_n1 <= s_acc_mode2_inreg;
end if;
end process p_mul_reg;
--=---------------------------------------------------------------------------
--
-- @concurrent signal assignments
--
-----------------------------------------------------------------------------
result1 <= std_logic_vector(s_result1((2*sig_width - 2) downto (sig_width - 1)));
result2 <= std_logic_vector(s_result2((2*sig_width - 2) downto (sig_width - 1)));
s_mul_out1 <= signed(s_mul_a1) * signed(s_mul_b1);
s_mul_out2 <= signed(s_mul_a2) * signed(s_mul_b2);
result_acc1 <= std_logic_vector(s_result_acc1);
result_acc2 <= std_logic_vector(s_result_acc2);
-- accumulation mode is given by acc_modex except during complex multiplication (modified for step 2)
s_cmul_acc_mode1 <= acc_add when acc_mode1 = acc_sub else acc_sub;
s_cmul_acc_mode2 <= acc_sub when acc_mode1 = acc_sub else acc_add;
-- TODO move the mux to s_acc_modeX_n1!!!!
s_acc_mode1_inreg <= s_cmul_acc_mode1 when s_cmul_state = cmul_step else acc_mode1;
s_acc_mode2_inreg <= s_cmul_acc_mode2 when s_cmul_state = cmul_step else acc_mode2;
-- multipliers inputs (special selection during complex multiplication)
p_mul_in_reg : process (clk)
begin -- process p_mul_reg
if rising_edge(clk) then -- rising clock edge
s_mul_a1 <= s_mul_a1_in;
s_mul_a2 <= s_mul_a2_in;
s_mul_b1 <= s_mul_b1_in;
s_mul_b2 <= s_mul_b2_in;
end if;
end process p_mul_in_reg;
s_mul_a1_in <= a2 when s_cmul_state = cmul_step else a1;
s_mul_a2_in <= a1 when s_cmul_state = cmul_step else a2;
s_mul_b1_in <= s_b2 when s_cmul_state = cmul_step else b1;
-- ! can be more time critical than other entries because depends on alu_select
s_mul_b2_in <= b1 when (s_cmul_state = cmul_end and (alu_select = alu_cmul or alu_select = alu_cmul_conj)) else s_b2;
-- ------------------------------------------------------------------------------------------------------------------------
s_b2 <= std_logic_vector(-signed(b2)) when alu_select = alu_cmul_conj else b2;
cmp_reg <= std_logic_vector(s_cmp_reg);
cmp_greater <= s_cmp_greater;
end archi_dspalu_acc;
-------------------------------------------------------------------------------
| gpl-3.0 | e986c43b10255417bcfdd5dad175ba2c | 0.486593 | 3.524446 | false | false | false | false |
loa-org/loa-hdl | modules/peripheral_register/hdl/reg_file.vhd | 1 | 3,485 | -------------------------------------------------------------------------------
-- Title : Register File
-- Project :
-------------------------------------------------------------------------------
-- File : reg_file.vhd
-- Author : Calle <calle@Alukiste>
-- Created : 2012-03-11
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-- Multiple 16-bit registers at the internal parallel data bus with address
-- decoding.
-------------------------------------------------------------------------------
-- Copyright (c) 2012, 2016
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.bus_pkg.all;
use work.reg_file_pkg.all;
use work.reset_pkg.all;
entity reg_file is
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF#; -- Base address of the registers
REG_ADDR_BIT : natural := 0; -- number of bits not to compare in
-- address. Gives 2**n registers
RESET_IMPL : reset_type := none
);
port (
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
reg_o : out reg_file_type(2**REG_ADDR_BIT-1 downto 0);
reg_i : in reg_file_type(2**REG_ADDR_BIT-1 downto 0);
reset : in std_logic;
clk : in std_logic);
end reg_file;
-------------------------------------------------------------------------------
architecture str of reg_file is
constant BASE_ADDRESS_VECTOR : std_logic_vector(14 downto 0) := std_logic_vector(to_unsigned(BASE_ADDRESS, 15));
type reg_file_state_type is record
reg : reg_file_type(2**REG_ADDR_BIT-1 downto 0);
data_out : std_logic_vector(15 downto 0);
end record;
constant reg_file_state_type_initial : reg_file_state_type := (reg => (others => (others => '0')), data_out => (others => '0'));
signal r, rin : reg_file_state_type := reg_file_state_type_initial;
begin -- str
bus_o.data <= r.data_out;
reg_o <= r.reg;
comb : process (bus_i.addr, bus_i.data, bus_i.re, bus_i.we, r, reg_i, reset) is
variable v : reg_file_state_type;
variable index : integer := 0;
begin -- process comb
v := r;
index := to_integer(unsigned(bus_i.addr(REG_ADDR_BIT-1 downto 0)));
if bus_i.addr(14 downto REG_ADDR_BIT) = BASE_ADDRESS_VECTOR(14 downto REG_ADDR_BIT) then
if bus_i.we = '1' then
v.reg(index) := bus_i.data;
end if;
end if;
if (bus_i.addr(14 downto REG_ADDR_BIT) = BASE_ADDRESS_VECTOR(14 downto REG_ADDR_BIT)) and bus_i.re = '1' then
v.data_out := reg_i(index);
else
v.data_out := (others => '0');
end if;
-- sync reset
if RESET_IMPL = sync and reset = '1' then
v := reg_file_state_type_initial;
end if;
rin <= v;
end process comb;
async_reset : if RESET_IMPL = async generate
seq : process (clk, reset) is
begin -- process seq
if reset = '0' then -- asynchronous reset (active low)
r <= reg_file_state_type_initial;
elsif clk'event and clk = '1' then -- rising clock edge
r <= rin;
end if;
end process seq;
end generate;
sync_reset : if RESET_IMPL /= async generate
seq : process (clk) is
begin -- process seq
if clk'event and clk = '1' then -- rising clock edge
r <= rin;
end if;
end process seq;
end generate;
end str;
| bsd-3-clause | 0cb9c670d647a536796833b7d2a70856 | 0.523386 | 3.556122 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_zybo_hdmi_0_0/sim/system_zybo_hdmi_0_0.vhd | 3 | 3,818 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:zybo_hdmi:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_zybo_hdmi_0_0 IS
PORT (
clk_125 : IN STD_LOGIC;
clk_25 : IN STD_LOGIC;
hsync : IN STD_LOGIC;
vsync : IN STD_LOGIC;
active : IN STD_LOGIC;
rgb : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
tmds : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
tmdsb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
hdmi_cec : IN STD_LOGIC;
hdmi_hpd : IN STD_LOGIC;
hdmi_out_en : OUT STD_LOGIC
);
END system_zybo_hdmi_0_0;
ARCHITECTURE system_zybo_hdmi_0_0_arch OF system_zybo_hdmi_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_zybo_hdmi_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT zybo_hdmi IS
PORT (
clk_125 : IN STD_LOGIC;
clk_25 : IN STD_LOGIC;
hsync : IN STD_LOGIC;
vsync : IN STD_LOGIC;
active : IN STD_LOGIC;
rgb : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
tmds : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
tmdsb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
hdmi_cec : IN STD_LOGIC;
hdmi_hpd : IN STD_LOGIC;
hdmi_out_en : OUT STD_LOGIC
);
END COMPONENT zybo_hdmi;
BEGIN
U0 : zybo_hdmi
PORT MAP (
clk_125 => clk_125,
clk_25 => clk_25,
hsync => hsync,
vsync => vsync,
active => active,
rgb => rgb,
tmds => tmds,
tmdsb => tmdsb,
hdmi_cec => hdmi_cec,
hdmi_hpd => hdmi_hpd,
hdmi_out_en => hdmi_out_en
);
END system_zybo_hdmi_0_0_arch;
| mit | 071a42bd3530469d837b65962ff345ba | 0.699843 | 3.833333 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/axi_vga_framebuffer_1.0/hdl/axi_vga_framebuffer_v1_0.vhd | 1 | 4,600 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity axi_vga_framebuffer_v1_0 is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Slave Bus Interface S_AXI
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 11
);
port (
-- Users to add ports here
clk : in std_logic;
active : in std_logic;
x_addr_w : in std_logic_vector(9 downto 0);
y_addr_w : in std_logic_vector(9 downto 0);
x_addr_r : in std_logic_vector(9 downto 0);
y_addr_r : in std_logic_vector(9 downto 0);
data_w : in std_logic_vector(23 downto 0);
data_r : out std_logic_vector(23 downto 0);
-- User ports ends
-- Do not modify the ports beyond this line
-- Ports of Axi Slave Bus Interface S_AXI
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_awprot : in std_logic_vector(2 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_arprot : in std_logic_vector(2 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic
);
end axi_vga_framebuffer_v1_0;
architecture arch_imp of axi_vga_framebuffer_v1_0 is
-- component declaration
component axi_vga_framebuffer_v1_0_S_AXI is
generic (
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 11
);
port (
-- user ports
clk : in std_logic;
active : in std_logic;
x_addr_w : in std_logic_vector(9 downto 0);
y_addr_w : in std_logic_vector(9 downto 0);
x_addr_r : in std_logic_vector(9 downto 0);
y_addr_r : in std_logic_vector(9 downto 0);
data_w : in std_logic_vector(23 downto 0);
data_r : out std_logic_vector(23 downto 0);
--
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic
);
end component axi_vga_framebuffer_v1_0_S_AXI;
begin
-- Instantiation of Axi Bus Interface S_AXI
axi_vga_framebuffer_v1_0_S_AXI_inst : axi_vga_framebuffer_v1_0_S_AXI
generic map (
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH
)
port map (
clk => clk,
active => active,
x_addr_w => x_addr_w,
y_addr_w => y_addr_w,
x_addr_r => x_addr_r,
y_addr_r => y_addr_r,
data_w => data_w,
data_r => data_r,
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWPROT => s_axi_awprot,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WSTRB => s_axi_wstrb,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARPROT => s_axi_arprot,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready
);
-- Add user logic here
-- User logic ends
end arch_imp;
| mit | 0519427a31d396f2838dccf68f92399a | 0.64 | 2.483801 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_util_vector_logic_1_0/sim/system_util_vector_logic_1_0.vhd | 1 | 3,426 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:util_vector_logic:2.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY util_vector_logic_v2_0;
USE util_vector_logic_v2_0.util_vector_logic;
ENTITY system_util_vector_logic_1_0 IS
PORT (
Op1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
Op2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
Res : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END system_util_vector_logic_1_0;
ARCHITECTURE system_util_vector_logic_1_0_arch OF system_util_vector_logic_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_util_vector_logic_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT util_vector_logic IS
GENERIC (
C_OPERATION : STRING;
C_SIZE : INTEGER
);
PORT (
Op1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
Op2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
Res : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT util_vector_logic;
BEGIN
U0 : util_vector_logic
GENERIC MAP (
C_OPERATION => "or",
C_SIZE => 1
)
PORT MAP (
Op1 => Op1,
Op2 => Op2,
Res => Res
);
END system_util_vector_logic_1_0_arch;
| mit | 54ba9558bab0365509bcedd34fcf99f1 | 0.725628 | 3.937931 | false | false | false | false |
loa-org/loa-hdl | modules/uart/hdl/uart_tx.vhd | 1 | 4,673 | -------------------------------------------------------------------------------
-- Title : UART Transmitter with Odd-Parity
-------------------------------------------------------------------------------
-- Standard : VHDL'x
-------------------------------------------------------------------------------
-- Description:
--
-- Data is send with LSB (Least Significat Bit) first.
-- Odd-parity. Example:
--
-- 0000 0000 => parity 1
-- 0000 0001 => parity 0
-- 0000 0010 => parity 0
-- 0000 0011 => parity 1
-- ...
-- 1111 1111 => parity 1
--
-------------------------------------------------------------------------------
-- Copyright (c) 2013 Fabian Greif
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.uart_pkg.all;
use work.reset_pkg.all;
-------------------------------------------------------------------------------
entity uart_tx is
generic (
RESET_IMPL : reset_type := none
);
port (
txd_p : out std_logic;
busy_p : out std_logic;
data_p : in std_logic_vector(7 downto 0);
empty_p : in std_logic;
re_p : out std_logic;
clk_tx_en : in std_logic;
reset : in std_logic;
clk : in std_logic);
end uart_tx;
-------------------------------------------------------------------------------
architecture behavioural of uart_tx is
type transmit_states is (IDLE, START, WAITSTATE, WAITSTATE2, DATA, PARITY, STOP);
type uart_tx_type is record
state : transmit_states;
bitcount : integer range 0 to 8;
parity : std_logic;
txd : std_logic; -- Output pin
-- Input FIFO
shift_reg : std_logic_vector(7 downto 0);
fifo_re : std_logic;
end record;
constant uart_tx_type_initial : uart_tx_type := (
state => IDLE,
bitcount => 0,
parity => '0',
txd => '1',
shift_reg => (others => '0'),
fifo_re => '0');
signal r, rin : uart_tx_type := uart_tx_type_initial;
begin
-- Connections between ports and signals
txd_p <= r.txd;
re_p <= r.fifo_re;
busy_p <= '0' when ((r.state = IDLE) and (empty_p = '1')) else '1';
-- Combinatorial part of FSM
comb_proc : process(clk_tx_en, data_p, empty_p, r, reset)
variable v : uart_tx_type;
begin
v := r;
v.fifo_re := '0';
case r.state is
when IDLE =>
if empty_p = '0' then
v.fifo_re := '1';
v.state := WAITSTATE;
end if;
when WAITSTATE =>
v.state := WAITSTATE2;
when WAITSTATE2 =>
v.shift_reg := data_p;
v.state := START;
when START =>
if clk_tx_en = '1' then
v.txd := '0';
v.state := DATA;
v.bitcount := 0;
v.parity := '0';
end if;
when DATA =>
if clk_tx_en = '1' then
-- Send data with LSB first
v.txd := r.shift_reg(0);
-- data parity
-- 0 + 0 => 0
-- 0 + 1 => 1
-- 1 + 0 => 1
-- 1 + 1 => 0
-- => xor
v.parity := r.parity xor r.shift_reg(0);
v.shift_reg := '0' & r.shift_reg(7 downto 1);
if r.bitcount = 7 then
v.state := PARITY;
else
v.bitcount := r.bitcount + 1;
end if;
end if;
when PARITY =>
if clk_tx_en = '1' then
v.txd := not r.parity;
v.state := STOP;
end if;
when STOP =>
if clk_tx_en = '1' then
v.txd := '1';
v.state := IDLE;
end if;
end case;
-- sync reset
if RESET_IMPL = sync then
if reset = '1' then
v := uart_tx_type_initial;
end if;
end if;
rin <= v;
end process comb_proc;
----------------------------------------------------------------------------
-- Sequential part of finite state machine (FSM)
----------------------------------------------------------------------------
reset_async : if RESET_IMPL = async generate
seq_proc : process(clk, reset)
begin
if reset = '1' then
r <= uart_tx_type_initial; -- async reset
elsif rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
end generate reset_async;
reset_sync : if not (RESET_IMPL = async) generate
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
end generate reset_sync;
-- Component instantiations
end behavioural;
| bsd-3-clause | 924a61a54323c5644d5cf21e611c9a76 | 0.430773 | 3.900668 | false | false | false | false |
loa-org/loa-hdl | modules/dds/tb/dds_module_tb.vhd | 1 | 4,280 | -------------------------------------------------------------------------------
-- Title : Testbench for design "dds_module"
-------------------------------------------------------------------------------
-- Author : Carl Treudler
-- Standard :
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2011
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dds_module_pkg.all;
use work.bus_pkg.all;
use work.reset_pkg.all;
-------------------------------------------------------------------------------
entity dds_module_tb is
end dds_module_tb;
-------------------------------------------------------------------------------
architecture tb of dds_module_tb is
-- component generics
constant BASE_ADDRESS : positive := 16#400#;
-- component ports
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type :=
(addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
signal dout : std_logic_vector(15 downto 0);
signal reset : std_logic;
signal clk : std_logic := '1';
begin
dds_module_1 : entity work.dds_module
generic map (
BASE_ADDRESS => BASE_ADDRESS,
RESET_IMPL => none)
port map (
bus_o => bus_o,
bus_i => bus_i,
dout => dout,
reset => reset,
clk => clk);
-- clock generation
clk <= not clk after 10 ns;
-- reset generation
reset <= '1', '0' after 50 ns;
waveform : process
begin
wait until falling_edge(reset);
wait for 20 us;
---------------------------------------------------------------------------
-- load some data into waveform ram
---------------------------------------------------------------------------
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#400#, 15));
bus_i.data <= x"0123";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#401#, 15));
bus_i.data <= x"0124";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#402#, 15));
bus_i.data <= x"0125";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
wait for 1 us;
---------------------------------------------------------------------------
-- Set Phase increment
---------------------------------------------------------------------------
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#801#, 15));
bus_i.data <= x"0001";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#802#, 15));
bus_i.data <= x"0020";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
---------------------------------------------------------------------------
-- Set Phase -- 0°
---------------------------------------------------------------------------
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#803#, 15));
bus_i.data <= x"0000";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#804#, 15));
bus_i.data <= x"0000";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
---------------------------------------------------------------------------
-- set Control Register
---------------------------------------------------------------------------
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#800#, 15));
bus_i.data <= x"0002"; -- load accu0
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
wait until rising_edge(clk);
bus_i.addr <= std_logic_vector(to_unsigned(16#800#, 15));
bus_i.data <= x"0001"; -- enable nco0
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
wait for 30 us;
end process waveform;
end tb;
| bsd-3-clause | 589e2bca8cacec0ad6f6882bb54e38f3 | 0.404534 | 4.098659 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0_1/system_vga_color_test_0_0_sim_netlist.vhdl | 1 | 24,812 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun Apr 09 08:27:08 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_vga_color_test_0_0 -prefix
-- system_vga_color_test_0_0_ system_vga_color_test_0_0_sim_netlist.vhdl
-- Design : system_vga_color_test_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_color_test_0_0_vga_color_test is
port (
rgb : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 6 downto 0 );
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
clk_25 : in STD_LOGIC
);
end system_vga_color_test_0_0_vga_color_test;
architecture STRUCTURE of system_vga_color_test_0_0_vga_color_test is
signal \rgb[13]_i_1_n_0\ : STD_LOGIC;
signal \rgb[14]_i_1_n_0\ : STD_LOGIC;
signal \rgb[14]_i_2_n_0\ : STD_LOGIC;
signal \rgb[14]_i_3_n_0\ : STD_LOGIC;
signal \rgb[14]_i_4_n_0\ : STD_LOGIC;
signal \rgb[14]_i_5_n_0\ : STD_LOGIC;
signal \rgb[14]_i_6_n_0\ : STD_LOGIC;
signal \rgb[15]_i_1_n_0\ : STD_LOGIC;
signal \rgb[15]_i_2_n_0\ : STD_LOGIC;
signal \rgb[15]_i_3_n_0\ : STD_LOGIC;
signal \rgb[15]_i_4_n_0\ : STD_LOGIC;
signal \rgb[15]_i_5_n_0\ : STD_LOGIC;
signal \rgb[15]_i_6_n_0\ : STD_LOGIC;
signal \rgb[15]_i_7_n_0\ : STD_LOGIC;
signal \rgb[21]_i_1_n_0\ : STD_LOGIC;
signal \rgb[22]_i_10_n_0\ : STD_LOGIC;
signal \rgb[22]_i_11_n_0\ : STD_LOGIC;
signal \rgb[22]_i_1_n_0\ : STD_LOGIC;
signal \rgb[22]_i_2_n_0\ : STD_LOGIC;
signal \rgb[22]_i_3_n_0\ : STD_LOGIC;
signal \rgb[22]_i_4_n_0\ : STD_LOGIC;
signal \rgb[22]_i_5_n_0\ : STD_LOGIC;
signal \rgb[22]_i_6_n_0\ : STD_LOGIC;
signal \rgb[22]_i_7_n_0\ : STD_LOGIC;
signal \rgb[22]_i_8_n_0\ : STD_LOGIC;
signal \rgb[22]_i_9_n_0\ : STD_LOGIC;
signal \rgb[23]_i_10_n_0\ : STD_LOGIC;
signal \rgb[23]_i_11_n_0\ : STD_LOGIC;
signal \rgb[23]_i_12_n_0\ : STD_LOGIC;
signal \rgb[23]_i_13_n_0\ : STD_LOGIC;
signal \rgb[23]_i_14_n_0\ : STD_LOGIC;
signal \rgb[23]_i_15_n_0\ : STD_LOGIC;
signal \rgb[23]_i_16_n_0\ : STD_LOGIC;
signal \rgb[23]_i_17_n_0\ : STD_LOGIC;
signal \rgb[23]_i_18_n_0\ : STD_LOGIC;
signal \rgb[23]_i_1_n_0\ : STD_LOGIC;
signal \rgb[23]_i_2_n_0\ : STD_LOGIC;
signal \rgb[23]_i_3_n_0\ : STD_LOGIC;
signal \rgb[23]_i_4_n_0\ : STD_LOGIC;
signal \rgb[23]_i_5_n_0\ : STD_LOGIC;
signal \rgb[23]_i_6_n_0\ : STD_LOGIC;
signal \rgb[23]_i_7_n_0\ : STD_LOGIC;
signal \rgb[23]_i_8_n_0\ : STD_LOGIC;
signal \rgb[23]_i_9_n_0\ : STD_LOGIC;
signal \rgb[4]_i_1_n_0\ : STD_LOGIC;
signal \rgb[4]_i_2_n_0\ : STD_LOGIC;
signal \rgb[5]_i_1_n_0\ : STD_LOGIC;
signal \rgb[5]_i_2_n_0\ : STD_LOGIC;
signal \rgb[6]_i_1_n_0\ : STD_LOGIC;
signal \rgb[6]_i_2_n_0\ : STD_LOGIC;
signal \rgb[6]_i_3_n_0\ : STD_LOGIC;
signal \rgb[6]_i_4_n_0\ : STD_LOGIC;
signal \rgb[6]_i_5_n_0\ : STD_LOGIC;
signal \rgb[7]_i_1_n_0\ : STD_LOGIC;
signal \rgb[7]_i_2_n_0\ : STD_LOGIC;
signal \rgb[7]_i_3_n_0\ : STD_LOGIC;
signal \rgb[7]_i_4_n_0\ : STD_LOGIC;
signal \rgb[7]_i_5_n_0\ : STD_LOGIC;
signal \rgb[7]_i_6_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rgb[14]_i_3\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb[14]_i_5\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb[15]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb[15]_i_3\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb[15]_i_5\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb[15]_i_6\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb[15]_i_7\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb[22]_i_10\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb[22]_i_11\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb[23]_i_10\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb[23]_i_11\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb[23]_i_14\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb[23]_i_15\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb[23]_i_17\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb[23]_i_18\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb[23]_i_6\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb[5]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb[6]_i_2\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rgb[6]_i_4\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb[6]_i_5\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb[7]_i_3\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb[7]_i_4\ : label is "soft_lutpair5";
begin
\rgb[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"5555FF02"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => \rgb[14]_i_2_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[22]_i_2_n_0\,
I4 => \rgb[23]_i_6_n_0\,
O => \rgb[13]_i_1_n_0\
);
\rgb[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"55555555FFFFFF02"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => \rgb[14]_i_2_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[22]_i_3_n_0\,
I4 => \rgb[22]_i_2_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[14]_i_1_n_0\
);
\rgb[14]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"02F20202"
)
port map (
I0 => \rgb[14]_i_4_n_0\,
I1 => \rgb[23]_i_11_n_0\,
I2 => xaddr(9),
I3 => \rgb[14]_i_5_n_0\,
I4 => \rgb[23]_i_10_n_0\,
O => \rgb[14]_i_2_n_0\
);
\rgb[14]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb[14]_i_6_n_0\,
I1 => yaddr(6),
O => \rgb[14]_i_3_n_0\
);
\rgb[14]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFEFEFEFEFEFEEE"
)
port map (
I0 => xaddr(4),
I1 => xaddr(5),
I2 => xaddr(3),
I3 => xaddr(0),
I4 => xaddr(1),
I5 => xaddr(2),
O => \rgb[14]_i_4_n_0\
);
\rgb[14]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFF8"
)
port map (
I0 => xaddr(2),
I1 => xaddr(5),
I2 => xaddr(7),
I3 => xaddr(6),
I4 => xaddr(8),
O => \rgb[14]_i_5_n_0\
);
\rgb[14]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A888A888A8888888"
)
port map (
I0 => yaddr(5),
I1 => yaddr(4),
I2 => yaddr(2),
I3 => yaddr(3),
I4 => yaddr(1),
I5 => yaddr(0),
O => \rgb[14]_i_6_n_0\
);
\rgb[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFF55455545"
)
port map (
I0 => \rgb[23]_i_4_n_0\,
I1 => \rgb[22]_i_2_n_0\,
I2 => \rgb[15]_i_2_n_0\,
I3 => \rgb[15]_i_3_n_0\,
I4 => \rgb[15]_i_4_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[15]_i_1_n_0\
);
\rgb[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \rgb[22]_i_8_n_0\,
I1 => \rgb[23]_i_12_n_0\,
O => \rgb[15]_i_2_n_0\
);
\rgb[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAA88888"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => xaddr(9),
I2 => xaddr(6),
I3 => xaddr(7),
I4 => xaddr(8),
O => \rgb[15]_i_3_n_0\
);
\rgb[15]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"ECEEEEEEECECECEC"
)
port map (
I0 => xaddr(8),
I1 => xaddr(9),
I2 => xaddr(7),
I3 => \rgb[15]_i_5_n_0\,
I4 => \rgb[15]_i_6_n_0\,
I5 => \rgb[15]_i_7_n_0\,
O => \rgb[15]_i_4_n_0\
);
\rgb[15]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"1F"
)
port map (
I0 => xaddr(0),
I1 => xaddr(1),
I2 => xaddr(2),
O => \rgb[15]_i_5_n_0\
);
\rgb[15]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => xaddr(5),
I1 => xaddr(4),
O => \rgb[15]_i_6_n_0\
);
\rgb[15]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"8880"
)
port map (
I0 => xaddr(6),
I1 => xaddr(5),
I2 => xaddr(4),
I3 => xaddr(3),
O => \rgb[15]_i_7_n_0\
);
\rgb[21]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFBF0FB"
)
port map (
I0 => \rgb[22]_i_2_n_0\,
I1 => \rgb[22]_i_4_n_0\,
I2 => \rgb[23]_i_2_n_0\,
I3 => \rgb[23]_i_6_n_0\,
I4 => \rgb[23]_i_7_n_0\,
O => \rgb[21]_i_1_n_0\
);
\rgb[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEFFF00FFEF"
)
port map (
I0 => \rgb[22]_i_2_n_0\,
I1 => \rgb[22]_i_3_n_0\,
I2 => \rgb[22]_i_4_n_0\,
I3 => \rgb[23]_i_2_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_7_n_0\,
O => \rgb[22]_i_1_n_0\
);
\rgb[22]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => xaddr(9),
I1 => xaddr(6),
I2 => xaddr(7),
O => \rgb[22]_i_10_n_0\
);
\rgb[22]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"0070"
)
port map (
I0 => xaddr(3),
I1 => xaddr(4),
I2 => xaddr(8),
I3 => xaddr(5),
O => \rgb[22]_i_11_n_0\
);
\rgb[22]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000AAABABAB"
)
port map (
I0 => \rgb[22]_i_5_n_0\,
I1 => xaddr(8),
I2 => xaddr(9),
I3 => xaddr(6),
I4 => xaddr(7),
I5 => \rgb[22]_i_6_n_0\,
O => \rgb[22]_i_2_n_0\
);
\rgb[22]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000FD0000"
)
port map (
I0 => \rgb[23]_i_15_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[22]_i_7_n_0\,
I4 => xaddr(9),
I5 => \rgb[22]_i_6_n_0\,
O => \rgb[22]_i_3_n_0\
);
\rgb[22]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFAE"
)
port map (
I0 => \rgb[23]_i_7_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[23]_i_8_n_0\,
I3 => \rgb[14]_i_3_n_0\,
O => \rgb[22]_i_4_n_0\
);
\rgb[22]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000200030003"
)
port map (
I0 => \rgb[15]_i_5_n_0\,
I1 => xaddr(9),
I2 => xaddr(8),
I3 => xaddr(5),
I4 => xaddr(3),
I5 => xaddr(4),
O => \rgb[22]_i_5_n_0\
);
\rgb[22]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"111111111111111F"
)
port map (
I0 => \rgb[14]_i_6_n_0\,
I1 => yaddr(6),
I2 => \rgb[22]_i_9_n_0\,
I3 => xaddr(7),
I4 => xaddr(8),
I5 => xaddr(9),
O => \rgb[22]_i_6_n_0\
);
\rgb[22]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFEFEFFFFFFFF"
)
port map (
I0 => xaddr(8),
I1 => xaddr(6),
I2 => xaddr(7),
I3 => xaddr(5),
I4 => xaddr(2),
I5 => \rgb[23]_i_10_n_0\,
O => \rgb[22]_i_7_n_0\
);
\rgb[22]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"5515551555151515"
)
port map (
I0 => \rgb[23]_i_14_n_0\,
I1 => \rgb[22]_i_10_n_0\,
I2 => \rgb[22]_i_11_n_0\,
I3 => xaddr(4),
I4 => xaddr(1),
I5 => xaddr(2),
O => \rgb[22]_i_8_n_0\
);
\rgb[22]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCC000088800000"
)
port map (
I0 => xaddr(3),
I1 => xaddr(6),
I2 => xaddr(2),
I3 => xaddr(1),
I4 => xaddr(5),
I5 => xaddr(4),
O => \rgb[22]_i_9_n_0\
);
\rgb[23]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFAAAEAAAEAAAE"
)
port map (
I0 => \rgb[23]_i_2_n_0\,
I1 => \rgb[23]_i_3_n_0\,
I2 => \rgb[23]_i_4_n_0\,
I3 => \rgb[23]_i_5_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_7_n_0\,
O => \rgb[23]_i_1_n_0\
);
\rgb[23]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"1F"
)
port map (
I0 => xaddr(3),
I1 => xaddr(4),
I2 => xaddr(5),
O => \rgb[23]_i_10_n_0\
);
\rgb[23]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => xaddr(8),
I1 => xaddr(6),
I2 => xaddr(7),
O => \rgb[23]_i_11_n_0\
);
\rgb[23]_i_12\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => yaddr(6),
I1 => \rgb[14]_i_6_n_0\,
O => \rgb[23]_i_12_n_0\
);
\rgb[23]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"0515555515155555"
)
port map (
I0 => \rgb[23]_i_18_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[23]_i_17_n_0\,
I4 => xaddr(6),
I5 => xaddr(3),
O => \rgb[23]_i_13_n_0\
);
\rgb[23]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => xaddr(9),
I1 => xaddr(8),
O => \rgb[23]_i_14_n_0\
);
\rgb[23]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"15"
)
port map (
I0 => xaddr(3),
I1 => xaddr(1),
I2 => xaddr(2),
O => \rgb[23]_i_15_n_0\
);
\rgb[23]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => xaddr(7),
I1 => xaddr(6),
O => \rgb[23]_i_16_n_0\
);
\rgb[23]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => xaddr(2),
I1 => xaddr(1),
O => \rgb[23]_i_17_n_0\
);
\rgb[23]_i_18\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => xaddr(7),
I1 => xaddr(8),
I2 => xaddr(9),
O => \rgb[23]_i_18_n_0\
);
\rgb[23]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000022222"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => yaddr(6),
I2 => yaddr(4),
I3 => yaddr(3),
I4 => yaddr(5),
I5 => \rgb[23]_i_8_n_0\,
O => \rgb[23]_i_2_n_0\
);
\rgb[23]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAFFFB"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => \rgb[15]_i_4_n_0\,
I2 => \rgb[23]_i_9_n_0\,
I3 => xaddr(9),
I4 => \rgb[23]_i_7_n_0\,
O => \rgb[23]_i_3_n_0\
);
\rgb[23]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00004440"
)
port map (
I0 => xaddr(9),
I1 => \rgb[23]_i_9_n_0\,
I2 => \rgb[23]_i_10_n_0\,
I3 => \rgb[23]_i_11_n_0\,
I4 => \rgb[23]_i_12_n_0\,
O => \rgb[23]_i_4_n_0\
);
\rgb[23]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0057FFFF00570057"
)
port map (
I0 => yaddr(5),
I1 => yaddr(3),
I2 => yaddr(4),
I3 => yaddr(6),
I4 => \rgb[23]_i_12_n_0\,
I5 => \rgb[23]_i_13_n_0\,
O => \rgb[23]_i_5_n_0\
);
\rgb[23]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"0155"
)
port map (
I0 => yaddr(6),
I1 => yaddr(4),
I2 => yaddr(3),
I3 => yaddr(5),
O => \rgb[23]_i_6_n_0\
);
\rgb[23]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"40CC44CC44CC44CC"
)
port map (
I0 => xaddr(6),
I1 => \rgb[23]_i_14_n_0\,
I2 => \rgb[23]_i_15_n_0\,
I3 => xaddr(7),
I4 => xaddr(4),
I5 => xaddr(5),
O => \rgb[23]_i_7_n_0\
);
\rgb[23]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFD500000000"
)
port map (
I0 => \rgb[23]_i_10_n_0\,
I1 => xaddr(2),
I2 => xaddr(5),
I3 => \rgb[23]_i_16_n_0\,
I4 => xaddr(8),
I5 => xaddr(9),
O => \rgb[23]_i_8_n_0\
);
\rgb[23]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFFFFFE0"
)
port map (
I0 => \rgb[23]_i_17_n_0\,
I1 => xaddr(0),
I2 => xaddr(3),
I3 => xaddr(5),
I4 => xaddr(4),
I5 => \rgb[23]_i_11_n_0\,
O => \rgb[23]_i_9_n_0\
);
\rgb[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"04770404"
)
port map (
I0 => \rgb[6]_i_2_n_0\,
I1 => \rgb[23]_i_6_n_0\,
I2 => \rgb[23]_i_7_n_0\,
I3 => \rgb[4]_i_2_n_0\,
I4 => \rgb[5]_i_2_n_0\,
O => \rgb[4]_i_1_n_0\
);
\rgb[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF2F2FFFFF202F"
)
port map (
I0 => \rgb[22]_i_8_n_0\,
I1 => \rgb[15]_i_4_n_0\,
I2 => \rgb[23]_i_12_n_0\,
I3 => \rgb[6]_i_5_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_13_n_0\,
O => \rgb[4]_i_2_n_0\
);
\rgb[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAFEAAAAAAAA"
)
port map (
I0 => \rgb[7]_i_4_n_0\,
I1 => \rgb[15]_i_2_n_0\,
I2 => \rgb[15]_i_4_n_0\,
I3 => \rgb[15]_i_3_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[5]_i_2_n_0\,
O => \rgb[5]_i_1_n_0\
);
\rgb[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7F7F0F7F"
)
port map (
I0 => \rgb[14]_i_2_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[23]_i_12_n_0\,
I3 => \rgb[23]_i_7_n_0\,
I4 => \rgb[7]_i_3_n_0\,
O => \rgb[5]_i_2_n_0\
);
\rgb[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000F000FFFFF0045"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => \rgb[7]_i_3_n_0\,
I2 => \rgb[23]_i_7_n_0\,
I3 => \rgb[6]_i_2_n_0\,
I4 => \rgb[6]_i_3_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[6]_i_1_n_0\
);
\rgb[6]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => \rgb[14]_i_2_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[7]_i_6_n_0\,
O => \rgb[6]_i_2_n_0\
);
\rgb[6]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00FF0002"
)
port map (
I0 => xaddr(9),
I1 => \rgb[22]_i_7_n_0\,
I2 => \rgb[6]_i_4_n_0\,
I3 => \rgb[22]_i_6_n_0\,
I4 => \rgb[6]_i_5_n_0\,
O => \rgb[6]_i_3_n_0\
);
\rgb[6]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000007"
)
port map (
I0 => xaddr(2),
I1 => xaddr(1),
I2 => xaddr(3),
I3 => xaddr(4),
I4 => xaddr(5),
O => \rgb[6]_i_4_n_0\
);
\rgb[6]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"0057"
)
port map (
I0 => xaddr(8),
I1 => xaddr(7),
I2 => xaddr(6),
I3 => xaddr(9),
O => \rgb[6]_i_5_n_0\
);
\rgb[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000222A"
)
port map (
I0 => \rgb[7]_i_3_n_0\,
I1 => yaddr(5),
I2 => yaddr(3),
I3 => yaddr(4),
I4 => yaddr(6),
O => \rgb[7]_i_1_n_0\
);
\rgb[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF000000FB"
)
port map (
I0 => \rgb[7]_i_3_n_0\,
I1 => \rgb[23]_i_7_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[23]_i_4_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[7]_i_4_n_0\,
O => \rgb[7]_i_2_n_0\
);
\rgb[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000000D"
)
port map (
I0 => xaddr(6),
I1 => \rgb[7]_i_5_n_0\,
I2 => xaddr(9),
I3 => xaddr(8),
I4 => xaddr(7),
O => \rgb[7]_i_3_n_0\
);
\rgb[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000444"
)
port map (
I0 => \rgb[23]_i_7_n_0\,
I1 => \rgb[23]_i_6_n_0\,
I2 => \rgb[7]_i_6_n_0\,
I3 => \rgb[22]_i_8_n_0\,
I4 => \rgb[14]_i_2_n_0\,
O => \rgb[7]_i_4_n_0\
);
\rgb[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"1515155515155555"
)
port map (
I0 => xaddr(5),
I1 => xaddr(3),
I2 => xaddr(4),
I3 => xaddr(0),
I4 => xaddr(2),
I5 => xaddr(1),
O => \rgb[7]_i_5_n_0\
);
\rgb[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000007F55"
)
port map (
I0 => \rgb[15]_i_7_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[15]_i_5_n_0\,
I4 => xaddr(7),
I5 => xaddr(9),
O => \rgb[7]_i_6_n_0\
);
\rgb_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[13]_i_1_n_0\,
Q => rgb(4),
R => '0'
);
\rgb_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[14]_i_1_n_0\,
Q => rgb(5),
R => '0'
);
\rgb_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[15]_i_1_n_0\,
Q => rgb(6),
R => '0'
);
\rgb_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[21]_i_1_n_0\,
Q => rgb(7),
R => '0'
);
\rgb_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[22]_i_1_n_0\,
Q => rgb(8),
R => '0'
);
\rgb_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[23]_i_1_n_0\,
Q => rgb(9),
R => '0'
);
\rgb_reg[4]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[4]_i_1_n_0\,
Q => rgb(0),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[5]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[5]_i_1_n_0\,
Q => rgb(1),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[6]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[6]_i_1_n_0\,
Q => rgb(2),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[7]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[7]_i_2_n_0\,
Q => rgb(3),
S => \rgb[7]_i_1_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_color_test_0_0 is
port (
clk_25 : in STD_LOGIC;
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_vga_color_test_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_color_test_0_0 : entity is "system_vga_color_test_0_0,vga_color_test,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_color_test_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_color_test_0_0 : entity is "vga_color_test,Vivado 2016.4";
end system_vga_color_test_0_0;
architecture STRUCTURE of system_vga_color_test_0_0 is
signal \^rgb\ : STD_LOGIC_VECTOR ( 23 downto 3 );
begin
rgb(23 downto 22) <= \^rgb\(23 downto 22);
rgb(21) <= \^rgb\(20);
rgb(20) <= \^rgb\(20);
rgb(19) <= \^rgb\(20);
rgb(18) <= \^rgb\(20);
rgb(17) <= \^rgb\(20);
rgb(16) <= \^rgb\(20);
rgb(15 downto 14) <= \^rgb\(15 downto 14);
rgb(13) <= \^rgb\(12);
rgb(12) <= \^rgb\(12);
rgb(11) <= \^rgb\(12);
rgb(10) <= \^rgb\(12);
rgb(9) <= \^rgb\(12);
rgb(8) <= \^rgb\(12);
rgb(7 downto 5) <= \^rgb\(7 downto 5);
rgb(4) <= \^rgb\(3);
rgb(3) <= \^rgb\(3);
rgb(2) <= \^rgb\(3);
rgb(1) <= \^rgb\(3);
rgb(0) <= \^rgb\(3);
U0: entity work.system_vga_color_test_0_0_vga_color_test
port map (
clk_25 => clk_25,
rgb(9 downto 8) => \^rgb\(23 downto 22),
rgb(7) => \^rgb\(20),
rgb(6 downto 5) => \^rgb\(15 downto 14),
rgb(4) => \^rgb\(12),
rgb(3 downto 1) => \^rgb\(7 downto 5),
rgb(0) => \^rgb\(3),
xaddr(9 downto 0) => xaddr(9 downto 0),
yaddr(6 downto 0) => yaddr(9 downto 3)
);
end STRUCTURE;
| mit | 8563fd6aaf3b6fca18f88e021d3b8a6d | 0.47606 | 2.484679 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/zed_hdmi/zed_hdmi.srcs/sources_1/new/src/colour_space_conversion.vhd | 1 | 43,843 | ----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
-- Module Name: colour_space_conversion - Behavioral
--
-- Description: Convert the input pixel data into YCbCr 422 values
--
-- Feel free to use this how you see fit, and fix any errors you find :-)
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
Library UNISIM;
use UNISIM.vcomponents.all;
entity colour_space_conversion is
Port ( clk : in STD_LOGIC;
r1_in : IN std_logic_vector(8 downto 0);
g1_in : IN std_logic_vector(8 downto 0);
b1_in : IN std_logic_vector(8 downto 0);
r2_in : IN std_logic_vector(8 downto 0);
g2_in : IN std_logic_vector(8 downto 0);
b2_in : IN std_logic_vector(8 downto 0);
pair_start_in: IN std_logic;
de_in : IN std_logic;
vsync_in : IN std_logic;
hsync_in : IN std_logic;
y_out : OUT std_logic_vector(7 downto 0);
c_out : OUT std_logic_vector(7 downto 0);
de_out : OUT std_logic;
hsync_out : OUT std_logic;
vsync_out : OUT std_logic
);
end colour_space_conversion;
architecture Behavioral of colour_space_conversion is
signal d_a : std_logic;
signal h_a : std_logic;
signal v_a : std_logic;
signal c1 : STD_LOGIC_VECTOR(47 DOWNTO 0);
signal a_r1, a_g1, a_b1 : STD_LOGIC_VECTOR(29 DOWNTO 0);
signal b_r1, b_g1, b_b1 : STD_LOGIC_VECTOR(17 DOWNTO 0);
signal pc_r1, pc_g1, p_b1 : STD_LOGIC_VECTOR(47 DOWNTO 0);
signal c2 : STD_LOGIC_VECTOR(47 DOWNTO 0);
signal a_r2, a_g2 , a_b2 : STD_LOGIC_VECTOR(29 DOWNTO 0);
signal b_r2, b_g2, b_b2 : STD_LOGIC_VECTOR(17 DOWNTO 0);
signal pc_r2, pc_g2, p_b2 : STD_LOGIC_VECTOR(47 DOWNTO 0);
signal hs_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
signal vs_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
signal de_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0');
begin
-- y = ( 8432 * r + 16425 * g + 3176 * B) / 32768 + 16;
-- cb = (-4818 * r - 9527 * g + 14345 * B) / 32768 + 128;
-- cr = (14345 * r - 12045 * g - 2300 * B) / 32768 + 128;
c1 <= x"002000000000";
a_r1 <= "000000" & r1_in & x"000" & "000";
a_g1 <= "000000" & g1_in & x"000" & "000";
a_b1 <= "000000" & b1_in & x"000" & "000";
c2 <= x"010000000000";
a_r2 <= "000000" & r2_in & x"000" & "000";
a_g2 <= "000000" & g2_in & x"000" & "000";
a_b2 <= "000000" & b2_in & x"000" & "000";
b_r1 <= x"20F0"&"00";
b_g1 <= x"4029"&"00";
b_b1 <= x"0C68"&"00";
b_r2 <= x"ED2E"&"00" when pair_start_in = '1' else x"3809"&"00";
b_g2 <= x"DAC9"&"00" when pair_start_in = '1' else x"D0F3"&"00";
b_b2 <= x"3809"&"00" when pair_start_in = '1' else x"F704"&"00";
process(clk)
begin
if rising_edge(clk) then
hsync_out <= hs_delay(hs_delay'high);
vsync_out <= vs_delay(vs_delay'high);
de_out <= de_delay(de_delay'high);
de_delay <= de_delay(de_delay'high-1 downto 0) & de_in;
vs_delay <= vs_delay(de_delay'high-1 downto 0) & vsync_in;
hs_delay <= hs_delay(de_delay'high-1 downto 0) & hsync_in;
y_out <= p_b1(40 downto 33);
c_out <= p_b2(40 downto 33);
end if;
end process;
mult_r1 : DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port)
B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port)
USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE)
USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE")
USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12")
-- Pattern Detector Attributes: Pattern Detection Configuration
AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH"
MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore)
PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect
SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2"
SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C")
USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET")
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 0, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2)
ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1)
ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1)
AREG => 0, -- Number of pipeline stages for A (0, 1 or 2)
BCASCREG => 0, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2)
BREG => 0, -- Number of pipeline stages for B (0, 1 or 2)
CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1)
CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1)
CREG => 0, -- Number of pipeline stages for C (0 or 1)
DREG => 0, -- Number of pipeline stages for D (0 or 1)
INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1)
MREG => 1, -- Number of multiplier pipeline stages (0 or 1)
OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1)
PREG => 1 -- Number of pipeline stages for P (0 or 1)
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open, -- 30-bit output: A port cascade output
BCOUT => open, -- 18-bit output: B port cascade output
CARRYCASCOUT => open, -- 1-bit output: Cascade carry output
MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output
PCOUT => PC_r1, -- 48-bit output: Cascade output
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open, -- 1-bit output: Overflow in add/acc output
PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output
PATTERNDETECT => open, -- 1-bit output: Pattern detect output
UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open, -- 4-bit output: Carry output
P => open, -- 48-bit output: Primary data output
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others => '0'), -- 30-bit input: A cascade data input
BCIN => (others => '0'), -- 18-bit input: B cascade input
CARRYCASCIN => '0', -- 1-bit input: Cascade carry input
MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input
PCIN => (others => '0'), -- 48-bit input: P cascade input
-- Control: 4-bit (each) input: Control Inputs/Status Bits
CLK => CLK, -- 1-bit input: Clock input
ALUMODE => "0000", -- 4-bit input: ALU control input
CARRYINSEL => "000", -- 3-bit input: Carry select input
CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG
INMODE => "00000", -- 5-bit input: INMODE control input
OPMODE => "0110101", -- 7-bit input: Operation mode input
RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG
-- Data: 30-bit (each) input: Data Ports
A => a_r1, -- 30-bit input: A data input
B => b_r1, -- 18-bit input: B data input
C => c1, -- 48-bit input: C data input
CARRYIN => '0', -- 1-bit input: Carry input signal
D => (others =>'0'), -- 25-bit input: D data input
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG
CEA2 => '0', -- 1-bit input: Clock enable input for 2nd stage AREG
CEAD => '0', -- 1-bit input: Clock enable input for ADREG
CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE
CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG
CEB2 => '0', -- 1-bit input: Clock enable input for 2nd stage BREG
CEC => '0', -- 1-bit input: Clock enable input for CREG
CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG
CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG
CED => '0', -- 1-bit input: Clock enable input for DREG
CEM => '1', -- 1-bit input: Clock enable input for MREG
CEP => '1', -- 1-bit input: Clock enable input for PREG
RSTA => '0', -- 1-bit input: Reset input for AREG
RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG
RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG
RSTB => '0', -- 1-bit input: Reset input for BREG
RSTC => '0', -- 1-bit input: Reset input for CREG
RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG
RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG
RSTM => '0', -- 1-bit input: Reset input for MREG
RSTP => '0' -- 1-bit input: Reset input for PREG
);
mult_g1 : DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port)
B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port)
USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE)
USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE")
USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12")
-- Pattern Detector Attributes: Pattern Detection Configuration
AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH"
MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore)
PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect
SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2"
SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C")
USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET")
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 1, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2)
ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1)
ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1)
AREG => 1, -- Number of pipeline stages for A (0, 1 or 2)
BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2)
BREG => 1, -- Number of pipeline stages for B (0, 1 or 2)
CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1)
CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1)
CREG => 0, -- Number of pipeline stages for C (0 or 1)
DREG => 0, -- Number of pipeline stages for D (0 or 1)
INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1)
MREG => 1, -- Number of multiplier pipeline stages (0 or 1)
OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1)
PREG => 1 -- Number of pipeline stages for P (0 or 1)
)
port map (
-- Cascade: 30-bit (each) input: Cascade Ports
ACOUT => open, -- 30-bit output: A port cascade output
BCOUT => open, -- 18-bit output: B port cascade output
CARRYCASCOUT => open, -- 1-bit output: Cascade carry output
MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output
PCOUT => PC_g1, -- 48-bit output: Cascade output
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open, -- 1-bit output: Overflow in add/acc output
PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output
PATTERNDETECT => open, -- 1-bit output: Pattern detect output
UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open, -- 4-bit output: Carry output
P => open, -- 48-bit output: Primary data output
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others => '0'), -- 30-bit input: A cascade data input
BCIN => (others => '0'), -- 18-bit input: B cascade input
CARRYCASCIN => '0', -- 1-bit input: Cascade carry input
MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input
PCIN => pc_r1, -- 48-bit input: P cascade input
-- Control: 4-bit (each) input: Control Inputs/Status Bits
CLK => CLK, -- 1-bit input: Clock input
ALUMODE => "0000", -- 4-bit input: ALU control input
CARRYINSEL => "000", -- 3-bit input: Carry select input
CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG
INMODE => "00000", -- 5-bit input: INMODE control input
OPMODE => "0010101", -- 7-bit input: Operation mode input
RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG
-- Data: 30-bit (each) input: Data Ports
A => a_g1, -- 30-bit input: A data input
B => b_g1, -- 18-bit input: B data input
C => (others =>'0'), -- 48-bit input: C data input
CARRYIN => '0', -- 1-bit input: Carry input signal
D => (others =>'0'), -- 25-bit input: D data input
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG
CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG
CEAD => '1', -- 1-bit input: Clock enable input for ADREG
CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE
CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG
CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG
CEC => '0', -- 1-bit input: Clock enable input for CREG
CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG
CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG
CED => '0', -- 1-bit input: Clock enable input for DREG
CEM => '1', -- 1-bit input: Clock enable input for MREG
CEP => '1', -- 1-bit input: Clock enable input for PREG
RSTA => '0', -- 1-bit input: Reset input for AREG
RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG
RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG
RSTB => '0', -- 1-bit input: Reset input for BREG
RSTC => '0', -- 1-bit input: Reset input for CREG
RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG
RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG
RSTM => '0', -- 1-bit input: Reset input for MREG
RSTP => '0' -- 1-bit input: Reset input for PREG
);
mult_b1 : DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port)
B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port)
USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE)
USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE")
USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12")
-- Pattern Detector Attributes: Pattern Detection Configuration
AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH"
MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore)
PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect
SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2"
SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C")
USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET")
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 2, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2)
ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1)
ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1)
AREG => 2, -- Number of pipeline stages for A (0, 1 or 2)
BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2)
BREG => 1, -- Number of pipeline stages for B (0, 1 or 2)
CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1)
CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1)
CREG => 0, -- Number of pipeline stages for C (0 or 1)
DREG => 0, -- Number of pipeline stages for D (0 or 1)
INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1)
MREG => 1, -- Number of multiplier pipeline stages (0 or 1)
OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1)
PREG => 1 -- Number of pipeline stages for P (0 or 1)
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open, -- 30-bit output: A port cascade output
BCOUT => open, -- 18-bit output: B port cascade output
CARRYCASCOUT => open, -- 1-bit output: Cascade carry output
MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output
PCOUT => open, -- 48-bit output: Cascade output
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open, -- 1-bit output: Overflow in add/acc output
PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output
PATTERNDETECT => open, -- 1-bit output: Pattern detect output
UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open, -- 4-bit output: Carry output
P => P_b1, -- 48-bit output: Primary data output
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others =>'0'), -- 30-bit input: A cascade data input
BCIN => (others =>'0'), -- 18-bit input: B cascade input
CARRYCASCIN => '0', -- 1-bit input: Cascade carry input
MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input
PCIN => pc_g1, -- 48-bit input: P cascade input
-- Control: 4-bit (each) input: Control Inputs/Status Bits
CLK => CLK, -- 1-bit input: Clock input
ALUMODE => "0000", -- 4-bit input: ALU control input
CARRYINSEL => "000", -- 3-bit input: Carry select input
CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG
INMODE => "00000", -- 5-bit input: INMODE control input
OPMODE => "0010101", -- 7-bit input: Operation mode input
RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG
-- Data: 30-bit (each) input: Data Ports
A => a_b1, -- 30-bit input: A data input
B => b_b1, -- 18-bit input: B data input
C => (others =>'0'), -- 48-bit input: C data input
CARRYIN => '0', -- 1-bit input: Carry input signal
D => (others =>'0'), -- 25-bit input: D data input
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => '1', -- 1-bit input: Clock enable input for 1st stage AREG
CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG
CEAD => '0', -- 1-bit input: Clock enable input for ADREG
CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE
CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG
CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG
CEC => '0', -- 1-bit input: Clock enable input for CREG
CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG
CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG
CED => '0', -- 1-bit input: Clock enable input for DREG
CEM => '1', -- 1-bit input: Clock enable input for MREG
CEP => '1', -- 1-bit input: Clock enable input for PREG
RSTA => '0', -- 1-bit input: Reset input for AREG
RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG
RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG
RSTB => '0', -- 1-bit input: Reset input for BREG
RSTC => '0', -- 1-bit input: Reset input for CREG
RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG
RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG
RSTM => '0', -- 1-bit input: Reset input for MREG
RSTP => '0' -- 1-bit input: Reset input for PREG
);
-----------------------------------------
mult_r2 : DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port)
B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port)
USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE)
USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE")
USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12")
-- Pattern Detector Attributes: Pattern Detection Configuration
AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH"
MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore)
PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect
SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2"
SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C")
USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET")
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 0, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2)
ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1)
ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1)
AREG => 0, -- Number of pipeline stages for A (0, 1 or 2)
BCASCREG => 0, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2)
BREG => 0, -- Number of pipeline stages for B (0, 1 or 2)
CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1)
CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1)
CREG => 0, -- Number of pipeline stages for C (0 or 1)
DREG => 0, -- Number of pipeline stages for D (0 or 1)
INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1)
MREG => 1, -- Number of multiplier pipeline stages (0 or 1)
OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1)
PREG => 1 -- Number of pipeline stages for P (0 or 1)
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open, -- 30-bit output: A port cascade output
BCOUT => open, -- 18-bit output: B port cascade output
CARRYCASCOUT => open, -- 1-bit output: Cascade carry output
MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output
PCOUT => PC_r2, -- 48-bit output: Cascade output
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open, -- 1-bit output: Overflow in add/acc output
PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output
PATTERNDETECT => open, -- 1-bit output: Pattern detect output
UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open, -- 4-bit output: Carry output
P => open, -- 48-bit output: Primary data output
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others => '0'), -- 30-bit input: A cascade data input
BCIN => (others => '0'), -- 18-bit input: B cascade input
CARRYCASCIN => '0', -- 1-bit input: Cascade carry input
MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input
PCIN => (others => '0'), -- 48-bit input: P cascade input
-- Control: 4-bit (each) input: Control Inputs/Status Bits
CLK => CLK, -- 1-bit input: Clock input
ALUMODE => "0000", -- 4-bit input: ALU control input
CARRYINSEL => "000", -- 3-bit input: Carry select input
CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG
INMODE => "00000", -- 5-bit input: INMODE control input
OPMODE => "0110101", -- 7-bit input: Operation mode input
RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG
-- Data: 30-bit (each) input: Data Ports
A => a_r2, -- 30-bit input: A data input
B => b_r2, -- 18-bit input: B data input
C => c2, -- 48-bit input: C data input
CARRYIN => '0', -- 1-bit input: Carry input signal
D => (others =>'0'), -- 25-bit input: D data input
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG
CEA2 => '0', -- 1-bit input: Clock enable input for 2nd stage AREG
CEAD => '0', -- 1-bit input: Clock enable input for ADREG
CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE
CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG
CEB2 => '0', -- 1-bit input: Clock enable input for 2nd stage BREG
CEC => '0', -- 1-bit input: Clock enable input for CREG
CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG
CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG
CED => '0', -- 1-bit input: Clock enable input for DREG
CEM => '1', -- 1-bit input: Clock enable input for MREG
CEP => '1', -- 1-bit input: Clock enable input for PREG
RSTA => '0', -- 1-bit input: Reset input for AREG
RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG
RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG
RSTB => '0', -- 1-bit input: Reset input for BREG
RSTC => '0', -- 1-bit input: Reset input for CREG
RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG
RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG
RSTM => '0', -- 1-bit input: Reset input for MREG
RSTP => '0' -- 1-bit input: Reset input for PREG
);
mult_g2 : DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port)
B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port)
USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE)
USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE")
USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12")
-- Pattern Detector Attributes: Pattern Detection Configuration
AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH"
MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore)
PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect
SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2"
SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C")
USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET")
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 1, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2)
ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1)
ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1)
AREG => 1, -- Number of pipeline stages for A (0, 1 or 2)
BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2)
BREG => 1, -- Number of pipeline stages for B (0, 1 or 2)
CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1)
CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1)
CREG => 0, -- Number of pipeline stages for C (0 or 1)
DREG => 0, -- Number of pipeline stages for D (0 or 1)
INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1)
MREG => 1, -- Number of multiplier pipeline stages (0 or 1)
OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1)
PREG => 1 -- Number of pipeline stages for P (0 or 1)
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open, -- 30-bit output: A port cascade output
BCOUT => open, -- 18-bit output: B port cascade output
CARRYCASCOUT => open, -- 1-bit output: Cascade carry output
MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output
PCOUT => PC_g2, -- 48-bit output: Cascade output
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open, -- 1-bit output: Overflow in add/acc output
PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output
PATTERNDETECT => open, -- 1-bit output: Pattern detect output
UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open, -- 4-bit output: Carry output
P => open, -- 48-bit output: Primary data output
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others=>'0'), -- 30-bit input: A cascade data input
BCIN => (others=>'0'), -- 18-bit input: B cascade input
CARRYCASCIN => '0', -- 1-bit input: Cascade carry input
MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input
PCIN => pc_r2, -- 48-bit input: P cascade input
-- Control: 4-bit (each) input: Control Inputs/Status Bits
CLK => CLK, -- 1-bit input: Clock input
ALUMODE => "0000", -- 4-bit input: ALU control input
CARRYINSEL => "000", -- 3-bit input: Carry select input
CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG
INMODE => "00000", -- 5-bit input: INMODE control input
OPMODE => "0010101", -- 7-bit input: Operation mode input
RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG
-- Data: 30-bit (each) input: Data Ports
A => a_g2, -- 30-bit input: A data input
B => b_g2, -- 18-bit input: B data input
C => (others =>'0'), -- 48-bit input: C data input
CARRYIN => '0', -- 1-bit input: Carry input signal
D => (others =>'0'), -- 25-bit input: D data input
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG
CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG
CEAD => '0', -- 1-bit input: Clock enable input for ADREG
CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE
CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG
CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG
CEC => '0', -- 1-bit input: Clock enable input for CREG
CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG
CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG
CED => '0', -- 1-bit input: Clock enable input for DREG
CEM => '1', -- 1-bit input: Clock enable input for MREG
CEP => '1', -- 1-bit input: Clock enable input for PREG
RSTA => '0', -- 1-bit input: Reset input for AREG
RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG
RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG
RSTB => '0', -- 1-bit input: Reset input for BREG
RSTC => '0', -- 1-bit input: Reset input for CREG
RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG
RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG
RSTM => '0', -- 1-bit input: Reset input for MREG
RSTP => '0' -- 1-bit input: Reset input for PREG
);
mult_b2 : DSP48E1
generic map (
-- Feature Control Attributes: Data Path Selection
A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port)
B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port)
USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE)
USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE")
USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12")
-- Pattern Detector Attributes: Pattern Detection Configuration
AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH"
MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore)
PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect
SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2"
SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C")
USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET")
-- Register Control Attributes: Pipeline Register Configuration
ACASCREG => 2, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2)
ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1)
ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1)
AREG => 2, -- Number of pipeline stages for A (0, 1 or 2)
BCASCREG => 2, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2)
BREG => 2, -- Number of pipeline stages for B (0, 1 or 2)
CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1)
CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1)
CREG => 0, -- Number of pipeline stages for C (0 or 1)
DREG => 0, -- Number of pipeline stages for D (0 or 1)
INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1)
MREG => 1, -- Number of multiplier pipeline stages (0 or 1)
OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1)
PREG => 1 -- Number of pipeline stages for P (0 or 1)
)
port map (
-- Cascade: 30-bit (each) output: Cascade Ports
ACOUT => open, -- 30-bit output: A port cascade output
BCOUT => open, -- 18-bit output: B port cascade output
CARRYCASCOUT => open, -- 1-bit output: Cascade carry output
MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output
PCOUT => open, -- 48-bit output: Cascade output
-- Control: 1-bit (each) output: Control Inputs/Status Bits
OVERFLOW => open, -- 1-bit output: Overflow in add/acc output
PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output
PATTERNDETECT => open, -- 1-bit output: Pattern detect output
UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output
-- Data: 4-bit (each) output: Data Ports
CARRYOUT => open, -- 4-bit output: Carry output
P => P_b2, -- 48-bit output: Primary data output
-- Cascade: 30-bit (each) input: Cascade Ports
ACIN => (others =>'0'), -- 30-bit input: A cascade data input
BCIN => (others =>'0'), -- 18-bit input: B cascade input
CARRYCASCIN => '0', -- 1-bit input: Cascade carry input
MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input
PCIN => pc_g2, -- 48-bit input: P cascade input
-- Control: 4-bit (each) input: Control Inputs/Status Bits
CLK => CLK, -- 1-bit input: Clock input
ALUMODE => "0000", -- 4-bit input: ALU control input
CARRYINSEL => "000", -- 3-bit input: Carry select input
CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG
INMODE => "00000", -- 5-bit input: INMODE control input
OPMODE => "0010101", -- 7-bit input: Operation mode input
RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG
-- Data: 30-bit (each) input: Data Ports
A => a_b2, -- 30-bit input: A data input
B => b_b2, -- 18-bit input: B data input
C => (others =>'0'), -- 48-bit input: C data input
CARRYIN => '0', -- 1-bit input: Carry input signal
D => (others =>'0'), -- 25-bit input: D data input
-- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs
CEA1 => '1', -- 1-bit input: Clock enable input for 1st stage AREG
CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG
CEAD => '0', -- 1-bit input: Clock enable input for ADREG
CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE
CEB1 => '1', -- 1-bit input: Clock enable input for 1st stage BREG
CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG
CEC => '0', -- 1-bit input: Clock enable input for CREG
CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG
CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG
CED => '0', -- 1-bit input: Clock enable input for DREG
CEM => '1', -- 1-bit input: Clock enable input for MREG
CEP => '1', -- 1-bit input: Clock enable input for PREG
RSTA => '0', -- 1-bit input: Reset input for AREG
RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG
RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG
RSTB => '0', -- 1-bit input: Reset input for BREG
RSTC => '0', -- 1-bit input: Reset input for CREG
RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG
RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG
RSTM => '0', -- 1-bit input: Reset input for MREG
RSTP => '0' -- 1-bit input: Reset input for PREG
);
end Behavioral; | mit | b157662095b37d6bf46175512bda80bb | 0.520699 | 4.126012 | false | false | false | false |
SoCdesign/audiomixer | ZedBoard_Linux_Design/hw/xps_proj/pcores/superip_v1_00_a/hdl/vhdl/user_logic.vhd | 1 | 33,348 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Tue May 5 20:44:19 2015 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_REG -- Number of software accessible registers
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Resetn -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_NUM_REG : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
CLK_48_in : in std_logic;
CLK_100M_in : in std_logic;
Audio_Left_in : in std_logic_vector(23 downto 0);
Audio_Right_in : in std_logic_vector(23 downto 0);
Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0);
Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Resetn : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg2 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg3 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg4 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg5 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg6 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg7 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg8 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg9 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg10 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg11 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg12 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg13 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg14 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg15 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg16 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg17 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg18 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg19 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg20 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg21 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg22 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg23 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg24 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg25 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg26 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg27 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg28 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg29 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg30 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg31 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg_write_sel : std_logic_vector(31 downto 0);
signal slv_reg_read_sel : std_logic_vector(31 downto 0);
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
signal slv_reg28_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg29_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg30_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg31_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
component superip_internal is
port(
-- Outputs
Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0);
Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0);
slv_reg28 : out STD_LOGIC_VECTOR(31 downto 0);
slv_reg29 : out STD_LOGIC_VECTOR(31 downto 0);
slv_reg30 : out STD_LOGIC_VECTOR(31 downto 0);
slv_reg31 : out STD_LOGIC_VECTOR(31 downto 0);
-- Inputs
CLK_48_in : in std_logic;
CLK_100M_in : in std_logic;
Audio_Left_in : in std_logic_vector(23 downto 0);
Audio_Right_in : in std_logic_vector(23 downto 0);
-- REGISTERS
slv_reg0 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg1 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg2 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg3 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg4 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg5 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg6 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg7 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg8 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg9 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg10 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg11 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg12 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg13 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg14 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg15 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg16 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg17 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg18 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg19 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg20 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg21 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg22 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg23 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg24 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg25 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg26 : in STD_LOGIC_VECTOR(31 downto 0);
slv_reg27 : in STD_LOGIC_VECTOR(31 downto 0)
);
end component;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0);
slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7) or Bus2IP_RdCE(8) or Bus2IP_RdCE(9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15) or Bus2IP_RdCE(16) or Bus2IP_RdCE(17) or Bus2IP_RdCE(18) or Bus2IP_RdCE(19) or Bus2IP_RdCE(20) or Bus2IP_RdCE(21) or Bus2IP_RdCE(22) or Bus2IP_RdCE(23) or Bus2IP_RdCE(24) or Bus2IP_RdCE(25) or Bus2IP_RdCE(26) or Bus2IP_RdCE(27) or Bus2IP_RdCE(28) or Bus2IP_RdCE(29) or Bus2IP_RdCE(30) or Bus2IP_RdCE(31);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Resetn = '0' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
slv_reg8 <= (others => '0');
slv_reg9 <= (others => '0');
slv_reg10 <= (others => '0');
slv_reg11 <= (others => '0');
slv_reg12 <= (others => '0');
slv_reg13 <= (others => '0');
slv_reg14 <= (others => '0');
slv_reg15 <= (others => '0');
slv_reg16 <= (others => '0');
slv_reg17 <= (others => '0');
slv_reg18 <= (others => '0');
slv_reg19 <= (others => '0');
slv_reg20 <= (others => '0');
slv_reg21 <= (others => '0');
slv_reg22 <= (others => '0');
slv_reg23 <= (others => '0');
slv_reg24 <= (others => '0');
slv_reg25 <= (others => '0');
slv_reg26 <= (others => '0');
slv_reg27 <= (others => '0');
slv_reg28 <= (others => '0');
slv_reg29 <= (others => '0');
slv_reg30 <= (others => '0');
slv_reg31 <= (others => '0');
else
case slv_reg_write_sel is
when "10000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "01000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00100000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg2(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00010000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg3(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00001000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg4(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000100000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg5(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000010000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg6(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000001000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg7(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000100000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg8(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000010000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg9(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000001000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg10(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000100000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg11(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000010000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg12(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000001000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg13(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000100000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg14(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000010000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg15(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000001000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg16(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000100000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg17(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg18(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg19(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg20(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg21(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg22(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg23(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg24(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg25(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg26(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg27(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
-- when "00000000000000000000000000001000" =>
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg28(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
-- end if;
-- end loop;
-- when "00000000000000000000000000000100" =>
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg29(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
-- end if;
-- end loop;
-- when "00000000000000000000000000000010" =>
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg30(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
-- end if;
-- end loop;
-- when "00000000000000000000000000000001" =>
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg31(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
-- end if;
-- end loop;
when others => null;
end case;
slv_reg28 <= slv_reg28_internal;
slv_reg29 <= slv_reg29_internal;
slv_reg30 <= slv_reg30_internal;
slv_reg31 <= slv_reg31_internal;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15, slv_reg16, slv_reg17, slv_reg18, slv_reg19, slv_reg20, slv_reg21, slv_reg22, slv_reg23, slv_reg24, slv_reg25, slv_reg26, slv_reg27, slv_reg28, slv_reg29, slv_reg30, slv_reg31 ) is
begin
case slv_reg_read_sel is
when "10000000000000000000000000000000" => slv_ip2bus_data <= slv_reg0;
when "01000000000000000000000000000000" => slv_ip2bus_data <= slv_reg1;
when "00100000000000000000000000000000" => slv_ip2bus_data <= slv_reg2;
when "00010000000000000000000000000000" => slv_ip2bus_data <= slv_reg3;
when "00001000000000000000000000000000" => slv_ip2bus_data <= slv_reg4;
when "00000100000000000000000000000000" => slv_ip2bus_data <= slv_reg5;
when "00000010000000000000000000000000" => slv_ip2bus_data <= slv_reg6;
when "00000001000000000000000000000000" => slv_ip2bus_data <= slv_reg7;
when "00000000100000000000000000000000" => slv_ip2bus_data <= slv_reg8;
when "00000000010000000000000000000000" => slv_ip2bus_data <= slv_reg9;
when "00000000001000000000000000000000" => slv_ip2bus_data <= slv_reg10;
when "00000000000100000000000000000000" => slv_ip2bus_data <= slv_reg11;
when "00000000000010000000000000000000" => slv_ip2bus_data <= slv_reg12;
when "00000000000001000000000000000000" => slv_ip2bus_data <= slv_reg13;
when "00000000000000100000000000000000" => slv_ip2bus_data <= slv_reg14;
when "00000000000000010000000000000000" => slv_ip2bus_data <= slv_reg15;
when "00000000000000001000000000000000" => slv_ip2bus_data <= slv_reg16;
when "00000000000000000100000000000000" => slv_ip2bus_data <= slv_reg17;
when "00000000000000000010000000000000" => slv_ip2bus_data <= slv_reg18;
when "00000000000000000001000000000000" => slv_ip2bus_data <= slv_reg19;
when "00000000000000000000100000000000" => slv_ip2bus_data <= slv_reg20;
when "00000000000000000000010000000000" => slv_ip2bus_data <= slv_reg21;
when "00000000000000000000001000000000" => slv_ip2bus_data <= slv_reg22;
when "00000000000000000000000100000000" => slv_ip2bus_data <= slv_reg23;
when "00000000000000000000000010000000" => slv_ip2bus_data <= slv_reg24;
when "00000000000000000000000001000000" => slv_ip2bus_data <= slv_reg25;
when "00000000000000000000000000100000" => slv_ip2bus_data <= slv_reg26;
when "00000000000000000000000000010000" => slv_ip2bus_data <= slv_reg27;
when "00000000000000000000000000001000" => slv_ip2bus_data <= slv_reg28;
when "00000000000000000000000000000100" => slv_ip2bus_data <= slv_reg29;
when "00000000000000000000000000000010" => slv_ip2bus_data <= slv_reg30;
when "00000000000000000000000000000001" => slv_ip2bus_data <= slv_reg31;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
SIP : superip_internal port map (
Mux2_FilterORMux1_Left_out => Mux2_FilterORMux1_Left_out,
Mux2_FilterORMux1_Right_out => Mux2_FilterORMux1_Right_out,
slv_reg28 => slv_reg28_internal ,
slv_reg29 => slv_reg29_internal ,
slv_reg30 => slv_reg30_internal ,
slv_reg31 => slv_reg31_internal ,
CLK_48_in => CLK_48_in ,
CLK_100M_in => CLK_100M_in ,
Audio_Left_in => Audio_Left_in ,
Audio_Right_in => Audio_Right_in ,
slv_reg0 => slv_reg0 ,
slv_reg1 => slv_reg1 ,
slv_reg2 => slv_reg2 ,
slv_reg3 => slv_reg3 ,
slv_reg4 => slv_reg4 ,
slv_reg5 => slv_reg5 ,
slv_reg6 => slv_reg6 ,
slv_reg7 => slv_reg7 ,
slv_reg8 => slv_reg8 ,
slv_reg9 => slv_reg9 ,
slv_reg10 => slv_reg10 ,
slv_reg11 => slv_reg11 ,
slv_reg12 => slv_reg12 ,
slv_reg13 => slv_reg13 ,
slv_reg14 => slv_reg14 ,
slv_reg15 => slv_reg15 ,
slv_reg16 => slv_reg16 ,
slv_reg17 => slv_reg17 ,
slv_reg18 => slv_reg18 ,
slv_reg19 => slv_reg19 ,
slv_reg20 => slv_reg20 ,
slv_reg21 => slv_reg21 ,
slv_reg22 => slv_reg22 ,
slv_reg23 => slv_reg23 ,
slv_reg24 => slv_reg24 ,
slv_reg25 => slv_reg25 ,
slv_reg26 => slv_reg26 ,
slv_reg27 => slv_reg27
);
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
| mit | 33711a2c871f8ba130037370dacfbfc5 | 0.518832 | 3.675116 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/sqrt/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd | 3 | 142,619 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OlcNDpaQ4Xlf5asTuPVksoFd+WYBzRZdEtJafXjs1xjhz22Zxl62Z1B0mk5uYXprKXJpfzx11yd8
dcl4ibUyEQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aG3se/+XreRFI3XeHR7Bi04kuxASXT1H8MFjjsk6RAFiwwV8bduyGCXjPKFWZrIKKgAw0x3eKrBR
idNOwmrTbOd8OOoWhtSdvsBSAYgKywpHFwI2OmCoKMb+OikdXcT7erDqztThBtF8M2Zw/MlGXtG8
cq1jNC5shFIyCSu0lt4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CU90P8eLDUyLgvaZDBiSk7bAb/WNlXpi0wyc3z7ccbFmZxeLy8vioGXyWVink7/wNrSsSwkbyvx7
Pr+ZmcXUDJPA7OdlWKiwxilGq/Prsq7Tr3ANN41A4NJfvs1kU6KUlT1lrql0YLDVXzsFHX4+k4ib
Ekmh9L1qTUANQuEeGto=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vUWjbFKoi7GzP1FfS3ezJ9Xm7Bwgdg9QYKL9AYo96n4ddz6VntERXki81z7e5ULP7LOBwYEncFCr
fYcK5IcxqZFv51N0u8VNZdUKcsRPI7ooTUf2WK6+0pbUhMTyLJxEnDntpc4LCTGC87IBRtfMe0zh
B2KMWCGhLDjTDflDjKDs90XBjgKZA7ezSg3yhTfpAKALKZp0H4As8bSl4PKZgCtUMjGRdaVfhZWa
vi29OBumzZgiwmv6rmu16fq5RxR0kY8mBLoo87nohaSF2Wxaqe1zUkYigqLhotsdNAi056cmAiqk
HGIQIctYuCtBnmgAREXbHY9NnWX/hfLKQO1ZCg==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pGsY5n8/TSnmcQCCUWJ8qYxrtlVhU8QaX8Vq8ACwSdEY12UVZ9F8oZ0l35oFj+Us+isLkvNzTgZA
m6gquTfPWsQ6eN6ONMEJBifTbmw1SqmD3W7l2yHTN63Sp4MonZhtoihk1EosraMTz91lALObpqTX
7b3GnCQzIMcq22QPQ+P3gDdKaQUjWA+MH10SPntnGIAN2zS6xjYEOwWBJ/DKbq1Ho3Q1UrylWGqz
aZhZAme4wV4h0U52DgAfe/6qapeqUrU0mWCGLx37ac4x58N1LWLTUwx4yDZ15lU4gqnxOPVQ/LiB
u1EYa3w4kCH+NVFN9StqWEPUPkghvK2NdFlJ3A==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fmjnYdSjf4jrtJL8aShKJWKe4nckj93uILR5WzSsFb9yROUHWfkrW18W0wT28qdWx1VBXTMTT0py
brwyWwH/aSASak2dOSOTLiJM7ei6m79ippnfZJ/mojBz13Asa/C3opDLF/OFCDC97nV2Q1ebJkmC
taCFx80m6ijzD9yByRVRLl+PQBvc6l5HM6uAB91iWLRJfyNhCjgpqC/jUIT9+7ZdNGgz4urK+pK5
J0tMRTrQ0rJ6ELKWv2Ky6xRym7j4Cx2seb6h+L9ZNRiQgx6D1WUIE8aQq070XiuVJO4/mMqOYpOk
atp69HVMHKcL2j5cvB3jkjKjD1eoNTEvuxP5aA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
| mit | be7f3e558a8d014390063c4be7cd0a26 | 0.953926 | 1.811426 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | general_ip/svd_2x2/svd_2x2.srcs/sources_1/new/svd_2x2.vhd | 1 | 4,974 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity svd_2x2 is
port (
clk : in std_logic;
start : in std_logic;
ready : out std_logic;
m_00 : in std_logic_vector(15 downto 0);
m_01 : in std_logic_vector(15 downto 0);
m_10 : in std_logic_vector(15 downto 0);
m_11 : in std_logic_vector(15 downto 0);
s_x : out std_logic_vector(15 downto 0);
s_y : out std_logic_vector(15 downto 0);
phi : out std_logic_vector(15 downto 0);
theta : out std_logic_vector(15 downto 0)
);
end svd_2x2;
architecture Behavioral of svd_2x2 is
component sqrt IS
PORT (
aclk : IN STD_LOGIC;
s_axis_cartesian_tvalid : IN STD_LOGIC;
s_axis_cartesian_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_dout_tvalid : OUT STD_LOGIC;
m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END component;
component arctan IS
PORT (
aclk : IN STD_LOGIC;
s_axis_cartesian_tvalid : IN STD_LOGIC;
s_axis_cartesian_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_dout_tvalid : OUT STD_LOGIC;
m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END component;
signal m_00_2, m_01_2, m_10_2, m_11_2 : unsigned(15 downto 0);
signal e, f, g, h, q_2, r_2 : unsigned(15 downto 0);
signal q, r, a1, a2 : std_logic_vector(15 downto 0);
signal e_2, h_2, f_2, g_2 : unsigned(31 downto 0);
signal start_sqrt, start_atan, ready_sqrt_q, ready_sqrt_r, ready_atan_1, ready_atan_2 : std_logic := '0';
signal running : std_logic := '0';
signal cycle : std_logic_vector(1 downto 0) := "00";
begin
process(clk)
begin
if rising_edge(clk) then
if start = '1' and running = '0' then
running <= '1';
start_sqrt <= '0';
start_atan <= '0';
elsif running = '1' then
if cycle = "00" then
m_00_2 <= unsigned(m_00) srl 1;
m_01_2 <= unsigned(m_01) srl 1;
m_10_2 <= unsigned(m_10) srl 1;
m_11_2 <= unsigned(m_11) srl 1;
cycle <= "01";
elsif cycle = "01" then
e <= m_00_2 + m_11_2;
f <= m_00_2 - m_11_2;
g <= m_10_2 + m_01_2;
h <= m_10_2 - m_01_2;
cycle <= "10";
elsif cycle = "10" then
start_atan <= '1';
e_2 <= (e * e) srl 15; -- shift right by 16-1 since sqrt only has a single radix point
f_2 <= (f * f) srl 15;
g_2 <= (g * g) srl 15;
h_2 <= (h * h) srl 15;
cycle <= "11";
elsif cycle = "11" then
if start_sqrt = '0' then
q_2 <= e_2(15 downto 0) + h_2(15 downto 0);
r_2 <= f_2(15 downto 0) + g_2(15 downto 0);
start_sqrt <= '1';
elsif ready_sqrt_q = '1' and ready_sqrt_r = '1' and ready_atan_1 = '1' and ready_atan_2 = '1' then
s_x <= std_logic_vector(unsigned(q) + unsigned(r));
s_y <= std_logic_vector(signed(q) - signed(r));
theta <= std_logic_vector(signed(a2) - signed(a1));
phi <= std_logic_vector(unsigned(a2) + unsigned(a1));
running <= '0';
end if;
end if;
end if;
end if;
end process;
ready <= not running;
SQRT_q : sqrt port map (
aclk => clk,
s_axis_cartesian_tvalid => start_sqrt,
s_axis_cartesian_tdata => std_logic_vector(q_2),
m_axis_dout_tvalid => ready_sqrt_q,
m_axis_dout_tdata => q
);
SQRT_r : sqrt port map (
aclk => clk,
s_axis_cartesian_tvalid => start_sqrt,
s_axis_cartesian_tdata => std_logic_vector(r_2),
m_axis_dout_tvalid => ready_sqrt_r,
m_axis_dout_tdata => r
);
ATAN_a1 : arctan port map (
aclk => clk,
s_axis_cartesian_tvalid => start_atan,
s_axis_cartesian_tdata(31 downto 16) => std_logic_vector(g),
s_axis_cartesian_tdata(15 downto 0) => std_logic_vector(f),
m_axis_dout_tvalid => ready_atan_1,
m_axis_dout_tdata => a1
);
ATAN_a2 : arctan port map (
aclk => clk,
s_axis_cartesian_tvalid => start_atan,
s_axis_cartesian_tdata(31 downto 16) => std_logic_vector(h),
s_axis_cartesian_tdata(15 downto 0) => std_logic_vector(e),
m_axis_dout_tvalid => ready_atan_2,
m_axis_dout_tdata => a2
);
end Behavioral;
| mit | a737676ab386ff555a773e67e5b64d87 | 0.477483 | 3.446985 | false | false | false | false |
loa-org/loa-hdl | modules/adc_mcp3008/hdl/adc_mcp3008.vhd | 2 | 7,071 | -------------------------------------------------------------------------------
-- Title : Interface for Microchip MCP3008 ADC
-- Project : Loa
-------------------------------------------------------------------------------
-- Description: Interface to Microchip's 8 channel 10-bit ADC (MCP3008).
-- Converversion started by logical 1 on start_p. '1' on done_p
-- signals completetd conversion.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.adc_mcp3008_pkg.all;
-------------------------------------------------------------------------------
entity adc_mcp3008 is
generic (
DELAY : natural := 39 -- waitstates between toggling the
-- SCK line (MCP3008 max: about 1.3
-- MHz)
);
port (
adc_out : out adc_mcp3008_spi_out_type;
adc_in : in adc_mcp3008_spi_in_type;
start_p : in std_logic; -- starts the acquisition cycle
adc_mode_p : in std_logic; -- single-ended or differential mode of ADC
channel_p : in std_logic_vector(2 downto 0); -- select channel of ADC
value_p : out std_logic_vector(9 downto 0); -- last value from ADC
done_p : out std_logic; -- conversion reads
clk : in std_logic
);
end adc_mcp3008;
-------------------------------------------------------------------------------
architecture behavioral of adc_mcp3008 is
-----------------------------------------------------------------------------
-- FSM Type declaration
-----------------------------------------------------------------------------
type adc_mcp3008_state_type is (IDLE, SCK_LOW, SCK_HIGH, HOLD_OFF);
type adc_mcp3008_type is record
state : adc_mcp3008_state_type;
csn : std_logic;
sck : std_logic;
din : std_logic_vector(9 downto 0);
done : std_logic;
countdown_delay : integer range 0 to (DELAY * 16);
countdown_bit : integer range 0 to 16;
dout : std_logic_vector(4 downto 0);
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : adc_mcp3008_type := (state => IDLE,
csn => '1',
sck => '0',
dout => "11111",
din => (others => '0'),
done => '0',
countdown_bit => 0,
countdown_delay => DELAY);
begin
-----------------------------------------------------------------------------
-- patch signals to outside of module
-----------------------------------------------------------------------------
-- outputs to adc
adc_out.cs_n <= r.csn;
adc_out.sck <= r.sck;
adc_out.mosi <= r.dout(4);
-- outputs
done_p <= r.done; -- signals valid data on value_p
value_p <= r.din; -- value of the last conversion fetched
-- from the ADC
-----------------------------------------------------------------------------
-- Sequential proc of FSM
-----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
-----------------------------------------------------------------------------
-- Transitons and actions of FSM
-----------------------------------------------------------------------------
comb_proc : process(adc_in.miso, adc_mode_p, channel_p, r, r.countdown_bit,
r.countdown_delay, r.din(8 downto 0),
r.dout(3 downto 0), r.state, start_p)
variable v : adc_mcp3008_type;
begin
v := r;
case r.state is
-------------------------------------------------------------------------
-- Idle State
-------------------------------------------------------------------------
when IDLE =>
v.csn := '1';
v.done := '0';
if start_p = '1' then
v.state := SCK_LOW;
v.sck := '0';
v.countdown_delay := DELAY;
v.countdown_bit := 16;
v.dout := '1' & adc_mode_p & channel_p;
end if;
-------------------------------------------------------------------------
-- Low period of SCK cycle
-------------------------------------------------------------------------
when SCK_LOW =>
v.csn := '0';
if r.countdown_delay = 0 then
v.state := SCK_HIGH;
v.sck := '1';
v.countdown_delay := DELAY;
-- shift in data from ADC
-- miso is an external signal but is assumed to be in sync with SCK
-- so no synchronization needed here.
v.din := r.din(8 downto 0) & adc_in.miso;
else
v.countdown_delay := v.countdown_delay -1;
end if;
-------------------------------------------------------------------------
-- High period of SCK cycle
-------------------------------------------------------------------------
when SCK_HIGH =>
if r.countdown_delay = 0 then
v.state := SCK_LOW;
v.sck := '0';
v.countdown_delay := DELAY;
v.dout := r.dout(3 downto 0) & '0';
if r.countdown_bit = 0 then
v.state := HOLD_OFF;
v.sck := '0';
v.countdown_delay := DELAY * 4;
else
v.countdown_bit := v.countdown_bit - 1;
end if;
else
v.countdown_delay := v.countdown_delay -1;
end if;
-----------------------------------------------------------------------
-- Hold Off State
-----------------------------------------------------------------------
when HOLD_OFF =>
-- this state is required as the ADC can't handle a 20ns pulse on chipselect
v.csn := '1';
if r.countdown_delay = 0 then
v.state := IDLE;
v.done := '1';
else
v.countdown_delay := v.countdown_delay -1;
end if;
end case;
rin <= v;
end process comb_proc;
end behavioral;
| bsd-3-clause | 28f13c6442920459094b94c62cd132eb | 0.332626 | 5.43505 | false | false | false | false |
SoCdesign/audiomixer | ZedBoard_Linux_Design/hw/xps_proj/pcores/globalmixer_v1_00_a/hdl/vhdl/user_logic.vhd | 1 | 28,660 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Fri May 29 17:58:19 2015 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_REG -- Number of software accessible registers
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Resetn -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_NUM_REG : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
CLK_48_in : in std_logic;
CLK_100M_in : in std_logic;
GM_Left_in_0 : in std_logic_vector(23 downto 0);
GM_Right_in_0 : in std_logic_vector(23 downto 0);
GM_Left_in_1 : in std_logic_vector(23 downto 0);
GM_Right_in_1 : in std_logic_vector(23 downto 0);
GM_Left_in_2 : in std_logic_vector(23 downto 0);
GM_Right_in_2 : in std_logic_vector(23 downto 0);
GM_Left_in_3 : in std_logic_vector(23 downto 0);
GM_Right_in_3 : in std_logic_vector(23 downto 0);
GM_Left_out : out std_logic_vector(23 downto 0);
GM_Right_out : out std_logic_vector(23 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Resetn : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg2 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg3 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg4 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg5 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg6 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg7 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg8 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg9 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg10 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg11 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg12 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg13 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg14 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg15 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg16 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg17 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg18 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg19 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg20 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg21 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg22 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg23 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg24 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg25 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg26 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg27 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg28 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg29 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg30 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg31 : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_reg_write_sel : std_logic_vector(31 downto 0);
signal slv_reg_read_sel : std_logic_vector(31 downto 0);
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
alias selected_channel : std_logic_vector is slv_reg0(1 downto 0);
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0);
slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7) or Bus2IP_RdCE(8) or Bus2IP_RdCE(9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15) or Bus2IP_RdCE(16) or Bus2IP_RdCE(17) or Bus2IP_RdCE(18) or Bus2IP_RdCE(19) or Bus2IP_RdCE(20) or Bus2IP_RdCE(21) or Bus2IP_RdCE(22) or Bus2IP_RdCE(23) or Bus2IP_RdCE(24) or Bus2IP_RdCE(25) or Bus2IP_RdCE(26) or Bus2IP_RdCE(27) or Bus2IP_RdCE(28) or Bus2IP_RdCE(29) or Bus2IP_RdCE(30) or Bus2IP_RdCE(31);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Resetn = '0' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
slv_reg8 <= (others => '0');
slv_reg9 <= (others => '0');
slv_reg10 <= (others => '0');
slv_reg11 <= (others => '0');
slv_reg12 <= (others => '0');
slv_reg13 <= (others => '0');
slv_reg14 <= (others => '0');
slv_reg15 <= (others => '0');
slv_reg16 <= (others => '0');
slv_reg17 <= (others => '0');
slv_reg18 <= (others => '0');
slv_reg19 <= (others => '0');
slv_reg20 <= (others => '0');
slv_reg21 <= (others => '0');
slv_reg22 <= (others => '0');
slv_reg23 <= (others => '0');
slv_reg24 <= (others => '0');
slv_reg25 <= (others => '0');
slv_reg26 <= (others => '0');
slv_reg27 <= (others => '0');
slv_reg28 <= (others => '0');
slv_reg29 <= (others => '0');
slv_reg30 <= (others => '0');
slv_reg31 <= (others => '0');
else
case slv_reg_write_sel is
when "10000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "01000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00100000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg2(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00010000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg3(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00001000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg4(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000100000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg5(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000010000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg6(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000001000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg7(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000100000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg8(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000010000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg9(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000001000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg10(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000100000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg11(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000010000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg12(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000001000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg13(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000100000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg14(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000010000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg15(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000001000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg16(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000100000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg17(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg18(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg19(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg20(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg21(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg22(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg23(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg24(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg25(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg26(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg27(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg28(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg29(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg30(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg31(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15, slv_reg16, slv_reg17, slv_reg18, slv_reg19, slv_reg20, slv_reg21, slv_reg22, slv_reg23, slv_reg24, slv_reg25, slv_reg26, slv_reg27, slv_reg28, slv_reg29, slv_reg30, slv_reg31 ) is
begin
case slv_reg_read_sel is
when "10000000000000000000000000000000" => slv_ip2bus_data <= slv_reg0;
when "01000000000000000000000000000000" => slv_ip2bus_data <= slv_reg1;
when "00100000000000000000000000000000" => slv_ip2bus_data <= slv_reg2;
when "00010000000000000000000000000000" => slv_ip2bus_data <= slv_reg3;
when "00001000000000000000000000000000" => slv_ip2bus_data <= slv_reg4;
when "00000100000000000000000000000000" => slv_ip2bus_data <= slv_reg5;
when "00000010000000000000000000000000" => slv_ip2bus_data <= slv_reg6;
when "00000001000000000000000000000000" => slv_ip2bus_data <= slv_reg7;
when "00000000100000000000000000000000" => slv_ip2bus_data <= slv_reg8;
when "00000000010000000000000000000000" => slv_ip2bus_data <= slv_reg9;
when "00000000001000000000000000000000" => slv_ip2bus_data <= slv_reg10;
when "00000000000100000000000000000000" => slv_ip2bus_data <= slv_reg11;
when "00000000000010000000000000000000" => slv_ip2bus_data <= slv_reg12;
when "00000000000001000000000000000000" => slv_ip2bus_data <= slv_reg13;
when "00000000000000100000000000000000" => slv_ip2bus_data <= slv_reg14;
when "00000000000000010000000000000000" => slv_ip2bus_data <= slv_reg15;
when "00000000000000001000000000000000" => slv_ip2bus_data <= slv_reg16;
when "00000000000000000100000000000000" => slv_ip2bus_data <= slv_reg17;
when "00000000000000000010000000000000" => slv_ip2bus_data <= slv_reg18;
when "00000000000000000001000000000000" => slv_ip2bus_data <= slv_reg19;
when "00000000000000000000100000000000" => slv_ip2bus_data <= slv_reg20;
when "00000000000000000000010000000000" => slv_ip2bus_data <= slv_reg21;
when "00000000000000000000001000000000" => slv_ip2bus_data <= slv_reg22;
when "00000000000000000000000100000000" => slv_ip2bus_data <= slv_reg23;
when "00000000000000000000000010000000" => slv_ip2bus_data <= slv_reg24;
when "00000000000000000000000001000000" => slv_ip2bus_data <= slv_reg25;
when "00000000000000000000000000100000" => slv_ip2bus_data <= slv_reg26;
when "00000000000000000000000000010000" => slv_ip2bus_data <= slv_reg27;
when "00000000000000000000000000001000" => slv_ip2bus_data <= slv_reg28;
when "00000000000000000000000000000100" => slv_ip2bus_data <= slv_reg29;
when "00000000000000000000000000000010" => slv_ip2bus_data <= slv_reg30;
when "00000000000000000000000000000001" => slv_ip2bus_data <= slv_reg31;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
process(selected_channel)
begin
case(selected_channel) is
when "00" => GM_Left_out <= GM_Left_in_0;
GM_Right_out <= GM_Right_in_0;
when "01" => GM_Left_out <= GM_Left_in_1;
GM_Right_out <= GM_Right_in_1;
when "10" => GM_Left_out <= GM_Left_in_2;
GM_Right_out <= GM_Right_in_2;
when "11" => GM_Left_out <= GM_Left_in_3;
GM_Right_out <= GM_Right_in_3;
when others => GM_Left_out <= GM_Left_in_0;
GM_Right_out <= GM_Right_in_0;
end case;
end process;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
| mit | ec8b72637509147deec9099a7a3838e6 | 0.538835 | 3.63475 | false | false | false | false |
loa-org/loa-hdl | modules/onewire/hdl/onewire.vhd | 1 | 6,397 | -------------------------------------------------------------------------------
-- Title : Onewire Master
-------------------------------------------------------------------------------
-- Author : [email protected]
-------------------------------------------------------------------------------
-- Description: This is an Onewire Master, intended for use with dedicated
-- protocol FSMs.
--
-- Timing constants are defined in onwire_cfg_pkg.vhd
--
-------------------------------------------------------------------------------
-- Created : 2014-12-13
-------------------------------------------------------------------------------
-- Copyright (c) 2014, Carl Treudler
-- All Rights Reserved.
--
-- The file is part for the Loa project and is released under the
-- 3-clause BSD license. See the file `LICENSE` for the full license
-- governing this code.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.onewire_pkg.all;
use work.onewire_cfg_pkg.all;
entity onewire is
port (
onewire_in : in onewire_in_type;
onewire_out : out onewire_out_type;
onewire_bus_in : in onewire_bus_in_type;
onewire_bus_out : out onewire_bus_out_type;
clk : in std_logic);
end onewire;
architecture rtl of onewire is
type onewire_states is (idle, reset1, reset2, reset3, write1, write2, write3, read1, read2, read3, read4);
type onewire_state_type is record
timer : integer range 0 to 25000;
bus_o : onewire_bus_out_type;
o : onewire_out_type;
sr : std_logic_vector(7 downto 0);
bit_cnt : integer range 0 to 7;
sync : std_logic_vector(1 downto 0);
state : onewire_states;
end record;
signal r, rin : onewire_state_type := (
timer => 0,
bus_o => (d => '0', en_driver => '0'),
o => (d => (others => '0'), busy => '1', err => '0'),
sr => (others => '0'),
bit_cnt => 0,
sync => "11",
state => idle);
begin -- onewire
onewire_bus_out <= r.bus_o;
onewire_out <= r.o;
comb : process(onewire_bus_in, onewire_in, r)
variable v : onewire_state_type;
variable bus_d_sync : std_logic := '1';
begin
v := r;
v.bus_o.d := '0'; -- not used, devices don't used
-- parasitic power (yet!).
bus_d_sync := v.sync(1);
v.sync(1) := v.sync(0);
v.sync(0) := onewire_bus_in.d;
case v.state is
when idle =>
v.o.busy := '0';
if onewire_in.reset_bus = '1' then
v.state := reset1;
v.timer := bus_reset_cycles;
v.o.busy := '1';
end if;
if onewire_in.we = '1' then
v.sr := onewire_in.d;
v.bit_cnt := 0;
v.state := write1;
v.o.busy := '1';
end if;
if onewire_in.re = '1' then
v.state := read1;
v.bit_cnt := 0;
v.o.busy := '1';
end if;
-----------------------------------------------------------------------
-- reset sequence
-- samples response from bus
-----------------------------------------------------------------------
when reset1 =>
v.bus_o.en_driver := '1';
v.timer := v.timer - 1;
if v.timer = 0 then
v.bus_o.en_driver := '0';
v.timer := bus_reset_wait_for_response;
v.state := reset2;
end if;
when reset2 =>
v.timer := v.timer - 1;
if v.timer = 0 then
v.o.err := bus_d_sync;
v.timer := bus_reset_cycles;
v.state := reset3;
end if;
when reset3 =>
v.timer := v.timer - 1;
if v.timer = 0 then
v.state := idle;
end if;
-------------------------------------------------------------------------
-- Write loop sequence
-- lsb first
-------------------------------------------------------------------------
when write1 =>
if v.sr(0) = '0' then
v.timer := bus_write_zero;
else
v.timer := bus_write_one;
end if;
v.state := write2;
when write2 =>
v.bus_o.en_driver := '1';
if v.timer = 0 then
if v.sr(0) = '0' then
v.timer := bus_write_zero_gap;
else
v.timer := bus_write_one_gap;
end if;
v.state := write3;
else
v.timer := v.timer - 1;
end if;
when write3 =>
v.bus_o.en_driver := '0';
v.timer := v.timer - 1;
if v.timer = 0 then
v.sr := "0" & v.sr(7 downto 1);
if v.bit_cnt = 7 then
v.state := idle;
else
v.state := write1;
v.bit_cnt := v.bit_cnt + 1;
end if;
end if;
-----------------------------------------------------------------------
-- Read loop Sequence
-----------------------------------------------------------------------
when read1 =>
v.timer := bus_read_pulse;
v.state := read2;
when read2 =>
v.bus_o.en_driver := '1';
v.timer := v.timer - 1;
if v.timer = 0 then
v.state := read3;
v.timer := bus_read_delay;
end if;
when read3 =>
v.bus_o.en_driver := '0';
v.timer := v.timer - 1;
if v.timer = 0 then
v.sr := bus_d_sync & v.sr(7 downto 1);
v.state := read4;
v.timer := bus_read_gap;
end if;
when read4 =>
v.timer := v.timer - 1;
if v.timer = 0 then
if v.bit_cnt = 7 then
v.state := idle;
else
v.state := read1;
v.bit_cnt := v.bit_cnt + 1;
end if;
end if;
when others => null;
end case;
v.o.d := v.sr; -- output register could be optimised
-- away ...
rin <= v;
end process comb;
seq : process (clk)
begin -- process seq
if rising_edge(clk) then
r <= rin;
end if;
end process seq;
end rtl;
| bsd-3-clause | 6c9ca58d170e1f0e222101da171fc23e | 0.399562 | 3.898233 | false | false | false | false |
loa-org/loa-hdl | modules/onewire/tb/onewire_read_tb.vhd | 1 | 2,959 | -------------------------------------------------------------------------------
-- Title : Onewire Master Testbench - Read Operation
-------------------------------------------------------------------------------
-- Author : [email protected]
-------------------------------------------------------------------------------
-- Created : 2014-12-13
-------------------------------------------------------------------------------
-- Copyright (c) 2014, Carl Treudler
-- All Rights Reserved.
--
-- The file is part for the Loa project and is released under the
-- 3-clause BSD license. See the file `LICENSE` for the full license
-- governing this code.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.onewire_pkg.all;
use work.onewire_cfg_pkg.all;
-------------------------------------------------------------------------------
entity onewire_read_tb is
end onewire_read_tb;
-------------------------------------------------------------------------------
architecture tb of onewire_read_tb is
component onewire
port (
onewire_in : in onewire_in_type;
onewire_out : out onewire_out_type;
onewire_bus_in : in onewire_bus_in_type;
onewire_bus_out : out onewire_bus_out_type;
clk : in std_logic);
end component;
-- component ports
signal onewire_in : onewire_in_type;
signal onewire_out : onewire_out_type;
signal onewire_bus_in : onewire_bus_in_type;
signal onewire_bus_out : onewire_bus_out_type;
-- clock
signal Clk : std_logic := '1';
begin -- tb
-- component instantiation
DUT : onewire
port map (
onewire_in => onewire_in,
onewire_out => onewire_out,
onewire_bus_in => onewire_bus_in,
onewire_bus_out => onewire_bus_out,
clk => clk);
-- clock generation
Clk <= not Clk after 10 ns; -- 50MHz Clock
-- waveform generation
WaveGen_Proc : process
begin
onewire_in.d <= (others => '0');
onewire_in.re <= '0';
onewire_in.we <= '0';
onewire_in.reset_bus <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
onewire_in.re <= '1';
wait until Clk = '1';
onewire_in.re <= '0';
wait for 2.5 ms;
end process WaveGen_Proc;
WaveGen_onewire_device : process
variable device_response : std_logic := '0';
begin
onewire_bus_in.d <= device_response;
device_response := not device_response;
wait until onewire_bus_out.en_driver = '1';
end process WaveGen_onewire_device;
end tb;
-------------------------------------------------------------------------------
configuration onewire_read_tb_tb_cfg of onewire_read_tb is
for tb
end for;
end onewire_read_tb_tb_cfg;
-------------------------------------------------------------------------------
| bsd-3-clause | c7c1a54968072a262d45b782a24e0030 | 0.466712 | 4.357879 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_buffer_register_1_0/system_buffer_register_1_0_sim_netlist.vhdl | 1 | 7,575 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Tue May 30 22:30:26 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_buffer_register_1_0 -prefix
-- system_buffer_register_1_0_ system_buffer_register_1_0_sim_netlist.vhdl
-- Design : system_buffer_register_1_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_buffer_register_1_0_buffer_register is
port (
val_out : out STD_LOGIC_VECTOR ( 31 downto 0 );
val_in : in STD_LOGIC_VECTOR ( 31 downto 0 );
clk : in STD_LOGIC
);
end system_buffer_register_1_0_buffer_register;
architecture STRUCTURE of system_buffer_register_1_0_buffer_register is
begin
\val_out_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(0),
Q => val_out(0),
R => '0'
);
\val_out_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(10),
Q => val_out(10),
R => '0'
);
\val_out_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(11),
Q => val_out(11),
R => '0'
);
\val_out_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(12),
Q => val_out(12),
R => '0'
);
\val_out_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(13),
Q => val_out(13),
R => '0'
);
\val_out_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(14),
Q => val_out(14),
R => '0'
);
\val_out_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(15),
Q => val_out(15),
R => '0'
);
\val_out_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(16),
Q => val_out(16),
R => '0'
);
\val_out_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(17),
Q => val_out(17),
R => '0'
);
\val_out_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(18),
Q => val_out(18),
R => '0'
);
\val_out_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(19),
Q => val_out(19),
R => '0'
);
\val_out_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(1),
Q => val_out(1),
R => '0'
);
\val_out_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(20),
Q => val_out(20),
R => '0'
);
\val_out_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(21),
Q => val_out(21),
R => '0'
);
\val_out_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(22),
Q => val_out(22),
R => '0'
);
\val_out_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(23),
Q => val_out(23),
R => '0'
);
\val_out_reg[24]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(24),
Q => val_out(24),
R => '0'
);
\val_out_reg[25]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(25),
Q => val_out(25),
R => '0'
);
\val_out_reg[26]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(26),
Q => val_out(26),
R => '0'
);
\val_out_reg[27]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(27),
Q => val_out(27),
R => '0'
);
\val_out_reg[28]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(28),
Q => val_out(28),
R => '0'
);
\val_out_reg[29]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(29),
Q => val_out(29),
R => '0'
);
\val_out_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(2),
Q => val_out(2),
R => '0'
);
\val_out_reg[30]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(30),
Q => val_out(30),
R => '0'
);
\val_out_reg[31]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(31),
Q => val_out(31),
R => '0'
);
\val_out_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(3),
Q => val_out(3),
R => '0'
);
\val_out_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(4),
Q => val_out(4),
R => '0'
);
\val_out_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(5),
Q => val_out(5),
R => '0'
);
\val_out_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(6),
Q => val_out(6),
R => '0'
);
\val_out_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(7),
Q => val_out(7),
R => '0'
);
\val_out_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(8),
Q => val_out(8),
R => '0'
);
\val_out_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => val_in(9),
Q => val_out(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_buffer_register_1_0 is
port (
clk : in STD_LOGIC;
val_in : in STD_LOGIC_VECTOR ( 31 downto 0 );
val_out : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_buffer_register_1_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_buffer_register_1_0 : entity is "system_buffer_register_1_0,buffer_register,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_buffer_register_1_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_buffer_register_1_0 : entity is "buffer_register,Vivado 2016.4";
end system_buffer_register_1_0;
architecture STRUCTURE of system_buffer_register_1_0 is
begin
U0: entity work.system_buffer_register_1_0_buffer_register
port map (
clk => clk,
val_in(31 downto 0) => val_in(31 downto 0),
val_out(31 downto 0) => val_out(31 downto 0)
);
end STRUCTURE;
| mit | e5bce443f8a0980532fc157f599b6c2d | 0.486865 | 3.101966 | false | false | false | false |
ashikpoojari/Hardware-Security | RC5 CryptoCore/Rc5 Codes/RC5_enc_full.vhd | 2 | 2,330 | Library IEEE;
Use IEEE.std_logic_1164.All;
Use IEEE.std_logic_arith.All;
Use IEEE.std_logic_unsigned.All;
Use Work.RC5_pkg.All;
Entity rc5_Struct is
Port
(
clr : in std_logic;
clk : in std_logic;
enc : in std_logic;
key_vld : in std_logic;
key : in std_logic_vector (127 downto 0);
data_vld : in std_logic;
din : in std_logic_vector (63 downto 0);
dout : out std_logic_vector (63 downto 0);
data_rdy : out std_logic
);
End rc5_Struct;
--Architecture
Architecture struct of rc5_Struct is
--Key Expansion Module
Component rc5_rnd_key
Port
(
clr : in std_logic;
clk : in std_logic;
key_vld : in std_logic;
key_in : in Std_logic_vector (127 downto 0);
skey : out rom;
key_rdy : out std_logic
);
End Component;
--Encryption Module
Component rc5_enc
Port
(
clr : in std_logic;
clk : in std_logic;
din : in std_logic_vector(63 downto 0);
di_vld : in std_logic;
key_rdy : in std_logic;
skey : in rom;
dout : out std_logic_vector(63 downto 0);
do_rdy : out std_logic
);
End Component;
--Decryption Module
Component rc5_dec
Port
(
clr : In std_logic;
clk : In std_logic;
din : In std_logic_vector(63 downto 0);
din_vld : In std_logic;
key_rdy : In std_logic;
skey : In rom;
dout : Out std_logic_vector(63 downto 0);
dout_rdy : Out std_logic
);
End Component;
--Signals
Signal skey : rom;
Signal key_rdy : std_logic;
Signal dout_enc : std_logic_vector (63 downto 0);
Signal dout_dec : std_logic_vector (63 downto 0);
Signal enc_rdy : std_logic;
Signal dec_rdy : std_logic;
Signal i_cnt : std_logic_vector (3 downto 0);
Begin
--Port Maps
U1 : rc5_rnd_key Port Map (clr => clr, clk => clk, key_in => key, key_vld => key_vld, skey => skey, key_rdy => key_rdy);
U2 : rc5_enc Port Map (clr => clr, clk => clk, din => din, di_vld => key_rdy, skey => skey, dout => dout_enc, do_rdy => enc_rdy, key_rdy => key_rdy);
U3 : rc5_dec Port Map (clr => clr, clk => clk, din => din, din_vld => key_rdy, skey => skey, dout => dout_dec, dout_rdy => dec_rdy, key_rdy => key_rdy);
--Select
With enc select
dout <= dout_enc when '1',
dout_dec when others;
With enc select
data_rdy <= enc_rdy when '1',
dec_rdy when others;
--End structure
End struct;
| mit | 85b92df71d7b137136d8498b2da82f4e | 0.618884 | 2.540894 | false | false | false | false |
loa-org/loa-hdl | modules/signalprocessing/tb/signal_sources.vhd | 2 | 1,547 | -------------------------------------------------------------------------------
-- Title : Common Signal Sources for Testbenches
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: Signal sources for test benches, not synthesizable.
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.signal_sources_pkg.all;
entity source_sine is
generic (
DATA_WIDTH : positive := 10;
AMPLITUDE : real := 1.0;
SIGNAL_FREQUENCY : real := 16000.0;
SAMPLING_FREQUENCY : real := 75000.0);
port (
start_i : in std_logic;
signal_o : out signed(DATA_WIDTH-1 downto 0));
end entity source_sine;
architecture behavourial of source_sine is
begin -- architecture behavourial
WaveGen: process
variable phase : real := 0.0;
variable phase_increment : real := MATH_2_PI * SIGNAL_FREQUENCY / SAMPLING_FREQUENCY;
begin -- process WaveGen
phase := phase + phase_increment;
signal_o <= to_signed(integer(AMPLITUDE * sin(phase)), DATA_WIDTH);
wait until start_i = '1';
end process WaveGen;
end architecture behavourial;
| bsd-3-clause | 82ec35c5aa7d2738f4d2b287aa06d4b5 | 0.481577 | 4.834375 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_debounce_0_0/system_debounce_0_0_sim_netlist.vhdl | 1 | 18,213 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Thu May 25 15:18:26 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_debounce_0_0/system_debounce_0_0_sim_netlist.vhdl
-- Design : system_debounce_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_debounce_0_0_debounce is
port (
signal_out : out STD_LOGIC;
clk : in STD_LOGIC;
signal_in : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_debounce_0_0_debounce : entity is "debounce";
end system_debounce_0_0_debounce;
architecture STRUCTURE of system_debounce_0_0_debounce is
signal \c[0]_i_3_n_0\ : STD_LOGIC;
signal \c[0]_i_4_n_0\ : STD_LOGIC;
signal \c[0]_i_5_n_0\ : STD_LOGIC;
signal \c[0]_i_6_n_0\ : STD_LOGIC;
signal \c[12]_i_2_n_0\ : STD_LOGIC;
signal \c[12]_i_3_n_0\ : STD_LOGIC;
signal \c[12]_i_4_n_0\ : STD_LOGIC;
signal \c[12]_i_5_n_0\ : STD_LOGIC;
signal \c[16]_i_2_n_0\ : STD_LOGIC;
signal \c[16]_i_3_n_0\ : STD_LOGIC;
signal \c[16]_i_4_n_0\ : STD_LOGIC;
signal \c[16]_i_5_n_0\ : STD_LOGIC;
signal \c[20]_i_2_n_0\ : STD_LOGIC;
signal \c[20]_i_3_n_0\ : STD_LOGIC;
signal \c[20]_i_4_n_0\ : STD_LOGIC;
signal \c[20]_i_5_n_0\ : STD_LOGIC;
signal \c[4]_i_2_n_0\ : STD_LOGIC;
signal \c[4]_i_3_n_0\ : STD_LOGIC;
signal \c[4]_i_4_n_0\ : STD_LOGIC;
signal \c[4]_i_5_n_0\ : STD_LOGIC;
signal \c[8]_i_2_n_0\ : STD_LOGIC;
signal \c[8]_i_3_n_0\ : STD_LOGIC;
signal \c[8]_i_4_n_0\ : STD_LOGIC;
signal \c[8]_i_5_n_0\ : STD_LOGIC;
signal c_reg : STD_LOGIC_VECTOR ( 23 downto 0 );
signal \c_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_4\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_5\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_6\ : STD_LOGIC;
signal \c_reg[0]_i_2_n_7\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \c_reg[8]_i_1_n_7\ : STD_LOGIC;
signal clear : STD_LOGIC;
signal signal_out_i_1_n_0 : STD_LOGIC;
signal signal_out_i_2_n_0 : STD_LOGIC;
signal signal_out_i_3_n_0 : STD_LOGIC;
signal signal_out_i_4_n_0 : STD_LOGIC;
signal signal_out_i_5_n_0 : STD_LOGIC;
signal \NLW_c_reg[20]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
\c[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => signal_in,
O => clear
);
\c[0]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(3),
O => \c[0]_i_3_n_0\
);
\c[0]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(2),
O => \c[0]_i_4_n_0\
);
\c[0]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(1),
O => \c[0]_i_5_n_0\
);
\c[0]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => c_reg(0),
O => \c[0]_i_6_n_0\
);
\c[12]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(15),
O => \c[12]_i_2_n_0\
);
\c[12]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(14),
O => \c[12]_i_3_n_0\
);
\c[12]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(13),
O => \c[12]_i_4_n_0\
);
\c[12]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(12),
O => \c[12]_i_5_n_0\
);
\c[16]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(19),
O => \c[16]_i_2_n_0\
);
\c[16]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(18),
O => \c[16]_i_3_n_0\
);
\c[16]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(17),
O => \c[16]_i_4_n_0\
);
\c[16]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(16),
O => \c[16]_i_5_n_0\
);
\c[20]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(23),
O => \c[20]_i_2_n_0\
);
\c[20]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(22),
O => \c[20]_i_3_n_0\
);
\c[20]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(21),
O => \c[20]_i_4_n_0\
);
\c[20]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(20),
O => \c[20]_i_5_n_0\
);
\c[4]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(7),
O => \c[4]_i_2_n_0\
);
\c[4]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(6),
O => \c[4]_i_3_n_0\
);
\c[4]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(5),
O => \c[4]_i_4_n_0\
);
\c[4]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(4),
O => \c[4]_i_5_n_0\
);
\c[8]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(11),
O => \c[8]_i_2_n_0\
);
\c[8]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(10),
O => \c[8]_i_3_n_0\
);
\c[8]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(9),
O => \c[8]_i_4_n_0\
);
\c[8]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => c_reg(8),
O => \c[8]_i_5_n_0\
);
\c_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_7\,
Q => c_reg(0),
R => clear
);
\c_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \c_reg[0]_i_2_n_0\,
CO(2) => \c_reg[0]_i_2_n_1\,
CO(1) => \c_reg[0]_i_2_n_2\,
CO(0) => \c_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0001",
O(3) => \c_reg[0]_i_2_n_4\,
O(2) => \c_reg[0]_i_2_n_5\,
O(1) => \c_reg[0]_i_2_n_6\,
O(0) => \c_reg[0]_i_2_n_7\,
S(3) => \c[0]_i_3_n_0\,
S(2) => \c[0]_i_4_n_0\,
S(1) => \c[0]_i_5_n_0\,
S(0) => \c[0]_i_6_n_0\
);
\c_reg[10]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_5\,
Q => c_reg(10),
R => clear
);
\c_reg[11]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_4\,
Q => c_reg(11),
R => clear
);
\c_reg[12]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_7\,
Q => c_reg(12),
R => clear
);
\c_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[8]_i_1_n_0\,
CO(3) => \c_reg[12]_i_1_n_0\,
CO(2) => \c_reg[12]_i_1_n_1\,
CO(1) => \c_reg[12]_i_1_n_2\,
CO(0) => \c_reg[12]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[12]_i_1_n_4\,
O(2) => \c_reg[12]_i_1_n_5\,
O(1) => \c_reg[12]_i_1_n_6\,
O(0) => \c_reg[12]_i_1_n_7\,
S(3) => \c[12]_i_2_n_0\,
S(2) => \c[12]_i_3_n_0\,
S(1) => \c[12]_i_4_n_0\,
S(0) => \c[12]_i_5_n_0\
);
\c_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_6\,
Q => c_reg(13),
R => clear
);
\c_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_5\,
Q => c_reg(14),
R => clear
);
\c_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[12]_i_1_n_4\,
Q => c_reg(15),
R => clear
);
\c_reg[16]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_7\,
Q => c_reg(16),
R => clear
);
\c_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[12]_i_1_n_0\,
CO(3) => \c_reg[16]_i_1_n_0\,
CO(2) => \c_reg[16]_i_1_n_1\,
CO(1) => \c_reg[16]_i_1_n_2\,
CO(0) => \c_reg[16]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[16]_i_1_n_4\,
O(2) => \c_reg[16]_i_1_n_5\,
O(1) => \c_reg[16]_i_1_n_6\,
O(0) => \c_reg[16]_i_1_n_7\,
S(3) => \c[16]_i_2_n_0\,
S(2) => \c[16]_i_3_n_0\,
S(1) => \c[16]_i_4_n_0\,
S(0) => \c[16]_i_5_n_0\
);
\c_reg[17]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_6\,
Q => c_reg(17),
R => clear
);
\c_reg[18]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_5\,
Q => c_reg(18),
R => clear
);
\c_reg[19]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[16]_i_1_n_4\,
Q => c_reg(19),
R => clear
);
\c_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_6\,
Q => c_reg(1),
R => clear
);
\c_reg[20]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_7\,
Q => c_reg(20),
R => clear
);
\c_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[16]_i_1_n_0\,
CO(3) => \NLW_c_reg[20]_i_1_CO_UNCONNECTED\(3),
CO(2) => \c_reg[20]_i_1_n_1\,
CO(1) => \c_reg[20]_i_1_n_2\,
CO(0) => \c_reg[20]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[20]_i_1_n_4\,
O(2) => \c_reg[20]_i_1_n_5\,
O(1) => \c_reg[20]_i_1_n_6\,
O(0) => \c_reg[20]_i_1_n_7\,
S(3) => \c[20]_i_2_n_0\,
S(2) => \c[20]_i_3_n_0\,
S(1) => \c[20]_i_4_n_0\,
S(0) => \c[20]_i_5_n_0\
);
\c_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_6\,
Q => c_reg(21),
R => clear
);
\c_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_5\,
Q => c_reg(22),
R => clear
);
\c_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[20]_i_1_n_4\,
Q => c_reg(23),
R => clear
);
\c_reg[2]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_5\,
Q => c_reg(2),
R => clear
);
\c_reg[3]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[0]_i_2_n_4\,
Q => c_reg(3),
R => clear
);
\c_reg[4]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_7\,
Q => c_reg(4),
R => clear
);
\c_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[0]_i_2_n_0\,
CO(3) => \c_reg[4]_i_1_n_0\,
CO(2) => \c_reg[4]_i_1_n_1\,
CO(1) => \c_reg[4]_i_1_n_2\,
CO(0) => \c_reg[4]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[4]_i_1_n_4\,
O(2) => \c_reg[4]_i_1_n_5\,
O(1) => \c_reg[4]_i_1_n_6\,
O(0) => \c_reg[4]_i_1_n_7\,
S(3) => \c[4]_i_2_n_0\,
S(2) => \c[4]_i_3_n_0\,
S(1) => \c[4]_i_4_n_0\,
S(0) => \c[4]_i_5_n_0\
);
\c_reg[5]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_6\,
Q => c_reg(5),
R => clear
);
\c_reg[6]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_5\,
Q => c_reg(6),
R => clear
);
\c_reg[7]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[4]_i_1_n_4\,
Q => c_reg(7),
R => clear
);
\c_reg[8]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_7\,
Q => c_reg(8),
R => clear
);
\c_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \c_reg[4]_i_1_n_0\,
CO(3) => \c_reg[8]_i_1_n_0\,
CO(2) => \c_reg[8]_i_1_n_1\,
CO(1) => \c_reg[8]_i_1_n_2\,
CO(0) => \c_reg[8]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \c_reg[8]_i_1_n_4\,
O(2) => \c_reg[8]_i_1_n_5\,
O(1) => \c_reg[8]_i_1_n_6\,
O(0) => \c_reg[8]_i_1_n_7\,
S(3) => \c[8]_i_2_n_0\,
S(2) => \c[8]_i_3_n_0\,
S(1) => \c[8]_i_4_n_0\,
S(0) => \c[8]_i_5_n_0\
);
\c_reg[9]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \c_reg[8]_i_1_n_6\,
Q => c_reg(9),
R => clear
);
signal_out_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => signal_out_i_2_n_0,
I1 => signal_out_i_3_n_0,
I2 => signal_out_i_4_n_0,
I3 => c_reg(0),
I4 => signal_out_i_5_n_0,
O => signal_out_i_1_n_0
);
signal_out_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(3),
I1 => c_reg(4),
I2 => c_reg(1),
I3 => c_reg(2),
I4 => c_reg(6),
I5 => c_reg(5),
O => signal_out_i_2_n_0
);
signal_out_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(21),
I1 => c_reg(22),
I2 => c_reg(19),
I3 => c_reg(20),
I4 => signal_in,
I5 => c_reg(23),
O => signal_out_i_3_n_0
);
signal_out_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(15),
I1 => c_reg(16),
I2 => c_reg(13),
I3 => c_reg(14),
I4 => c_reg(18),
I5 => c_reg(17),
O => signal_out_i_4_n_0
);
signal_out_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => c_reg(9),
I1 => c_reg(10),
I2 => c_reg(7),
I3 => c_reg(8),
I4 => c_reg(12),
I5 => c_reg(11),
O => signal_out_i_5_n_0
);
signal_out_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => signal_out_i_1_n_0,
Q => signal_out,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_debounce_0_0 is
port (
clk : in STD_LOGIC;
signal_in : in STD_LOGIC;
signal_out : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_debounce_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_debounce_0_0 : entity is "system_debounce_0_0,debounce,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_debounce_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_debounce_0_0 : entity is "debounce,Vivado 2016.4";
end system_debounce_0_0;
architecture STRUCTURE of system_debounce_0_0 is
begin
U0: entity work.system_debounce_0_0_debounce
port map (
clk => clk,
signal_in => signal_in,
signal_out => signal_out
);
end STRUCTURE;
| mit | d719c683f758e3e8d6da38e206b6edc5 | 0.464064 | 2.373957 | false | false | false | false |
loa-org/loa-hdl | modules/uart/tb/uart_rx_tb.vhd | 1 | 2,829 | -------------------------------------------------------------------------------
-- Title : Testbench for design "uart_rx"
-------------------------------------------------------------------------------
-- Author : Fabian Greif
-- Standard : VHDL'x
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2013
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.uart_pkg.all;
use work.uart_tb_pkg.all;
-------------------------------------------------------------------------------
entity uart_rx_tb is
end entity uart_rx_tb;
-------------------------------------------------------------------------------
architecture behavourial of uart_rx_tb is
-- component ports
signal rxd : std_logic := '1';
signal disable : std_logic := '0';
signal data : std_logic_vector(7 downto 0);
signal we : std_logic;
signal rx_error : std_logic;
signal full : std_logic := '1';
signal clk_rx_en : std_logic := '0';
signal clk : std_logic := '0';
begin
-- component instantiation
dut : entity work.uart_rx
port map (
rxd_p => rxd,
disable_p => disable,
data_p => data,
we_p => we,
error_p => rx_error,
full_p => full,
clk_rx_en => clk_rx_en,
reset => '0',
clk => clk);
-- clock generation
clk <= not clk after 20 ns;
-- Generate a bit clock
bitclock : process
begin
wait until rising_edge(clk);
clk_rx_en <= '1';
wait until rising_edge(clk);
clk_rx_en <= '0';
wait until rising_edge(clk);
wait until rising_edge(clk);
wait until rising_edge(clk);
end process bitclock;
-- waveform generation
waveform : process
begin
wait for 100 ns;
wait until rising_edge(clk);
-- glitch
rxd <= '0';
wait until rising_edge(clk);
rxd <= '1';
wait for 100 ns;
-- correct transmission
uart_transmit(rxd, "001111100", 10000000);
--wait for 200 ns;
wait for 800 ns;
-- correct transmission, odd parity in MSB
uart_transmit(rxd, "111111111", 1000000);
uart_transmit(rxd, "111111111", 1000000);
--wait for 200 ns;
---- check slightly off baudrates
uart_transmit(rxd, "001111100", 10500000);
--wait for 200 ns;
uart_transmit(rxd, "001111100", 9700000);
--wait for 200 ns;
-- send a wrong parity bit
uart_transmit(rxd, "101111100", 10000000);
wait for 200 ns;
wait;
end process waveform;
end architecture behavourial;
| bsd-3-clause | 27e69e62b1543c11c910b6c70e8d3546 | 0.455992 | 4.490476 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | video_ip/vga_feature_transform/vga_feature_transform.srcs/sources_1/new/feature_buffer_match_block.vhd | 1 | 5,844 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity feature_buffer_match_block is
generic (
PARITY : std_logic := '0'
);
port (
clk : in std_logic;
mode : in std_logic_vector(1 downto 0);
x_in_left : in std_logic_vector(9 downto 0);
y_in_left : in std_logic_vector(9 downto 0);
hessian_in_left : in std_logic_vector(31 downto 0);
x_in_right : in std_logic_vector(9 downto 0);
y_in_right : in std_logic_vector(9 downto 0);
hessian_in_right : in std_logic_vector(31 downto 0);
x_in_match_0 : in std_logic_vector(9 downto 0);
y_in_match_0 : in std_logic_vector(9 downto 0);
x_in_match_1 : in std_logic_vector(9 downto 0);
y_in_match_1 : in std_logic_vector(9 downto 0);
x_out_left : out std_logic_vector(9 downto 0);
y_out_left : out std_logic_vector(9 downto 0);
hessian_out_left : out std_logic_vector(31 downto 0);
x_out_right : out std_logic_vector(9 downto 0);
y_out_right : out std_logic_vector(9 downto 0);
hessian_out_right : out std_logic_vector(31 downto 0)
);
end feature_buffer_match_block;
architecture Behavioral of feature_buffer_match_block is
signal hessian : unsigned(31 downto 0) := x"00000000";
signal x : std_logic_vector(9 downto 0) := "0000000000";
signal y : std_logic_vector(9 downto 0) := "0000000000";
begin
hessian_out_left <= std_logic_vector(hessian);
x_out_left <= x;
y_out_left <= y;
hessian_out_right <= std_logic_vector(hessian);
x_out_right <= x;
y_out_right <= y;
process(clk)
variable x_diff_0, x_diff_1, y_diff_0, y_diff_1 : signed(9 downto 0);
variable distance_0, distance_1 : unsigned(19 downto 0);
begin
if rising_edge(clk) then
if mode = "01" then
if PARITY = '0' then
if hessian > unsigned(hessian_in_right) then
hessian <= unsigned(hessian_in_right);
x <= x_in_right;
y <= y_in_right;
end if;
else
if hessian < unsigned(hessian_in_left) then
hessian <= unsigned(hessian_in_left);
x <= x_in_left;
y <= y_in_left;
end if;
end if;
elsif mode = "10" then
if PARITY = '0' then
x_diff_0 := signed(x_in_match_0) - signed(x);
y_diff_0 := signed(y_in_match_0) - signed(y);
distance_0 := unsigned(x_diff_0 * x_diff_0 + y_diff_0 * y_diff_0);
x_diff_1 := signed(x_in_match_0) - signed(x_in_right);
y_diff_1 := signed(y_in_match_0) - signed(y_in_right);
distance_1 := unsigned(x_diff_1 * x_diff_1 + y_diff_1 * y_diff_1);
if distance_1 < distance_0 then
x <= x_in_right;
y <= y_in_right;
end if;
else
x_diff_0 := signed(x_in_match_1) - signed(x);
y_diff_0 := signed(y_in_match_1) - signed(y);
distance_0 := unsigned(x_diff_0 * x_diff_0 + y_diff_0 * y_diff_0);
x_diff_1 := signed(x_in_match_1) - signed(x_in_left);
y_diff_1 := signed(y_in_match_1) - signed(y_in_left);
distance_1 := unsigned(x_diff_1 * x_diff_1 + y_diff_1 * y_diff_1);
if distance_1 < distance_0 then
x <= x_in_left;
y <= y_in_left;
end if;
end if;
end if;
end if;
if falling_edge(clk) then
if mode = "01" then
if PARITY = '1' then
if hessian > unsigned(hessian_in_right) then
hessian <= unsigned(hessian_in_right);
x <= x_in_right;
y <= y_in_right;
end if;
else
if hessian < unsigned(hessian_in_left) then
hessian <= unsigned(hessian_in_left);
x <= x_in_left;
y <= y_in_left;
end if;
end if;
elsif mode = "10" then
if PARITY = '1' then
x_diff_0 := signed(x_in_match_0) - signed(x);
y_diff_0 := signed(y_in_match_0) - signed(y);
distance_0 := unsigned(x_diff_0 * x_diff_0 + y_diff_0 * y_diff_0);
x_diff_1 := signed(x_in_match_0) - signed(x_in_right);
y_diff_1 := signed(y_in_match_0) - signed(y_in_right);
distance_1 := unsigned(x_diff_1 * x_diff_1 + y_diff_1 * y_diff_1);
if distance_1 < distance_0 then
x <= x_in_right;
y <= y_in_right;
end if;
else
x_diff_0 := signed(x_in_match_1) - signed(x);
y_diff_0 := signed(y_in_match_1) - signed(y);
distance_0 := unsigned(x_diff_0 * x_diff_0 + y_diff_0 * y_diff_0);
x_diff_1 := signed(x_in_match_1) - signed(x_in_left);
y_diff_1 := signed(y_in_match_1) - signed(y_in_left);
distance_1 := unsigned(x_diff_1 * x_diff_1 + y_diff_1 * y_diff_1);
if distance_1 < distance_0 then
x <= x_in_left;
y <= y_in_left;
end if;
end if;
end if;
end if;
end process;
end Behavioral;
| mit | e6b3156afca235ce8a215ba75ef47509 | 0.459788 | 3.466192 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_auto_pc_0/system_auto_pc_0_sim_netlist.vhdl | 1 | 506,454 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Wed May 31 20:17:05 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/ZyboIP/examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_auto_pc_0/system_auto_pc_0_sim_netlist.vhdl
-- Design : system_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 );
\axaddr_incr_reg[11]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_reg_0 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_0\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_11_b2s_incr_cmd";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd is
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 2 downto 1 );
signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair107";
begin
axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0);
\axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\;
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\,
I1 => \cnt_read_reg[1]_rep__0\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[0]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \m_payload_i_reg[46]\(4),
I3 => \m_payload_i_reg[46]\(5),
O => S(3)
);
\axaddr_incr[0]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"0A9A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \m_payload_i_reg[46]\(5),
I3 => \m_payload_i_reg[46]\(4),
O => S(2)
);
\axaddr_incr[0]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"009A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \m_payload_i_reg[46]\(4),
I3 => \m_payload_i_reg[46]\(5),
O => S(1)
);
\axaddr_incr[0]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"0009"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \m_payload_i_reg[46]\(4),
I3 => \m_payload_i_reg[46]\(5),
O => S(0)
);
\axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(3),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(3),
O => \axaddr_incr[4]_i_2_n_0\
);
\axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(2),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(2),
O => \axaddr_incr[4]_i_3_n_0\
);
\axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(1),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(1),
O => \axaddr_incr[4]_i_4_n_0\
);
\axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(0),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(0),
O => \axaddr_incr[4]_i_5_n_0\
);
\axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(7),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(7),
O => \axaddr_incr[8]_i_2_n_0\
);
\axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(6),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(6),
O => \axaddr_incr[8]_i_3_n_0\
);
\axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(5),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(5),
O => \axaddr_incr[8]_i_4_n_0\
);
\axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(4),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(4),
O => \axaddr_incr[8]_i_5_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => O(0),
Q => \axaddr_incr_reg[3]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[8]_i_1_n_5\,
Q => \^axaddr_incr_reg\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[8]_i_1_n_4\,
Q => \^axaddr_incr_reg\(7),
R => '0'
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => O(1),
Q => \axaddr_incr_reg[3]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => O(2),
Q => \axaddr_incr_reg[3]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => O(3),
Q => \axaddr_incr_reg[3]_0\(3),
R => '0'
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[4]_i_1_n_7\,
Q => \^axaddr_incr_reg\(0),
R => '0'
);
\axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => CO(0),
CO(3) => \axaddr_incr_reg[4]_i_1_n_0\,
CO(2) => \axaddr_incr_reg[4]_i_1_n_1\,
CO(1) => \axaddr_incr_reg[4]_i_1_n_2\,
CO(0) => \axaddr_incr_reg[4]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[4]_i_1_n_4\,
O(2) => \axaddr_incr_reg[4]_i_1_n_5\,
O(1) => \axaddr_incr_reg[4]_i_1_n_6\,
O(0) => \axaddr_incr_reg[4]_i_1_n_7\,
S(3) => \axaddr_incr[4]_i_2_n_0\,
S(2) => \axaddr_incr[4]_i_3_n_0\,
S(1) => \axaddr_incr[4]_i_4_n_0\,
S(0) => \axaddr_incr[4]_i_5_n_0\
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[4]_i_1_n_6\,
Q => \^axaddr_incr_reg\(1),
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[4]_i_1_n_5\,
Q => \^axaddr_incr_reg\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[4]_i_1_n_4\,
Q => \^axaddr_incr_reg\(3),
R => '0'
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[8]_i_1_n_7\,
Q => \^axaddr_incr_reg\(4),
R => '0'
);
\axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[4]_i_1_n_0\,
CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[8]_i_1_n_1\,
CO(1) => \axaddr_incr_reg[8]_i_1_n_2\,
CO(0) => \axaddr_incr_reg[8]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[8]_i_1_n_4\,
O(2) => \axaddr_incr_reg[8]_i_1_n_5\,
O(1) => \axaddr_incr_reg[8]_i_1_n_6\,
O(0) => \axaddr_incr_reg[8]_i_1_n_7\,
S(3) => \axaddr_incr[8]_i_2_n_0\,
S(2) => \axaddr_incr[8]_i_3_n_0\,
S(1) => \axaddr_incr[8]_i_4_n_0\,
S(0) => \axaddr_incr[8]_i_5_n_0\
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[0]_i_1_n_0\,
D => \axaddr_incr_reg[8]_i_1_n_6\,
Q => \^axaddr_incr_reg\(5),
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"44444F4444444444"
)
port map (
I0 => \axlen_cnt_reg_n_0_[0]\,
I1 => \^axlen_cnt_reg[0]_0\,
I2 => Q(1),
I3 => si_rs_awvalid,
I4 => Q(0),
I5 => \m_payload_i_reg[46]\(6),
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(7),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => p_1_in(1)
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F8F8F88F88888888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => p_1_in(2)
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA90000FFFFFFFF"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
I5 => \m_payload_i_reg[47]\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[4]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt_reg_n_0_[6]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => p_1_in(1),
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => p_1_in(2),
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_0\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_0\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_0\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[6]\,
I3 => \axlen_cnt_reg_n_0_[5]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[0]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[11]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 );
\axaddr_incr_reg[11]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[1]_0\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_reg_1 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC;
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
D : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_11_b2s_incr_cmd";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd_2;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd_2 is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[1]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_i_4_n_0 : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_3__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \next_pending_r_i_2__1\ : label is "soft_lutpair5";
begin
Q(0) <= \^q\(0);
axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0);
\axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\;
\axlen_cnt_reg[1]_0\ <= \^axlen_cnt_reg[1]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAA6AAAAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(3)
);
\axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A2A262A2A2A2A2A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(4),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(2)
);
\axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A0A060A0A0A0A0A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(1)
);
\axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6
generic map(
INIT => X"0202010202020202"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(4),
I2 => \m_payload_i_reg[46]\(5),
I3 => m_axi_arready,
I4 => \state_reg[1]_0\(1),
I5 => \state_reg[1]_0\(0),
O => S(0)
);
\axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(3),
O => \axaddr_incr[4]_i_2__0_n_0\
);
\axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(2),
O => \axaddr_incr[4]_i_3__0_n_0\
);
\axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(1),
O => \axaddr_incr[4]_i_4__0_n_0\
);
\axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(0),
O => \axaddr_incr[4]_i_5__0_n_0\
);
\axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(3),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(7),
O => \axaddr_incr[8]_i_2__0_n_0\
);
\axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(2),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(6),
O => \axaddr_incr[8]_i_3__0_n_0\
);
\axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(1),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(5),
O => \axaddr_incr[8]_i_4__0_n_0\
);
\axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[11]\(0),
I1 => \^axaddr_incr_reg[11]_0\,
I2 => \^axaddr_incr_reg\(4),
O => \axaddr_incr[8]_i_5__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => O(0),
Q => \axaddr_incr_reg[3]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[8]_i_1__0_n_5\,
Q => \^axaddr_incr_reg\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[8]_i_1__0_n_4\,
Q => \^axaddr_incr_reg\(7),
R => '0'
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => O(1),
Q => \axaddr_incr_reg[3]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => O(2),
Q => \axaddr_incr_reg[3]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => O(3),
Q => \axaddr_incr_reg[3]_0\(3),
R => '0'
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[4]_i_1__0_n_7\,
Q => \^axaddr_incr_reg\(0),
R => '0'
);
\axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4
port map (
CI => CO(0),
CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\,
CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\,
CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\,
CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\,
O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\,
O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\,
O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\,
S(3) => \axaddr_incr[4]_i_2__0_n_0\,
S(2) => \axaddr_incr[4]_i_3__0_n_0\,
S(1) => \axaddr_incr[4]_i_4__0_n_0\,
S(0) => \axaddr_incr[4]_i_5__0_n_0\
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[4]_i_1__0_n_6\,
Q => \^axaddr_incr_reg\(1),
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[4]_i_1__0_n_5\,
Q => \^axaddr_incr_reg\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[4]_i_1__0_n_4\,
Q => \^axaddr_incr_reg\(3),
R => '0'
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[8]_i_1__0_n_7\,
Q => \^axaddr_incr_reg\(4),
R => '0'
);
\axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[4]_i_1__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\,
CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\,
CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\,
O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\,
O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\,
O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\,
S(3) => \axaddr_incr[8]_i_2__0_n_0\,
S(2) => \axaddr_incr[8]_i_3__0_n_0\,
S(1) => \axaddr_incr[8]_i_4__0_n_0\,
S(0) => \axaddr_incr[8]_i_5__0_n_0\
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_0,
D => \axaddr_incr_reg[8]_i_1__0_n_6\,
Q => \^axaddr_incr_reg\(5),
R => '0'
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[1]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F8F8F88F88888888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(7),
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \^axlen_cnt_reg[1]_0\,
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA90000FFFFFFFF"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[1]_0\,
I5 => \m_payload_i_reg[47]\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
I4 => next_pending_r_i_4_n_0,
O => \^axlen_cnt_reg[1]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[4]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt[7]_i_3__0_n_0\,
I2 => \axlen_cnt_reg_n_0_[5]\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt_reg_n_0_[6]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \^q\(0),
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => D(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__1_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[44]\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => next_pending_r_i_4_n_0,
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[4]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
O => next_pending_r_i_4_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^axaddr_incr_reg[11]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[11]\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[6]\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[35]\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[35]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[44]_0\ : in STD_LOGIC;
\state_reg[0]_0\ : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_rd_cmd_fsm : entity is "axi_protocol_converter_v2_1_11_b2s_rd_cmd_fsm";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_rd_cmd_fsm;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_rd_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^r_push_r_reg\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair1";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair0";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
sel_first_i <= \^sel_first_i\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]\(1 downto 0) <= \^wrap_second_len_r_reg[3]\(1 downto 0);
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AEAA"
)
port map (
I0 => sel_first_reg_2,
I1 => \^m_payload_i_reg[0]_0\,
I2 => \^m_payload_i_reg[0]\,
I3 => m_axi_arready,
O => \axaddr_incr_reg[11]\
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[0]_0\(0),
I1 => \m_payload_i_reg[44]\(1),
I2 => \^q\(0),
I3 => si_rs_arvalid,
I4 => \^q\(1),
I5 => \m_payload_i_reg[3]\,
O => \^axaddr_offset_r_reg[0]\(0)
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_arvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[44]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[6]\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0E02"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]_0\,
I2 => \^m_payload_i_reg[0]\,
I3 => m_axi_arready,
O => \axlen_cnt_reg[3]\(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00002320"
)
port map (
I0 => m_axi_arready,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[6]\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"8F"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFABEEAA"
)
port map (
I0 => \m_payload_i_reg[44]_0\,
I1 => \^r_push_r_reg\,
I2 => \^e\(0),
I3 => \state_reg[0]_0\,
I4 => next_pending_r_reg,
O => \^wrap_next_pending\
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"20"
)
port map (
I0 => m_axi_arready,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \^r_push_r_reg\
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[44]\(0),
I2 => \^sel_first_i\,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[44]\(0),
I2 => \^sel_first_i\,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFCFFFFFCCCCCCEE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]\,
I4 => \^m_payload_i_reg[0]_0\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_2,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_3,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000033333FFF2222"
)
port map (
I0 => si_rs_arvalid,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => s_axburst_eq1_reg_0,
I3 => m_axi_arready,
I4 => \^q\(0),
I5 => \^q\(1),
O => next_state(0)
);
\state[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0FC00040"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
I4 => \cnt_read_reg[1]_rep__0\,
O => next_state(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"5575AA8A5545AA8A"
)
port map (
I0 => \wrap_second_len_r_reg[3]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => \^axaddr_offset_r_reg[0]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A6AA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[2]\(0),
I2 => \wrap_cnt_r[3]_i_2__0_n_0\,
I3 => \wrap_second_len_r_reg[2]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD11DD11DD11DDF1"
)
port map (
I0 => \wrap_second_len_r_reg[3]_0\(0),
I1 => \^e\(0),
I2 => \m_payload_i_reg[35]\,
I3 => \^axaddr_offset_r_reg[0]\(0),
I4 => \m_payload_i_reg[47]\(0),
I5 => \m_payload_i_reg[47]\(1),
O => \wrap_cnt_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAABAAA8A"
)
port map (
I0 => \wrap_second_len_r_reg[3]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => \^axaddr_offset_r_reg[0]\(0),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF4FF44444444"
)
port map (
I0 => \^e\(0),
I1 => \wrap_second_len_r_reg[3]_0\(1),
I2 => \^axaddr_offset_r_reg[0]\(0),
I3 => \m_payload_i_reg[35]\,
I4 => \m_payload_i_reg[47]\(0),
I5 => \m_payload_i_reg[35]_0\,
O => \^wrap_second_len_r_reg[3]\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
\state_reg[0]\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
\cnt_read_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo : entity is "axi_protocol_converter_v2_1_11_b2s_simple_fifo";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo is
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 to 1 );
signal \cnt_read[0]_i_1_n_0\ : STD_LOGIC;
signal cnt_read_0 : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair111";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute SOFT_HLUTNM of \memory_reg[3][0]_srl4_i_3\ : label is "soft_lutpair110";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
attribute SOFT_HLUTNM of \state[0]_i_2\ : label is "soft_lutpair110";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => areset_d1,
I1 => \^bresp_push\,
O => SR(0)
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"002A"
)
port map (
I0 => bvalid_i_i_2_n_0,
I1 => si_rs_bready,
I2 => si_rs_bvalid,
I3 => areset_d1,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => \^cnt_read_reg[1]_rep__0_0\,
I2 => shandshake_r,
I3 => \cnt_read_reg[1]_0\(1),
I4 => \cnt_read_reg[1]_0\(0),
I5 => si_rs_bvalid,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => cnt_read(1)
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1_n_0\,
Q => cnt_read_0(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => cnt_read(1),
Q => cnt_read_0(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => cnt_read(1),
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => cnt_read(1),
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000082"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => Q(1),
I3 => Q(7),
I4 => \memory_reg[3][0]_srl4_i_3_n_0\,
I5 => \memory_reg[3][0]_srl4_i_4_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"04000004"
)
port map (
I0 => Q(5),
I1 => mhandshake_r,
I2 => Q(4),
I3 => \memory_reg[3][3]_srl4_n_0\,
I4 => Q(3),
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFAEAEAE"
)
port map (
I0 => Q(6),
I1 => \memory_reg[3][0]_srl4_n_0\,
I2 => Q(0),
I3 => \^cnt_read_reg[1]_rep__0_0\,
I4 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2FF2"
)
port map (
I0 => Q(0),
I1 => \memory_reg[3][0]_srl4_n_0\,
I2 => \memory_reg[3][2]_srl4_n_0\,
I3 => Q(2),
O => \memory_reg[3][0]_srl4_i_4_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read_0(0),
A1 => cnt_read_0(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
\state[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \state_reg[0]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
bresp_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_11_b2s_simple_fifo";
end \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair114";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair113";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
attribute SOFT_HLUTNM of mhandshake_r_i_1 : label is "soft_lutpair113";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^q\(0),
I1 => bresp_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^q\(0),
I1 => bresp_push,
I2 => shandshake_r,
I3 => \^q\(1),
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => bresp_push,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => bresp_push,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[1]_rep__3_0\ : out STD_LOGIC;
m_valid_i_reg : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_11_b2s_simple_fifo";
end \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__3_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \cnt_read[3]_i_3\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair10";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__3\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
begin
\cnt_read_reg[1]_rep__3_0\ <= \^cnt_read_reg[1]_rep__3_0\;
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => \^cnt_read_reg[1]_rep__3_0\,
I2 => \cnt_read[3]_i_2_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => \^cnt_read_reg[1]_rep__3_0\,
I2 => \cnt_read[3]_i_2_n_0\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FE7F0180"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => \^cnt_read_reg[1]_rep__3_0\,
I3 => \cnt_read[3]_i_2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DFFFFFFB20000004"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read[3]_i_2_n_0\,
I2 => \^cnt_read_reg[1]_rep__3_0\,
I3 => \cnt_read_reg[0]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
I5 => \cnt_read_reg[3]_rep__2_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"08808880FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[4]_rep__2_n_0\,
I1 => \cnt_read_reg[3]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__3_n_0\,
I3 => \cnt_read_reg[2]_rep__2_n_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[3]_i_2_n_0\
);
\cnt_read[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^m_valid_i_reg\,
I1 => si_rs_rready,
O => \^cnt_read_reg[1]_rep__3_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AA69AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__2_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
I3 => \cnt_read_reg[3]_rep__2_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF7F77"
)
port map (
I0 => \cnt_read_reg[1]_rep__3_n_0\,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^m_valid_i_reg\,
I3 => si_rs_rready,
I4 => \cnt_read[3]_i_2_n_0\,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000400"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read[3]_i_2_n_0\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__3\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__2_n_0\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"F77F777F"
)
port map (
I0 => \cnt_read_reg[4]_rep__2_n_0\,
I1 => \cnt_read_reg[3]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read_reg[2]_rep__2_n_0\,
I4 => \cnt_read_reg[0]_rep__2_n_0\,
O => m_axi_rready
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF80000000"
)
port map (
I0 => \cnt_read_reg[3]_rep__2_n_0\,
I1 => \cnt_read_reg[4]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__3_n_0\,
I3 => \cnt_read_reg[0]_rep__3_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__0_0\,
O => \^m_valid_i_reg\
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"800AAAAAAAAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
I3 => \cnt_read_reg[1]_rep__3_n_0\,
I4 => \cnt_read_reg[3]_rep__2_n_0\,
I5 => \cnt_read_reg[4]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"7C000000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__3_n_0\,
I3 => \cnt_read_reg[3]_rep__2_n_0\,
I4 => \cnt_read_reg[4]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized2\ is
port (
\state_reg[1]_rep\ : out STD_LOGIC;
m_valid_i_reg : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_11_b2s_simple_fifo";
end \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair11";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__1_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"7E81"
)
port map (
I0 => \cnt_read_reg[0]_rep__1_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFE8001"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFE80000001"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[2]_rep__0_n_0\,
I5 => \cnt_read_reg[3]_rep__0_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AA69AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2__0_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3__0_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"5DFFFFFF"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => si_rs_rready,
I2 => \cnt_read_reg[3]_rep__2\,
I3 => r_push_r,
I4 => \cnt_read_reg[0]_rep__0_n_0\,
O => \cnt_read[4]_i_2__0_n_0\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000010"
)
port map (
I0 => \cnt_read_reg[0]_rep__1_n_0\,
I1 => r_push_r,
I2 => si_rs_rready,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
I3 => \cnt_read_reg[4]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
O => m_valid_i_reg
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__0_n_0\,
I3 => \cnt_read_reg[2]_rep__0_n_0\,
I4 => \cnt_read_reg[4]_rep__0_n_0\,
I5 => \cnt_read_reg[3]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wr_cmd_fsm is
port (
E : out STD_LOGIC_VECTOR ( 0 to 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
sel_first_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
s_axburst_eq0_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
next_pending_r_reg_0 : in STD_LOGIC;
\axlen_cnt_reg[3]\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
\sel_first__0\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wr_cmd_fsm : entity is "axi_protocol_converter_v2_1_11_b2s_wr_cmd_fsm";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wr_cmd_fsm;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wr_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^sel_first_i\ : STD_LOGIC;
signal \^sel_first_reg\ : STD_LOGIC;
signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair106";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair105";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair105";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair106";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
sel_first_i <= \^sel_first_i\;
sel_first_reg <= \^sel_first_reg\;
\wrap_boundary_axaddr_r_reg[0]\(0) <= \^wrap_boundary_axaddr_r_reg[0]\(0);
wrap_next_pending <= \^wrap_next_pending\;
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"04FF"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^sel_first_reg\,
O => E(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"000004FF"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^sel_first_reg\,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A000A0A0A800A8A8"
)
port map (
I0 => \^q\(0),
I1 => m_axi_awready,
I2 => \^q\(1),
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0_0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[46]\,
I1 => \^wrap_boundary_axaddr_r_reg[0]\(0),
I2 => next_pending_r_reg,
I3 => \^sel_first_reg\,
I4 => \axlen_cnt_reg[7]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B888B8BB"
)
port map (
I0 => \m_payload_i_reg[46]\,
I1 => \^wrap_boundary_axaddr_r_reg[0]\(0),
I2 => next_pending_r_reg_0,
I3 => \^sel_first_reg\,
I4 => \axlen_cnt_reg[3]\,
O => \^wrap_next_pending\
);
next_pending_r_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0CAE0CFF00FF00FF"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0_0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => \^q\(1),
I4 => m_axi_awready,
I5 => \^q\(0),
O => \^sel_first_reg\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[39]\(0),
I2 => \^sel_first_i\,
I3 => \^incr_next_pending\,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => \^wrap_next_pending\,
I1 => \m_payload_i_reg[39]\(0),
I2 => \^sel_first_i\,
I3 => \^incr_next_pending\,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF04FF04FF04"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => areset_d1,
I4 => \^sel_first_reg\,
I5 => sel_first_reg_2,
O => \^sel_first_i\
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF88888F88"
)
port map (
I0 => \^sel_first_reg\,
I1 => sel_first_reg_3,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF88888F88"
)
port map (
I0 => \^sel_first_reg\,
I1 => \sel_first__0\,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_1
);
\state[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F232FE32FE3EFE3E"
)
port map (
I0 => si_rs_awvalid,
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \cnt_read_reg[1]_rep__0\,
I4 => s_axburst_eq1_reg_0,
I5 => m_axi_awready,
O => next_state(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"20E0202000E00000"
)
port map (
I0 => m_axi_awready,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0_0\,
I5 => s_axburst_eq1_reg_0,
O => next_state(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => next_state(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => next_state(1),
Q => \^q\(1),
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
O => \^wrap_boundary_axaddr_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
next_pending_r_reg_1 : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 );
\m_payload_i_reg[38]\ : in STD_LOGIC;
\axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd : entity is "axi_protocol_converter_v2_1_11_b2s_wrap_cmd";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_3\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair109";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(0),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(0),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(10),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(10),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(11),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(11),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"BE"
)
port map (
I0 => \axaddr_wrap[11]_i_8_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_3_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(11),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(10),
O => \axaddr_wrap[11]_i_5_n_0\
);
\axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(9),
O => \axaddr_wrap[11]_i_6_n_0\
);
\axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(8),
O => \axaddr_wrap[11]_i_7_n_0\
);
\axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(2),
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => wrap_cnt_r(0),
O => \axaddr_wrap[11]_i_8_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(1),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(1),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(2),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(2),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(3),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(3),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(4),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(4),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(5),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(6),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(6),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(7),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(7),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(7),
O => \axaddr_wrap[7]_i_3_n_0\
);
\axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(6),
O => \axaddr_wrap[7]_i_4_n_0\
);
\axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(5),
O => \axaddr_wrap[7]_i_5_n_0\
);
\axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => axaddr_wrap(4),
O => \axaddr_wrap[7]_i_6_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(8),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(8),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \m_payload_i_reg[47]\(9),
I1 => \cnt_read_reg[1]_rep__0\,
I2 => axaddr_wrap0(9),
I3 => \axaddr_wrap[11]_i_3_n_0\,
I4 => wrap_boundary_axaddr_r(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3) => \axaddr_wrap[11]_i_4_n_0\,
S(2) => \axaddr_wrap[11]_i_5_n_0\,
S(1) => \axaddr_wrap[11]_i_6_n_0\,
S(0) => \axaddr_wrap[11]_i_7_n_0\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3) => \axaddr_wrap[7]_i_3_n_0\,
S(2) => \axaddr_wrap[7]_i_4_n_0\,
S(1) => \axaddr_wrap[7]_i_5_n_0\,
S(0) => \axaddr_wrap[7]_i_6_n_0\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF555400005554"
)
port map (
I0 => \axlen_cnt_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(15),
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAACCC3AAAACCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(17),
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => E(0),
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFAAA80000AAA8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(18),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(0),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(6),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(10),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(7),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(11),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(1),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(2),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(3),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(0),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(5),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(1),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(5),
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(2),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(6),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(3),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(7),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(4),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(8),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(5),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(9),
O => m_axi_awaddr(9)
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
O => next_pending_r_reg_1
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(3),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd_3 is
port (
next_pending_r_reg_0 : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
next_pending_r_reg_1 : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 );
\m_payload_i_reg[38]\ : in STD_LOGIC;
\axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\m_payload_i_reg[35]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_11_b2s_wrap_cmd";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd_3;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[47]_0\(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[47]_0\(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[47]_0\(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[47]_0\(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_5\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_4\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"F6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axaddr_wrap[11]_i_8__0_n_0\,
O => \axaddr_wrap[11]_i_3__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[11]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[10]\,
O => \axaddr_wrap[11]_i_5__0_n_0\
);
\axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[9]\,
O => \axaddr_wrap[11]_i_6__0_n_0\
);
\axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[8]\,
O => \axaddr_wrap[11]_i_7__0_n_0\
);
\axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_8__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[7]\,
O => \axaddr_wrap[7]_i_3__0_n_0\
);
\axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[6]\,
O => \axaddr_wrap[7]_i_4__0_n_0\
);
\axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[5]\,
O => \axaddr_wrap[7]_i_5__0_n_0\
);
\axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[4]\,
O => \axaddr_wrap[7]_i_6__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_7\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \axaddr_wrap_reg[11]_i_2__0_n_6\,
I1 => \axaddr_wrap[11]_i_3__0_n_0\,
I2 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I3 => \state_reg[1]_rep\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_2__0_n_7\,
S(3) => \axaddr_wrap[11]_i_4__0_n_0\,
S(2) => \axaddr_wrap[11]_i_5__0_n_0\,
S(1) => \axaddr_wrap[11]_i_6__0_n_0\,
S(0) => \axaddr_wrap[11]_i_7__0_n_0\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap[7]_i_3__0_n_0\,
S(2) => \axaddr_wrap[7]_i_4__0_n_0\,
S(1) => \axaddr_wrap[7]_i_5__0_n_0\,
S(0) => \axaddr_wrap[7]_i_6__0_n_0\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[0]_i_1__0_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAACCC3AAAACCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(17),
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => E(0),
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFAAA80000AAA8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(18),
O => \axlen_cnt[3]_i_1__2_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(0),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(6),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(10),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(7),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(11),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[1]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(1),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(1),
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[2]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(2),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(2),
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[3]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => \axaddr_incr_reg[3]\(3),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(3),
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(0),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(4),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[5]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(1),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(5),
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(2),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(6),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(3),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(7),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(4),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(8),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0EFEF4F404040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => \m_payload_i_reg[47]\(14),
I3 => axaddr_incr_reg(5),
I4 => \m_payload_i_reg[38]\,
I5 => \m_payload_i_reg[47]\(9),
O => m_axi_araddr(9)
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_reg_1
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"13D320E0"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_1\,
I3 => \m_payload_i_reg[35]\,
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1_n_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 53 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[1]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
\m_axi_araddr[10]\ : out STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
sel_first_1 : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice : entity is "axi_register_slice_v2_1_11_axic_register_slice";
end system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice;
architecture STRUCTURE of system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 );
signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i_reg_n_0_[38]\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC;
signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair12";
begin
Q(53 downto 0) <= \^q\(53 downto 0);
\axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\(1 downto 0) <= \^axaddr_offset_r_reg[3]\(1 downto 0);
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]_0\ <= \^wrap_cnt_r_reg[2]_0\;
\wrap_second_len_r_reg[2]\(1 downto 0) <= \^wrap_second_len_r_reg[2]\(1 downto 0);
\wrap_second_len_r_reg[3]\ <= \^wrap_second_len_r_reg[3]\;
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE100E1"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => \axaddr_incr_reg[3]_0\(0),
I3 => sel_first_1,
I4 => \axaddr_incr_reg[0]_i_11__0_n_7\,
O => \axaddr_incr[0]_i_10__0_n_0\
);
\axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[0]_i_12__0_n_0\
);
\axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[0]_i_13__0_n_0\
);
\axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[0]_i_14__0_n_0\
);
\axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => sel_first_1,
O => \axaddr_incr[0]_i_3__0_n_0\
);
\axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => sel_first_1,
O => \axaddr_incr[0]_i_4__0_n_0\
);
\axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => sel_first_1,
O => \axaddr_incr[0]_i_5__0_n_0\
);
\axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => sel_first_1,
O => \axaddr_incr[0]_i_6__0_n_0\
);
\axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF780078"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => \axaddr_incr_reg[3]_0\(3),
I3 => sel_first_1,
I4 => \axaddr_incr_reg[0]_i_11__0_n_4\,
O => \axaddr_incr[0]_i_7__0_n_0\
);
\axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFD200D2"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => \axaddr_incr_reg[3]_0\(2),
I3 => sel_first_1,
I4 => \axaddr_incr_reg[0]_i_11__0_n_5\,
O => \axaddr_incr[0]_i_8__0_n_0\
);
\axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFD200D2"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => \axaddr_incr_reg[3]_0\(1),
I3 => sel_first_1,
I4 => \axaddr_incr_reg[0]_i_11__0_n_6\,
O => \axaddr_incr[0]_i_9__0_n_0\
);
\axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(4),
O => \axaddr_incr[4]_i_10__0_n_0\
);
\axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(7),
O => \axaddr_incr[4]_i_7__0_n_0\
);
\axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(6),
O => \axaddr_incr[4]_i_8__0_n_0\
);
\axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(5),
O => \axaddr_incr[4]_i_9__0_n_0\
);
\axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(8),
O => \axaddr_incr[8]_i_10__0_n_0\
);
\axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(11),
O => \axaddr_incr[8]_i_7__0_n_0\
);
\axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(10),
O => \axaddr_incr[8]_i_8__0_n_0\
);
\axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(9),
O => \axaddr_incr[8]_i_9__0_n_0\
);
\axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\,
CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\,
CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\,
CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[0]_i_12__0_n_0\,
DI(1) => \axaddr_incr[0]_i_13__0_n_0\,
DI(0) => \axaddr_incr[0]_i_14__0_n_0\,
O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\,
O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\,
O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\,
O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\,
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[7]_0\(0),
CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr[0]_i_3__0_n_0\,
DI(2) => \axaddr_incr[0]_i_4__0_n_0\,
DI(1) => \axaddr_incr[0]_i_5__0_n_0\,
DI(0) => \axaddr_incr[0]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3) => \axaddr_incr[0]_i_7__0_n_0\,
S(2) => \axaddr_incr[0]_i_8__0_n_0\,
S(1) => \axaddr_incr[0]_i_9__0_n_0\,
S(0) => \axaddr_incr[0]_i_10__0_n_0\
);
\axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[0]_i_11__0_n_0\,
CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\,
CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\,
CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\,
CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3) => \axaddr_incr[4]_i_7__0_n_0\,
S(2) => \axaddr_incr[4]_i_8__0_n_0\,
S(1) => \axaddr_incr[4]_i_9__0_n_0\,
S(0) => \axaddr_incr[4]_i_10__0_n_0\
);
\axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[4]_i_6__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\,
CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\,
CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0),
S(3) => \axaddr_incr[8]_i_7__0_n_0\,
S(2) => \axaddr_incr[8]_i_8__0_n_0\,
S(1) => \axaddr_incr[8]_i_9__0_n_0\,
S(0) => \axaddr_incr[8]_i_10__0_n_0\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(35),
I3 => \^q\(2),
I4 => \^q\(36),
I5 => \^q\(0),
O => \axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^axaddr_offset_r_reg[1]\,
O => \^axaddr_offset_r_reg[3]\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"1FDF00001FDFFFFF"
)
port map (
I0 => \axaddr_offset_r[1]_i_3_n_0\,
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \axaddr_offset_r[2]_i_3__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[1]\
);
\axaddr_offset_r[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(3),
I1 => \^q\(36),
I2 => \^q\(1),
O => \axaddr_offset_r[1]_i_3_n_0\
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AC00FFFFAC000000"
)
port map (
I0 => \axaddr_offset_r[2]_i_2__0_n_0\,
I1 => \axaddr_offset_r[2]_i_3__0_n_0\,
I2 => \^q\(35),
I3 => \^q\(40),
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(3),
O => \axaddr_offset_r[2]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(36),
I2 => \^q\(2),
O => \axaddr_offset_r[2]_i_3__0_n_0\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \^q\(41),
I1 => \axaddr_offset_r[3]_i_2__0_n_0\,
I2 => \state_reg[1]_rep_0\,
I3 => \^s_ready_i_reg_0\,
I4 => \state_reg[0]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_r_reg[3]\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \^q\(35),
I3 => \^q\(5),
I4 => \^q\(36),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^s_ready_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \^axlen_cnt_reg[3]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \m_payload_i_reg_n_0_[38]\,
I1 => sel_first_1,
O => \m_axi_araddr[10]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \m_payload_i_reg_n_0_[38]\,
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[1]_rep_1\(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_ready_i_reg_0\,
R => \^m_valid_i_reg_0\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(38),
I2 => \^q\(41),
I3 => \^q\(39),
I4 => \^q\(40),
O => next_pending_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F444FFFF"
)
port map (
I0 => s_axi_arvalid,
I1 => \^s_axi_arready\,
I2 => \state_reg[1]_rep_0\,
I3 => \state_reg[0]_rep\,
I4 => \^s_ready_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(38),
I3 => \^q\(35),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(38),
I3 => \^q\(35),
I4 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888082AAAAA082A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(40),
I4 => \^q\(36),
I5 => \^q\(38),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(39),
I4 => \^q\(35),
I5 => \^q\(38),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(40),
I1 => \^q\(35),
I2 => \^q\(41),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"002AA02A0A2AAA2A"
)
port map (
I0 => \^q\(4),
I1 => \^q\(41),
I2 => \^q\(35),
I3 => \^q\(36),
I4 => \^q\(39),
I5 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(40),
I3 => \^q\(35),
I4 => \^q\(41),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(36),
I2 => \^q\(35),
I3 => \^q\(41),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A656AAAAAAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[2]\(1),
I1 => \wrap_second_len_r_reg[2]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => axaddr_offset_0(0),
I4 => \^wrap_cnt_r_reg[2]_0\,
I5 => \^wrap_second_len_r_reg[2]\(0),
O => \wrap_cnt_r_reg[2]\(0)
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFBAFFFFFFFF"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\,
I1 => \state_reg[1]_rep\,
I2 => \axaddr_offset_r_reg[3]_0\(2),
I3 => \^axaddr_offset_r_reg[2]\,
I4 => axaddr_offset_0(0),
I5 => \^axaddr_offset_r_reg[1]\,
O => \^wrap_cnt_r_reg[2]_0\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0EF0FFFF0EF00000"
)
port map (
I0 => \^axaddr_offset_r_reg[2]\,
I1 => \^axaddr_offset_r_reg[3]\(1),
I2 => axaddr_offset_0(0),
I3 => \^axaddr_offset_r_reg[1]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[2]_0\(1),
O => \^wrap_second_len_r_reg[2]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"D2D0FFFFD2D00000"
)
port map (
I0 => \^axaddr_offset_r_reg[1]\,
I1 => axaddr_offset_0(0),
I2 => \^axaddr_offset_r_reg[2]\,
I3 => \^axaddr_offset_r_reg[3]\(1),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[2]_0\(2),
O => \^wrap_second_len_r_reg[2]\(1)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EEE222E2"
)
port map (
I0 => \axaddr_offset_r[2]_i_2__0_n_0\,
I1 => \^q\(35),
I2 => \^q\(4),
I3 => \^q\(36),
I4 => \^q\(6),
I5 => \^axlen_cnt_reg[3]\,
O => \^wrap_second_len_r_reg[3]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[1]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 53 downto 0 );
\axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_second_len : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[1]\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[3]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\m_axi_awaddr[10]\ : out STD_LOGIC;
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
sel_first : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice_0 : entity is "axi_register_slice_v2_1_11_axic_register_slice";
end system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice_0;
architecture STRUCTURE of system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice_0 is
signal C : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC;
signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC;
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[0]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[2]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i_reg_n_0_[38]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[0]_i_5_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC;
signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_offset_r[0]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_4\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_3\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_2\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_5\ : label is "soft_lutpair44";
begin
Q(53 downto 0) <= \^q\(53 downto 0);
\axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
wrap_second_len(2 downto 0) <= \^wrap_second_len\(2 downto 0);
\wrap_second_len_r_reg[1]\ <= \^wrap_second_len_r_reg[1]\;
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFE100E1"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => axaddr_incr_reg(0),
I3 => sel_first,
I4 => C(0),
O => \axaddr_incr[0]_i_10_n_0\
);
\axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[0]_i_12_n_0\
);
\axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[0]_i_13_n_0\
);
\axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(35),
O => \axaddr_incr[0]_i_14_n_0\
);
\axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => sel_first,
O => \axaddr_incr[0]_i_3_n_0\
);
\axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => sel_first,
O => \axaddr_incr[0]_i_4_n_0\
);
\axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => sel_first,
O => \axaddr_incr[0]_i_5_n_0\
);
\axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => sel_first,
O => \axaddr_incr[0]_i_6_n_0\
);
\axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF780078"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => axaddr_incr_reg(3),
I3 => sel_first,
I4 => C(3),
O => \axaddr_incr[0]_i_7_n_0\
);
\axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFD200D2"
)
port map (
I0 => \^q\(36),
I1 => \^q\(35),
I2 => axaddr_incr_reg(2),
I3 => sel_first,
I4 => C(2),
O => \axaddr_incr[0]_i_8_n_0\
);
\axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFD200D2"
)
port map (
I0 => \^q\(35),
I1 => \^q\(36),
I2 => axaddr_incr_reg(1),
I3 => sel_first,
I4 => C(1),
O => \axaddr_incr[0]_i_9_n_0\
);
\axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(4),
O => \axaddr_incr[4]_i_10_n_0\
);
\axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(7),
O => \axaddr_incr[4]_i_7_n_0\
);
\axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(6),
O => \axaddr_incr[4]_i_8_n_0\
);
\axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(5),
O => \axaddr_incr[4]_i_9_n_0\
);
\axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(8),
O => \axaddr_incr[8]_i_10_n_0\
);
\axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(11),
O => \axaddr_incr[8]_i_7_n_0\
);
\axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(10),
O => \axaddr_incr[8]_i_8_n_0\
);
\axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(9),
O => \axaddr_incr[8]_i_9_n_0\
);
\axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[0]_i_11_n_0\,
CO(2) => \axaddr_incr_reg[0]_i_11_n_1\,
CO(1) => \axaddr_incr_reg[0]_i_11_n_2\,
CO(0) => \axaddr_incr_reg[0]_i_11_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[0]_i_12_n_0\,
DI(1) => \axaddr_incr[0]_i_13_n_0\,
DI(0) => \axaddr_incr[0]_i_14_n_0\,
O(3 downto 0) => C(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => CO(0),
CO(2) => \axaddr_incr_reg[0]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[0]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr[0]_i_3_n_0\,
DI(2) => \axaddr_incr[0]_i_4_n_0\,
DI(1) => \axaddr_incr[0]_i_5_n_0\,
DI(0) => \axaddr_incr[0]_i_6_n_0\,
O(3 downto 0) => O(3 downto 0),
S(3) => \axaddr_incr[0]_i_7_n_0\,
S(2) => \axaddr_incr[0]_i_8_n_0\,
S(1) => \axaddr_incr[0]_i_9_n_0\,
S(0) => \axaddr_incr[0]_i_10_n_0\
);
\axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[0]_i_11_n_0\,
CO(3) => \axaddr_incr_reg[4]_i_6_n_0\,
CO(2) => \axaddr_incr_reg[4]_i_6_n_1\,
CO(1) => \axaddr_incr_reg[4]_i_6_n_2\,
CO(0) => \axaddr_incr_reg[4]_i_6_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0),
S(3) => \axaddr_incr[4]_i_7_n_0\,
S(2) => \axaddr_incr[4]_i_8_n_0\,
S(1) => \axaddr_incr[4]_i_9_n_0\,
S(0) => \axaddr_incr[4]_i_10_n_0\
);
\axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[4]_i_6_n_0\,
CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[8]_i_6_n_1\,
CO(1) => \axaddr_incr_reg[8]_i_6_n_2\,
CO(0) => \axaddr_incr_reg[8]_i_6_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4),
S(3) => \axaddr_incr[8]_i_7_n_0\,
S(2) => \axaddr_incr[8]_i_8_n_0\,
S(1) => \axaddr_incr[8]_i_9_n_0\,
S(0) => \axaddr_incr[8]_i_10_n_0\
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
O => axaddr_offset(0)
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000700FFFFF7FF"
)
port map (
I0 => \^q\(38),
I1 => \axaddr_offset_r[0]_i_3_n_0\,
I2 => \state_reg[1]_0\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]_0\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(35),
I3 => \^q\(2),
I4 => \^q\(36),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_3_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \^q\(39),
I1 => \axaddr_offset_r[1]_i_2__0_n_0\,
I2 => \state_reg[1]_0\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]_0\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_r_reg[1]\
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(2),
I2 => \^q\(35),
I3 => \^q\(3),
I4 => \^q\(36),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \axaddr_offset_r[2]_i_2_n_0\,
O => axaddr_offset(1)
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"03FFF3FF55555555"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(2),
I1 => \axaddr_offset_r[2]_i_3_n_0\,
I2 => \^q\(35),
I3 => \^q\(40),
I4 => \axaddr_offset_r[2]_i_4_n_0\,
I5 => \state_reg[1]\,
O => \axaddr_offset_r[2]_i_2_n_0\
);
\axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(36),
I2 => \^q\(2),
O => \axaddr_offset_r[2]_i_3_n_0\
);
\axaddr_offset_r[2]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(3),
O => \axaddr_offset_r[2]_i_4_n_0\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \^q\(41),
I1 => \axaddr_offset_r[3]_i_2_n_0\,
I2 => \state_reg[1]_0\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]_0\(0),
I5 => \axaddr_offset_r_reg[3]_0\(3),
O => \^axaddr_offset_r_reg[3]\
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \^q\(35),
I3 => \^q\(5),
I4 => \^q\(36),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[1]_0\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_0\(1),
O => \^axlen_cnt_reg[3]\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \m_payload_i_reg_n_0_[38]\,
I1 => sel_first,
O => \m_axi_awaddr[10]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \m_payload_i_reg_n_0_[38]\,
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \^q\(40),
I1 => \^q\(39),
I2 => \^q\(41),
I3 => \^q\(38),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(38),
I3 => \^q\(35),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(38),
I3 => \^q\(35),
I4 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A0A002A2AAAA02A2"
)
port map (
I0 => \^q\(2),
I1 => \^q\(40),
I2 => \^q\(35),
I3 => \^q\(39),
I4 => \^q\(36),
I5 => \^q\(38),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(39),
I4 => \^q\(35),
I5 => \^q\(38),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(40),
I1 => \^q\(35),
I2 => \^q\(41),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"002A0A2AA02AAA2A"
)
port map (
I0 => \^q\(4),
I1 => \^q\(41),
I2 => \^q\(35),
I3 => \^q\(36),
I4 => \^q\(40),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(40),
I3 => \^q\(35),
I4 => \^q\(41),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(36),
I2 => \^q\(35),
I3 => \^q\(41),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"DDDDD8DDAAAAA8AA"
)
port map (
I0 => \wrap_second_len_r[0]_i_2_n_0\,
I1 => \wrap_second_len_r[0]_i_3_n_0\,
I2 => \state_reg[1]_0\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]_0\(0),
I5 => \wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wrap_second_len_r_reg[1]\,
I1 => \wrap_cnt_r[3]_i_2_n_0\,
O => D(1)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^wrap_second_len\(1),
I1 => \wrap_cnt_r[3]_i_2_n_0\,
I2 => \^wrap_second_len_r_reg[1]\,
O => D(2)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"A6AA"
)
port map (
I0 => \^wrap_second_len\(2),
I1 => \^wrap_second_len_r_reg[1]\,
I2 => \wrap_cnt_r[3]_i_2_n_0\,
I3 => \^wrap_second_len\(1),
O => D(3)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAABAAA"
)
port map (
I0 => \wrap_cnt_r[3]_i_3_n_0\,
I1 => \^axaddr_offset_r_reg[1]\,
I2 => \axaddr_offset_r[0]_i_2_n_0\,
I3 => \axaddr_offset_r[2]_i_2_n_0\,
I4 => \^axaddr_offset_r_reg[3]\,
O => \wrap_cnt_r[3]_i_2_n_0\
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000800FFFFF8FF"
)
port map (
I0 => \^q\(38),
I1 => \axaddr_offset_r[0]_i_3_n_0\,
I2 => \state_reg[1]_0\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]_0\(0),
I5 => \wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r[3]_i_3_n_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000CCCCCACC"
)
port map (
I0 => \wrap_second_len_r[0]_i_2_n_0\,
I1 => \wrap_second_len_r_reg[3]\(0),
I2 => \state_reg[1]_0\(0),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]_0\(1),
I5 => \wrap_second_len_r[0]_i_3_n_0\,
O => \^wrap_second_len\(0)
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFF2FFFFFF"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(3),
I1 => \state_reg[1]\,
I2 => \wrap_second_len_r[3]_i_2_n_0\,
I3 => \axaddr_offset_r[2]_i_2_n_0\,
I4 => \axaddr_offset_r[0]_i_2_n_0\,
I5 => \^axaddr_offset_r_reg[1]\,
O => \wrap_second_len_r[0]_i_2_n_0\
);
\wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFE200E2"
)
port map (
I0 => \^q\(0),
I1 => \^q\(36),
I2 => \^q\(2),
I3 => \^q\(35),
I4 => \wrap_second_len_r[0]_i_4_n_0\,
I5 => \wrap_second_len_r[0]_i_5_n_0\,
O => \wrap_second_len_r[0]_i_3_n_0\
);
\wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(3),
I1 => \^q\(36),
I2 => \^q\(1),
O => \wrap_second_len_r[0]_i_4_n_0\
);
\wrap_second_len_r[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(38),
I1 => \state_reg[1]_0\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_0\(1),
O => \wrap_second_len_r[0]_i_5_n_0\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"2EE22E222EE22EE2"
)
port map (
I0 => \wrap_second_len_r_reg[3]\(1),
I1 => \state_reg[1]\,
I2 => \axaddr_offset_r[0]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[1]\,
I4 => \^axaddr_offset_r_reg[3]\,
I5 => \axaddr_offset_r[2]_i_2_n_0\,
O => \^wrap_second_len_r_reg[1]\
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"08F3FFFF08F30000"
)
port map (
I0 => \^axaddr_offset_r_reg[3]\,
I1 => \axaddr_offset_r[0]_i_2_n_0\,
I2 => \^axaddr_offset_r_reg[1]\,
I3 => \axaddr_offset_r[2]_i_2_n_0\,
I4 => \state_reg[1]\,
I5 => \wrap_second_len_r_reg[3]\(2),
O => \^wrap_second_len\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BF00FFFFBF00BF00"
)
port map (
I0 => \^axaddr_offset_r_reg[1]\,
I1 => \axaddr_offset_r[0]_i_2_n_0\,
I2 => \axaddr_offset_r[2]_i_2_n_0\,
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]\,
I5 => \wrap_second_len_r_reg[3]\(3),
O => \^wrap_second_len\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EEE222E2"
)
port map (
I0 => \axaddr_offset_r[2]_i_4_n_0\,
I1 => \^q\(35),
I2 => \^q\(4),
I3 => \^q\(36),
I4 => \^q\(6),
I5 => \^axlen_cnt_reg[3]\,
O => \wrap_second_len_r[3]_i_2_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
shandshake : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_11_axic_register_slice";
end \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized1\;
architecture STRUCTURE of \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair73";
attribute SOFT_HLUTNM of shandshake_r_i_1 : label is "soft_lutpair73";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => si_rs_bvalid,
O => shandshake
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_11_axic_register_slice";
end \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized2\;
architecture STRUCTURE of \system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair99";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \^skid_buffer_reg[0]_0\,
I3 => \cnt_read_reg[3]_rep__2\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[3]_rep__2\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
\state_reg[0]\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_b_channel : entity is "axi_protocol_converter_v2_1_11_b2s_b_channel";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_b_channel;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_b_channel is
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair116";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo
port map (
Q(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_0\(1 downto 0) => cnt_read(1 downto 0),
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready,
si_rs_bvalid => \^si_rs_bvalid\,
\state_reg[0]\ => \state_reg[0]\
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
I1 => \bresp_cnt_reg__0\(1),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_3_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized0\
port map (
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
bresp_push => bresp_push,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => '0'
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACECCCC"
)
port map (
I0 => m_axi_bresp(0),
I1 => \s_bresp_acc_reg_n_0_[0]\,
I2 => \s_bresp_acc_reg_n_0_[1]\,
I3 => m_axi_bresp(1),
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[1]\,
I1 => m_axi_bresp(1),
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[11]\ : out STD_LOGIC;
\sel_first__0\ : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\state_reg[0]\ : out STD_LOGIC;
next_pending_r_reg_1 : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
wrap_next_pending : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\m_payload_i_reg[38]\ : in STD_LOGIC;
\state_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_11_b2s_cmd_translator";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator is
signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 );
signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
\axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\;
\axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0);
incr_cmd_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd
port map (
CO(0) => CO(0),
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(1 downto 0) => Q(1 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4),
\axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\,
\axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0),
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
\m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0),
\m_payload_i_reg[46]\(8 downto 6) => \m_payload_i_reg[47]_0\(17 downto 15),
\m_payload_i_reg[46]\(5 downto 4) => \m_payload_i_reg[47]_0\(13 downto 12),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]_0\(0),
\state_reg[0]_0\ => \state_reg[0]_1\
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]_0\(14),
I2 => s_axburst_eq0,
O => \state_reg[0]\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4),
\axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[38]\ => \m_payload_i_reg[38]\,
\m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[47]_0\(18 downto 0),
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
next_pending_r_reg_0 => next_pending_r_reg_0,
next_pending_r_reg_1 => next_pending_r_reg_1,
sel_first_reg_0 => \sel_first__0\,
sel_first_reg_1 => sel_first_reg_2,
\state_reg[0]\(0) => \state_reg[0]_0\(0),
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator_1 is
port (
incr_next_pending : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[11]\ : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[1]\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
wrap_next_pending : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC;
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[38]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\m_payload_i_reg[35]\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
D : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_11_b2s_cmd_translator";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator_1;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator_1 is
signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 );
signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \state[1]_i_2\ : label is "soft_lutpair8";
begin
\axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\;
\axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0);
incr_cmd_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_incr_cmd_2
port map (
CO(0) => CO(0),
D(0) => D(0),
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4),
\axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\,
\axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0),
\axlen_cnt_reg[1]_0\ => \axlen_cnt_reg[1]\,
incr_next_pending => incr_next_pending,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0),
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[44]\ => \m_payload_i_reg[44]\,
\m_payload_i_reg[46]\(7 downto 6) => \m_payload_i_reg[47]_0\(17 downto 16),
\m_payload_i_reg[46]\(5 downto 4) => \m_payload_i_reg[47]_0\(13 downto 12),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1 => sel_first_reg_3,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => \m_payload_i_reg[47]_0\(14),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]_0\(14),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wrap_cmd_3
port map (
E(0) => E(0),
aclk => aclk,
axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4),
\axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[35]\ => \m_payload_i_reg[35]\,
\m_payload_i_reg[38]\ => \m_payload_i_reg[38]\,
\m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[47]_0\(18 downto 0),
\m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_1\(3 downto 0),
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
next_pending_r_reg_0 => next_pending_r_reg,
next_pending_r_reg_1 => next_pending_r_reg_0,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_4,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
r_push : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_r_channel : entity is "axi_protocol_converter_v2_1_11_b2s_r_channel";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_r_channel;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_3 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
signal transaction_fifo_0_n_1 : STD_LOGIC;
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_push,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[1]_rep__3_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[2]_rep__0_0\ => transaction_fifo_0_n_1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \^m_valid_i_reg\,
\out\(33 downto 0) => \out\(33 downto 0),
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_3
);
transaction_fifo_0: entity work.\system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_3,
\cnt_read_reg[3]_rep__2\ => \^m_valid_i_reg\,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => transaction_fifo_0_n_1,
r_push_r => r_push_r,
s_ready_i_reg => rd_data_fifo_0_n_0,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_register_slice_v2_1_11_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 3 downto 0 );
wrap_second_len : out STD_LOGIC_VECTOR ( 3 downto 0 );
Q : out STD_LOGIC_VECTOR ( 53 downto 0 );
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 53 downto 0 );
\axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
axaddr_offset : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axlen_cnt_reg[3]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
shandshake : out STD_LOGIC;
\wrap_cnt_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[1]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\m_axi_awaddr[10]\ : out STD_LOGIC;
\m_axi_araddr[10]\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
\wrap_second_len_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_1 : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_register_slice_v2_1_11_axi_register_slice : entity is "axi_register_slice_v2_1_11_axi_register_slice";
end system_auto_pc_0_axi_register_slice_v2_1_11_axi_register_slice;
architecture STRUCTURE of system_auto_pc_0_axi_register_slice_v2_1_11_axi_register_slice is
signal ar_pipe_n_2 : STD_LOGIC;
signal aw_pipe_n_1 : STD_LOGIC;
signal aw_pipe_n_92 : STD_LOGIC;
begin
ar_pipe: entity work.system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice
port map (
Q(53 downto 0) => \s_arid_r_reg[11]\(53 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => aw_pipe_n_1,
\aresetn_d_reg[0]_0\ => aw_pipe_n_92,
\axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0),
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
\axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0),
axaddr_offset_0(0) => axaddr_offset_0(0),
\axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]\,
\axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\,
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[3]\(1),
\axaddr_offset_r_reg[3]\(1) => \axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(0) => \axaddr_offset_r_reg[3]\(0),
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_axi_araddr[10]\ => \m_axi_araddr[10]\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => ar_pipe_n_2,
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_ready_i_reg_0 => si_rs_arvalid,
sel_first_1 => sel_first_1,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\state_reg[1]_rep_1\(0) => \state_reg[1]_rep_1\(0),
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\(0) => \wrap_cnt_r_reg[2]\(0),
\wrap_cnt_r_reg[2]_0\ => \wrap_cnt_r_reg[2]_0\,
\wrap_second_len_r_reg[2]\(1 downto 0) => \wrap_second_len_r_reg[2]\(1 downto 0),
\wrap_second_len_r_reg[2]_0\(2 downto 0) => \wrap_second_len_r_reg[2]_0\(2 downto 0),
\wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]\
);
aw_pipe: entity work.system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice_0
port map (
CO(0) => CO(0),
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(53 downto 0) => Q(53 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => aw_pipe_n_92,
\aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2,
axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0),
\axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0),
axaddr_offset(1) => axaddr_offset(2),
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[1]\ => axaddr_offset(1),
\axaddr_offset_r_reg[3]\ => axaddr_offset(3),
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0),
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
\m_axi_awaddr[10]\ => \m_axi_awaddr[10]\,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => aw_pipe_n_1,
sel_first => sel_first,
\state_reg[1]\ => \state_reg[1]\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
wrap_second_len(2 downto 1) => wrap_second_len(3 downto 2),
wrap_second_len(0) => wrap_second_len(0),
\wrap_second_len_r_reg[1]\ => wrap_second_len(1),
\wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0)
);
b_pipe: entity work.\system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => aw_pipe_n_1,
\aresetn_d_reg[1]_inv\ => ar_pipe_n_2,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
shandshake => shandshake,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
r_pipe: entity work.\system_auto_pc_0_axi_register_slice_v2_1_11_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => aw_pipe_n_1,
\aresetn_d_reg[1]_inv\ => ar_pipe_n_2,
\cnt_read_reg[3]_rep__2\ => \cnt_read_reg[3]_rep__2\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_ar_channel is
port (
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
axaddr_offset : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
r_push : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
r_rlast : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\m_payload_i_reg[61]\ : in STD_LOGIC_VECTOR ( 30 downto 0 );
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[35]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[35]_0\ : in STD_LOGIC;
\m_payload_i_reg[3]\ : in STD_LOGIC;
\m_payload_i_reg[44]\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
\m_payload_i_reg[38]\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_ar_channel : entity is "axi_protocol_converter_v2_1_11_b2s_ar_channel";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_ar_channel;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_ar_channel is
signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_13 : STD_LOGIC;
signal ar_cmd_fsm_0_n_17 : STD_LOGIC;
signal ar_cmd_fsm_0_n_18 : STD_LOGIC;
signal ar_cmd_fsm_0_n_22 : STD_LOGIC;
signal ar_cmd_fsm_0_n_23 : STD_LOGIC;
signal ar_cmd_fsm_0_n_3 : STD_LOGIC;
signal ar_cmd_fsm_0_n_4 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal cmd_translator_0_n_1 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_11 : STD_LOGIC;
signal cmd_translator_0_n_13 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_8 : STD_LOGIC;
signal cmd_translator_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \^r_push\ : STD_LOGIC;
signal \^sel_first\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal wrap_next_pending : STD_LOGIC;
begin
Q(2 downto 0) <= \^q\(2 downto 0);
axaddr_offset(0) <= \^axaddr_offset\(0);
r_push <= \^r_push\;
sel_first <= \^sel_first\;
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
ar_cmd_fsm_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_rd_cmd_fsm
port map (
D(1) => ar_cmd_fsm_0_n_3,
D(0) => ar_cmd_fsm_0_n_4,
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => state(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_18,
\axaddr_offset_r_reg[0]\(0) => \^axaddr_offset\(0),
\axaddr_offset_r_reg[0]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\(0) => ar_cmd_fsm_0_n_6,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9,
\axlen_cnt_reg[3]\(0) => ar_cmd_fsm_0_n_17,
\axlen_cnt_reg[6]\ => cmd_translator_0_n_10,
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[35]\ => \m_payload_i_reg[35]\,
\m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\,
\m_payload_i_reg[3]\ => \m_payload_i_reg[3]\,
\m_payload_i_reg[44]\(1 downto 0) => \m_payload_i_reg[61]\(15 downto 14),
\m_payload_i_reg[44]_0\ => \m_payload_i_reg[44]\,
\m_payload_i_reg[47]\(1 downto 0) => \m_payload_i_reg[47]_0\(2 downto 1),
m_valid_i0 => m_valid_i0,
next_pending_r_reg => cmd_translator_0_n_1,
r_push_r_reg => \^r_push\,
s_axburst_eq0_reg => ar_cmd_fsm_0_n_10,
s_axburst_eq1_reg => ar_cmd_fsm_0_n_13,
s_axburst_eq1_reg_0 => cmd_translator_0_n_13,
s_axi_arvalid => s_axi_arvalid,
s_ready_i_reg => s_ready_i_reg,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_22,
sel_first_reg_0 => ar_cmd_fsm_0_n_23,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => \^sel_first\,
sel_first_reg_3 => cmd_translator_0_n_8,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_0\ => cmd_translator_0_n_11,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[2]\(1 downto 0) => D(1 downto 0),
\wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len\(3),
\wrap_second_len_r_reg[3]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_0\(1) => \wrap_cmd_0/wrap_second_len_r\(3),
\wrap_second_len_r_reg[3]_0\(0) => \^q\(0)
);
cmd_translator_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator_1
port map (
CO(0) => CO(0),
D(0) => ar_cmd_fsm_0_n_6,
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(0) => cmd_translator_0_n_9,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\ => \^sel_first\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]\(3 downto 1) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[1]\ => cmd_translator_0_n_10,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0),
\m_payload_i_reg[35]\ => \m_payload_i_reg[35]\,
\m_payload_i_reg[38]\ => \m_payload_i_reg[38]\,
\m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_10,
\m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_13,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0),
\m_payload_i_reg[44]\ => \m_payload_i_reg[44]\,
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\(18 downto 0) => \m_payload_i_reg[61]\(18 downto 0),
\m_payload_i_reg[47]_1\(3 downto 1) => \m_payload_i_reg[47]_0\(2 downto 0),
\m_payload_i_reg[47]_1\(0) => \^axaddr_offset\(0),
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_17,
next_pending_r_reg => cmd_translator_0_n_1,
next_pending_r_reg_0 => cmd_translator_0_n_11,
r_rlast => r_rlast,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => cmd_translator_0_n_8,
sel_first_reg_2 => ar_cmd_fsm_0_n_18,
sel_first_reg_3 => ar_cmd_fsm_0_n_22,
sel_first_reg_4 => ar_cmd_fsm_0_n_23,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_13,
\state_reg[1]\(1 downto 0) => state(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3) => \wrap_cmd_0/wrap_second_len_r\(3),
\wrap_second_len_r_reg[3]\(2 downto 0) => \^q\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3) => \wrap_cmd_0/wrap_second_len\(3),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2) => ar_cmd_fsm_0_n_3,
\wrap_second_len_r_reg[3]_1\(1) => \wrap_second_len_r_reg[0]\(0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_4
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(19),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(29),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(30),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(20),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(21),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(22),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(23),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(24),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(25),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(26),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(27),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(28),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_aw_channel is
port (
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[61]\ : in STD_LOGIC_VECTOR ( 30 downto 0 );
CO : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\m_payload_i_reg[38]\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_aw_channel : entity is "axi_protocol_converter_v2_1_11_b2s_aw_channel";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_aw_channel;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_aw_channel is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal aw_cmd_fsm_0_n_0 : STD_LOGIC;
signal aw_cmd_fsm_0_n_10 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_3 : STD_LOGIC;
signal aw_cmd_fsm_0_n_5 : STD_LOGIC;
signal aw_cmd_fsm_0_n_6 : STD_LOGIC;
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_1 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_11 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \^sel_first\ : STD_LOGIC;
signal \sel_first__0\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
begin
Q(1 downto 0) <= \^q\(1 downto 0);
sel_first <= \^sel_first\;
\wrap_boundary_axaddr_r_reg[0]\ <= \^wrap_boundary_axaddr_r_reg[0]\;
aw_cmd_fsm_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_wr_cmd_fsm
port map (
E(0) => aw_cmd_fsm_0_n_0,
Q(1 downto 0) => \^q\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axlen_cnt_reg[3]\ => cmd_translator_0_n_11,
\axlen_cnt_reg[7]\ => aw_cmd_fsm_0_n_5,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_9,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0_0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[39]\(0) => \m_payload_i_reg[61]\(14),
\m_payload_i_reg[46]\ => \m_payload_i_reg[46]\,
next_pending_r_reg => cmd_translator_0_n_0,
next_pending_r_reg_0 => cmd_translator_0_n_1,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_6,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_10,
s_axburst_eq1_reg_0 => cmd_translator_0_n_10,
\sel_first__0\ => \sel_first__0\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_3,
sel_first_reg_0 => aw_cmd_fsm_0_n_14,
sel_first_reg_1 => aw_cmd_fsm_0_n_15,
sel_first_reg_2 => cmd_translator_0_n_2,
sel_first_reg_3 => \^sel_first\,
si_rs_awvalid => si_rs_awvalid,
\wrap_boundary_axaddr_r_reg[0]\(0) => \^wrap_boundary_axaddr_r_reg[0]\,
wrap_next_pending => wrap_next_pending
);
cmd_translator_0: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_cmd_translator
port map (
CO(0) => CO(0),
D(3 downto 0) => D(3 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[0]\,
O(3 downto 0) => O(3 downto 0),
Q(1 downto 0) => \^q\(1 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\ => \^sel_first\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axlen_cnt_reg[0]\ => cmd_translator_0_n_9,
\cnt_read_reg[1]_rep__0\ => aw_cmd_fsm_0_n_3,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0),
\m_payload_i_reg[38]\ => \m_payload_i_reg[38]\,
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_6,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_10,
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\(18 downto 0) => \m_payload_i_reg[61]\(18 downto 0),
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
next_pending_r_reg => cmd_translator_0_n_0,
next_pending_r_reg_0 => cmd_translator_0_n_1,
next_pending_r_reg_1 => cmd_translator_0_n_11,
\sel_first__0\ => \sel_first__0\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_14,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\ => cmd_translator_0_n_10,
\state_reg[0]_0\(0) => aw_cmd_fsm_0_n_0,
\state_reg[0]_1\ => aw_cmd_fsm_0_n_5,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(19),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(29),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(30),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(20),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(21),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(22),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(23),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(24),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(25),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(26),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(27),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(28),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(15),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(16),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(17),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[61]\(18),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awready : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s : entity is "axi_protocol_converter_v2_1_11_b2s";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s is
signal C : STD_LOGIC_VECTOR ( 11 downto 4 );
signal \RD.ar_channel_0_n_14\ : STD_LOGIC;
signal \RD.ar_channel_0_n_15\ : STD_LOGIC;
signal \RD.ar_channel_0_n_44\ : STD_LOGIC;
signal \RD.ar_channel_0_n_45\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_10 : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_144 : STD_LOGIC;
signal SI_REG_n_145 : STD_LOGIC;
signal SI_REG_n_146 : STD_LOGIC;
signal SI_REG_n_147 : STD_LOGIC;
signal SI_REG_n_148 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_160 : STD_LOGIC;
signal SI_REG_n_164 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_183 : STD_LOGIC;
signal SI_REG_n_184 : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_7\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \WR.b_channel_0_n_3\ : STD_LOGIC;
signal \ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar_pipe/p_1_in\ : STD_LOGIC;
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \aw_pipe/p_1_in\ : STD_LOGIC;
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC;
signal \cmd_translator_0/incr_cmd_0/sel_first_4\ : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 2 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_push : STD_LOGIC;
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_ar_channel
port map (
CO(0) => SI_REG_n_145,
D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1),
E(0) => \ar_pipe/p_1_in\,
O(3) => SI_REG_n_146,
O(2) => SI_REG_n_147,
O(1) => SI_REG_n_148,
O(0) => SI_REG_n_149,
Q(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 0),
S(3) => \RD.ar_channel_0_n_44\,
S(2) => \RD.ar_channel_0_n_45\,
S(1) => \RD.ar_channel_0_n_46\,
S(0) => \RD.ar_channel_0_n_47\,
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0),
axaddr_offset(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0),
\axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_160,
\cnt_read_reg[1]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_14\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_15\,
\m_payload_i_reg[11]\(3) => SI_REG_n_141,
\m_payload_i_reg[11]\(2) => SI_REG_n_142,
\m_payload_i_reg[11]\(1) => SI_REG_n_143,
\m_payload_i_reg[11]\(0) => SI_REG_n_144,
\m_payload_i_reg[35]\ => SI_REG_n_164,
\m_payload_i_reg[35]_0\ => SI_REG_n_165,
\m_payload_i_reg[38]\ => SI_REG_n_184,
\m_payload_i_reg[3]\ => SI_REG_n_175,
\m_payload_i_reg[3]_0\(3) => SI_REG_n_137,
\m_payload_i_reg[3]_0\(2) => SI_REG_n_138,
\m_payload_i_reg[3]_0\(1) => SI_REG_n_139,
\m_payload_i_reg[3]_0\(0) => SI_REG_n_140,
\m_payload_i_reg[44]\ => SI_REG_n_166,
\m_payload_i_reg[47]\ => SI_REG_n_167,
\m_payload_i_reg[47]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1),
\m_payload_i_reg[61]\(30 downto 19) => s_arid(11 downto 0),
\m_payload_i_reg[61]\(18 downto 15) => si_rs_arlen(3 downto 0),
\m_payload_i_reg[61]\(14) => si_rs_arburst(1),
\m_payload_i_reg[61]\(13 downto 12) => si_rs_arsize(1 downto 0),
\m_payload_i_reg[61]\(11 downto 0) => si_rs_araddr(11 downto 0),
\m_payload_i_reg[6]\(6) => SI_REG_n_168,
\m_payload_i_reg[6]\(5) => SI_REG_n_169,
\m_payload_i_reg[6]\(4) => SI_REG_n_170,
\m_payload_i_reg[6]\(3) => SI_REG_n_171,
\m_payload_i_reg[6]\(2) => SI_REG_n_172,
\m_payload_i_reg[6]\(1) => SI_REG_n_173,
\m_payload_i_reg[6]\(0) => SI_REG_n_174,
m_valid_i0 => \ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push => r_push,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i_reg => \^s_axi_arready\,
sel_first => \cmd_translator_0/incr_cmd_0/sel_first\,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_5\,
\wrap_second_len_r_reg[0]\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_push => r_push,
r_rlast => r_rlast,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\
);
SI_REG: entity work.system_auto_pc_0_axi_register_slice_v2_1_11_axi_register_slice
port map (
CO(0) => SI_REG_n_132,
D(3 downto 2) => wrap_cnt(3 downto 2),
D(1) => SI_REG_n_10,
D(0) => wrap_cnt(0),
E(0) => \aw_pipe/p_1_in\,
O(3) => SI_REG_n_133,
O(2) => SI_REG_n_134,
O(1) => SI_REG_n_135,
O(0) => SI_REG_n_136,
Q(53 downto 42) => s_awid(11 downto 0),
Q(41 downto 38) => si_rs_awlen(3 downto 0),
Q(37) => si_rs_awburst(1),
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0),
\axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4),
\axaddr_incr_reg[11]_0\(3) => SI_REG_n_141,
\axaddr_incr_reg[11]_0\(2) => SI_REG_n_142,
\axaddr_incr_reg[11]_0\(1) => SI_REG_n_143,
\axaddr_incr_reg[11]_0\(0) => SI_REG_n_144,
\axaddr_incr_reg[3]\(3) => SI_REG_n_146,
\axaddr_incr_reg[3]\(2) => SI_REG_n_147,
\axaddr_incr_reg[3]\(1) => SI_REG_n_148,
\axaddr_incr_reg[3]\(0) => SI_REG_n_149,
\axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0),
\axaddr_incr_reg[7]\(3) => SI_REG_n_137,
\axaddr_incr_reg[7]\(2) => SI_REG_n_138,
\axaddr_incr_reg[7]\(1) => SI_REG_n_139,
\axaddr_incr_reg[7]\(0) => SI_REG_n_140,
\axaddr_incr_reg[7]_0\(0) => SI_REG_n_145,
axaddr_offset(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 0),
axaddr_offset_0(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0),
\axaddr_offset_r_reg[0]\ => SI_REG_n_175,
\axaddr_offset_r_reg[1]\ => SI_REG_n_164,
\axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1),
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_3\(3 downto 0),
\axaddr_offset_r_reg[3]_1\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1),
\axlen_cnt_reg[3]\ => SI_REG_n_154,
\axlen_cnt_reg[3]_0\ => SI_REG_n_167,
b_push => b_push,
\cnt_read_reg[3]_rep__2\ => \RD.r_channel_0_n_0\,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\m_axi_araddr[10]\ => SI_REG_n_184,
\m_axi_awaddr[10]\ => SI_REG_n_183,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_44\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_45\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_47\,
m_valid_i0 => \ar_pipe/m_valid_i0\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_166,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(53 downto 42) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(41 downto 38) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(37) => si_rs_arburst(1),
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\,
sel_first_1 => \cmd_translator_0/incr_cmd_0/sel_first\,
shandshake => shandshake,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \RD.ar_channel_0_n_15\,
\state_reg[1]\ => \WR.aw_channel_0_n_7\,
\state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \RD.ar_channel_0_n_5\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_14\,
\state_reg[1]_rep_1\(0) => \ar_pipe/p_1_in\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_168,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_160,
wrap_second_len(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 0),
\wrap_second_len_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1),
\wrap_second_len_r_reg[2]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 0),
\wrap_second_len_r_reg[3]\ => SI_REG_n_165,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_2\(3 downto 0)
);
\WR.aw_channel_0\: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_aw_channel
port map (
CO(0) => SI_REG_n_132,
D(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 0),
E(0) => \aw_pipe/p_1_in\,
O(3) => SI_REG_n_133,
O(2) => SI_REG_n_134,
O(1) => SI_REG_n_135,
O(0) => SI_REG_n_136,
Q(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0),
\axaddr_offset_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_3\(3 downto 0),
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_3\,
\cnt_read_reg[1]_rep__0_0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4),
\m_payload_i_reg[38]\ => SI_REG_n_183,
\m_payload_i_reg[46]\ => SI_REG_n_155,
\m_payload_i_reg[47]\ => SI_REG_n_154,
\m_payload_i_reg[61]\(30 downto 19) => s_awid(11 downto 0),
\m_payload_i_reg[61]\(18 downto 15) => si_rs_awlen(3 downto 0),
\m_payload_i_reg[61]\(14) => si_rs_awburst(1),
\m_payload_i_reg[61]\(13 downto 12) => si_rs_awsize(1 downto 0),
\m_payload_i_reg[61]\(11 downto 0) => si_rs_awaddr(11 downto 0),
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\,
si_rs_awvalid => si_rs_awvalid,
\wrap_boundary_axaddr_r_reg[0]\ => \WR.aw_channel_0_n_7\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_2\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 2) => wrap_cnt(3 downto 2),
\wrap_second_len_r_reg[3]_1\(1) => SI_REG_n_10,
\wrap_second_len_r_reg[3]_1\(0) => wrap_cnt(0)
);
\WR.b_channel_0\: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
shandshake => shandshake,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
\state_reg[0]\ => \WR.b_channel_0_n_3\
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_11_axi_protocol_converter";
attribute P_AXI3 : integer;
attribute P_AXI3 of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter : entity is "2'b10";
end system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter;
architecture STRUCTURE of system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_auto_pc_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_auto_pc_0 : entity is "system_auto_pc_0,axi_protocol_converter_v2_1_11_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of system_auto_pc_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of system_auto_pc_0 : entity is "axi_protocol_converter_v2_1_11_axi_protocol_converter,Vivado 2016.4";
end system_auto_pc_0;
architecture STRUCTURE of system_auto_pc_0 is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
begin
inst: entity work.system_auto_pc_0_axi_protocol_converter_v2_1_11_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit | 45f01e858a0f7532647a56ef0206a191 | 0.532635 | 2.539979 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_1/synth/system_ov7670_vga_0_1.vhd | 1 | 3,723 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:ov7670_vga:1.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_ov7670_vga_0_1 IS
PORT (
pclk : IN STD_LOGIC;
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END system_ov7670_vga_0_1;
ARCHITECTURE system_ov7670_vga_0_1_arch OF system_ov7670_vga_0_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_vga_0_1_arch: ARCHITECTURE IS "yes";
COMPONENT ov7670_vga IS
PORT (
pclk : IN STD_LOGIC;
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT ov7670_vga;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_ov7670_vga_0_1_arch: ARCHITECTURE IS "ov7670_vga,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_vga_0_1_arch : ARCHITECTURE IS "system_ov7670_vga_0_1,ov7670_vga,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_vga_0_1_arch: ARCHITECTURE IS "system_ov7670_vga_0_1,ov7670_vga,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_vga,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
BEGIN
U0 : ov7670_vga
PORT MAP (
pclk => pclk,
data => data,
rgb => rgb
);
END system_ov7670_vga_0_1_arch;
| mit | 5827d8db9f72e97270f257a0a86d5d3f | 0.7408 | 3.834192 | false | false | false | false |
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox | examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_c_addsub_0_0/synth/system_c_addsub_0_0.vhd | 1 | 6,392 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:c_addsub:12.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY c_addsub_v12_0_10;
USE c_addsub_v12_0_10.c_addsub_v12_0_10;
ENTITY system_c_addsub_0_0 IS
PORT (
A : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
S : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END system_c_addsub_0_0;
ARCHITECTURE system_c_addsub_0_0_arch OF system_c_addsub_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_c_addsub_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT c_addsub_v12_0_10 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_XDEVICEFAMILY : STRING;
C_IMPLEMENTATION : INTEGER;
C_A_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_OUT_WIDTH : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_A_TYPE : INTEGER;
C_B_TYPE : INTEGER;
C_LATENCY : INTEGER;
C_ADD_MODE : INTEGER;
C_B_CONSTANT : INTEGER;
C_B_VALUE : STRING;
C_AINIT_VAL : STRING;
C_SINIT_VAL : STRING;
C_CE_OVERRIDES_BYPASS : INTEGER;
C_BYPASS_LOW : INTEGER;
C_SCLR_OVERRIDES_SSET : INTEGER;
C_HAS_C_IN : INTEGER;
C_HAS_C_OUT : INTEGER;
C_BORROW_LOW : INTEGER;
C_HAS_CE : INTEGER;
C_HAS_BYPASS : INTEGER;
C_HAS_SCLR : INTEGER;
C_HAS_SSET : INTEGER;
C_HAS_SINIT : INTEGER
);
PORT (
A : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
CLK : IN STD_LOGIC;
ADD : IN STD_LOGIC;
C_IN : IN STD_LOGIC;
CE : IN STD_LOGIC;
BYPASS : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
SSET : IN STD_LOGIC;
SINIT : IN STD_LOGIC;
C_OUT : OUT STD_LOGIC;
S : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT c_addsub_v12_0_10;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_c_addsub_0_0_arch: ARCHITECTURE IS "c_addsub_v12_0_10,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_c_addsub_0_0_arch : ARCHITECTURE IS "system_c_addsub_0_0,c_addsub_v12_0_10,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_c_addsub_0_0_arch: ARCHITECTURE IS "system_c_addsub_0_0,c_addsub_v12_0_10,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=10,C_B_WIDTH=10,C_OUT_WIDTH=10,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=0,C_B_CONSTANT=0,C_B_VALUE=0000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0" &
",C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA";
BEGIN
U0 : c_addsub_v12_0_10
GENERIC MAP (
C_VERBOSITY => 0,
C_XDEVICEFAMILY => "zynq",
C_IMPLEMENTATION => 0,
C_A_WIDTH => 10,
C_B_WIDTH => 10,
C_OUT_WIDTH => 10,
C_CE_OVERRIDES_SCLR => 0,
C_A_TYPE => 0,
C_B_TYPE => 0,
C_LATENCY => 0,
C_ADD_MODE => 0,
C_B_CONSTANT => 0,
C_B_VALUE => "0000000000",
C_AINIT_VAL => "0",
C_SINIT_VAL => "0",
C_CE_OVERRIDES_BYPASS => 1,
C_BYPASS_LOW => 0,
C_SCLR_OVERRIDES_SSET => 1,
C_HAS_C_IN => 0,
C_HAS_C_OUT => 0,
C_BORROW_LOW => 1,
C_HAS_CE => 0,
C_HAS_BYPASS => 0,
C_HAS_SCLR => 0,
C_HAS_SSET => 0,
C_HAS_SINIT => 0
)
PORT MAP (
A => A,
B => B,
CLK => '0',
ADD => '1',
C_IN => '0',
CE => '1',
BYPASS => '0',
SCLR => '0',
SSET => '0',
SINIT => '0',
S => S
);
END system_c_addsub_0_0_arch;
| mit | 471386237997476ae5a48dced5871ff4 | 0.660044 | 3.241379 | false | false | false | false |
sbourdeauducq/dspunit | rtl/bit_manipulation.vhdl | 2 | 12,347 | -- bit_manipulation.vhdl - miscellaneous bit manipulation functions
-- Copyright (C) 2001, 2002 Michael Riepe <[email protected]>
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- $Id: bit_manipulation.vhdl,v 1.10 2002/07/05 21:36:57 michael Exp $
-- url : http://f-cpu.seul.org/whygee/f-cpu/f-cpu/vhdl/common/bit_manipulation.vhdl
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
package Bit_Manipulation is
-- reverse bits in a vector
function bit_reverse (A : in signed) return signed;
function bit_reverse (A : in unsigned) return unsigned;
function bit_reverse (A : in std_logic_vector) return std_logic_vector;
-- extract 1 bit of N, starting at offset O
function bit_extract (A : in std_logic_vector;
N : in positive;
O : in natural := 0) return std_logic_vector;
-- duplicate all bits in a vector
function bit_duplicate (A : in std_logic_vector;
N : in positive) return std_logic_vector;
-- duplicate vector
function vector_duplicate (A : in std_logic_vector;
N : in positive) return std_logic_vector;
-- AND cascade
function cascade_and (A : in std_logic_vector) return std_logic_vector;
-- OR cascade
function cascade_or (A : in std_logic_vector) return std_logic_vector;
-- n:1 AND
function reduce_and (A : in std_logic_vector) return std_logic;
-- n:1 XOR
function reduce_xor (A : in std_logic_vector) return std_logic;
-- n:1 OR
function reduce_or (A : in std_logic_vector) return std_logic;
-- left shift w/ carry-in
function lshift (A : in std_logic_vector;
N : in natural;
C : in std_logic) return std_logic_vector;
-- left shift w/o carry-in
function lshift (A : in std_logic_vector;
N : in natural) return std_logic_vector;
-- arithmetic left shift
function lshifta (A : in std_logic_vector;
N : in natural) return std_logic_vector;
-- right shift w/ carry-in
function rshift (A : in std_logic_vector;
N : in natural;
C : in std_logic) return std_logic_vector;
-- right shift w/o carry-in
function rshift (A : in std_logic_vector;
N : in natural) return std_logic_vector;
-- arithmetic right shift
function rshifta (A : in std_logic_vector;
N : in natural) return std_logic_vector;
-- left rotate
function lrotate (A : in std_logic_vector;
N : in natural) return std_logic_vector;
-- right rotate
function rrotate (A : in std_logic_vector;
N : in natural) return std_logic_vector;
-- function bitbit_and(A : in unsigned; B : in unsigned) return unsigned;
-- function bitbit_and(A : in signed; B : in signed) return signed;
function bitbit_and(A : in std_logic_vector; B : in std_logic_vector) return std_logic_vector;
end Bit_Manipulation;
package body Bit_Manipulation is
function bit_reverse (A : in signed) return signed is
begin
return signed(bit_reverse(std_logic_vector(A)));
end bit_reverse;
function bit_reverse (A : in unsigned) return unsigned is
begin
return unsigned(bit_reverse(std_logic_vector(A)));
end bit_reverse;
function bit_reverse (A : in std_logic_vector) return std_logic_vector is
constant L : natural := A'length;
variable aa, yy : std_logic_vector(L-1 downto 0);
begin
--pragma synthesis_off
assert L > 0;
--pragma synthesis_on
aa := A;
for i in aa'range loop
yy(i) := aa(L - 1 - i);
end loop;
return yy;
end bit_reverse;
function bit_extract (A : in std_logic_vector;
N : in positive;
O : in natural := 0) return std_logic_vector is
constant L : natural := A'length;
constant L2 : natural := (L - O + N - 1) / N;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(L2-1 downto 0);
begin
--pragma synthesis_off
assert L > O;
--pragma synthesis_on
for i in L2-1 downto 0 loop
yy(i) := aa(N*i+O);
end loop;
return yy;
end bit_extract;
function bit_duplicate (A : in std_logic_vector;
N : in positive) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(N*L-1 downto 0);
begin
--pragma synthesis_off
assert L > 0;
assert N > 0;
--pragma synthesis_on
for i in N*L-1 downto 0 loop
yy(i) := aa(i/N);
end loop;
return yy;
end bit_duplicate;
function vector_duplicate (A : in std_logic_vector;
N : in positive) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(N*L-1 downto 0);
begin
--pragma synthesis_off
assert L > 0;
assert N > 0;
--pragma synthesis_on
for i in N*L-1 downto 0 loop
yy(i) := aa(i rem L);
end loop;
return yy;
end vector_duplicate;
function cascade_and (A : in std_logic_vector) return std_logic_vector is
constant L : natural := A'length;
variable aa, bb : std_logic_vector(L-1 downto 0);
variable k1, k2, k3 : integer;
variable step : natural;
begin
--pragma synthesis_off
assert L > 0;
--pragma synthesis_on
aa := A;
for i in 0 to 15 loop -- should be enough
step := 4 ** i;
exit when step >= L;
for j in aa'range loop
k1 := j - j mod (4 * step) + step - 1;
k2 := k1 + step;
k3 := k2 + step;
case (j / step) mod 4 is
when 3 =>
bb(j) := aa(j) and aa(k1) and aa(k2) and aa(k3);
when 2 =>
bb(j) := aa(j) and aa(k1) and aa(k2);
when 1 =>
bb(j) := aa(j) and aa(k1);
when others =>
bb(j) := aa(j);
end case;
end loop;
aa := bb;
end loop;
return aa;
end cascade_and;
function cascade_or (A : in std_logic_vector) return std_logic_vector is
constant L : natural := A'length;
variable aa, bb : std_logic_vector(L-1 downto 0);
variable k1, k2, k3 : integer;
variable step : natural;
begin
--pragma synthesis_off
assert L > 0;
--pragma synthesis_on
aa := A;
for i in 0 to 15 loop -- should be enough
step := 4 ** i;
exit when step >= L;
for j in aa'range loop
k1 := j - j mod (4 * step) + step - 1;
k2 := k1 + step;
k3 := k2 + step;
case (j / step) mod 4 is
when 3 =>
bb(j) := aa(j) or aa(k1) or aa(k2) or aa(k3);
when 2 =>
bb(j) := aa(j) or aa(k1) or aa(k2);
when 1 =>
bb(j) := aa(j) or aa(k1);
when others =>
bb(j) := aa(j);
end case;
end loop;
aa := bb;
end loop;
return aa;
end cascade_or;
function reduce_and (A : in std_logic_vector) return std_logic is
constant L : natural := A'length;
variable aa : std_logic_vector(L-1 downto 0);
variable k, len : natural;
begin
--pragma synthesis_off
assert L > 0;
--pragma synthesis_on
aa := A;
len := L;
for j in 0 to 15 loop -- should be enough
exit when len = 1;
k := len / 4;
for i in 0 to k-1 loop
aa(i) := aa(4*i+0) and aa(4*i+1) and aa(4*i+2) and aa(4*i+3);
end loop;
case len mod 4 is
when 3 => aa(k) := aa(4*k+0) and aa(4*k+1) and aa(4*k+2);
when 2 => aa(k) := aa(4*k+0) and aa(4*k+1);
when 1 => aa(k) := aa(4*k+0);
when others => null;
end case;
len := (len + 3) / 4;
end loop;
return aa(0);
end reduce_and;
function reduce_xor (A : in std_logic_vector) return std_logic is
constant L : natural := A'length;
variable aa : std_logic_vector(L-1 downto 0);
variable k, len : natural;
begin
--pragma synthesis_off
assert L > 0;
--pragma synthesis_on
aa := A;
len := L;
for j in 0 to 31 loop -- should be enough
exit when len = 1;
k := len / 2;
for i in 0 to k-1 loop
aa(i) := aa(2*i+0) xor aa(2*i+1);
end loop;
case len mod 2 is
when 1 => aa(k) := aa(2*k+0);
when others => null;
end case;
len := (len + 1) / 2;
end loop;
return aa(0);
end reduce_xor;
function reduce_or (A : in std_logic_vector) return std_logic is
constant L : natural := A'length;
variable aa : std_logic_vector(L-1 downto 0);
variable k, len : natural;
begin
--pragma synthesis_off
assert L > 0;
--pragma synthesis_on
aa := A;
len := L;
for j in 0 to 15 loop -- should be enough
exit when len = 1;
k := len / 4;
for i in 0 to k-1 loop
aa(i) := aa(4*i+0) or aa(4*i+1) or aa(4*i+2) or aa(4*i+3);
end loop;
case len mod 4 is
when 3 => aa(k) := aa(4*k+0) or aa(4*k+1) or aa(4*k+2);
when 2 => aa(k) := aa(4*k+0) or aa(4*k+1);
when 1 => aa(k) := aa(4*k+0);
when others => null;
end case;
len := (len + 3) / 4;
end loop;
return aa(0);
end reduce_or;
function lshift (A : in std_logic_vector;
N : in natural;
C : in std_logic) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(L-1 downto 0);
begin
yy := (others => C);
if N < L then
yy(L-1 downto N) := aa(L-N-1 downto 0);
end if;
return yy;
end lshift;
function lshift (A : in std_logic_vector;
N : in natural) return std_logic_vector is
begin
return lshift(A, N, '0');
end lshift;
function lshifta (A : in std_logic_vector;
N : in natural) return std_logic_vector is
begin
return lshift(A, N, A(A'right));
end lshifta;
function rshift (A : in std_logic_vector;
N : in natural;
C : in std_logic) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(L-1 downto 0);
begin
yy := (others => C);
if N < L then
yy(L-N-1 downto 0) := aa(L-1 downto N);
end if;
return yy;
end rshift;
function rshift (A : in std_logic_vector;
N : in natural) return std_logic_vector is
begin
return rshift(A, N, '0');
end rshift;
function rshifta (A : in std_logic_vector;
N : in natural) return std_logic_vector is
begin
return rshift(A, N, A(A'left));
end rshifta;
function lrotate (A : in std_logic_vector;
N : in natural) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(L-1 downto 0);
begin
for i in L-1 downto 0 loop
yy(i) := aa((i + L - N) rem L);
end loop;
return yy;
end lrotate;
function rrotate (A : in std_logic_vector;
N : in natural) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector(L-1 downto 0) is A;
variable yy : std_logic_vector(L-1 downto 0);
begin
for i in L-1 downto 0 loop
yy(i) := aa((i + N) rem L);
end loop;
return yy;
end rrotate;
-- function bitbit_and(A : in signed; B : in signed) return signed is
-- begin
-- return signed(bitbit_and(std_logic_vector(A), std_logic_vector(B)));
-- end bitbit_and;
-- function bitbit_and(A : in unsigned; B : in unsigned) return unsigned is
-- begin
-- return unsigned(bitbit_and(std_logic_vector(A), std_logic_vector(B)));
-- end bitbit_and;
function bitbit_and(A : in std_logic_vector; B : in std_logic_vector) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector((L - 1) downto 0) is A;
alias bb : std_logic_vector((L - 1) downto 0) is B;
variable yy : std_logic_vector((L - 1) downto 0);
begin
for i in L-1 downto 0 loop
yy(i) := aa(i) and bb(i);
end loop;
return yy;
end bitbit_and;
function bitbit_or(A : in std_logic_vector; B : in std_logic_vector) return std_logic_vector is
constant L : natural := A'length;
alias aa : std_logic_vector((L - 1) downto 0) is A;
alias bb : std_logic_vector((L - 1) downto 0) is B;
variable yy : std_logic_vector((L - 1) downto 0);
begin
for i in L-1 downto 0 loop
yy(i) := aa(i) or bb(i);
end loop;
return yy;
end bitbit_or;
end Bit_Manipulation;
-- vi: set ts=4 sw=4 equalprg="fmt -72 -p--": please
| gpl-3.0 | 5b163aa24c052fc3938dc88bab8b890d | 0.634729 | 2.81702 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.