repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_013_test_input.fixed.vhd
1
260
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); end entity FIFO; -- Violation below entity FIFO is GENERIC( g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32 ); end entity FIFO;
gpl-3.0
a2ac6596ef44ac69c0ec2165054b56e5
0.592308
3.333333
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/add_sub.vhd
2
15,965
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WozsSiCuDSL9GZFA8ln/YjSjPxpX909dMjTfz6RmgNot0x7PzppV6ZBneX0XbgIfbQp+NTb1DBQd b7gNKkgv2w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bPkIF2PoFBURUMfnRqidLvbsYogAd15OU9IRwxsjlKRGKB7m4hCaNUvBNJ6iIp5tzUJJUCwYZglI fQJRojdmqiuu7JrOHOiLIW3568EcpWE5vhsk7flGfmoPmjdAKHV3x6gbConRUB/vynFBl9koZeNw 3Dy9MpLHjhnDs7WyNjE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZfF68MxxnJsWvDdxgA30L3INIJKXcBPu157y+0UO4Zt2+1jEBy7ovEQxc15l2PJI1T+ha8zQKbcs rq/CQxcUYEJAARln55cBWg/nd3oSk0LDVCCm4ahTKAuQb1Q5LLpb6b4AXuij2ujxT+KiQVlRHLxg 7GbmeKFcv2CRLuBktrJEFb1lBrQFkG26uazCnmNgOnT5jGS1MTI9EVsKLFTj4vaf8JNL2m7bshTJ dj0h6+i9ffVXPhSeuZl0aL5wjuAP33ttKD1Yl+TdT3ZKIcz3/yPVcwrouY72gm8uACXbknfRkLbW i2+F51e0QoKxbiQA47/h0urJkMupwRu3Fxnoiw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gDxhPM0vvHClrgcoETejErPJHj18ITKYbFvQTmRLh/X2pjUhoh1rvVl4ipY5QGeozvu848PBTZb8 F0ggfx85qW7DG46DsnU1O9D0ju8Xl9uVV3KTi0eI7ikMiXUjjIxt+RBymDePjXZ4incp0VmYEqBQ k7uVYnXemu8CMdjK6lc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TVCmLX0tqkbn+PiUSRlfDXIZb8xOXCeDgd8+7MmwYmF84nZD/opg4Hkm/geqOq27ahOEUY/i0J/T r7RLfGBXvjVdOVrVZu4r3SzNVAOZRHARqLa6Mww64rcFLXQd4fKPfN+6L7eQ6rZ4KOOEzJkkH3HW rPyGKefxqnT1LNl/H9JvEjXJa5jTJCQOfnfJsTsjdYhitp/BSjWuTXHf9lEEeqtmdAzWycmF9RjF 00ZQOsbuKAPNNDu03bkOGqd56nOzDejr59MQTlXVI/qJJzsY3b+V/4T1SklR9xfXliS8ZGVjG9DN CIn76HcdeVN3N8n+Ae3YJRbRipk5Ue0zrTzZ4w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10080) `protect data_block GcxSUXSg7OpkYivk240cvfcwbAD3vIRxnmOm+DodEA3CE6SKECDTlhszT3CML3Ezg6nRSQlydRJb PRMzL5hp/pU7coak9K33omYR2aW+hNw7YAzEyDMrYTcgrEHC3JnrduM4dXLCqpnTGnLLF5ZnIyQn 5rPpKzZ3b5Tnjh/tw7g25BIy0E1AJ58MNVd4p925VFkWg3tcEv4C0p9Su270DMGLU/F7jIEDuj5h oH6WkIX2Zp2oOs9GGmxjTXFdNZM99S1r96VFCDA0Cd0oUkh+yvJyI3YpT/1Cbu3inI/K6TX/FpeH M5peLdLpi+qk61lPFHzZqZ2TxDSoZ2wX4SW9xqHbEJdBSsJ0J9jb/46nYBZnzr4CoGZzmyB+sIgl f+FDjPa0UqCa5ebXnrWNGUBPBYlR5H9uUuJY009avZmkl0Ki18EyiY5E9uI75EzVBl9Q8DIBPcGb Fsy/5tTLMdb7mcWbjpH5bwKEih+fkBIRYeArR5rT92Ild7UNOQ2II48fRpY5XUSn0UVsYFqeaoyH O3uJD9Hawvgb+HHWFLoslsbHqn4zhjJhiqkpFjk/XoRNrSzFxwyJ/sG94OqKnAFY6DGXO/zGj0x8 QF73KW4B1Dejc5fAOVjxWLFrielEwFax/Htgvc/YdGWxSL3NiID7RSmQ47HBbLqsKqqnAuDUcVQ+ HKoxQkRkv2n3kvNiHHZInK1QISzvEnF9pOSHkXdByXBxbb6N3jwsBK5Yi1xQyjSoean2ytv3t0gT EPA/i+boEgkbkvHesbmb0RdmdLqef5OKX2xrXADa9iRbXR/et7wQpvjVE7Y93vAxqlldiDqqDBrv 0dIyJQNFpee6ltE41Ay5dAwezMjTEqg3uBkbaD1+DmZ6gwlEP+JcG1MZ3yBW/SkAdAvc9cusw0Kh OeRd9yCcHjKFGRM/GFaf/I3T37HlX2dft2egFiEUMlc2e7sV1FfPM4P0PGNPKKK3FbFK0z+s1f/4 xgBwZ6ZCuBoTYhPmgj1BzT3nHgxCVitbSL4sV0MNYmTZin7kT8b3+3gI7bI38NgDeQ9srpv40Dfe JyG4zeIJYsXwfgafh9Ltb5WNR5lWQVICs4VJiWjPVuVGjWGPMJcoswIMKYspn09F87MLVwQi07dX IMdmj21MCerD3ZOMbQi8noFuxbaeK6v4ReKr9BLgCW0wPhJaLey1m8nss1IxgUeLTsSAMeTNE+Ey IMpne9P2qyN0ZQL2hr6MBdGaBcVjm27fhHHeHv91YNgXT2JNQxeDFAhg3TWLX4ythTnLIT8Fsd6+ TBC0pT3Oq9ojwch7Djt7Vm1duY5NrcQGLrcoRyAg3wkb0oSugjgM0OnL4e1EszHcjXtqh99Gmiy9 Cfl2jaW4Kp9hLa4bLmaafr67O1x7G5iOeeceGNW7zdWWvM8JMsMq4fp0qtOvxquCrBjUpZLACdHD NTUvHyji4IqTCSA+LxrS5T1L6cr5lzxqcNwHfehRhhZGHTYyIkKQd5Qb5DUUXCCbwWqN35S4D8oO 4Z0dEscvmZGchaC92MHyPtA+njppHezx3zAzacZe2j7JbnTJK1DCm0Ygb014RLIjiCc6133DgxzQ 2/pufgiA8t/K7BTB7+8E06/tRafTqU0BxdRq1Oix8ylv6+cGA28bfm5CIg0+HcjjrstPq9KOvC4J JInK/UWn1Y3at1jguz8Xu8InoXuwLlQOWioqvgv3zJCpYxku6mkdvkcj/2fHqnw8X0JDAQFhb9E/ 6X9CVKfQwyYEhtZQDgFqMA22025pJEj9DU+gx7gSZ9gcostIpnSZlI7yCssAe2lkrVctvFcIzPRH 0bgE8T6PbnlzgKn+yQ2up1A35IHcSNdu1VcDHlIvoWzB9V36YOg5RFdYx4oqGbDtxWixfU8agqNf EWUxYpSoyjQayXrTVdICzOnjy9jIPue7KrBKvM4kDoQDRVlnAlPVltxTmM+H2t0hSKri0ug9zUhJ nZzreCvPNRuu0IDv7Ka9gjtz74tkMawOVgkpuuN6AmGYz6r6wvUAMFZKPARDmC3tvR88fSmJ9+1R bHiZSu294cu3gyAIX7NglCFJ6tFaE0vxklkcA5Vt9aIZBwgopPuHSa0ttnoKCt1IX6WiG27PrLVl TaReT4SAYuRDGZ/tNat6qHPTw0xqa23tDEv2IAlaUMLYNPXxQ4iFELZcmFISUU92Bdxw/1IARnU7 bbp4H6w80cmYtoI3LSbVRDBBc8QYVs4cVvOKlqoV4wab8IE8xiR7ypa2MUaH2j4UAHDyi5ehL248 un7BvQ6EgO7GZ5nOKE0XtSzLO3ZvsG1UFhcBER6wGwIPuR/hqLpSBcxrTSW4cPYeKjGG+zkiCmeW ufjI0EDV4xBEp6x1RwuoQ4mUbq5j8GDkQkG+ZIRUDB0wAXeGZX1E/+BzhyT8zYgQYWYj0Qll/pGi 6//BKjOBkenWmZ0vJqu1NSI1XIm/1QHHoOdjGNAl2ZMd/8tnhR/7QFeV6SIsKeVPPbkPzCRCTaxW 8kbB69JwJGNGNwJmP9IygrMUAXU4B/MN69h0CBisqFlXw/eAzNiHPFc3GJj2tIUo8Heq1RZ08Ozd zy/PEiWpcbQrCc51irB3blaT0EI362lbDqAyXrYlHblXXdCZSxKkazZNXd/4+jkUc13OshYjcd0F L9QR1lLSqmL2ajZtC9sUDvrZNWUOiZvOiTPRdLFk1v/lrYZK/44oFqsDHGTQAnuwPbS5eLpLxOCb OUqkbZ38PCDrVj1PFPWEv6qQgK85jhjG8AOUi4IA4ApAwK5XmTuKDsma0+MqiySuFr4Ca9RzCdK3 7M11NLnlWhbPpwXT48N5oaEjEqIi6RBfSpMFit2bn33xm6WEGWXTHXx7+y3e74SMgI3LnTaFYoVm zPzb2cEVMWaa4wf1uCUnXqj24nm6cSjM/+kY5B2fZhLcqFG0EHCYqvAl8sDq919z5WIl0dHkCWIm 99uMMjZkd/rTCCiACAlfA7bCghxG+R0HKIoQWYpjRCveCmH6Qsc5sImbTpQC2ChNp9oWLh7A+pLI oGYfWturhC/rWgnhE2GvYGxuH+Sb884OIfFy6Yu00KKLO48OrLBOzaxR3XJzYIzw+1WV3m5LF/cI g+M8w/DG8h/sLXUbB8hliTD7oCXrBDnVX3YujRqtVxa124s49eInKnFXLHYKQBsVlD2A8VwZbsnb 39FxsMFl2xApTb7lCJAlI4tGzt9DTCXjsGaW3Fsl0L5QHwCAO1fRjY8aQhNdm2lareo7KCnrEQMG z4lIrOIDAMd4a+kFt3eze8LfT/z81rL7M53Lbr2icPe1ge0DdI2kJtSdv0YJ5Cfm4bnVKekk4sgn r5ujPEsg6P3s9/8Hm6C5IN+KbAtJ41rNDDCLGqbv2Sh7sEPdcFU8agicUOH3zVkcwa18UvF6lXHV zzXEVueQlMr5hXGsWjupgSnrlyWfegFtoSfnYzJXaC0WL0M8PsCNaiGLhTAaE6EDldVsprtI6px6 mweOiJVsSiVRs2MrMCBZumU+nMeA4qvBgBogwJpfZitj6ReQ7FNFJyyz6JnnWVsJtNMjuEKxLd2K VwbLuRPDflLP1PmVs770ZD/Oegl3ZAQzcnC0CCmsQxVSYYogj/rT0nSyNBSYh2MB+6pJb0znfwGU H0IzCtbOyw5BL4zBEBNtqXeWYMzQfyF4QWM0jbcrinzA2CewQoYUdUpRaTbMjQHA+fjjZPKA2Cfk Tu9CW46SPCWw1MsK3caQlEEn94jnpZKSjReQDyQlDaS6YFX5BU4CltnrRAQx44gY+xB5JozViUVH 3pY6LNdfYBvSs3WnlyHVlvNvbAEgrTvyVH1sPgbR0haA9MLBjI4mwYjbYkEdMyZP3Umsy4YaHkjN fpFxkKHuabANH7rGvNUlLsMkHM59LrDEoXqU5I0WM5QHjdWKexIOI8mFc7Cbud94C+Jpd6WDQK11 zRQ490SaKpo7rIpq0Wy7N4ogsi1WHt0jfgBjgcT9tJ7c+F138S8VDyqLb9KD/beTpm4NdYjGd51h fC7F8YpAfqkKb4vakoU1EIEM1EOnXIqybmAwnr3jlC3NaxBHPU6/RyrQog8Yu+4j78zbzbiUQLn8 ZY8v7yg/GJB6fam8u4lwhmQx3D8+bTFbNHdqgbBzY9GVkZUn2byZl1SlxRjhYTaFrkjgI6/x0xzx Zq5Pn2ZaK1aF/Ls6g+TYKmIbBoQzoS31s6E8cTbsg0eF/kcCXbDk11hKfYpJSo46W6varHrb7rmH xsNSltSRq0SD4FScva+zXZqn3Tu5VhN1Hscr6ECmPEq5fAskJFTf5/6CBU/r+jCYRi9OUCg5jkP2 2g4u/jRVjTtkAXtOHAZ3iA9tt2fuvr/TG7ZR6f5rphELJuVSPiQ4ZRUDPaWd7FB0wuYHx1EXgrvR h65GwxfrSNKrRyfFT1wykbEMQRRDAyGbRasEE6RZSJOU6avIoVp3dJadzlR6V1kmEbO108SNyFR4 B/3cwKHOtoFWECZJwBBNuzVE8F3yVS1e7pPl3tYSJsNZrdhGNX6X688OzIxGwyB98aFIy6yjfmfC 8iw8yT4ZpFs2h4dBtJcQarEvjoYds4QIj3zAzDSt5/ydZ1IbgYtXakcTW2ZfXZteC0H10y0afSpt IvarlAo/t0LPLOE0uAUJOpnErb/9J8yxuWBq6SUa7mn5FAGJKebz/sg89TKWYTU516qIPzjRh2Gk I339fvay9XlTRD8x3D8osA596PbbBHa/e5oGXLque2iCDmhWMvMalMVQX2352XfRxqfoIK7Qhd8h ctaDXad0dX1zSgJ1DCg1UicCrDoxcB02I9Jn8SLJupXzuvsOH6ziKrahfyI2ZUECTryjxslvJmjP gG0VBmJHtEl4Y7tr6+KW49iwjYu+r7tFHgHBw8JWzIGeEtjKY8vBMBeGoVkYEhLd6oh00bDGEwQR GuB7EHZiia3Mz6Dxzvi2m2t2cVnuLLkhs/Uy8Gem+fHFyDJJLhR5EiU7XfIWrQFV8ErPQGnEUkp4 MNbGM8EjykBeammOCsfdev0q9VRdb9Lf5nqsFkRNtFkUj6kCun5vvmhQg/rPPUyaHZXpJm5n+yWV wihVQldVz6hkzi33CvFkOesmSXc1yK+ssNcjMEoVB1LdLDfJC0qjzgJtUrn1h1y1tWXrwDEhnhXj 3Xju+bhUKcR+mvcg54Az9dEo76FKf5bZNcjC0IISknW0h9ecIQH4A7RC4VOZL2CtKoCKlZp7NSJL fZaS+C3q3sYoxasUNwCj9XIyjbte5T1ggoM0UGkD5Ah+509ZQ7wm1mrW5zC3zLS4s1gyaHP1KNhQ qYa449ye4J7ARl89I4VBADM83Iw/T+K2GSlCQ6dmdLwyX9ac0ky/e/DvtRSPo6JLZGT7PN/bMZ0e 7PhrVBqALZv278A5TM81689MU2y+TzACPst+kAmS7yZUuGYRAB6KtIBakOnpGYUijteizp94G5Lz Hdb+3gZn82Erl5GXvCqGIeHJgRz416yXO263a7mIeqz7uS4eOxQbSUJhIbMuLRIBYZuldYruBVRh yeL23AcPB9ckE5Wcj2eSugEdWqm0UC+YlUoYL1xvEQeAypo2LQ12S1GurGIpfdA0aqJDn81VeIPF iT8f1mMl+UHoeQmhK+WTpNVra3cLPK+tRC54T0yCY+fwYGl2ZGLGd5BFvl7lnDdu2ZYqLN1NpoWD y2atm4Q1PneuzXiBN1+AxcGKak6BvroXhtItDRoaqdIWi6lSzb22G0VSabvkJiDANJuDOMEKpWIi 7quUxr3qB+vbKYfmcfvcDSVcQPAeB+SxXwbS9tauivBv6hKM65yiugp5AP/EMNaFTqbY3/80auVp 4xO9hamP3yqAlieyxaOeoJGNx6+g92qTGjJa9xNNsqWMsHu8rR4Rv6z2tLJ2OzCNhcF3+zxDmtYK 0OeI4xSPKw3yre4xARL+LFLQqLtnKrOsQhur/d1dhhS4p0ywF+5FRhBTy+pZostMwVSw43BDDPRm 68DvkG9A47mvue7iDth65hXIXPEXnvLZhNV4BSwoZYRGPbSPefBfdrkmXtLc47yNdBpUn8F5b5CV 1B2zO3T2tVYv3OFvGKU2WL99K1JMoD8xISceFBTZj18jrpV4ESi3UHwiCJsPG7GhkFT9VMc2mLh/ LitC3uJEmGhTcemSrTxj0bPqLcNed3MfpJ9h8uD78JLjW/bhNmK5Zs/6fEtDdVgJ60PYKPbPZuIg 8xaH8PjvC5FDfTynPhwji3V6x6KAgFYIxh8oCwcEswCHN1dlH7Oh5d0ZtHJAY91+rn1FQGn++BUJ kxmy1WSB7/kPqyV8jA2C3dUyWQfcm9LXODEMXJCT6EDCR8yVbl6ySCPlPS1w+xwuU57hm1vVLxJm a+Ofchhw9bOqBp+unIAKeSSVPf6gQtEM3cr37QcBpSjjzCcuOkM2HWnOZCivwD28ZBYTMjfDefrm qsOUMZwqxkvk8cVWfp17GGGeVVWHBn4DHY+vVnXkyxKsHdBTNW6KCIzR3psxMizxhBO1BsrGNGCu Zeq5JgguzTAI+yxWzJPuEiVmbi5HmwwGisZQFDWF6huJJlbRf/HIkQNqNcH1h0PipVwWkCRrGkfq xf82+/VQZwMY5XRGnobO3bi5hvuu5idLAs/h6nvPUJ/YFJ/SSKSHilrHsA5X4eoj4jLQ/d885Nd+ QSG7keWqs260erz2kWhCY3p7yv0PLJr7fvWoLq9ViEtXs3lPqIbk73usEg2lXCsQ7T57L4+2WbKD kkX3cFI4KIQ2wA5DlsftCzWOANoEGyLP/RZCmm5dEJTd7qLksFFzlse9zhu5TBXzfDEVZIBn3WpC 2Mubou3H4yq6xRQveHdzFDyooSF13s+A2X8rY/XPIQUmnf8/bnX1RcoTUDYtjAqMt4xokRWND0LU cX9pnoFVR9FLbL0k0j1mXZhVIf0suD+/slrVhXjSbutinPEEKjuOYNXBkeDdXhZbwG1SxnlWm+ot EZoyGkM6vi7gap7Ogqn3b1p6TIiU9r314dJy91wvhPW/U/kiFwPH7YNKIDt+HA1e0DxZ8efFjhfq evau8zaoO3JBauCj1FBurgWRGpeQ/lqz3mT3KNJ78pVHgS4Kz096UitnbMlwPQJt46/simxSTNXH KgVVsXo/E7wSuu7XQJdUYGkNbD8yYQDXiEkrtFfOTkKrre4GCodsTax9sa51VaJnm+yPzcIZFfKp DXvbOOJdTWO1dB3OZOpVWGSCVlWifo8NMaQ2oTY1fiWPcGJ7nUEq4lq8/9WTpBy7tfEAh1hlt85V dJZ/rKH63qDrYx2+cPYbooxLrO3YEXPPi9mwb5/cd1dF/gQEQhOeiiGLbBWONyObueDMy2d/GiET fB2VUzKaETq5p5VtYdb09LK+tx8FvEXlLgU7yDOm+slry8CxVjuLonp0S28ZM7e2rJ44k+k2mo92 3NDx9rCliCDOrpDvyk5pdAdSQHv3K+QdMsupNZF+1S2Rw++1KehW+kIYu3xT7vt05BiUFfBaPOeA /pGpgY+HtVE488NYiQYMGqhZap74/SX91XrtLtTY5iFvrTOwrgAkGZt6zLVUg/h4ta9co0F5GFfM lwIEIy8W2QUNs1F3Xcowk/D+FFYqC+WK2fXCXTvMDJSHTay+8oYCPAEvioB96qih+jp9EyxhJrjB n/G1TnIkqySOPQN91d9QcZ6oQYFX1iLlXQXINpiZoVqb35gazwumCCGiDfbL5E8kWtzxXsoDvUP+ gT/WikPTskh8Ngd7Il9jnsffglYQZDsCTocyfO4u+YeyiRv+yzE7vLc9l2mx5YJyiDHRUYA5LT29 3NQi8Q60TGVMmfZ01cLrHoANFCOSq13o3GjwW0oaq7Ww7FFPlWyt2cMeTHEycG007gNOhd5fNfYU M8QmTgHYEfKkQ0l7vrCtyu5MihsrArIBzPxlNKBULpzGWo5L3koNGxKy3rvW3R0GS6oRPMJknuie YEwg2HHVLCOn7sH1a3AsvXJWziL687yZIVziYBa1CA7kxuQ0Up6UphVsaoO1ZorKRLHTBkSgudEx J2/cCD2VoM4UVa8ywjrCjbMzXpGsX/V2WNplwqNJ52eCLcXKxKS9gG+YC78ab8OHHSVviL8czti0 ASNP0JhgmyLOoZsA0T8jf/R9ur0J+g8KxB9IzisWmya4WPELw+qlw5ZUPb77u83D+2kn55TGXbdP XgJBkFRYGmnnaL7CFg2mNQ/w+sAQTcoJ23NZMffLmourME6zUa8jAsW8wTKGMYU3mSeFoAMRd5m2 2ic0urKSjAgeekZUoPBrXeFy64PdOoig/qu5MruMeeb1z+EYd5MPpZii6tr+jGvGtsbPlfLubFVr 8aECkkKYoDSgpBfRgyZsEiZEIu6gLERkXA25E0yCe4hJnUxiXMc4W4ADTpUOuxzRC5eYGZhqqAfy 5uFWCbn7dh4iZQywg5k6U7zGaXiYWRUQskIOd7ZbQiLD9ATf23sqY5mSGC3QleBUwKUlptn3xoFp W/++BF9eBAUuTuhdwTEomYCmRXCOQKM68xe/aBE6lF0xj2vq5iFrKfkKhf0oIyqzXX7D5zYAsDey uUhbQF7koeoUgAS2VO5MmM52OoKH7He7pzSH5SEtC7c1zX1xezLhEnDSWcBYQdEPw9gVQ7HVdx+l PVXV+FOJTxFalag/2iy30yEetVqOyhKPYwaYgbt+/u4LA3g95QhIUGCf9MBUSnyjlwJ8hVxdvivr 9Kr4liaUoWz0AAwv8sRPElr8M0dfpCbrwdr30GqgsvOJqMty6St4Oj8YSwXCxX03vDR6esLi/5g2 HV48KfsA1MumInmep8JwlG6WFHIIG+4K6p8OZJjRSBDVsa3xPYC/aJrMCxnNLcy+raP/waShTZdi HXJYwdy4SGnbrJMdoWuPXMnRdPRiqoq05Xfsnmt5qOzO8SPs5mHSl4anyqfWSPWC/3bS4Nort7SM jYMFPnFmuOj4qaHXLhfbAl1cpHQYvL4VgepMVJTV/WMPJNOROCPZD2XmxwfWQDDgZ9XyGGUXOZlH wKSPyYMKrD0dqFG26vj6ErqgA202zCkq2x5mNXhS4rZN2H3vHnRU7l504oyKrMdEgImqsLfR1tKb XqDgeNEpkJHt1fuXl+beUXJWwQArvrUTz9yHrqqlUatRzvewlcL5+7WtmGytvYwOn5M2T0NkVI3e ANiuGBcu78pHWASc9TlTL/dz936/lfGbI5GOG98D68kP5BoQol6PLZolOtYlWphtuoNvBsDiYhgf n7IOv2BuYCKV0XKCF0+DHo6CPOH5WhBtrGATAlKXqudyiry+VmqeKMDR4w0pOz+40rfl+NLXCxqw eG7lK/NiUiY3EXe64NmMiCUnuLM+uUKpDGPtyADm+8CTRzecxFXUnAxi60dfDEdYPyPjesUKGDSr o3cp4OiQWCBprxW5T/dcvqVqXCExUGMGrGPBkjh/4AfjKqBVZ32SYVlE88pDgTzSEBe/VDKjTmbS JjjQZYqZ1awqegesfYTuuEBPrTujzxcXktskeQCpLqwSno1NGDfH8aw9UQkDVGjdI7y6DeWkZJDP TZ18QnMq983L7d+gmGe40TDr+eYYVpZF0m1R5KXCFzrkCle9ldUzTFFPI53ONyDUFwEITOcu4Wlp atD9i8YQPLNpJ1joNReM/xsU+XQSjoOoNLK5dD4MhuTPMxMZPX96yKTC7rdSjQusFLqnSBOqXsQ2 2euoOXARWVTQBxM4fCOTzhJPp1KqycR0KVrx6lhILVXK2Bj7mod4XBRR0k3hkCEsNQH+Sr5feXHa VUHpSpddbBM51E9ipsx/1SICrrG7a7saAfz26wrV06Od/bcMBoWJuR10aYw2akWWfuuEm9e9IyHZ F4mLWRvNCj11l4RP8mA5VI0pJ5KKzJ4yYI+V61kjpMUgm6k+h17gZwKSavmd7H0dGxULuL8opZ88 UwBK3qdwlwNY+PQskVDxCm8OJeMKKhsiQncbG+5/BdtoN2RPTWU6lfnuGg+D08mZFVdVy6T0ZNE1 2dZ5bMcGzQdh9F0ejlre9KA6OEaqs5o/q4UrnG+G86iMy/M6L7GV9JmkwmPLpOc+J04HkX5XzvfV OsXOqnh0kH1yst3oX0zCF0sXkWZ6QM3Wzkd+vE/OeMIgiDQojHL1NhmLLoknk7rLW8n5xaeJ6/1z nxnvkiT9sPpcJUaVVNlJo0igsi2PlGedIFFIXv6debcKhThAA5/jXIDqYPfvHAzeb9DD8B22e9n5 +YUy0D4l136e3XudLRp6M81WZoUprblQTsSDroXvcyeY31DGsQB2aCvQjdj2At7U9y6WOhHUwByi wLY2W9e8+Ya33gFwXslaqSWyVpJuyUuuE7zk2Lc6ERaE8/02LN0+/zkggzdBGBBlOv1M5lwBAahB 3bGBv8VAphCJNQkV2PsxpoGr2tEdW7+mH3KrtypVgLzCZyPW/j4JoCWLiQBMAsCiFIJCizMcYHXi Bq+9eI1ytFt6Rkw1k8gRK+qZIDInEF2w4jIIJzqDNWelPLqTXJ4qO7E9De7QT9TYGB7NrQGy+VeA WOPrObhRvWWLfjHZ1uEaKuUE4i6nzOOqNMH8LTr+bp1dMIYFZlHRVb0ZIPCfMrCIMzAy//YzXaQB W/xjmK0zcwbpvBGTSqbb+ftwEqz3oYKY6nKzRm1W/eqaGkZLnxSXdfgolzMviGWYXK/URwEPfssP l1lOAEt1wnLU31K7S7W8Qngjaa+kzb00v0dFJ1Jll4yyk9Y8a3Xbc23XAgpR3ZWWB0hrbP8Z0uQc WS8vGFKg9dj8Bjk1vSkJoZirc5U/IUThsI9u2oJ/VPmIDf2Oj1QFz1cU41ea+cko0Ww2+rTBImE/ Kfl7UTBCsq+xCYchhYDJ0f8VaIKCg6eGEMoqsajXRLVBaez1yCE33vfB1wZir+lGNP1Zj1lOoPni oXHJGGmyjL+IZDr/WHbPeVIqQ1qCZM9rbv9C0S3gfxDycFgAG79OscyCOL/PPcC2P+cx+qVH97Bl OP1zlq+uxT9sVcwMZ6xl7Gu0k140vX0SY0mUExWON1kYZv/FGafD/N5C8flIBezBDKHIaCG2NOAL 6rI8RCq5Q5ni2FNBDn35wm3S1ZgXyozK38yJmNq+Hw1E4XRO8P4GF6JvUC+Acc34pMBL/e80nm6F +X6vAlpOMoQu213xV98wKCh0HczP+W5iPpEbn4fJZbLGqvIyKBFwSb4yKsKEauLl/IuN1L7w6Xw5 1LD3iLoK9GY1R7S0AeW96Gh2J08FRaesUUmBpKal8Y3fZafnG+ybU4OGaGVKCudmefzyURn18jM6 iDjUZEw4P3U6gI4RXnj1zGGXSgPHSaZsPsGey/V5Mdg1+FRQryFJDFtbfUQ1RLm5yVPEmHzH50dp Whc3WT38N2GOiMx1/aQ/M9k2iU6FHLkTcjgvgpeXhwYSzE3j6LvvgrLEqbOr85W81uQFs+HLqJ8X i/dxKkuHwBUnJ1gfZFVMJ0dpTccBSHHNve9JN/mCREp2M4DWnCiw0lFEz/GY2l9xPj+LfQODTJA2 SYnw/By3LlUUmFSMzGJakbPTANxVPIrkZ3/k9FOtMN7aUbAhHcbZCsUFz+Dd/cJjix4A7I3Akjhk NJh/ZaI/qGp/NoSRnp0nbeBE3S6GU9T49niPkMmpM+M7ctzX6diD6QEFFAijbwFYtD4aaFqCzO6B /zkr0/83e2uWDSCAWcDL1Hmns0Hripr20ozZNKkCdQ2QxmMA3Zk1u2QtBQE6RhGKMUu3E3R8LIrh qmmUvT9jnE6LFkGO1xEWiW5WZ4ZEb9ZRWTovwsWwsVSuNv7SiCWiRkq4QqN0tRpyFsklhlww5knh MIoB/BGNgHrrIWwg8PDar0YBv77+yR1G5Lw5+Mrv3tXFC6+33hJbfnAcZbGIvVc6sLbMooGrlfBw A9gWE/v62HMDXPsYCMDT2O6M1pFUCknWFsE5ojivu5InaWygxesY3eJTzMSLExyXMMdRkxjyp8SO pzH0T8ShY+GCuHkq+dA0h6qD5UnsKnvdpXBQbprTMKQo1scqk3SvC0ayhxFs4XmXVLcS3xvfNV6Z Vud+8btA2vbKdeTeeRJ5W5wG1/jJuHpeNS03881QhGrsSXXstB+prPdzQ6MXEqLkbhGHHEcKZiQF ZejB+VWnXI+FKi+r0ADWnTVTgufcqgfpZePVv4sl++s4/UrKVwXbFUdVto5zSvtCkPrJz2GM4FzB rctgzOlTqZd5KnOdi5hexVyYhvsCatM8ZyNsPt2sFvvNKHmZbwLwFCMDFOIlrTxa3zCP/3tklgO1 3DA45JYtIsxPM1+fQXXT9hyRrgauBhzSMHPwAu27Vm2q5SJH0pqIAhHGxeGCIiQQrarwPSGRy/oH do2lOjDLD1wbId/CJgrHDPs0eiFoCyx5YdLX/eR4iAvO6lUESGQE8TTl1RDACCFKVlew+u+xR0EG uHWO8Bam7XG0hbYZyXSz+AkJjOGMunTmZMr6C754DmQf2wLCgjOjzwa1q/bxS4ZfucNvZyFFaBuB ysRI8WSSWYCCz0u5iHdxaWCF9kUxWNZ92R2eSe3/6a8jWOuXLzh29agRo1zwP7VUcY0pI0pNiAPe 7SDklSdB3ljlkir5Y9hw30Y4ScJS56bxXs1wB2pnZVG/L2WL4qXAOXoOnGq7uOt9MjRx3mrqfkTz GOHw1TFAZsy9UUYgPVh5A3OoAfGFSjxyX/gPJHc1dCENtAvkpEtkDUzfg2edKPpKA0lLwR9dpjKk 1IxUTrJjaYC2U7sRGVz7Y2pTq67n5NMXDsT8/n+Bk1aEgPFIMLBMk8LnfiMLnppoeSlrk3bcGi+k GlzbLlwSlzW1nUTFKKl6CGaS/zyhPBDRLK78VNWsNADuKIYgmZ2pdVHgMApPbtZrsd+GlTEo3Y+P dW0CqzAO5ti6zRMR2ruyPVlXUajWkifdQiwgjyXEfS8HIX9Qt5t0Cc0rJwvlhX3HXSW+2kpf+gkv kuJaVSDSwBblq9BN2POcoigrgCUzvUBy+nd++zF31rs0K4w2C8FLIomJ5QDiRLaKH8SM/vhbMsby 8+TaH1c2wd6vW9eBhyLmm1M+q5fQxWjNGx0qpv41OlA2y0myfsbvtBIvCs+YtYRJvmTQBh5fgSVo 7jLh0wiBINYV4MCGCrmS40sUGptljuG/XRvQXcyDfKQxwliUUkFtRd/Zn5K7mzOGnvn959u2sTh8 6ISaMsZPBm1MbGldJiPTGv74RyxltYzLO+zYX+wyqADqEXtGTuNqMUZa/DWZmZ7dRqv4htnET6xt rJCTiRTH/Erzn4JbFouGmWQGmmTwGJlbHs6NwTsSyf34dawuox4eWkEMqjVITF2f4wakVFNP28uZ srt1cBvWRI6lvvJPv9xtjjd/7357yk4CaMb33ilOCUeO3cuVl2ufD7NOCvi3wY5B `protect end_protected
mit
7e32f99f2b86729030dfa81a7de35f30
0.938929
1.868344
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_006_test_input_smart_tabs.fixed_indent_4.vhd
1
214
architecture RTL of FIFO is begin a <= b; a <= when c = '0' else '1'; block_label: block is begin a <= b; a <= c; end block; a <= b; a <= when c = '0' else '1'; end architecture RTL;
gpl-3.0
339d49ba160b0caea0bc0b4374a23c1a
0.504673
2.431818
false
false
false
false
spzSource/MPFSM.RegFile.Sort
MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/Reg.vhd
1
755
library ieee; use ieee.std_logic_1164.all; entity REGn is generic(INITIAL : std_logic_vector := "00000000"); port( data_input : in std_logic_vector(INITIAL'range); enabled : in std_logic; init : in std_logic; clk : in std_logic; output_enabled : in std_logic; data_output : out std_logic_vector(INITIAL'range)); end REGn; architecture beh_regn of REGn is signal reg : std_logic_vector(INITIAL'range); begin MAIN : process(data_input, enabled, init, clk) begin if init = '1' then reg <= INITIAL; elsif enabled = '1' then if rising_edge(clk) then reg <= data_input; end if; end if; end process; data_output <= reg when output_enabled = '0' else (others => 'Z'); end beh_regn;
mit
a4fbdc76f1be346ce6f34d6adcd60991
0.638411
2.926357
false
false
false
false
Yarr/Yarr-fw
syn/kintex7/rd53_ohio_16x1_640Mbps/board_pkg.vhd
1
953
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library work; use work.hw_type_pkg.all; package board_pkg is constant c_FW_IDENT : std_logic_vector(31 downto 0) := c_HW_IDENT & x"030232"; constant c_TX_ENCODING : string := "OSERDES"; constant c_TX_CHANNELS : integer := 4; constant c_RX_CHANNELS : integer := 16; constant c_FE_TYPE : string := "RD53"; constant c_RX_NUM_LANES : integer := 1; constant c_RX_SPEED : string := "0640"; constant c_TX_IDLE_WORD : std_logic_vector(31 downto 0) := x"AAAAAAAA"; constant c_TX_SYNC_WORD : std_logic_vector(31 downto 0) := x"817e817e"; constant c_TX_SYNC_INTERVAL : unsigned(7 downto 0) := to_unsigned(16,8); constant c_TX_AZ_WORD : std_logic_vector(31 downto 0) := x"00000000"; constant c_TX_AZ_INTERVAL : unsigned(15 downto 0) := to_unsigned(500,16); constant c_TX_40_DIVIDER : unsigned(3 downto 0) := to_unsigned(4,4); end board_pkg;
gpl-3.0
ac655dedf3aeea0335c0ca6b7fda08c0
0.665268
3.006309
false
false
false
false
zcold/fft.vhdl
src/cmul.vhdl
1
3,091
-- The MIT License (MIT) -- Copyright (c) 2014 Shuo Li -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. ------------------------- -- complex multiplication ------------------------- -- Description -- This design unit `cmul` is for multiply two complex fixed point numbers with -- configurable data width. The value of the inputs are limited to (+1, -1]. The -- MSB is sign bit and the rest bits are all for decimal part. -- library declaration for Cadence, not for Modelsim library ieee_proposed; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; entity cmul is generic ( -- same data width is used for the real and imaginary component data_width : integer range 0 to 128 := 16 ); port ( -- input a input_a_re : in sfixed (0 downto 1 - data_width); input_a_im : in sfixed (0 downto 1 - data_width); -- input b input_b_re : in sfixed (0 downto 1 - data_width); input_b_im : in sfixed (0 downto 1 - data_width); -- output output_re : out sfixed(0 downto 1 - data_width); output_im : out sfixed(0 downto 1 - data_width) ); end cmul; -- Function Implementation 0 architecture FIMP_0 of cmul is -- internal signals with short names -- input a = a + b * j -- input b = x + y * j signal a, b, x, y : sfixed (0 downto 1 - data_width); -- output = re + im * j -- cropping is required signal re, im : sfixed(2 downto 2 - 2 * data_width); begin -- input a = a + b * j a <= input_a_re; b <= input_a_im; -- input b = x + y * j x <= input_b_re; y <= input_b_im; -- output real part -- a * x - b * y re <= (a * x) - (b * y); -- output imaginary part -- a * y + b * x im <= (a * y) + (b * x); -- crop the outputs to fit with data width output_re(0) <= re(2); output_re(-1 downto 1 - data_width) <= re(-1 downto 1 - data_width); output_im(0) <= im(2); output_im(-1 downto 1 - data_width) <= im(-1 downto 1 - data_width); end FIMP_0;
mit
b9f018ff860902ec8d881cd3a9d823ce
0.634423
3.78799
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_028_test_input.vhd
1
566
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; END if; END if; end process; end architecture RTL;
gpl-3.0
f10285fe587ca4fd41881bb51db55cbd
0.379859
3.19774
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/rtl/ddr3_ctrl_spec_bank3_64b_32b.vhd
2
35,790
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : ddr3_ctrl_spec_bank3_64b_32b.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : This is the design top level. which instantiates top wrapper, -- test bench top and infrastructure modules. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; entity ddr3_ctrl_spec_bank3_64b_32b is generic ( C3_P0_MASK_SIZE : integer := 8; C3_P0_DATA_PORT_SIZE : integer := 64; C3_P1_MASK_SIZE : integer := 4; C3_P1_DATA_PORT_SIZE : integer := 32; C3_MEMCLK_PERIOD : integer := 3000; -- Memory data transfer clock period. C3_RST_ACT_LOW : integer := 0; -- # = 1 for active low reset, -- # = 0 for active high reset. C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; -- input clock type DIFFERENTIAL or SINGLE_ENDED. C3_CALIB_SOFT_IP : string := "TRUE"; -- # = TRUE, Enables the soft calibration logic, -- # = FALSE, Disables the soft calibration logic. C3_SIMULATION : string := "FALSE"; -- # = TRUE, Simulating the design. Useful to reduce the simulation time, -- # = FALSE, Implementing the design. DEBUG_EN : integer := 0; -- # = 1, Enable debug signals/controls, -- = 0, Disable debug signals/controls. C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; -- The order in which user address is provided to the memory controller, -- ROW_BANK_COLUMN or BANK_ROW_COLUMN. C3_NUM_DQ_PINS : integer := 16; -- External memory data width. C3_MEM_ADDR_WIDTH : integer := 14; -- External memory address width. C3_MEM_BANKADDR_WIDTH : integer := 3 -- External memory bank address width. ); port ( mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_reset_n : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_dram_udm : out std_logic; c3_sys_clk : in std_logic; c3_sys_rst_i : in std_logic; c3_calib_done : out std_logic; c3_clk0 : out std_logic; c3_rst0 : out std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; c3_p0_cmd_clk : in std_logic; c3_p0_cmd_en : in std_logic; c3_p0_cmd_instr : in std_logic_vector(2 downto 0); c3_p0_cmd_bl : in std_logic_vector(5 downto 0); c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p0_cmd_empty : out std_logic; c3_p0_cmd_full : out std_logic; c3_p0_wr_clk : in std_logic; c3_p0_wr_en : in std_logic; c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0); c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_wr_full : out std_logic; c3_p0_wr_empty : out std_logic; c3_p0_wr_count : out std_logic_vector(6 downto 0); c3_p0_wr_underrun : out std_logic; c3_p0_wr_error : out std_logic; c3_p0_rd_clk : in std_logic; c3_p0_rd_en : in std_logic; c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_rd_full : out std_logic; c3_p0_rd_empty : out std_logic; c3_p0_rd_count : out std_logic_vector(6 downto 0); c3_p0_rd_overflow : out std_logic; c3_p0_rd_error : out std_logic; c3_p1_cmd_clk : in std_logic; c3_p1_cmd_en : in std_logic; c3_p1_cmd_instr : in std_logic_vector(2 downto 0); c3_p1_cmd_bl : in std_logic_vector(5 downto 0); c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p1_cmd_empty : out std_logic; c3_p1_cmd_full : out std_logic; c3_p1_wr_clk : in std_logic; c3_p1_wr_en : in std_logic; c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0); c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0); c3_p1_wr_full : out std_logic; c3_p1_wr_empty : out std_logic; c3_p1_wr_count : out std_logic_vector(6 downto 0); c3_p1_wr_underrun : out std_logic; c3_p1_wr_error : out std_logic; c3_p1_rd_clk : in std_logic; c3_p1_rd_en : in std_logic; c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0); c3_p1_rd_full : out std_logic; c3_p1_rd_empty : out std_logic; c3_p1_rd_count : out std_logic_vector(6 downto 0); c3_p1_rd_overflow : out std_logic; c3_p1_rd_error : out std_logic ); end ddr3_ctrl_spec_bank3_64b_32b; architecture arc of ddr3_ctrl_spec_bank3_64b_32b is component memc3_infrastructure is generic ( C_RST_ACT_LOW : integer; C_INPUT_CLK_TYPE : string; C_CLKOUT0_DIVIDE : integer; C_CLKOUT1_DIVIDE : integer; C_CLKOUT2_DIVIDE : integer; C_CLKOUT3_DIVIDE : integer; C_CLKFBOUT_MULT : integer; C_DIVCLK_DIVIDE : integer; C_INCLK_PERIOD : integer ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk : in std_logic; sys_rst_i : in std_logic; clk0 : out std_logic; rst0 : out std_logic; async_rst : out std_logic; sysclk_2x : out std_logic; sysclk_2x_180 : out std_logic; pll_ce_0 : out std_logic; pll_ce_90 : out std_logic; pll_lock : out std_logic; mcb_drp_clk : out std_logic ); end component; component memc3_wrapper is generic ( C_MEMCLK_PERIOD : integer; C_CALIB_SOFT_IP : string; C_SIMULATION : string; C_P0_MASK_SIZE : integer; C_P0_DATA_PORT_SIZE : integer; C_P1_MASK_SIZE : integer; C_P1_DATA_PORT_SIZE : integer; C_ARB_NUM_TIME_SLOTS : integer; C_ARB_TIME_SLOT_0 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_1 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_2 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_3 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_4 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_5 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_6 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_7 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_8 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_9 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_10 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_11 : bit_vector(5 downto 0); C_MEM_TRAS : integer; C_MEM_TRCD : integer; C_MEM_TREFI : integer; C_MEM_TRFC : integer; C_MEM_TRP : integer; C_MEM_TWR : integer; C_MEM_TRTP : integer; C_MEM_TWTR : integer; C_MEM_ADDR_ORDER : string; C_NUM_DQ_PINS : integer; C_MEM_TYPE : string; C_MEM_DENSITY : string; C_MEM_BURST_LEN : integer; C_MEM_CAS_LATENCY : integer; C_MEM_ADDR_WIDTH : integer; C_MEM_BANKADDR_WIDTH : integer; C_MEM_NUM_COL_BITS : integer; C_MEM_DDR1_2_ODS : string; C_MEM_DDR2_RTT : string; C_MEM_DDR2_DIFF_DQS_EN : string; C_MEM_DDR2_3_PA_SR : string; C_MEM_DDR2_3_HIGH_TEMP_SR : string; C_MEM_DDR3_CAS_LATENCY : integer; C_MEM_DDR3_ODS : string; C_MEM_DDR3_RTT : string; C_MEM_DDR3_CAS_WR_LATENCY : integer; C_MEM_DDR3_AUTO_SR : string; C_MEM_MOBILE_PA_SR : string; C_MEM_MDDR_ODS : string; C_MC_CALIB_BYPASS : string; C_MC_CALIBRATION_MODE : string; C_MC_CALIBRATION_DELAY : string; C_SKIP_IN_TERM_CAL : integer; C_SKIP_DYNAMIC_CAL : integer; C_LDQSP_TAP_DELAY_VAL : integer; C_LDQSN_TAP_DELAY_VAL : integer; C_UDQSP_TAP_DELAY_VAL : integer; C_UDQSN_TAP_DELAY_VAL : integer; C_DQ0_TAP_DELAY_VAL : integer; C_DQ1_TAP_DELAY_VAL : integer; C_DQ2_TAP_DELAY_VAL : integer; C_DQ3_TAP_DELAY_VAL : integer; C_DQ4_TAP_DELAY_VAL : integer; C_DQ5_TAP_DELAY_VAL : integer; C_DQ6_TAP_DELAY_VAL : integer; C_DQ7_TAP_DELAY_VAL : integer; C_DQ8_TAP_DELAY_VAL : integer; C_DQ9_TAP_DELAY_VAL : integer; C_DQ10_TAP_DELAY_VAL : integer; C_DQ11_TAP_DELAY_VAL : integer; C_DQ12_TAP_DELAY_VAL : integer; C_DQ13_TAP_DELAY_VAL : integer; C_DQ14_TAP_DELAY_VAL : integer; C_DQ15_TAP_DELAY_VAL : integer ); port ( mcb3_dram_dq : inout std_logic_vector((C_NUM_DQ_PINS-1) downto 0); mcb3_dram_a : out std_logic_vector((C_MEM_ADDR_WIDTH-1) downto 0); mcb3_dram_ba : out std_logic_vector((C_MEM_BANKADDR_WIDTH-1) downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_reset_n : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_dram_udm : out std_logic; calib_done : out std_logic; async_rst : in std_logic; sysclk_2x : in std_logic; sysclk_2x_180 : in std_logic; pll_ce_0 : in std_logic; pll_ce_90 : in std_logic; pll_lock : in std_logic; mcb_drp_clk : in std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; p0_cmd_clk : in std_logic; p0_cmd_en : in std_logic; p0_cmd_instr : in std_logic_vector(2 downto 0); p0_cmd_bl : in std_logic_vector(5 downto 0); p0_cmd_byte_addr : in std_logic_vector(29 downto 0); p0_cmd_empty : out std_logic; p0_cmd_full : out std_logic; p0_wr_clk : in std_logic; p0_wr_en : in std_logic; p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_wr_full : out std_logic; p0_wr_empty : out std_logic; p0_wr_count : out std_logic_vector(6 downto 0); p0_wr_underrun : out std_logic; p0_wr_error : out std_logic; p0_rd_clk : in std_logic; p0_rd_en : in std_logic; p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_rd_full : out std_logic; p0_rd_empty : out std_logic; p0_rd_count : out std_logic_vector(6 downto 0); p0_rd_overflow : out std_logic; p0_rd_error : out std_logic; p1_cmd_clk : in std_logic; p1_cmd_en : in std_logic; p1_cmd_instr : in std_logic_vector(2 downto 0); p1_cmd_bl : in std_logic_vector(5 downto 0); p1_cmd_byte_addr : in std_logic_vector(29 downto 0); p1_cmd_empty : out std_logic; p1_cmd_full : out std_logic; p1_wr_clk : in std_logic; p1_wr_en : in std_logic; p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_wr_full : out std_logic; p1_wr_empty : out std_logic; p1_wr_count : out std_logic_vector(6 downto 0); p1_wr_underrun : out std_logic; p1_wr_error : out std_logic; p1_rd_clk : in std_logic; p1_rd_en : in std_logic; p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_rd_full : out std_logic; p1_rd_empty : out std_logic; p1_rd_count : out std_logic_vector(6 downto 0); p1_rd_overflow : out std_logic; p1_rd_error : out std_logic; selfrefresh_enter : in std_logic; selfrefresh_mode : out std_logic ); end component; constant C3_CLKOUT0_DIVIDE : integer := 1; constant C3_CLKOUT1_DIVIDE : integer := 1; constant C3_CLKOUT2_DIVIDE : integer := 16; constant C3_CLKOUT3_DIVIDE : integer := 8; constant C3_CLKFBOUT_MULT : integer := 2; constant C3_DIVCLK_DIVIDE : integer := 1; constant C3_INCLK_PERIOD : integer := ((C3_MEMCLK_PERIOD * C3_CLKFBOUT_MULT) / (C3_DIVCLK_DIVIDE * C3_CLKOUT0_DIVIDE * 2)); constant C3_ARB_NUM_TIME_SLOTS : integer := 12; constant C3_ARB_TIME_SLOT_0 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_1 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_2 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_3 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_4 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_5 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_6 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_7 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_8 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_9 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_10 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_11 : bit_vector(5 downto 0) := o"20"; constant C3_MEM_TRAS : integer := 36000; constant C3_MEM_TRCD : integer := 13500; constant C3_MEM_TREFI : integer := 7800000; constant C3_MEM_TRFC : integer := 160000; constant C3_MEM_TRP : integer := 13500; constant C3_MEM_TWR : integer := 15000; constant C3_MEM_TRTP : integer := 7500; constant C3_MEM_TWTR : integer := 7500; constant C3_MEM_TYPE : string := "DDR3"; constant C3_MEM_DENSITY : string := "2Gb"; constant C3_MEM_BURST_LEN : integer := 8; constant C3_MEM_CAS_LATENCY : integer := 6; constant C3_MEM_NUM_COL_BITS : integer := 10; constant C3_MEM_DDR1_2_ODS : string := "FULL"; constant C3_MEM_DDR2_RTT : string := "50OHMS"; constant C3_MEM_DDR2_DIFF_DQS_EN : string := "YES"; constant C3_MEM_DDR2_3_PA_SR : string := "FULL"; constant C3_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL"; constant C3_MEM_DDR3_CAS_LATENCY : integer := 6; constant C3_MEM_DDR3_ODS : string := "DIV6"; constant C3_MEM_DDR3_RTT : string := "DIV4"; constant C3_MEM_DDR3_CAS_WR_LATENCY : integer := 5; constant C3_MEM_DDR3_AUTO_SR : string := "ENABLED"; constant C3_MEM_MOBILE_PA_SR : string := "FULL"; constant C3_MEM_MDDR_ODS : string := "FULL"; constant C3_MC_CALIB_BYPASS : string := "NO"; constant C3_MC_CALIBRATION_MODE : string := "CALIBRATION"; constant C3_MC_CALIBRATION_DELAY : string := "HALF"; constant C3_SKIP_IN_TERM_CAL : integer := 1; constant C3_SKIP_DYNAMIC_CAL : integer := 0; constant C3_LDQSP_TAP_DELAY_VAL : integer := 0; constant C3_LDQSN_TAP_DELAY_VAL : integer := 0; constant C3_UDQSP_TAP_DELAY_VAL : integer := 0; constant C3_UDQSN_TAP_DELAY_VAL : integer := 0; constant C3_DQ0_TAP_DELAY_VAL : integer := 0; constant C3_DQ1_TAP_DELAY_VAL : integer := 0; constant C3_DQ2_TAP_DELAY_VAL : integer := 0; constant C3_DQ3_TAP_DELAY_VAL : integer := 0; constant C3_DQ4_TAP_DELAY_VAL : integer := 0; constant C3_DQ5_TAP_DELAY_VAL : integer := 0; constant C3_DQ6_TAP_DELAY_VAL : integer := 0; constant C3_DQ7_TAP_DELAY_VAL : integer := 0; constant C3_DQ8_TAP_DELAY_VAL : integer := 0; constant C3_DQ9_TAP_DELAY_VAL : integer := 0; constant C3_DQ10_TAP_DELAY_VAL : integer := 0; constant C3_DQ11_TAP_DELAY_VAL : integer := 0; constant C3_DQ12_TAP_DELAY_VAL : integer := 0; constant C3_DQ13_TAP_DELAY_VAL : integer := 0; constant C3_DQ14_TAP_DELAY_VAL : integer := 0; constant C3_DQ15_TAP_DELAY_VAL : integer := 0; constant C3_SMALL_DEVICE : string := "FALSE"; -- The parameter is set to TRUE for all packages of xc6slx9 device -- as most of them cannot fit the complete example design when the -- Chip scope modules are enabled signal c3_sys_clk_p : std_logic; signal c3_sys_clk_n : std_logic; signal c3_async_rst : std_logic; signal c3_sysclk_2x : std_logic; signal c3_sysclk_2x_180 : std_logic; signal c3_pll_ce_0 : std_logic; signal c3_pll_ce_90 : std_logic; signal c3_pll_lock : std_logic; signal c3_mcb_drp_clk : std_logic; signal c3_cmp_error : std_logic; signal c3_cmp_data_valid : std_logic; signal c3_vio_modify_enable : std_logic; signal c3_error_status : std_logic_vector(191 downto 0); signal c3_vio_data_mode_value : std_logic_vector(2 downto 0); signal c3_vio_addr_mode_value : std_logic_vector(2 downto 0); signal c3_cmp_data : std_logic_vector(31 downto 0); signal c3_selfrefresh_enter : std_logic; signal c3_selfrefresh_mode : std_logic; begin c3_sys_clk_p <= '0'; c3_sys_clk_n <= '0'; c3_selfrefresh_enter <= '0'; c3_selfrefresh_enter <= '0'; memc3_infrastructure_inst : memc3_infrastructure generic map ( C_RST_ACT_LOW => C3_RST_ACT_LOW, C_INPUT_CLK_TYPE => C3_INPUT_CLK_TYPE, C_CLKOUT0_DIVIDE => C3_CLKOUT0_DIVIDE, C_CLKOUT1_DIVIDE => C3_CLKOUT1_DIVIDE, C_CLKOUT2_DIVIDE => C3_CLKOUT2_DIVIDE, C_CLKOUT3_DIVIDE => C3_CLKOUT3_DIVIDE, C_CLKFBOUT_MULT => C3_CLKFBOUT_MULT, C_DIVCLK_DIVIDE => C3_DIVCLK_DIVIDE, C_INCLK_PERIOD => C3_INCLK_PERIOD ) port map ( sys_clk_p => c3_sys_clk_p, sys_clk_n => c3_sys_clk_n, sys_clk => c3_sys_clk, sys_rst_i => c3_sys_rst_i, clk0 => c3_clk0, rst0 => c3_rst0, async_rst => c3_async_rst, sysclk_2x => c3_sysclk_2x, sysclk_2x_180 => c3_sysclk_2x_180, pll_ce_0 => c3_pll_ce_0, pll_ce_90 => c3_pll_ce_90, pll_lock => c3_pll_lock, mcb_drp_clk => c3_mcb_drp_clk ); -- wrapper instantiation memc3_wrapper_inst : memc3_wrapper generic map ( C_MEMCLK_PERIOD => C3_MEMCLK_PERIOD, C_CALIB_SOFT_IP => C3_CALIB_SOFT_IP, C_SIMULATION => C3_SIMULATION, C_P0_MASK_SIZE => C3_P0_MASK_SIZE, C_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE, C_P1_MASK_SIZE => C3_P1_MASK_SIZE, C_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE, C_ARB_NUM_TIME_SLOTS => C3_ARB_NUM_TIME_SLOTS, C_ARB_TIME_SLOT_0 => C3_ARB_TIME_SLOT_0, C_ARB_TIME_SLOT_1 => C3_ARB_TIME_SLOT_1, C_ARB_TIME_SLOT_2 => C3_ARB_TIME_SLOT_2, C_ARB_TIME_SLOT_3 => C3_ARB_TIME_SLOT_3, C_ARB_TIME_SLOT_4 => C3_ARB_TIME_SLOT_4, C_ARB_TIME_SLOT_5 => C3_ARB_TIME_SLOT_5, C_ARB_TIME_SLOT_6 => C3_ARB_TIME_SLOT_6, C_ARB_TIME_SLOT_7 => C3_ARB_TIME_SLOT_7, C_ARB_TIME_SLOT_8 => C3_ARB_TIME_SLOT_8, C_ARB_TIME_SLOT_9 => C3_ARB_TIME_SLOT_9, C_ARB_TIME_SLOT_10 => C3_ARB_TIME_SLOT_10, C_ARB_TIME_SLOT_11 => C3_ARB_TIME_SLOT_11, C_MEM_TRAS => C3_MEM_TRAS, C_MEM_TRCD => C3_MEM_TRCD, C_MEM_TREFI => C3_MEM_TREFI, C_MEM_TRFC => C3_MEM_TRFC, C_MEM_TRP => C3_MEM_TRP, C_MEM_TWR => C3_MEM_TWR, C_MEM_TRTP => C3_MEM_TRTP, C_MEM_TWTR => C3_MEM_TWTR, C_MEM_ADDR_ORDER => C3_MEM_ADDR_ORDER, C_NUM_DQ_PINS => C3_NUM_DQ_PINS, C_MEM_TYPE => C3_MEM_TYPE, C_MEM_DENSITY => C3_MEM_DENSITY, C_MEM_BURST_LEN => C3_MEM_BURST_LEN, C_MEM_CAS_LATENCY => C3_MEM_CAS_LATENCY, C_MEM_ADDR_WIDTH => C3_MEM_ADDR_WIDTH, C_MEM_BANKADDR_WIDTH => C3_MEM_BANKADDR_WIDTH, C_MEM_NUM_COL_BITS => C3_MEM_NUM_COL_BITS, C_MEM_DDR1_2_ODS => C3_MEM_DDR1_2_ODS, C_MEM_DDR2_RTT => C3_MEM_DDR2_RTT, C_MEM_DDR2_DIFF_DQS_EN => C3_MEM_DDR2_DIFF_DQS_EN, C_MEM_DDR2_3_PA_SR => C3_MEM_DDR2_3_PA_SR, C_MEM_DDR2_3_HIGH_TEMP_SR => C3_MEM_DDR2_3_HIGH_TEMP_SR, C_MEM_DDR3_CAS_LATENCY => C3_MEM_DDR3_CAS_LATENCY, C_MEM_DDR3_ODS => C3_MEM_DDR3_ODS, C_MEM_DDR3_RTT => C3_MEM_DDR3_RTT, C_MEM_DDR3_CAS_WR_LATENCY => C3_MEM_DDR3_CAS_WR_LATENCY, C_MEM_DDR3_AUTO_SR => C3_MEM_DDR3_AUTO_SR, C_MEM_MOBILE_PA_SR => C3_MEM_MOBILE_PA_SR, C_MEM_MDDR_ODS => C3_MEM_MDDR_ODS, C_MC_CALIB_BYPASS => C3_MC_CALIB_BYPASS, C_MC_CALIBRATION_MODE => C3_MC_CALIBRATION_MODE, C_MC_CALIBRATION_DELAY => C3_MC_CALIBRATION_DELAY, C_SKIP_IN_TERM_CAL => C3_SKIP_IN_TERM_CAL, C_SKIP_DYNAMIC_CAL => C3_SKIP_DYNAMIC_CAL, C_LDQSP_TAP_DELAY_VAL => C3_LDQSP_TAP_DELAY_VAL, C_LDQSN_TAP_DELAY_VAL => C3_LDQSN_TAP_DELAY_VAL, C_UDQSP_TAP_DELAY_VAL => C3_UDQSP_TAP_DELAY_VAL, C_UDQSN_TAP_DELAY_VAL => C3_UDQSN_TAP_DELAY_VAL, C_DQ0_TAP_DELAY_VAL => C3_DQ0_TAP_DELAY_VAL, C_DQ1_TAP_DELAY_VAL => C3_DQ1_TAP_DELAY_VAL, C_DQ2_TAP_DELAY_VAL => C3_DQ2_TAP_DELAY_VAL, C_DQ3_TAP_DELAY_VAL => C3_DQ3_TAP_DELAY_VAL, C_DQ4_TAP_DELAY_VAL => C3_DQ4_TAP_DELAY_VAL, C_DQ5_TAP_DELAY_VAL => C3_DQ5_TAP_DELAY_VAL, C_DQ6_TAP_DELAY_VAL => C3_DQ6_TAP_DELAY_VAL, C_DQ7_TAP_DELAY_VAL => C3_DQ7_TAP_DELAY_VAL, C_DQ8_TAP_DELAY_VAL => C3_DQ8_TAP_DELAY_VAL, C_DQ9_TAP_DELAY_VAL => C3_DQ9_TAP_DELAY_VAL, C_DQ10_TAP_DELAY_VAL => C3_DQ10_TAP_DELAY_VAL, C_DQ11_TAP_DELAY_VAL => C3_DQ11_TAP_DELAY_VAL, C_DQ12_TAP_DELAY_VAL => C3_DQ12_TAP_DELAY_VAL, C_DQ13_TAP_DELAY_VAL => C3_DQ13_TAP_DELAY_VAL, C_DQ14_TAP_DELAY_VAL => C3_DQ14_TAP_DELAY_VAL, C_DQ15_TAP_DELAY_VAL => C3_DQ15_TAP_DELAY_VAL ) port map ( mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_reset_n => mcb3_dram_reset_n, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_dm => mcb3_dram_dm, mcb3_dram_udqs => mcb3_dram_udqs, mcb3_dram_udqs_n => mcb3_dram_udqs_n, mcb3_rzq => mcb3_rzq, mcb3_dram_udm => mcb3_dram_udm, calib_done => c3_calib_done, async_rst => c3_async_rst, sysclk_2x => c3_sysclk_2x, sysclk_2x_180 => c3_sysclk_2x_180, pll_ce_0 => c3_pll_ce_0, pll_ce_90 => c3_pll_ce_90, pll_lock => c3_pll_lock, mcb_drp_clk => c3_mcb_drp_clk, mcb3_dram_dqs => mcb3_dram_dqs, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, p0_cmd_clk => c3_p0_cmd_clk, p0_cmd_en => c3_p0_cmd_en, p0_cmd_instr => c3_p0_cmd_instr, p0_cmd_bl => c3_p0_cmd_bl, p0_cmd_byte_addr => c3_p0_cmd_byte_addr, p0_cmd_empty => c3_p0_cmd_empty, p0_cmd_full => c3_p0_cmd_full, p0_wr_clk => c3_p0_wr_clk, p0_wr_en => c3_p0_wr_en, p0_wr_mask => c3_p0_wr_mask, p0_wr_data => c3_p0_wr_data, p0_wr_full => c3_p0_wr_full, p0_wr_empty => c3_p0_wr_empty, p0_wr_count => c3_p0_wr_count, p0_wr_underrun => c3_p0_wr_underrun, p0_wr_error => c3_p0_wr_error, p0_rd_clk => c3_p0_rd_clk, p0_rd_en => c3_p0_rd_en, p0_rd_data => c3_p0_rd_data, p0_rd_full => c3_p0_rd_full, p0_rd_empty => c3_p0_rd_empty, p0_rd_count => c3_p0_rd_count, p0_rd_overflow => c3_p0_rd_overflow, p0_rd_error => c3_p0_rd_error, p1_cmd_clk => c3_p1_cmd_clk, p1_cmd_en => c3_p1_cmd_en, p1_cmd_instr => c3_p1_cmd_instr, p1_cmd_bl => c3_p1_cmd_bl, p1_cmd_byte_addr => c3_p1_cmd_byte_addr, p1_cmd_empty => c3_p1_cmd_empty, p1_cmd_full => c3_p1_cmd_full, p1_wr_clk => c3_p1_wr_clk, p1_wr_en => c3_p1_wr_en, p1_wr_mask => c3_p1_wr_mask, p1_wr_data => c3_p1_wr_data, p1_wr_full => c3_p1_wr_full, p1_wr_empty => c3_p1_wr_empty, p1_wr_count => c3_p1_wr_count, p1_wr_underrun => c3_p1_wr_underrun, p1_wr_error => c3_p1_wr_error, p1_rd_clk => c3_p1_rd_clk, p1_rd_en => c3_p1_rd_en, p1_rd_data => c3_p1_rd_data, p1_rd_full => c3_p1_rd_full, p1_rd_empty => c3_p1_rd_empty, p1_rd_count => c3_p1_rd_count, p1_rd_overflow => c3_p1_rd_overflow, p1_rd_error => c3_p1_rd_error, selfrefresh_enter => c3_selfrefresh_enter, selfrefresh_mode => c3_selfrefresh_mode ); end arc;
gpl-3.0
253221feddc94c6802d8e4078cf54ea2
0.454037
3.434741
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/data_gen.vhd
1
5,024
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
bsd-2-clause
4ca455cd9f08fb012d28f8f4646b2278
0.581608
4.279387
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_fifo.vhd
1
25,703
------------------------------------------------------------------------------- -- axi_datamover_fifo.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_fifo.vhd -- Version: initial -- Description: -- This file is a wrapper file for the Synchronous FIFO used by the DataMover. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_fifo.vhd -- | -- |-- proc_common_v4_0.srl_fifo_f -- | -- | -- |-- axi_datamover_sfifo_autord.vhd -- | | -- | |--- proc_common_v4_0.sync_fifo_fg -- | -- | -- |-- axi_datamover_afifo_autord.vhd -- | -- |--- proc_common_v4_0.async_fifo_fg -- -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.srl_fifo_f; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_sfifo_autord; use axi_datamover_v5_1.axi_datamover_afifo_autord; ------------------------------------------------------------------------------- entity axi_datamover_fifo is generic ( C_DWIDTH : integer := 32 ; -- Bit width of the FIFO C_DEPTH : integer := 4 ; -- Depth of the fifo in fifo width words C_IS_ASYNC : Integer range 0 to 1 := 0 ; -- 0 = Syncronous FIFO -- 1 = Asynchronous (2 clock) FIFO C_PRIM_TYPE : Integer range 0 to 2 := 2 ; -- 0 = Register -- 1 = Block Memory -- 2 = SRL C_FAMILY : String := "virtex7" -- Specifies the Target FPGA device family ); port ( -- Write Clock and reset ----------------- fifo_wr_reset : In std_logic; -- fifo_wr_clk : In std_logic; -- ------------------------------------------ -- Write Side ------------------------------------------------------ fifo_wr_tvalid : In std_logic; -- fifo_wr_tready : Out std_logic; -- fifo_wr_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- fifo_wr_full : Out std_logic; -- -------------------------------------------------------------------- -- Read Clock and reset ----------------------------------------------- fifo_async_rd_reset : In std_logic; -- only used if C_IS_ASYNC = 1 -- fifo_async_rd_clk : In std_logic; -- only used if C_IS_ASYNC = 1 -- ----------------------------------------------------------------------- -- Read Side -------------------------------------------------------- fifo_rd_tvalid : Out std_logic; -- fifo_rd_tready : In std_logic; -- fifo_rd_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- fifo_rd_empty : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_fifo; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_fifo is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_prim_type -- -- Function Description: -- Sorts out the FIFO Primitive type selection based on fifo -- depth and original primitive choice. -- ------------------------------------------------------------------- function funct_get_prim_type (depth : integer; input_prim_type : integer) return integer is Variable temp_prim_type : Integer := 0; begin If (depth > 64) Then temp_prim_type := 1; -- use BRAM Elsif (depth <= 64 and input_prim_type = 0) Then temp_prim_type := 0; -- use regiaters else temp_prim_type := 1; -- use BRAM End if; Return (temp_prim_type); end function funct_get_prim_type; -- Signal declarations Signal sig_init_reg : std_logic := '0'; Signal sig_init_reg2 : std_logic := '0'; Signal sig_init_done : std_logic := '0'; signal sig_inhibit_rdy_n : std_logic := '0'; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INIT_REG -- -- Process Description: -- Registers the reset signal input. -- ------------------------------------------------------------- IMP_INIT_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_init_reg <= '1'; sig_init_reg2 <= '1'; else sig_init_reg <= '0'; sig_init_reg2 <= sig_init_reg; end if; end if; end process IMP_INIT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INIT_DONE_REG -- -- Process Description: -- Create a 1 clock wide init done pulse. -- ------------------------------------------------------------- IMP_INIT_DONE_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1' or sig_init_done = '1') then sig_init_done <= '0'; Elsif (sig_init_reg = '1' and sig_init_reg2 = '1') Then sig_init_done <= '1'; else null; -- hold current state end if; end if; end process IMP_INIT_DONE_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RDY_INHIBIT_REG -- -- Process Description: -- Implements a ready inhibit flop. -- ------------------------------------------------------------- IMP_RDY_INHIBIT_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_inhibit_rdy_n <= '0'; Elsif (sig_init_done = '1') Then sig_inhibit_rdy_n <= '1'; else null; -- hold current state end if; end if; end process IMP_RDY_INHIBIT_REG; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SINGLE_REG -- -- If Generate Description: -- Implements a 1 deep register FIFO (synchronous mode only) -- -- ------------------------------------------------------------ USE_SINGLE_REG : if (C_IS_ASYNC = 0 and C_DEPTH <= 1) generate -- Local Constants -- local signals signal sig_data_in : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_regfifo_dout_reg : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_regfifo_full_reg : std_logic := '0'; signal sig_regfifo_empty_reg : std_logic := '0'; signal sig_push_regfifo : std_logic := '0'; signal sig_pop_regfifo : std_logic := '0'; begin -- Internal signals -- Write signals fifo_wr_tready <= sig_regfifo_empty_reg; fifo_wr_full <= sig_regfifo_full_reg ; sig_push_regfifo <= fifo_wr_tvalid and sig_regfifo_empty_reg; sig_data_in <= fifo_wr_tdata ; -- Read signals fifo_rd_tdata <= sig_regfifo_dout_reg ; fifo_rd_tvalid <= sig_regfifo_full_reg ; fifo_rd_empty <= sig_regfifo_empty_reg; sig_pop_regfifo <= sig_regfifo_full_reg and fifo_rd_tready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_REG_FIFO -- -- Process Description: -- This process implements the data and full flag for the -- register fifo. -- ------------------------------------------------------------- IMP_REG_FIFO : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1' or sig_pop_regfifo = '1') then sig_regfifo_full_reg <= '0'; elsif (sig_push_regfifo = '1') then sig_regfifo_full_reg <= '1'; else null; -- don't change state end if; end if; end process IMP_REG_FIFO; IMP_REG_FIFO1 : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_regfifo_dout_reg <= (others => '0'); elsif (sig_push_regfifo = '1') then sig_regfifo_dout_reg <= sig_data_in; else null; -- don't change state end if; end if; end process IMP_REG_FIFO1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_REG_EMPTY_FLOP -- -- Process Description: -- This process implements the empty flag for the -- register fifo. -- ------------------------------------------------------------- IMP_REG_EMPTY_FLOP : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_regfifo_empty_reg <= '0'; -- since this is used for the ready (invertd) -- it can't be asserted during reset elsif (sig_pop_regfifo = '1' or sig_init_done = '1') then sig_regfifo_empty_reg <= '1'; elsif (sig_push_regfifo = '1') then sig_regfifo_empty_reg <= '0'; else null; -- don't change state end if; end if; end process IMP_REG_EMPTY_FLOP; end generate USE_SINGLE_REG; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SRL_FIFO -- -- If Generate Description: -- Generates a fifo implementation usinf SRL based FIFOa -- -- ------------------------------------------------------------ USE_SRL_FIFO : if (C_IS_ASYNC = 0 and C_DEPTH <= 64 and C_DEPTH > 1 and C_PRIM_TYPE = 2 ) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant NEED_ALMOST_EMPTY : Integer := 0; Constant NEED_ALMOST_FULL : Integer := 0; -- local signals signal sig_wr_full : std_logic := '0'; signal sig_wr_fifo : std_logic := '0'; signal sig_wr_ready : std_logic := '0'; signal sig_rd_fifo : std_logic := '0'; signal sig_rd_empty : std_logic := '0'; signal sig_rd_valid : std_logic := '0'; signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); begin -- Write side signals fifo_wr_tready <= sig_wr_ready; fifo_wr_full <= sig_wr_full; sig_wr_ready <= not(sig_wr_full) and sig_inhibit_rdy_n; sig_wr_fifo <= fifo_wr_tvalid and sig_wr_ready; sig_fifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_rd_valid; sig_rd_valid <= not(sig_rd_empty); fifo_rd_tdata <= sig_fifo_rd_data ; fifo_rd_empty <= not(sig_rd_valid); sig_rd_fifo <= sig_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_SYNC_FIFO -- -- Description: -- Implement the synchronous FIFO using SRL FIFO elements -- ------------------------------------------------------------ I_SYNC_FIFO : entity proc_common_v4_0.srl_fifo_f generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_FAMILY => C_FAMILY ) port map ( Clk => fifo_wr_clk , Reset => fifo_wr_reset , FIFO_Write => sig_wr_fifo , Data_In => sig_fifo_wr_data , FIFO_Read => sig_rd_fifo , Data_Out => sig_fifo_rd_data , FIFO_Empty => sig_rd_empty , FIFO_Full => sig_wr_full , Addr => open ); end generate USE_SRL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SYNC_FIFO -- -- If Generate Description: -- Instantiates a synchronous FIFO design for use in the -- synchronous operating mode. -- ------------------------------------------------------------ USE_SYNC_FIFO : if (C_IS_ASYNC = 0 and (C_DEPTH > 64 or (C_DEPTH > 1 and C_PRIM_TYPE < 2 ))) or (C_IS_ASYNC = 0 and C_DEPTH <= 64 and C_DEPTH > 1 and C_PRIM_TYPE = 0 ) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant NEED_ALMOST_EMPTY : Integer := 0; Constant NEED_ALMOST_FULL : Integer := 0; Constant DATA_CNT_WIDTH : Integer := clog2(C_DEPTH)+1; Constant PRIM_TYPE : Integer := funct_get_prim_type(C_DEPTH, C_PRIM_TYPE); -- local signals signal sig_wr_full : std_logic := '0'; signal sig_wr_fifo : std_logic := '0'; signal sig_wr_ready : std_logic := '0'; signal sig_rd_fifo : std_logic := '0'; signal sig_rd_valid : std_logic := '0'; signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); begin -- Write side signals fifo_wr_tready <= sig_wr_ready; fifo_wr_full <= sig_wr_full; sig_wr_ready <= not(sig_wr_full) and sig_inhibit_rdy_n; sig_wr_fifo <= fifo_wr_tvalid and sig_wr_ready; sig_fifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_rd_valid; fifo_rd_tdata <= sig_fifo_rd_data ; fifo_rd_empty <= not(sig_rd_valid); sig_rd_fifo <= sig_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_SYNC_FIFO -- -- Description: -- Implement the synchronous FIFO -- ------------------------------------------------------------ I_SYNC_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_DATA_CNT_WIDTH => DATA_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NEED_ALMOST_EMPTY , C_NEED_ALMOST_FULL => NEED_ALMOST_FULL , C_USE_BLKMEM => PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => fifo_wr_reset , SFIFO_Clk => fifo_wr_clk , SFIFO_Wr_en => sig_wr_fifo , SFIFO_Din => fifo_wr_tdata , SFIFO_Rd_en => sig_rd_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_rd_valid , SFIFO_Dout => sig_fifo_rd_data , SFIFO_Full => sig_wr_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => open , SFIFO_Rd_ack => open ); end generate USE_SYNC_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: USE_ASYNC_FIFO -- -- If Generate Description: -- Instantiates an asynchronous FIFO design for use in the -- asynchronous operating mode. -- ------------------------------------------------------------ USE_ASYNC_FIFO : if (C_IS_ASYNC = 1) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant CNT_WIDTH : Integer := clog2(C_DEPTH); -- local signals signal sig_async_wr_full : std_logic := '0'; signal sig_async_wr_fifo : std_logic := '0'; signal sig_async_wr_ready : std_logic := '0'; signal sig_async_rd_fifo : std_logic := '0'; signal sig_async_rd_valid : std_logic := '0'; signal sig_afifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0); signal sig_afifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0); signal sig_fifo_ainit : std_logic := '0'; Signal sig_init_reg : std_logic := '0'; begin sig_fifo_ainit <= fifo_async_rd_reset or fifo_wr_reset; -- Write side signals fifo_wr_tready <= sig_async_wr_ready; fifo_wr_full <= sig_async_wr_full; sig_async_wr_ready <= not(sig_async_wr_full) and sig_inhibit_rdy_n; sig_async_wr_fifo <= fifo_wr_tvalid and sig_async_wr_ready; sig_afifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_async_rd_valid; fifo_rd_tdata <= sig_afifo_rd_data ; fifo_rd_empty <= not(sig_async_rd_valid); sig_async_rd_fifo <= sig_async_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_ASYNC_FIFO -- -- Description: -- Implement the asynchronous FIFO -- ------------------------------------------------------------ I_ASYNC_FIFO : entity axi_datamover_v5_1.axi_datamover_afifo_autord generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_CNT_WIDTH => CNT_WIDTH , C_USE_BLKMEM => C_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Inputs AFIFO_Ainit => sig_fifo_ainit , AFIFO_Ainit_Rd_clk => fifo_async_rd_reset , AFIFO_Wr_clk => fifo_wr_clk , AFIFO_Wr_en => sig_async_wr_fifo , AFIFO_Din => sig_afifo_wr_data , AFIFO_Rd_clk => fifo_async_rd_clk , AFIFO_Rd_en => sig_async_rd_fifo , AFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs AFIFO_DValid => sig_async_rd_valid, AFIFO_Dout => sig_afifo_rd_data , AFIFO_Full => sig_async_wr_full , AFIFO_Empty => open , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate USE_ASYNC_FIFO; end imp;
bsd-2-clause
739e7d39cf6c1d3be0ae20b5e067c445
0.413959
4.491961
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/if_generate_statement/classification_test_input.vhd
1
1,622
architecture RTL of FIFO is begin LABEL0 : if a = 1 generate end generate LABEL0; -- Simple test case LABEL1 : if a = 1 generate elsif a = 0 generate elsif a = 1 generate else generate end generate LABEL1; -- Test nesting LABEL2A: if a = 1 generate LABEL3A : if x = 0 generate elsif y = 1 generate else generate end generate LABEL3A; elsif b = 0 generate elsif c = 1 generate else generate end generate LABEL2A; -- Test multiple layers of nesting LABEL2A: if a = 1 generate LABEL3A : if x = 0 generate LABEL4A : if x = 0 generate elsif y = 1 generate else generate end generate LABEL4A; elsif y = 1 generate else generate end generate LABEL3A; elsif b = 0 generate LABEL3A : if x = 0 generate LABEL4A : if x = 0 generate elsif y = 1 generate else generate end generate LABEL4A; elsif y = 1 generate else generate end generate LABEL3A; elsif c = 1 generate LABEL3A : if x = 0 generate LABEL4A : if x = 0 generate elsif y = 1 generate else generate end generate LABEL4A; elsif y = 1 generate else generate end generate LABEL3A; else generate LABEL3A : if x = 0 generate LABEL4A : if x = 0 generate elsif y = 1 generate else generate end generate LABEL4A; elsif y = 1 generate else generate end generate LABEL3A; end generate LABEL2A; end architecture RTL;
gpl-3.0
17fd2337aff96cf40d0bf41efc9a7894
0.581998
4.302387
false
false
false
false
Yarr/Yarr-fw
syn/spec/top_yarr_spec2.vhd
2
18,420
-------------------------------------------- -- Project: YARR -- Author: Timon Heim ([email protected]) -- Description: Top module for YARR on SPEC -- Dependencies: - -------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.vcomponents.all; library work; use work.gn4124_core_pkg.all; entity yarr is port ( ---------------------------------- -- Clocks ---------------------------------- L_CLKP : IN STD_LOGIC; L_CLKN : IN STD_LOGIC; CLK20_VCXO_I : IN STD_LOGIC; CLK_125M_PLLREF_P_I : IN STD_LOGIC; CLK_125M_PLLREF_N_I : IN STD_LOGIC; ---------------------------------- -- Reset ---------------------------------- L_RST_N : IN STD_LOGIC; ---------------------------------- -- GPIOs used for IRQ ---------------------------------- GPIO : OUT STD_LOGIC_VECTOR(1 downto 0); ---------------------------------- -- PCIe to Local [Inbound Data] - RX ---------------------------------- P2L_RDY : OUT std_logic; -- Rx Buffer Full Flag P2L_CLKn : IN std_logic; -- Receiver Source Synchronous Clock- P2L_CLKp : IN std_logic; -- Receiver Source Synchronous Clock+ P2L_DATA : IN std_logic_vector(15 downto 0); -- Parallel receive data P2L_DFRAME : IN std_logic; -- Receive Frame P2L_VALID : IN std_logic; -- Receive Data Valid ---------------------------------- -- Inbound buffer status ---------------------------------- P_WR_REQ : IN std_logic_vector(1 downto 0); -- PCIe Write Request P_WR_RDY : OUT std_logic_vector(1 downto 0); -- PCIe Write Ready RC_ERROR : OUT std_logic; -- Receive Error ---------------------------------- -- Local to PCIe [Outbound Data] - Tx ---------------------------------- L2P_DATA : OUT std_logic_vector(15 downto 0); L2P_DFRAME : OUT std_logic; L2P_VALID : OUT std_logic; L2P_CLKn : OUT std_logic; L2P_CLKp : OUT std_logic; L2P_EDB : OUT std_logic; ---------------------------------- -- Outbound buffer status ---------------------------------- L2P_RDY : IN std_logic; L_WR_RDY : IN std_logic_vector(1 downto 0); P_RD_D_RDY : IN std_logic_vector(1 downto 0); TX_ERROR : IN std_logic; VC_RDY : IN std_logic; ---------------------------------- -- Front Panel LEDs ---------------------------------- LED_GREEN_O : OUT std_logic; LED_RED_O : OUT std_logic; ---------------------------------- -- Auxiliary ports ---------------------------------- AUX_LEDS_O : OUT std_logic_vector(3 downto 0); AUX_BUTTONS_I : IN std_logic_vector(1 downto 0); ---------------------------------- -- DDR3 ---------------------------------- DDR3_CAS_N : OUT std_logic; DDR3_CK_P : OUT std_logic; DDR3_CK_N : OUT std_logic; DDR3_CKE : OUT std_logic; DDR3_LDM : OUT std_logic; DDR3_LDQS_N : INOUT std_logic; DDR3_LDQS_P : INOUT std_logic; DDR3_ODT : OUT std_logic; DDR3_RAS_N : OUT std_logic; DDR3_RESET_N : OUT std_logic; DDR3_UDM : OUT std_logic; DDR3_UDQS_N : INOUT std_logic; DDR3_UDQS_P : INOUT std_logic; DDR3_WE_N : OUT std_logic; DDR3_RZQ : INOUT std_logic; DDR3_ZIO : INOUT std_logic; DDR3_A : OUT std_logic_vector(13 downto 0); DDR3_BA : OUT std_logic_vector(2 downto 0); DDR3_DQ : INOUT std_logic_vector(15 downto 0) ); end yarr; architecture rtl of yarr is ---------------------------------- -- Components ---------------------------------- component gn4124_core port ( --------------------------------------------------------- -- Control and status rst_n_a_i : in std_logic; -- Asynchronous reset from GN4124 status_o : out std_logic_vector(31 downto 0); -- Core status output --------------------------------------------------------- -- P2L Direction -- -- Source Sync DDR related signals p2l_clk_p_i : in std_logic; -- Receiver Source Synchronous Clock+ p2l_clk_n_i : in std_logic; -- Receiver Source Synchronous Clock- p2l_data_i : in std_logic_vector(15 downto 0); -- Parallel receive data p2l_dframe_i : in std_logic; -- Receive Frame p2l_valid_i : in std_logic; -- Receive Data Valid -- P2L Control p2l_rdy_o : out std_logic; -- Rx Buffer Full Flag p_wr_req_i : in std_logic_vector(1 downto 0); -- PCIe Write Request p_wr_rdy_o : out std_logic_vector(1 downto 0); -- PCIe Write Ready rx_error_o : out std_logic; -- Receive Error --------------------------------------------------------- -- L2P Direction -- -- Source Sync DDR related signals l2p_clk_p_o : out std_logic; -- Transmitter Source Synchronous Clock+ l2p_clk_n_o : out std_logic; -- Transmitter Source Synchronous Clock- l2p_data_o : out std_logic_vector(15 downto 0); -- Parallel transmit data l2p_dframe_o : out std_logic; -- Transmit Data Frame l2p_valid_o : out std_logic; -- Transmit Data Valid l2p_edb_o : out std_logic; -- Packet termination and discard -- L2P Control l2p_rdy_i : in std_logic; -- Tx Buffer Full Flag l_wr_rdy_i : in std_logic_vector(1 downto 0); -- Local-to-PCIe Write p_rd_d_rdy_i : in std_logic_vector(1 downto 0); -- PCIe-to-Local Read Response Data Ready tx_error_i : in std_logic; -- Transmit Error vc_rdy_i : in std_logic_vector(1 downto 0); -- Channel ready --------------------------------------------------------- -- Interrupt interface dma_irq_o : out std_logic_vector(1 downto 0); -- Interrupts sources to IRQ manager irq_p_i : in std_logic; -- Interrupt request pulse from IRQ manager irq_p_o : out std_logic; -- Interrupt request pulse to GN4124 GPIO --------------------------------------------------------- -- DMA registers wishbone interface (slave classic) dma_reg_clk_i : in std_logic; dma_reg_adr_i : in std_logic_vector(31 downto 0); dma_reg_dat_i : in std_logic_vector(31 downto 0); dma_reg_sel_i : in std_logic_vector(3 downto 0); dma_reg_stb_i : in std_logic; dma_reg_we_i : in std_logic; dma_reg_cyc_i : in std_logic; dma_reg_dat_o : out std_logic_vector(31 downto 0); dma_reg_ack_o : out std_logic; dma_reg_stall_o : out std_logic; --------------------------------------------------------- -- CSR wishbone interface (master pipelined) csr_clk_i : in std_logic; csr_adr_o : out std_logic_vector(31 downto 0); csr_dat_o : out std_logic_vector(31 downto 0); csr_sel_o : out std_logic_vector(3 downto 0); csr_stb_o : out std_logic; csr_we_o : out std_logic; csr_cyc_o : out std_logic; csr_dat_i : in std_logic_vector(31 downto 0); csr_ack_i : in std_logic; csr_stall_i : in std_logic; csr_err_i : in std_logic; csr_rty_i : in std_logic; csr_int_i : in std_logic; --------------------------------------------------------- -- DMA interface (Pipelined wishbone master) dma_clk_i : in std_logic; dma_adr_o : out std_logic_vector(31 downto 0); dma_dat_o : out std_logic_vector(31 downto 0); dma_sel_o : out std_logic_vector(3 downto 0); dma_stb_o : out std_logic; dma_we_o : out std_logic; dma_cyc_o : out std_logic; dma_dat_i : in std_logic_vector(31 downto 0); dma_ack_i : in std_logic; dma_stall_i : in std_logic; dma_err_i : in std_logic; dma_rty_i : in std_logic; dma_int_i : in std_logic ); end component; -- gn4124_core component wb_addr_decoder generic ( g_WINDOW_SIZE : integer := 18; -- Number of bits to address periph on the board (32-bit word address) g_WB_SLAVES_NB : integer := 2 ); port ( --------------------------------------------------------- -- GN4124 core clock and reset clk_i : in std_logic; rst_n_i : in std_logic; --------------------------------------------------------- -- wishbone master interface wbm_adr_i : in std_logic_vector(31 downto 0); -- Address wbm_dat_i : in std_logic_vector(31 downto 0); -- Data out wbm_sel_i : in std_logic_vector(3 downto 0); -- Byte select wbm_stb_i : in std_logic; -- Strobe wbm_we_i : in std_logic; -- Write wbm_cyc_i : in std_logic; -- Cycle wbm_dat_o : out std_logic_vector(31 downto 0); -- Data in wbm_ack_o : out std_logic; -- Acknowledge wbm_stall_o : out std_logic; -- Stall --------------------------------------------------------- -- wishbone slaves interface wb_adr_o : out std_logic_vector(31 downto 0); -- Address wb_dat_o : out std_logic_vector(31 downto 0); -- Data out wb_sel_o : out std_logic_vector(3 downto 0); -- Byte select wb_stb_o : out std_logic; -- Strobe wb_we_o : out std_logic; -- Write wb_cyc_o : out std_logic_vector(g_WB_SLAVES_NB-1 downto 0); -- Cycle wb_dat_i : in std_logic_vector((32*g_WB_SLAVES_NB)-1 downto 0); -- Data in wb_ack_i : in std_logic_vector(g_WB_SLAVES_NB-1 downto 0); -- Acknowledge wb_stall_i : in std_logic_vector(g_WB_SLAVES_NB-1 downto 0) -- Stall ); end component wb_addr_decoder; -- wb_addr_decoder component ddr3_ctrl generic( --! Bank and port size selection g_BANK_PORT_SELECT : string := "SPEC_BANK3_32B_32B"; --! Core's clock period in ps g_MEMCLK_PERIOD : integer := 3000; --! If TRUE, uses Xilinx calibration core (Input term, DQS centering) g_CALIB_SOFT_IP : string := "TRUE"; --! User ports addresses maping (BANK_ROW_COLUMN or ROW_BANK_COLUMN) g_MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN"; --! Simulation mode g_SIMULATION : string := "FALSE"; --! DDR3 data port width g_NUM_DQ_PINS : integer := 16; --! DDR3 address port width g_MEM_ADDR_WIDTH : integer := 14; --! DDR3 bank address width g_MEM_BANKADDR_WIDTH : integer := 3; --! Wishbone port 0 data mask size (8-bit granularity) g_P0_MASK_SIZE : integer := 4; --! Wishbone port 0 data width g_P0_DATA_PORT_SIZE : integer := 32; --! Port 0 byte address width g_P0_BYTE_ADDR_WIDTH : integer := 30; --! Wishbone port 1 data mask size (8-bit granularity) g_P1_MASK_SIZE : integer := 4; --! Wishbone port 1 data width g_P1_DATA_PORT_SIZE : integer := 32; --! Port 1 byte address width g_P1_BYTE_ADDR_WIDTH : integer := 30 ); port( ---------------------------------------------------------------------------- -- Clock, control and status ---------------------------------------------------------------------------- --! Clock input clk_i : in std_logic; --! Reset input (active low) rst_n_i : in std_logic; --! Status output status_o : out std_logic_vector(31 downto 0); ---------------------------------------------------------------------------- -- DDR3 interface ---------------------------------------------------------------------------- --! DDR3 data bus ddr3_dq_b : inout std_logic_vector(g_NUM_DQ_PINS-1 downto 0); --! DDR3 address bus ddr3_a_o : out std_logic_vector(g_MEM_ADDR_WIDTH-1 downto 0); --! DDR3 bank address ddr3_ba_o : out std_logic_vector(g_MEM_BANKADDR_WIDTH-1 downto 0); --! DDR3 row address strobe ddr3_ras_n_o : out std_logic; --! DDR3 column address strobe ddr3_cas_n_o : out std_logic; --! DDR3 write enable ddr3_we_n_o : out std_logic; --! DDR3 on-die termination ddr3_odt_o : out std_logic; --! DDR3 reset ddr3_rst_n_o : out std_logic; --! DDR3 clock enable ddr3_cke_o : out std_logic; --! DDR3 lower byte data mask ddr3_dm_o : out std_logic; --! DDR3 upper byte data mask ddr3_udm_o : out std_logic; --! DDR3 lower byte data strobe (pos) ddr3_dqs_p_b : inout std_logic; --! DDR3 lower byte data strobe (neg) ddr3_dqs_n_b : inout std_logic; --! DDR3 upper byte data strobe (pos) ddr3_udqs_p_b : inout std_logic; --! DDR3 upper byte data strobe (pos) ddr3_udqs_n_b : inout std_logic; --! DDR3 clock (pos) ddr3_clk_p_o : out std_logic; --! DDR3 clock (neg) ddr3_clk_n_o : out std_logic; --! MCB internal termination calibration resistor ddr3_rzq_b : inout std_logic; --! MCB internal termination calibration ddr3_zio_b : inout std_logic; ---------------------------------------------------------------------------- -- Wishbone bus - Port 0 ---------------------------------------------------------------------------- --! Wishbone bus clock wb0_clk_i : in std_logic; --! Wishbone bus byte select wb0_sel_i : in std_logic_vector(g_P0_MASK_SIZE - 1 downto 0); --! Wishbone bus cycle select wb0_cyc_i : in std_logic; --! Wishbone bus cycle strobe wb0_stb_i : in std_logic; --! Wishbone bus write enable wb0_we_i : in std_logic; --! Wishbone bus address wb0_addr_i : in std_logic_vector(31 downto 0); --! Wishbone bus data input wb0_data_i : in std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus data output wb0_data_o : out std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus acknowledge wb0_ack_o : out std_logic; --! Wishbone bus stall (for pipelined mode) wb0_stall_o : out std_logic; ---------------------------------------------------------------------------- -- Status - Port 0 ---------------------------------------------------------------------------- --! Command FIFO empty p0_cmd_empty_o : out std_logic; --! Command FIFO full p0_cmd_full_o : out std_logic; --! Read FIFO full p0_rd_full_o : out std_logic; --! Read FIFO empty p0_rd_empty_o : out std_logic; --! Read FIFO count p0_rd_count_o : out std_logic_vector(6 downto 0); --! Read FIFO overflow p0_rd_overflow_o : out std_logic; --! Read FIFO error (pointers unsynchronized, reset required) p0_rd_error_o : out std_logic; --! Write FIFO full p0_wr_full_o : out std_logic; --! Write FIFO empty p0_wr_empty_o : out std_logic; --! Write FIFO count p0_wr_count_o : out std_logic_vector(6 downto 0); --! Write FIFO underrun p0_wr_underrun_o : out std_logic; --! Write FIFO error (pointers unsynchronized, reset required) p0_wr_error_o : out std_logic; ---------------------------------------------------------------------------- -- Wishbone bus - Port 1 ---------------------------------------------------------------------------- --! Wishbone bus clock wb1_clk_i : in std_logic; --! Wishbone bus byte select wb1_sel_i : in std_logic_vector(g_P1_MASK_SIZE - 1 downto 0); --! Wishbone bus cycle select wb1_cyc_i : in std_logic; --! Wishbone bus cycle strobe wb1_stb_i : in std_logic; --! Wishbone bus write enable wb1_we_i : in std_logic; --! Wishbone bus address wb1_addr_i : in std_logic_vector(31 downto 0); --! Wishbone bus data input wb1_data_i : in std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus data output wb1_data_o : out std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus acknowledge wb1_ack_o : out std_logic; --! Wishbone bus stall (for pipelined mode) wb1_stall_o : out std_logic; ---------------------------------------------------------------------------- -- Status - Port 1 ---------------------------------------------------------------------------- --! Command FIFO empty p1_cmd_empty_o : out std_logic; --! Command FIFO full p1_cmd_full_o : out std_logic; --! Read FIFO full p1_rd_full_o : out std_logic; --! Read FIFO empty p1_rd_empty_o : out std_logic; --! Read FIFO count p1_rd_count_o : out std_logic_vector(6 downto 0); --! Read FIFO overflow p1_rd_overflow_o : out std_logic; --! Read FIFO error (pointers unsynchronized, reset required) p1_rd_error_o : out std_logic; --! Write FIFO full p1_wr_full_o : out std_logic; --! Write FIFO empty p1_wr_empty_o : out std_logic; --! Write FIFO count p1_wr_count_o : out std_logic_vector(6 downto 0); --! Write FIFO underrun p1_wr_underrun_o : out std_logic; --! Write FIFO error (pointers unsynchronized, reset required) p1_wr_error_o : out std_logic ); end component ddr3_ctrl; begin end rtl;
gpl-3.0
4ce6daaaf8bb57aa8f45d18e2adda48b
0.470413
3.756884
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_register_s2mm.vhd
1
177,887
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register_s2mm.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register_s2mm is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- tdest_in : in std_logic_vector (5 downto 0) ; new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc1_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc1_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc1_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc1_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc2_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc2_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc2_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc2_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc3_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc3_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc3_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc3_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc4_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc4_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc4_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc4_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc5_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc5_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc5_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc5_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc6_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc6_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc6_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc6_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc7_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc7_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc7_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc7_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc8_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc8_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc8_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc8_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc9_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc9_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc9_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc9_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc10_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc10_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc10_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc10_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc11_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc11_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc11_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc11_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc12_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc12_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc12_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc12_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc13_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc13_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc13_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc13_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc14_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc14_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc14_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc14_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc15_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc15_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc15_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc15_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register_s2mm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register_s2mm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant SGCTL_INDEX : integer := 0; constant DMACR_INDEX : integer := 1; -- DMACR Register index constant DMASR_INDEX : integer := 2; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 3; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 4; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 5; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 6; -- TAILDESC MSB Reg index constant CURDESC1_LSB_INDEX : integer := 17; -- CURDESC LSB Reg index constant CURDESC1_MSB_INDEX : integer := 18; -- CURDESC MSB Reg index constant TAILDESC1_LSB_INDEX : integer := 19; -- TAILDESC LSB Reg index constant TAILDESC1_MSB_INDEX : integer := 20; -- TAILDESC MSB Reg index constant CURDESC2_LSB_INDEX : integer := 25; -- CURDESC LSB Reg index constant CURDESC2_MSB_INDEX : integer := 26; -- CURDESC MSB Reg index constant TAILDESC2_LSB_INDEX : integer := 27; -- TAILDESC LSB Reg index constant TAILDESC2_MSB_INDEX : integer := 28; -- TAILDESC MSB Reg index constant CURDESC3_LSB_INDEX : integer := 33; -- CURDESC LSB Reg index constant CURDESC3_MSB_INDEX : integer := 34; -- CURDESC MSB Reg index constant TAILDESC3_LSB_INDEX : integer := 35; -- TAILDESC LSB Reg index constant TAILDESC3_MSB_INDEX : integer := 36; -- TAILDESC MSB Reg index constant CURDESC4_LSB_INDEX : integer := 41; -- CURDESC LSB Reg index constant CURDESC4_MSB_INDEX : integer := 42; -- CURDESC MSB Reg index constant TAILDESC4_LSB_INDEX : integer := 43; -- TAILDESC LSB Reg index constant TAILDESC4_MSB_INDEX : integer := 44; -- TAILDESC MSB Reg index constant CURDESC5_LSB_INDEX : integer := 49; -- CURDESC LSB Reg index constant CURDESC5_MSB_INDEX : integer := 50; -- CURDESC MSB Reg index constant TAILDESC5_LSB_INDEX : integer := 51; -- TAILDESC LSB Reg index constant TAILDESC5_MSB_INDEX : integer := 52; -- TAILDESC MSB Reg index constant CURDESC6_LSB_INDEX : integer := 57; -- CURDESC LSB Reg index constant CURDESC6_MSB_INDEX : integer := 58; -- CURDESC MSB Reg index constant TAILDESC6_LSB_INDEX : integer := 59; -- TAILDESC LSB Reg index constant TAILDESC6_MSB_INDEX : integer := 60; -- TAILDESC MSB Reg index constant CURDESC7_LSB_INDEX : integer := 65; -- CURDESC LSB Reg index constant CURDESC7_MSB_INDEX : integer := 66; -- CURDESC MSB Reg index constant TAILDESC7_LSB_INDEX : integer := 67; -- TAILDESC LSB Reg index constant TAILDESC7_MSB_INDEX : integer := 68; -- TAILDESC MSB Reg index constant CURDESC8_LSB_INDEX : integer := 73; -- CURDESC LSB Reg index constant CURDESC8_MSB_INDEX : integer := 74; -- CURDESC MSB Reg index constant TAILDESC8_LSB_INDEX : integer := 75; -- TAILDESC LSB Reg index constant TAILDESC8_MSB_INDEX : integer := 76; -- TAILDESC MSB Reg index constant CURDESC9_LSB_INDEX : integer := 81; -- CURDESC LSB Reg index constant CURDESC9_MSB_INDEX : integer := 82; -- CURDESC MSB Reg index constant TAILDESC9_LSB_INDEX : integer := 83; -- TAILDESC LSB Reg index constant TAILDESC9_MSB_INDEX : integer := 84; -- TAILDESC MSB Reg index constant CURDESC10_LSB_INDEX : integer := 89; -- CURDESC LSB Reg index constant CURDESC10_MSB_INDEX : integer := 90; -- CURDESC MSB Reg index constant TAILDESC10_LSB_INDEX : integer := 91; -- TAILDESC LSB Reg index constant TAILDESC10_MSB_INDEX : integer := 92; -- TAILDESC MSB Reg index constant CURDESC11_LSB_INDEX : integer := 97; -- CURDESC LSB Reg index constant CURDESC11_MSB_INDEX : integer := 98; -- CURDESC MSB Reg index constant TAILDESC11_LSB_INDEX : integer := 99; -- TAILDESC LSB Reg index constant TAILDESC11_MSB_INDEX : integer := 100; -- TAILDESC MSB Reg index constant CURDESC12_LSB_INDEX : integer := 105; -- CURDESC LSB Reg index constant CURDESC12_MSB_INDEX : integer := 106; -- CURDESC MSB Reg index constant TAILDESC12_LSB_INDEX : integer := 107; -- TAILDESC LSB Reg index constant TAILDESC12_MSB_INDEX : integer := 108; -- TAILDESC MSB Reg index constant CURDESC13_LSB_INDEX : integer := 113; -- CURDESC LSB Reg index constant CURDESC13_MSB_INDEX : integer := 114; -- CURDESC MSB Reg index constant TAILDESC13_LSB_INDEX : integer := 115; -- TAILDESC LSB Reg index constant TAILDESC13_MSB_INDEX : integer := 116; -- TAILDESC MSB Reg index constant CURDESC14_LSB_INDEX : integer := 121; -- CURDESC LSB Reg index constant CURDESC14_MSB_INDEX : integer := 122; -- CURDESC MSB Reg index constant TAILDESC14_LSB_INDEX : integer := 123; -- TAILDESC LSB Reg index constant TAILDESC14_MSB_INDEX : integer := 124; -- TAILDESC MSB Reg index constant CURDESC15_LSB_INDEX : integer := 129; -- CURDESC LSB Reg index constant CURDESC15_MSB_INDEX : integer := 130; -- CURDESC MSB Reg index constant TAILDESC15_LSB_INDEX : integer := 131; -- TAILDESC LSB Reg index constant TAILDESC15_MSB_INDEX : integer := 132; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 7; constant BUFF_LENGTH_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal curdesc1_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc1_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc1_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc1_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc2_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc2_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc2_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc2_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc3_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc3_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc3_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc3_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc4_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc4_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc4_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc4_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc5_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc5_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc5_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc5_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc6_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc6_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc6_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc6_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc7_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc7_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc7_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc7_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc8_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc8_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc8_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc8_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc9_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc9_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc9_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc9_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc10_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc10_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc10_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc10_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc11_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc11_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc11_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc11_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc12_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc12_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc12_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc12_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc13_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc13_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc13_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc13_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc14_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc14_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc14_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc14_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc15_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc15_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc15_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc15_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal update_curdesc1 : std_logic := '0'; signal update_curdesc2 : std_logic := '0'; signal update_curdesc3 : std_logic := '0'; signal update_curdesc4 : std_logic := '0'; signal update_curdesc5 : std_logic := '0'; signal update_curdesc6 : std_logic := '0'; signal update_curdesc7 : std_logic := '0'; signal update_curdesc8 : std_logic := '0'; signal update_curdesc9 : std_logic := '0'; signal update_curdesc10 : std_logic := '0'; signal update_curdesc11 : std_logic := '0'; signal update_curdesc12 : std_logic := '0'; signal update_curdesc13 : std_logic := '0'; signal update_curdesc14 : std_logic := '0'; signal update_curdesc15 : std_logic := '0'; signal dest0 : std_logic := '0'; signal dest1 : std_logic := '0'; signal dest2 : std_logic := '0'; signal dest3 : std_logic := '0'; signal dest4 : std_logic := '0'; signal dest5 : std_logic := '0'; signal dest6 : std_logic := '0'; signal dest7 : std_logic := '0'; signal dest8 : std_logic := '0'; signal dest9 : std_logic := '0'; signal dest10 : std_logic := '0'; signal dest11 : std_logic := '0'; signal dest12 : std_logic := '0'; signal dest13 : std_logic := '0'; signal dest14 : std_logic := '0'; signal dest15 : std_logic := '0'; -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; signal error_pointer_set1 : std_logic := '0'; signal error_pointer_set2 : std_logic := '0'; signal error_pointer_set3 : std_logic := '0'; signal error_pointer_set4 : std_logic := '0'; signal error_pointer_set5 : std_logic := '0'; signal error_pointer_set6 : std_logic := '0'; signal error_pointer_set7 : std_logic := '0'; signal error_pointer_set8 : std_logic := '0'; signal error_pointer_set9 : std_logic := '0'; signal error_pointer_set10 : std_logic := '0'; signal error_pointer_set11 : std_logic := '0'; signal error_pointer_set12 : std_logic := '0'; signal error_pointer_set13 : std_logic := '0'; signal error_pointer_set14 : std_logic := '0'; signal error_pointer_set15 : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal tail_update_lsb : std_logic := '0'; signal tail_update_msb : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal halt_free : std_logic := '0'; signal tmp11 : std_logic := '0'; signal sig_cur_updated : std_logic := '0'; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin GEN_MULTI_CH : if C_ENABLE_MULTI_CHANNEL = 1 generate begin halt_free <= '1'; end generate GEN_MULTI_CH; GEN_NOMULTI_CH : if C_ENABLE_MULTI_CHANNEL = 0 generate begin halt_free <= dmasr_i(DMASR_HALTED_BIT); end generate GEN_NOMULTI_CH; GEN_DESC_UPDATE_FOR_SG : if C_NUM_S2MM_CHANNELS = 1 generate begin update_curdesc1 <= '0'; update_curdesc2 <= '0'; update_curdesc3 <= '0'; update_curdesc4 <= '0'; update_curdesc5 <= '0'; update_curdesc6 <= '0'; update_curdesc7 <= '0'; update_curdesc8 <= '0'; update_curdesc9 <= '0'; update_curdesc10 <= '0'; update_curdesc11 <= '0'; update_curdesc12 <= '0'; update_curdesc13 <= '0'; update_curdesc14 <= '0'; update_curdesc15 <= '0'; end generate GEN_DESC_UPDATE_FOR_SG; dest0 <= '1' when tdest_in (4 downto 0) = "00000" else '0'; dest1 <= '1' when tdest_in (4 downto 0) = "00001" else '0'; dest2 <= '1' when tdest_in (4 downto 0) = "00010" else '0'; dest3 <= '1' when tdest_in (4 downto 0) = "00011" else '0'; dest4 <= '1' when tdest_in (4 downto 0) = "00100" else '0'; dest5 <= '1' when tdest_in (4 downto 0) = "00101" else '0'; dest6 <= '1' when tdest_in (4 downto 0) = "00110" else '0'; dest7 <= '1' when tdest_in (4 downto 0) = "00111" else '0'; dest8 <= '1' when tdest_in (4 downto 0) = "01000" else '0'; dest9 <= '1' when tdest_in (4 downto 0) = "01001" else '0'; dest10 <= '1' when tdest_in (4 downto 0) = "01010" else '0'; dest11 <= '1' when tdest_in (4 downto 0) = "01011" else '0'; dest12 <= '1' when tdest_in (4 downto 0) = "01100" else '0'; dest13 <= '1' when tdest_in (4 downto 0) = "01101" else '0'; dest14 <= '1' when tdest_in (4 downto 0) = "01110" else '0'; dest15 <= '1' when tdest_in (4 downto 0) = "01111" else '0'; GEN_DESC_UPDATE_FOR_SG_CH : if C_NUM_S2MM_CHANNELS > 1 generate update_curdesc1 <= update_curdesc when tdest_in (4 downto 0) = "00001" else '0'; update_curdesc2 <= update_curdesc when tdest_in (4 downto 0) = "00010" else '0'; update_curdesc3 <= update_curdesc when tdest_in (4 downto 0) = "00011" else '0'; update_curdesc4 <= update_curdesc when tdest_in (4 downto 0) = "00100" else '0'; update_curdesc5 <= update_curdesc when tdest_in (4 downto 0) = "00101" else '0'; update_curdesc6 <= update_curdesc when tdest_in (4 downto 0) = "00110" else '0'; update_curdesc7 <= update_curdesc when tdest_in (4 downto 0) = "00111" else '0'; update_curdesc8 <= update_curdesc when tdest_in (4 downto 0) = "01000" else '0'; update_curdesc9 <= update_curdesc when tdest_in (4 downto 0) = "01001" else '0'; update_curdesc10 <= update_curdesc when tdest_in (4 downto 0) = "01010" else '0'; update_curdesc11 <= update_curdesc when tdest_in (4 downto 0) = "01011" else '0'; update_curdesc12 <= update_curdesc when tdest_in (4 downto 0) = "01100" else '0'; update_curdesc13 <= update_curdesc when tdest_in (4 downto 0) = "01101" else '0'; update_curdesc14 <= update_curdesc when tdest_in (4 downto 0) = "01110" else '0'; update_curdesc15 <= update_curdesc when tdest_in (4 downto 0) = "01111" else '0'; end generate GEN_DESC_UPDATE_FOR_SG_CH; dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; buffer_address <= buffer_address_i ; buffer_length <= buffer_length_i ; curdesc1_lsb <= curdesc1_lsb_i ; curdesc1_msb <= curdesc1_msb_i ; taildesc1_lsb <= taildesc1_lsb_i ; taildesc1_msb <= taildesc1_msb_i ; curdesc2_lsb <= curdesc2_lsb_i ; curdesc2_msb <= curdesc2_msb_i ; taildesc2_lsb <= taildesc2_lsb_i ; taildesc2_msb <= taildesc2_msb_i ; curdesc3_lsb <= curdesc3_lsb_i ; curdesc3_msb <= curdesc3_msb_i ; taildesc3_lsb <= taildesc3_lsb_i ; taildesc3_msb <= taildesc3_msb_i ; curdesc4_lsb <= curdesc4_lsb_i ; curdesc4_msb <= curdesc4_msb_i ; taildesc4_lsb <= taildesc4_lsb_i ; taildesc4_msb <= taildesc4_msb_i ; curdesc5_lsb <= curdesc5_lsb_i ; curdesc5_msb <= curdesc5_msb_i ; taildesc5_lsb <= taildesc5_lsb_i ; taildesc5_msb <= taildesc5_msb_i ; curdesc6_lsb <= curdesc6_lsb_i ; curdesc6_msb <= curdesc6_msb_i ; taildesc6_lsb <= taildesc6_lsb_i ; taildesc6_msb <= taildesc6_msb_i ; curdesc7_lsb <= curdesc7_lsb_i ; curdesc7_msb <= curdesc7_msb_i ; taildesc7_lsb <= taildesc7_lsb_i ; taildesc7_msb <= taildesc7_msb_i ; curdesc8_lsb <= curdesc8_lsb_i ; curdesc8_msb <= curdesc8_msb_i ; taildesc8_lsb <= taildesc8_lsb_i ; taildesc8_msb <= taildesc8_msb_i ; curdesc9_lsb <= curdesc9_lsb_i ; curdesc9_msb <= curdesc9_msb_i ; taildesc9_lsb <= taildesc9_lsb_i ; taildesc9_msb <= taildesc9_msb_i ; curdesc10_lsb <= curdesc10_lsb_i ; curdesc10_msb <= curdesc10_msb_i ; taildesc10_lsb <= taildesc10_lsb_i ; taildesc10_msb <= taildesc10_msb_i ; curdesc11_lsb <= curdesc11_lsb_i ; curdesc11_msb <= curdesc11_msb_i ; taildesc11_lsb <= taildesc11_lsb_i ; taildesc11_msb <= taildesc11_msb_i ; curdesc12_lsb <= curdesc12_lsb_i ; curdesc12_msb <= curdesc12_msb_i ; taildesc12_lsb <= taildesc12_lsb_i ; taildesc12_msb <= taildesc12_msb_i ; curdesc13_lsb <= curdesc13_lsb_i ; curdesc13_msb <= curdesc13_msb_i ; taildesc13_lsb <= taildesc13_lsb_i ; taildesc13_msb <= taildesc13_msb_i ; curdesc14_lsb <= curdesc14_lsb_i ; curdesc14_msb <= curdesc14_msb_i ; taildesc14_lsb <= taildesc14_lsb_i ; taildesc14_msb <= taildesc14_msb_i ; curdesc15_lsb <= curdesc15_lsb_i ; curdesc15_msb <= curdesc15_msb_i ; taildesc15_lsb <= taildesc15_lsb_i ; taildesc15_msb <= taildesc15_msb_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Key Hole write bit (3) ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest0 = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest0 = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest0 = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and halt_free = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; GEN_DESC1_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 1 generate CURDESC1_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc1_lsb_i <= (others => '0'); error_pointer_set1 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set1 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest1 = '1')then curdesc1_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set1 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest1 = '1')then -- curdesc1_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set1 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc1 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest1 = '1')then curdesc1_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set1 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC1_LSB_INDEX) = '1' and halt_free = '1')then curdesc1_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set1 <= '0'; end if; end if; end if; end process CURDESC1_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC1_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc1_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC1_LSB_INDEX) = '1')then taildesc1_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC1_LSB_REGISTER; end generate GEN_DESC1_REG_FOR_SG; GEN_DESC2_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 2 generate CURDESC2_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc2_lsb_i <= (others => '0'); error_pointer_set2 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set2 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest2 = '1')then curdesc2_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set2 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest2 = '1')then -- curdesc2_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set2 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc2 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest2 = '1')then curdesc2_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set2 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC2_LSB_INDEX) = '1' and halt_free = '1')then curdesc2_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set2 <= '0'; end if; end if; end if; end process CURDESC2_LSB_REGISTER; TAILDESC2_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc2_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC2_LSB_INDEX) = '1')then taildesc2_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC2_LSB_REGISTER; end generate GEN_DESC2_REG_FOR_SG; GEN_DESC3_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 3 generate CURDESC3_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc3_lsb_i <= (others => '0'); error_pointer_set3 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set3 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest3 = '1')then curdesc3_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set3 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest3 = '1')then -- curdesc3_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set3 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc3 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest3 = '1')then curdesc3_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set3 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC3_LSB_INDEX) = '1' and halt_free = '1')then curdesc3_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set3 <= '0'; end if; end if; end if; end process CURDESC3_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC3_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc3_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC3_LSB_INDEX) = '1')then taildesc3_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC3_LSB_REGISTER; end generate GEN_DESC3_REG_FOR_SG; GEN_DESC4_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 4 generate CURDESC4_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc4_lsb_i <= (others => '0'); error_pointer_set4 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set4 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest4 = '1')then curdesc4_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set4 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest4 = '1')then -- curdesc4_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set4 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc4 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest4 = '1')then curdesc4_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set4 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC4_LSB_INDEX) = '1' and halt_free = '1')then curdesc4_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set4 <= '0'; end if; end if; end if; end process CURDESC4_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC4_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc4_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC4_LSB_INDEX) = '1')then taildesc4_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC4_LSB_REGISTER; end generate GEN_DESC4_REG_FOR_SG; GEN_DESC5_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 5 generate CURDESC5_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc5_lsb_i <= (others => '0'); error_pointer_set5 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set5 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest5 = '1')then curdesc5_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set5 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest5 = '1')then -- curdesc5_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set5 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc5 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest5 = '1')then curdesc5_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set5 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC5_LSB_INDEX) = '1' and halt_free = '1')then curdesc5_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set5 <= '0'; end if; end if; end if; end process CURDESC5_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC5_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc5_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC5_LSB_INDEX) = '1')then taildesc5_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC5_LSB_REGISTER; end generate GEN_DESC5_REG_FOR_SG; GEN_DESC6_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 6 generate CURDESC6_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc6_lsb_i <= (others => '0'); error_pointer_set6 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set6 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest6 = '1')then curdesc6_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set6 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest6 = '1')then -- curdesc6_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set6 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc6 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest6 = '1')then curdesc6_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set6 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC6_LSB_INDEX) = '1' and halt_free = '1')then curdesc6_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set6 <= '0'; end if; end if; end if; end process CURDESC6_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC6_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc6_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC6_LSB_INDEX) = '1')then taildesc6_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC6_LSB_REGISTER; end generate GEN_DESC6_REG_FOR_SG; GEN_DESC7_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 7 generate CURDESC7_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc7_lsb_i <= (others => '0'); error_pointer_set7 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set7 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest7 = '1')then curdesc7_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set7 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest7 = '1')then -- curdesc7_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set7 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc7 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest7 = '1')then curdesc7_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set7 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC7_LSB_INDEX) = '1' and halt_free = '1')then curdesc7_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set7 <= '0'; end if; end if; end if; end process CURDESC7_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC7_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc7_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC7_LSB_INDEX) = '1')then taildesc7_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC7_LSB_REGISTER; end generate GEN_DESC7_REG_FOR_SG; GEN_DESC8_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 8 generate CURDESC8_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc8_lsb_i <= (others => '0'); error_pointer_set8 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set8 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest8 = '1')then curdesc8_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set8 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest8 = '1')then -- curdesc8_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set8 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc8 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest8 = '1')then curdesc8_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set8 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC8_LSB_INDEX) = '1' and halt_free = '1')then curdesc8_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set8 <= '0'; end if; end if; end if; end process CURDESC8_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC8_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc8_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC8_LSB_INDEX) = '1')then taildesc8_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC8_LSB_REGISTER; end generate GEN_DESC8_REG_FOR_SG; GEN_DESC9_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 9 generate CURDESC9_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc9_lsb_i <= (others => '0'); error_pointer_set9 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set9 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest9 = '1')then curdesc9_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set9 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest9 = '1')then -- curdesc9_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set9 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc9 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest9 = '1')then curdesc9_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set9 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC9_LSB_INDEX) = '1' and halt_free = '1')then curdesc9_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set9 <= '0'; end if; end if; end if; end process CURDESC9_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC9_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc9_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC9_LSB_INDEX) = '1')then taildesc9_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC9_LSB_REGISTER; end generate GEN_DESC9_REG_FOR_SG; GEN_DESC10_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 10 generate CURDESC10_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc10_lsb_i <= (others => '0'); error_pointer_set10 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set10 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest10 = '1')then curdesc10_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set10 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest10 = '1')then -- curdesc10_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set10 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc10 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest10 = '1')then curdesc10_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set10 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC10_LSB_INDEX) = '1' and halt_free = '1')then curdesc10_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set10 <= '0'; end if; end if; end if; end process CURDESC10_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC10_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc10_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC10_LSB_INDEX) = '1')then taildesc10_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC10_LSB_REGISTER; end generate GEN_DESC10_REG_FOR_SG; GEN_DESC11_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 11 generate CURDESC11_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc11_lsb_i <= (others => '0'); error_pointer_set11 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set11 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest11 = '1')then curdesc11_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set11 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest11 = '1')then -- curdesc11_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set11 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc11 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest11 = '1')then curdesc11_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set11 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC11_LSB_INDEX) = '1' and halt_free = '1')then curdesc11_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set11 <= '0'; end if; end if; end if; end process CURDESC11_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC11_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc11_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC11_LSB_INDEX) = '1')then taildesc11_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC11_LSB_REGISTER; end generate GEN_DESC11_REG_FOR_SG; GEN_DESC12_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 12 generate CURDESC12_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc12_lsb_i <= (others => '0'); error_pointer_set12 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set12 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest12 = '1')then curdesc12_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set12 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest12 = '1')then -- curdesc12_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set12 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc12 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest12 = '1')then curdesc12_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set12 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC12_LSB_INDEX) = '1' and halt_free = '1')then curdesc12_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set12 <= '0'; end if; end if; end if; end process CURDESC12_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC12_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc12_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC12_LSB_INDEX) = '1')then taildesc12_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC12_LSB_REGISTER; end generate GEN_DESC12_REG_FOR_SG; GEN_DESC13_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 13 generate CURDESC13_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc13_lsb_i <= (others => '0'); error_pointer_set13 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set13 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest13 = '1')then curdesc13_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set13 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest13 = '1')then -- curdesc13_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set13 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc13 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest13 = '1')then curdesc13_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set13 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC13_LSB_INDEX) = '1' and halt_free = '1')then curdesc13_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set13 <= '0'; end if; end if; end if; end process CURDESC13_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC13_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc13_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC13_LSB_INDEX) = '1')then taildesc13_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC13_LSB_REGISTER; end generate GEN_DESC13_REG_FOR_SG; GEN_DESC14_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 14 generate CURDESC14_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc14_lsb_i <= (others => '0'); error_pointer_set14 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set14 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest14 = '1')then curdesc14_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set14 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest14 = '1')then -- curdesc14_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set14 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc14 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest14 = '1')then curdesc14_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set14 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC14_LSB_INDEX) = '1' and halt_free = '1')then curdesc14_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set14 <= '0'; end if; end if; end if; end process CURDESC14_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC14_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc14_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC14_LSB_INDEX) = '1')then taildesc14_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC14_LSB_REGISTER; end generate GEN_DESC14_REG_FOR_SG; GEN_DESC15_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 15 generate CURDESC15_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc15_lsb_i <= (others => '0'); error_pointer_set15 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set15 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest15 = '1')then curdesc15_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set15 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest15 = '1')then -- curdesc15_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set15 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc15 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest15 = '1')then curdesc15_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set15 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC15_LSB_INDEX) = '1' and halt_free = '1')then curdesc15_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set15 <= '0'; end if; end if; end if; end process CURDESC15_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC15_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc15_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC15_LSB_INDEX) = '1')then taildesc15_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC15_LSB_REGISTER; end generate GEN_DESC15_REG_FOR_SG; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' and dest0 = '1')then curdesc_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error elsif(sg_updt_error = '1' and dest0 = '1')then curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest0 = '1')then curdesc_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and halt_free = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; GEN_DESC1_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 1 generate CURDESC1_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc1_msb_i <= (others => '0'); elsif(error_pointer_set1 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest1 = '1')then curdesc1_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest1 = '1')then -- curdesc1_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc1 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest1 = '1')then curdesc1_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC1_MSB_INDEX) = '1' and halt_free = '1')then curdesc1_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC1_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC1_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc1_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC1_MSB_INDEX) = '1')then taildesc1_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC1_MSB_REGISTER; end generate GEN_DESC1_MSB_FOR_SG; GEN_DESC2_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 2 generate CURDESC2_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc2_msb_i <= (others => '0'); elsif(error_pointer_set2 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest2 = '1')then curdesc2_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest2 = '1')then -- curdesc2_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc2 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest2 = '1')then curdesc2_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC2_MSB_INDEX) = '1' and halt_free = '1')then curdesc2_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC2_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC2_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc2_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC2_MSB_INDEX) = '1')then taildesc2_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC2_MSB_REGISTER; end generate GEN_DESC2_MSB_FOR_SG; GEN_DESC3_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 3 generate CURDESC3_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc3_msb_i <= (others => '0'); elsif(error_pointer_set3 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest3 = '1')then curdesc3_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest3 = '1')then -- curdesc3_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc3 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest3 = '1')then curdesc3_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC3_MSB_INDEX) = '1' and halt_free = '1')then curdesc3_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC3_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC3_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc3_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC3_MSB_INDEX) = '1')then taildesc3_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC3_MSB_REGISTER; end generate GEN_DESC3_MSB_FOR_SG; GEN_DESC4_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 4 generate CURDESC4_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc4_msb_i <= (others => '0'); elsif(error_pointer_set4 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest4 = '1')then curdesc4_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest4 = '1')then -- curdesc4_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc4 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest4 = '1')then curdesc4_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC4_MSB_INDEX) = '1' and halt_free = '1')then curdesc4_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC4_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC4_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc4_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC4_MSB_INDEX) = '1')then taildesc4_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC4_MSB_REGISTER; end generate GEN_DESC4_MSB_FOR_SG; GEN_DESC5_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 5 generate CURDESC5_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc5_msb_i <= (others => '0'); elsif(error_pointer_set5 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest5 = '1')then curdesc5_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest5 = '1')then -- curdesc5_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc5 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest5 = '1')then curdesc5_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC5_MSB_INDEX) = '1' and halt_free = '1')then curdesc5_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC5_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC5_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc5_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC5_MSB_INDEX) = '1')then taildesc5_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC5_MSB_REGISTER; end generate GEN_DESC5_MSB_FOR_SG; GEN_DESC6_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 6 generate CURDESC6_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc6_msb_i <= (others => '0'); elsif(error_pointer_set6 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest6 = '1')then curdesc6_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest6 = '1')then -- curdesc6_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc6 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest6 = '1')then curdesc6_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC6_MSB_INDEX) = '1' and halt_free = '1')then curdesc6_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC6_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC6_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc6_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC6_MSB_INDEX) = '1')then taildesc6_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC6_MSB_REGISTER; end generate GEN_DESC6_MSB_FOR_SG; GEN_DESC7_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 7 generate CURDESC7_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc7_msb_i <= (others => '0'); elsif(error_pointer_set7 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest7 = '1')then curdesc7_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest7 = '1')then -- curdesc7_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc7 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest7 = '1')then curdesc7_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC7_MSB_INDEX) = '1' and halt_free = '1')then curdesc7_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC7_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC7_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc7_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC7_MSB_INDEX) = '1')then taildesc7_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC7_MSB_REGISTER; end generate GEN_DESC7_MSB_FOR_SG; GEN_DESC8_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 8 generate CURDESC8_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc8_msb_i <= (others => '0'); elsif(error_pointer_set8 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest8 = '1')then curdesc8_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest8 = '1')then -- curdesc8_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc8 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest8 = '1')then curdesc8_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC8_MSB_INDEX) = '1' and halt_free = '1')then curdesc8_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC8_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC8_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc8_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC8_MSB_INDEX) = '1')then taildesc8_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC8_MSB_REGISTER; end generate GEN_DESC8_MSB_FOR_SG; GEN_DESC9_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 9 generate CURDESC9_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc9_msb_i <= (others => '0'); elsif(error_pointer_set9 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest9 = '1')then curdesc9_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest9 = '1')then -- curdesc9_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc9 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest9 = '1')then curdesc9_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC9_MSB_INDEX) = '1' and halt_free = '1')then curdesc9_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC9_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC9_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc9_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC9_MSB_INDEX) = '1')then taildesc9_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC9_MSB_REGISTER; end generate GEN_DESC9_MSB_FOR_SG; GEN_DESC10_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 10 generate CURDESC10_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc10_msb_i <= (others => '0'); elsif(error_pointer_set10 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest10 = '1')then curdesc10_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest10 = '1')then -- curdesc10_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc10 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest10 = '1')then curdesc10_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC10_MSB_INDEX) = '1' and halt_free = '1')then curdesc10_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC10_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC10_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc10_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC10_MSB_INDEX) = '1')then taildesc10_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC10_MSB_REGISTER; end generate GEN_DESC10_MSB_FOR_SG; GEN_DESC11_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 11 generate CURDESC11_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc11_msb_i <= (others => '0'); elsif(error_pointer_set11 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest11 = '1')then curdesc11_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest11 = '1')then -- curdesc11_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc11 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest11 = '1')then curdesc11_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC11_MSB_INDEX) = '1' and halt_free = '1')then curdesc11_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC11_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC11_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc11_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC11_MSB_INDEX) = '1')then taildesc11_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC11_MSB_REGISTER; end generate GEN_DESC11_MSB_FOR_SG; GEN_DESC12_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 12 generate CURDESC12_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc12_msb_i <= (others => '0'); elsif(error_pointer_set12 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest12 = '1')then curdesc12_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest12 = '1')then -- curdesc12_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc12 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest12 = '1')then curdesc12_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC12_MSB_INDEX) = '1' and halt_free = '1')then curdesc12_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC12_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC12_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc12_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC12_MSB_INDEX) = '1')then taildesc12_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC12_MSB_REGISTER; end generate GEN_DESC12_MSB_FOR_SG; GEN_DESC13_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 13 generate CURDESC13_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc13_msb_i <= (others => '0'); elsif(error_pointer_set13 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest13 = '1')then curdesc13_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest13 = '1')then -- curdesc13_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc13 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest13 = '1')then curdesc13_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC13_MSB_INDEX) = '1' and halt_free = '1')then curdesc13_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC13_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC13_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc13_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC13_MSB_INDEX) = '1')then taildesc13_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC13_MSB_REGISTER; end generate GEN_DESC13_MSB_FOR_SG; GEN_DESC14_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 14 generate CURDESC14_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc14_msb_i <= (others => '0'); elsif(error_pointer_set14 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest14 = '1')then curdesc14_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest14 = '1')then -- curdesc14_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc14 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest14 = '1')then curdesc14_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC14_MSB_INDEX) = '1' and halt_free = '1')then curdesc14_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC14_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC14_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc14_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC14_MSB_INDEX) = '1')then taildesc14_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC14_MSB_REGISTER; end generate GEN_DESC14_MSB_FOR_SG; GEN_DESC15_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 15 generate CURDESC15_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc15_msb_i <= (others => '0'); elsif(error_pointer_set15 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest15 = '1')then curdesc15_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest15 = '1')then -- curdesc15_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc15 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest15 = '1')then curdesc15_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC15_MSB_INDEX) = '1' and halt_free = '1')then curdesc15_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC15_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC15_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc15_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC15_MSB_INDEX) = '1')then taildesc15_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC15_MSB_REGISTER; end generate GEN_DESC15_MSB_FOR_SG; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); -- Extending this to the extra registers curdesc1_msb_i <= (others => '0'); taildesc1_msb_i <= (others => '0'); curdesc2_msb_i <= (others => '0'); taildesc2_msb_i <= (others => '0'); curdesc3_msb_i <= (others => '0'); taildesc3_msb_i <= (others => '0'); curdesc4_msb_i <= (others => '0'); taildesc4_msb_i <= (others => '0'); curdesc5_msb_i <= (others => '0'); taildesc5_msb_i <= (others => '0'); curdesc6_msb_i <= (others => '0'); taildesc6_msb_i <= (others => '0'); curdesc7_msb_i <= (others => '0'); taildesc7_msb_i <= (others => '0'); curdesc8_msb_i <= (others => '0'); taildesc8_msb_i <= (others => '0'); curdesc9_msb_i <= (others => '0'); taildesc9_msb_i <= (others => '0'); curdesc10_msb_i <= (others => '0'); taildesc10_msb_i <= (others => '0'); curdesc11_msb_i <= (others => '0'); taildesc11_msb_i <= (others => '0'); curdesc12_msb_i <= (others => '0'); taildesc12_msb_i <= (others => '0'); curdesc13_msb_i <= (others => '0'); taildesc13_msb_i <= (others => '0'); curdesc14_msb_i <= (others => '0'); taildesc14_msb_i <= (others => '0'); curdesc15_msb_i <= (others => '0'); taildesc15_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin -- Added dest so that BD can be dynamically updated GENERATE_MULTI_CH : if C_ENABLE_MULTI_CHANNEL = 1 generate tail_update_lsb <= (axi2ip_wrce(TAILDESC_LSB_INDEX) and dest0) or (axi2ip_wrce(TAILDESC1_LSB_INDEX) and dest1) or (axi2ip_wrce(TAILDESC2_LSB_INDEX) and dest2) or (axi2ip_wrce(TAILDESC3_LSB_INDEX) and dest3) or (axi2ip_wrce(TAILDESC4_LSB_INDEX) and dest4) or (axi2ip_wrce(TAILDESC5_LSB_INDEX) and dest5) or (axi2ip_wrce(TAILDESC6_LSB_INDEX) and dest6) or (axi2ip_wrce(TAILDESC7_LSB_INDEX) and dest7) or (axi2ip_wrce(TAILDESC8_LSB_INDEX) and dest8) or (axi2ip_wrce(TAILDESC9_LSB_INDEX) and dest9) or (axi2ip_wrce(TAILDESC10_LSB_INDEX) and dest10) or (axi2ip_wrce(TAILDESC11_LSB_INDEX) and dest11) or (axi2ip_wrce(TAILDESC12_LSB_INDEX) and dest12) or (axi2ip_wrce(TAILDESC13_LSB_INDEX) and dest13) or (axi2ip_wrce(TAILDESC14_LSB_INDEX) and dest14) or (axi2ip_wrce(TAILDESC15_LSB_INDEX) and dest15); end generate GENERATE_MULTI_CH; GENERATE_NO_MULTI_CH : if C_ENABLE_MULTI_CHANNEL = 0 generate tail_update_lsb <= (axi2ip_wrce(TAILDESC_LSB_INDEX) and dest0); end generate GENERATE_NO_MULTI_CH; TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif (tail_update_lsb = '1' and tdest_in(5) = '0')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin -- Added dest so that BD can be dynamically updated tail_update_msb <= (axi2ip_wrce(TAILDESC_MSB_INDEX) and dest0) or (axi2ip_wrce(TAILDESC1_MSB_INDEX) and dest1) or (axi2ip_wrce(TAILDESC2_MSB_INDEX) and dest2) or (axi2ip_wrce(TAILDESC3_MSB_INDEX) and dest3) or (axi2ip_wrce(TAILDESC4_MSB_INDEX) and dest4) or (axi2ip_wrce(TAILDESC5_MSB_INDEX) and dest5) or (axi2ip_wrce(TAILDESC6_MSB_INDEX) and dest6) or (axi2ip_wrce(TAILDESC7_MSB_INDEX) and dest7) or (axi2ip_wrce(TAILDESC8_MSB_INDEX) and dest8) or (axi2ip_wrce(TAILDESC9_MSB_INDEX) and dest9) or (axi2ip_wrce(TAILDESC10_MSB_INDEX) and dest10) or (axi2ip_wrce(TAILDESC11_MSB_INDEX) and dest11) or (axi2ip_wrce(TAILDESC12_MSB_INDEX) and dest12) or (axi2ip_wrce(TAILDESC13_MSB_INDEX) and dest13) or (axi2ip_wrce(TAILDESC14_MSB_INDEX) and dest14) or (axi2ip_wrce(TAILDESC15_MSB_INDEX) and dest15); -- tail_update_msb <= axi2ip_wrce(TAILDESC_MSB_INDEX) or -- axi2ip_wrce(TAILDESC1_MSB_INDEX) or -- axi2ip_wrce(TAILDESC2_MSB_INDEX) or -- axi2ip_wrce(TAILDESC3_MSB_INDEX) or -- axi2ip_wrce(TAILDESC4_MSB_INDEX) or -- axi2ip_wrce(TAILDESC5_MSB_INDEX) or -- axi2ip_wrce(TAILDESC6_MSB_INDEX) or -- axi2ip_wrce(TAILDESC7_MSB_INDEX) or -- axi2ip_wrce(TAILDESC8_MSB_INDEX) or -- axi2ip_wrce(TAILDESC9_MSB_INDEX) or -- axi2ip_wrce(TAILDESC10_MSB_INDEX) or -- axi2ip_wrce(TAILDESC11_MSB_INDEX) or -- axi2ip_wrce(TAILDESC12_MSB_INDEX) or -- axi2ip_wrce(TAILDESC13_MSB_INDEX) or -- axi2ip_wrce(TAILDESC14_MSB_INDEX) or -- axi2ip_wrce(TAILDESC15_MSB_INDEX); TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif (tail_update_msb = '1' and tdest_in(5) = '0')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); -- Extending this to new registers curdesc1_msb_i <= (others => '0'); taildesc1_msb_i <= (others => '0'); curdesc2_msb_i <= (others => '0'); taildesc2_msb_i <= (others => '0'); curdesc3_msb_i <= (others => '0'); taildesc3_msb_i <= (others => '0'); curdesc4_msb_i <= (others => '0'); taildesc4_msb_i <= (others => '0'); curdesc5_msb_i <= (others => '0'); taildesc5_msb_i <= (others => '0'); curdesc6_msb_i <= (others => '0'); taildesc6_msb_i <= (others => '0'); curdesc7_msb_i <= (others => '0'); taildesc7_msb_i <= (others => '0'); curdesc8_msb_i <= (others => '0'); taildesc8_msb_i <= (others => '0'); curdesc9_msb_i <= (others => '0'); taildesc9_msb_i <= (others => '0'); curdesc10_msb_i <= (others => '0'); taildesc10_msb_i <= (others => '0'); curdesc11_msb_i <= (others => '0'); taildesc11_msb_i <= (others => '0'); curdesc12_msb_i <= (others => '0'); taildesc12_msb_i <= (others => '0'); curdesc13_msb_i <= (others => '0'); taildesc13_msb_i <= (others => '0'); curdesc14_msb_i <= (others => '0'); taildesc14_msb_i <= (others => '0'); curdesc15_msb_i <= (others => '0'); taildesc15_msb_i <= (others => '0'); curdesc1_lsb_i <= (others => '0'); taildesc1_lsb_i <= (others => '0'); curdesc2_lsb_i <= (others => '0'); taildesc2_lsb_i <= (others => '0'); curdesc3_lsb_i <= (others => '0'); taildesc3_lsb_i <= (others => '0'); curdesc4_lsb_i <= (others => '0'); taildesc4_lsb_i <= (others => '0'); curdesc5_lsb_i <= (others => '0'); taildesc5_lsb_i <= (others => '0'); curdesc6_lsb_i <= (others => '0'); taildesc6_lsb_i <= (others => '0'); curdesc7_lsb_i <= (others => '0'); taildesc7_lsb_i <= (others => '0'); curdesc8_lsb_i <= (others => '0'); taildesc8_lsb_i <= (others => '0'); curdesc9_lsb_i <= (others => '0'); taildesc9_lsb_i <= (others => '0'); curdesc10_lsb_i <= (others => '0'); taildesc10_lsb_i <= (others => '0'); curdesc11_lsb_i <= (others => '0'); taildesc11_lsb_i <= (others => '0'); curdesc12_lsb_i <= (others => '0'); taildesc12_lsb_i <= (others => '0'); curdesc13_lsb_i <= (others => '0'); taildesc13_lsb_i <= (others => '0'); curdesc14_lsb_i <= (others => '0'); taildesc14_lsb_i <= (others => '0'); curdesc15_lsb_i <= (others => '0'); taildesc15_lsb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) elsif(bytes_received_wren = '1' and C_MICRO_DMA = 0)then buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
bsd-2-clause
c2283bb78711ee0fb0fc57ca2f7caffa
0.433843
4.278804
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/component_declaration/classification_inside_package_declarative_item_test_input.vhd
1
687
architecture RTL of FIFO is component COMP is end component COMP; component COMP end component COMP; component COMP end component; -- test with generics component COMP is generic ( G_GEN1 : integer := 1; G_GEN2 : integer := 0 ); end component COMP; -- test with ports component COMP is port ( I_PORT : in integer := 1; O_PORT : out integer := 0 ); end component COMP; -- test with both component COMP is generic ( G_GEN1 : integer := 1; G_GEN2 : integer := 0 ); port ( I_PORT : in integer := 1; O_PORT : out integer := 0 ); end component COMP; end architecture RTL;
gpl-3.0
d56d0294f8bc709b65e57d8a93479e28
0.576419
3.925714
false
true
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_comps_builtin.vhd
2
32,006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YxrkU2XueutR5vq9dzTCtpu0tIxCE6Bpd0BXvu7TMCLIUBA9eIJdhTt40hdnLsPe16YbqM0uk9I6 LFNUm2bjVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RQPY/1F5tJYQi8qLmglgDVS4HSLYfWaMDI1zgsYFoHNBsW91qyE6p8V0+5uVsI9dPKEpTQNL+W3W y7NhoqGAo+FQl65e9GnRQd54//nbKYmA9/6/QHKI3ZyD8FAbz4bM++3AB1BSGtTwxmFYRlgAbnkD M4H8RJbiUjhtKS0+EPw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TndWsHX+Va0b4d1XG7KMcNw7++4R2MpibUwUOLxWliBilvfeDZI5Ua8yeyn00r5JNv7bZzh5z/Ay c9UyhdUzYbMCcP6B6Fwl8aBYFAACjdnH2PtzONAmOLAN1XrGLis4wCfW/3XSPUsteYEqDgqo3WIG n+3XqUZM4b8axdHtlc5/WxSSFC6GJc7XPTDWuRuzpHeG8+2qsSfiwN5BHoqa99X6ncBhtCPk5BcK OPbh2HneNiJcEnAH1sZcCo7i23uy1bmjnhHPeiISNogNROTBE6hwJ1oOxl1eL0EaK3mUDy5CdIjK to2FqaEPsJf/mYRV1uftXZRUzl9vLYKryvmnQQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xDYj0PAjGUDppjHViuvrBx4U8AXsJIXZ1X3cFOCDM/LbuQz6NLkeQe7kZNZS9K4ZwyoL5JV23+Gk tRl2TK5L1mJloxuvFQR8i6tDeX/n26RZBbi6HiBe5AKSKW86aGVDULcfXzhTP+DwcUkHcQlrs8KI d6lQu0Ogv4g5DwECN/s= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OwOBiLjllDvPTdK8Rg6T/BlyTH6YmUe7IpULUGvB5/d8l+k/mnJKEW/54j97RACorBseQfS85L7t qBkJyFjZBuuJ1aaz6rApvUavBgjsjb5FH/J4RYwBhOM58jzD45mJlww1jZALtQd+t8Y70PEJR7zR e0J4VNmmb2imF4+twH2y7vs/e/2fVII/H/3mdOxI0VzF2z9E4/CKz3iqjazdcVY/v+uuyLDISaFB JcYaSXcMtcSjRoswXd5flm7XvF4b/fXEJJ/H72b4Yd6VprYaxASO61IcaBF3QoKHDMHEUsOHXhpT KL1vUV++bwHFCJyLoCC0u2Xe/aDvzCE2Bf8DwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952) `protect data_block AsG0SOaMoeyJ41EU7Zvmn3VG2xaHL+vMGGqJJaWPqwvucLFa98AUtbEBiswmJZyL9/M9V0SOUxLy xUWgdx/aVxYiH7PkIWer7s3oSK/RJQ0U1j4ddvOhEzv8DkNOgBxWXnaP6oBYa+hXPJGVOjt9CO5W x9r0oHf6hSjF4j0CX6jJuYVkb4dRiPZpFIacmRgAAOiMP/OY/M3TViiWAD6oH84IcVwjlaf0X5XJ pznBGIk3LDmrg4Or0iNyvEUxdcUIh+r/04MyjSfPKJvKduZhQchW/MzQIsUYDDdQv5pXAw7+h8or 2KDGksBVKsv6V+Ual9IT64lhGH/lN2QOCTnqHm0T4sr5ZnY6Sy9C+BIWc8uzvcXmQG67dUVM+FTE +Op+Rz63tDU/aC0fvDIbD1ELNVr19RyUH3o70qwSasZirhYUOsNvf1FO4PSnDr+J5tPYDBPIq0oF pnDSR4jxsHLCK5akRfzjac3c1+b4Re6xFo9eurmPSNWCMkJ0RacCazJ8SM8Fb0wgiP0f0jr9E6fR GKvKBppb0EH9IDXFoCschU5RG2F1O2DR/VWt3MeMauH9Z35NyjQafJfaMYWq+N2sD/pG+BPbuGjb mvIGpkSWpstwNT/FeqT8X1FmbpZbuB3zuCpQSBXREidLNc+IRwW9yLEl34F7dVdN7y+w/UwnjJU8 zZRrpW4H17ZtN0+BhhVbWwlwoeBJWoEWpP8dnTrK1ZztACx8wZgzryLoQo+Io1CFzHMdg1iseUpR DXIfNKnXS9XisV48K+bko5mtdElyUcqDsIsSy96iz7RKrn/mp566r6ak5lBKb11GkflWR3zhsJ66 jheZcT2zRHlFOVMagHXSsK2uja5eATxInlO9uLHfkbSgBPrAij0MXraz2ZVbF8nVknbCKf0o9bhi DJyWRnJ61IHJZMMJbD6yZwA1Tj0zanqIyQ9kUHC3k/jXISFGmpKDn2KlIEOhmeixkeW6iUvTdbL5 RfrAQy/NOaE1Pk0FiTd1StElGUrp+sgqNxUb+SZ9VSujkSLuv/dKqpXRcT3kO6qrz6wbGbsiFSVv EF9y5PP6OizHnsEytftSQHkQoTksVwCFyvP1YLdRcmwiS45MAmlEpUiTuSl68vR78LSs8UqFFg8k vAvYQaKObcw68ukQmp1ovM9gmFm5nXfFY6CG1h7ZzdW9QPD+c6u44RxtLmZC3xCUh1/Ow1Etj3zT xo2+XwHxqdnXgZn98Xs1mH/iY2psZD2IPSXGhTNwD11Ds2D0bRuhT8Uhqm0yJQ0V69s01TQU/Dcc AU8q15wYIH53fB/9CNPf0o3Hgi7AMv6+bYo8rU0wMQGxesVuRbBeLQUfsVRSUlwwNh6P1TU1S+fO Vh4a3RMFz25tpXxt7lZ+x8K9u492boXKkjWz516p+JbFaF5nOIa/eUYDL2+lpx1CLDnzgk/EGohO 3FSTqip+ib9vVV6S7dGhvdTVWJ6SH9Q1LyYCByDyo+5V8DHX1SDSvEeKiKfUa/ztFA+K6BHPIy9F t7wAO6NprKMmC3iumbrlD4VHfEtquRpy+nwosmTfd9OasLENH3X5THkNWZIfjAc9CdYk15NGX5jP 1+En+Xvz6HbyCXaRDuuPEMFEpaB11CNzXRLf30A072M/O04uEzZ3o6iL+XLBgHiXms+4okVn9/W1 JKjkLrIzDTl7KJYjSrzd7KBcNHhdzfxnp+e2Q5x34IhJov+TQjvegkJvxe5GxR7bsHVBg7Fh0ROO Iaor7Qqos92lVG061JD2F2PPA/IJ8Tr5xjT0TMtFnuPkjJzGJ6E4U35Z2hYo01qRXfLLQ1sZsZPr AVzWDbUCEQPMCubMXCBi6mp0LldtsJiK4DcZ/lcbh4trG3M2LMeO41sewoDFnng1kfNo0JTPn4gX AYAdAdDH4rW5tLvHaiRrFq5J973dwovZYJiHctddXt8VSjrd9cqpEM20yXQWimq7hpWq/4I91NdK nuyflAU8MY6aFiMMaTOVkSdcVZMqbWrEubfYwvZDCPY1p9AZmFVf8hpQyb1BU5AlEZz2GfK7zaD1 Gr8M+nmuHbkCtVVyqoueA9A0rPeC6m9cMNHnxGVXLhkEYOLI3RbruLLRVHrzuzkd4RhxS2CBVBfF s2jCx7jrZNFdWCvywJ3y6nclyoqNPy3SKWiRsoB/eoF15zpl0xFUerEMWMjBFJXNVTyXzjjLUC+v 1jbHNdw/hvHTeN/+H/4GLG8Jglj8plmuB32fVLmWUBRdh9gAqY+d6c/RPB0ANpgnWMOEJTcEZ9mD Lgh5FG3GFU8yFcD8XflUFnnvGh0An2gY8MtzC7X9e0KMQtHB21w0V94XVTo9qvmdTTSScrEENt1R Id5kaBiOc0VcLcctlIuIeucfA0uK8xf4Fs6yGLoLpo6UIHrCx0ZaugQPd/7fViYXW5xh3AlWKvbv RIGAxOQgUAU/atYlEx+IzWXOkfONP42Owar5XQUaA8zD9F2XSJkQLUo/om4ecPqiqwHVHfVQw2hB F6RhvmQM2KouHqjlo0uNo9dZip8iQEqs9lAa+7Z8XQcx4tVSBjGEd8WFGqSqSqHNs4BPXXgIeSrx psHMH0/UTXcXXA6kBCNjTkJr5KDc1+AQlTHeTRxWNONc8qM9fwzZ9/T9/NPp3CHNuROObfgeM3Sm jxAFvyYuynricEwVO2WWjgPzbGB+BnFOmRmlJKVa8CPm01iMTYpA1Vg2ifZb9ceMhxKZ+D1RLvbb z2BJAnYXlmX+93GMOKUJk+aiMlJZ8jpfs8aRoFViahpEhqKjGPhsSXnec43lBS4HLTgElnSxR/o3 lCxBl1r8PH70CNg28hkr13vFbh84mssNWZ8EJ/f8MoN2QV3gY7Z2nM5GR2rnL9/p0TWY1fMOWAzP 7TPktULItu/eBG+xSpVU9vS50lJX39Sr7CaqpXrP4F9eXUskPY4GZo23paIFOblFn1tqffHbqLWE D7ysps0gVzpO2L6x9lEZAx2JFlhVl660Fi3PwK1ebYQqbV0zg5n9d4Af6DPoumVT7I7N0DyZp8BX M+pyx7r+XR3/rNTzXcXK1JFP+8rUpqILrfd2YD9UUIBKTaLuriN523e1JWkl9EkwyM9zYitU/FwC 5b7TX8I7KPenlzBMjPVxqoaFG6zdr0pti0DtR1LwHzEC/6TOnkP3LTflAHI4Eu9YqIuQQX5R6cgh 6VPBgl2g8pzv3U7mE5Z+HzHbwACqTUvWp020i2xVZ1mQfKxoT1gbz5zg2m9Nlb8vhB9UZzjBC04o liWK8ZaQohz3NfU0ghjbPykFlWOr5E/hyarXuRlvzsDLI90Uv1c0Qd636z1et/Lc0taNH1smtbBC L5CzICBfCbPAvkmg9uVLh3MN/k1ohKbOvpfbgKq+sgw4SkzYAlpFrtYDeLr7dXaGivdJPAyQcbf6 Eyww4AP1NWsXKiWhtPdBocGZ9vrsQvqVahxih+nasFGqNRsvPoQAPBmgAi8MOa5nmbaeA2REr0GL zwgpCmnV+hLItaQdd2TP9Lf/vEqhIQ/Cz2S7+866NMijlas4bT88tikMbsNoDgpxAQ0f+cwaaX59 vV4oE9B94SGc4IOmm6JpHs5+46kWXoLGO9qmeUAs6VswQJf4QEL/r3NPsqmF6BHVyO81qUfeigQ+ Hcn7QvWHfO6WlNVmKhhp24poplfDH2nEePPV0kd6pCuSzVDIzTFCDDSMjOp4Yg5E4zbB8K9xmJWK UqJpB1PFgmAEzEYU66mWOw1RhwyZosl+vMnhmr9EV9ewh2vKUDBUiqy7KFlwtU7HWgyBSmn2Rckr THUH5cXpF/XifWyoefldvXDLmTfusog9ymJ9IsGaNxzOq4M9gM3ZXYm9u4bCee1tnM/RLZvaAHJ8 DPd4IxZwFliwlBAUZ50TEGeb2xUtpfWd3LGrNvvBoelU/RM5uIBqHwhLPiMEFb08ASdBQdKSpvcw O8xh5vUfnYh7vstfOG0+VAlMAR929k6qqgGlGcspyf3kWrGzavwh42rX59+VT82mcj4AQ2b8aXlo e4oOfYhP0RTzdz+97uBHdPsqdEsP5oUq0Qu7a1n6u97RE9t1psmER6Nt4eWw6jr62N8/3c7NKFwm tTOgaMvUnnUXsHA5Wkm1f78UKAisz+a0TOu1uXErlwd4mQiPf+tNfClmaJEHI6AW119VNeICCzy2 sUYfVz9x6Pw5/3V084PushT5bKx6h5GPRnwHUzA29w8TzE1k9YfjnmeW3YZs8dQ++5CDxS0bWRrS HbOZcnB9Vi8XgJi6JJTeLpj+QCDmJ70Oghz81VZpEnW9z6v5FykOW0n43CiguNUW7hFBurQHuiG8 0V6a+r0qLpT99ENTTJNvYdZISDt4Ag+CY9djVcLDcOTo2fWMnmA6pN8mGEQfhyseHWw+MurKhUE5 ruSpwQcC5PnqSq059R4fzHqWt5sV23a0nJ7R4fXz67mb61o7bGeccOA5f1zPtPQup5vo7/lzP5DI YEpt7F8WFNYmt/VYz7g/LEMVvdnXW6dF1qmURCECvBFn0V6jD/h3Irxq5/gIJfNNW96lLNyAhF97 dZqSbJ/eEBBiA5Czs+D/gw72Ra1N68Nd6VhinaywlKkq2QeeXNKqPONBwWKrTFgk9jwEC9Y8ne0m 85T5F+tAl8VM7ilE6nJ3V+DnpPx/+99xYsf4QF3Zs8gzXXJlr+a33AgiPCHgjWKaIK9cYlIftlK/ 9KoIlL6JZFi4inkZdqaxyK0X55uTLO2mv3hg5lMYVSQjJcTHKLoluaf7BwbKTG9/cg2bJ6YF7ure mPac7t5DVtwajHq3ptasW+lgibQ8zGHfa2/iQJTdSLoqqEDIhT5j+QM+APWxFC/nxda+zS+z4OV7 A6jX61DQctZiVnQ1a3WJMO5rLYf7yfGtXsfeNhHXi5XZd2NmDga7L6Gv8Wkoc7Wecjc8OUe70LvP FJT7iyW7nOtPemI25c9ZjLecbN4f5FHeIQXgAPghijF5/Q/MD3nNS6w2awiPqxP4Lm728GYZFoGL SVs5cCGceC8ih6o1SJ46OKNcuWn27/46p730vJww7Vy4+1l4yUuyyi1ZQRmxB2EJNYLzgW5yElAm 5HM7Pg5HDeom06e90Hb2Vd/nGT2WFKMV5zF6UVyXmFhmfUTwa5RetEXm+P5UjMfiUhlMUDR4VUwy lSno5IjXSfDkdnaXTxu67VkJjSjJ8ps5/vU/WW/yZCpyYaPtl4MtIaIA3V+W1V62pDiPIGToMt/e SK3AJkOYuq5cpDy6M6ZtJMDwwi7vhm5OEVfMLxA0dS5CGfDbXbFTM8+wt+k/MI0GhZSHjmoJPK4t hTOmBlLqFB1nFym98StIe9gOQsYN4SbmHgIpo8/0tIgpWBZLlK0gqWt80HMZ3km96rjdSo0zjWpH nSq8CF5FzMOzzGbLXA+GSUKhP8hWKpmH7LQwEMFYTXCB+t09jAcick5K9FjaOlghislFBwrV3aAH 9lfnksuL+o91Yz3qFO4aVd+ziwG7ywsxF7xGFTP67gRZ15MwaXFryHS4NBD8dX+dSiu8oAL22mrV 8GweCJR254lXkZbOtxRZqGlvcjZ1Fm1l7WGZYPuv3dbOIhcgasFEVy9sJRsXoIXVp++bTBY1ThTg nCoD2B2MU6T4NJ+31j+5ptWSlmKU5dvBjIWqELOufseCyRrbpWjil2ToUZL9yX7uwTcZttuOSdR/ U/ylRb7AmwntfHH3xNM5Wxvg5t1s3ELI9CAzwvQC4UrNALpLwr2l9hTw+g8wxX6tUfB5JjFiPDuh n5N9E+cXP7FSYzyWMk/DD78gSE7HY00zSYsQFaW4uYsRX9WNXTCEliWCdtyum576Tv6sZxKKDgbZ dVzfYUhKibXmDN5iCJ2rXub+wqIbZDCrbzkkPgY7j5cIMc6URJacu4fehOytK5UhOnYL1mjskiDL a/lN7lp7Oa4Ravct8x944GwdX/f95mhCiEHFqZdxJl4ba3XqxVlsDV9wDK9coTP3NqerQLBMeA7D 8yVp4aL/q6ZWa9w6f5OKAsxiujXx7mvSOmRbSEYj5cpe1hYslnivB1FRXJFvN6AxTVJuSIEc0JfZ BNqmbTh9ZqoXcK0bxUl7zWvtjCt/bo4Mu3WwovcUYnn+Raoqayb5Qsdth5z7OYK2phArvP7pMrMa a/9Q4cWz4FmzfPF/jVEP3KpBjb2OPlxSRoVOT+ZdEbZpPq4tk/cK072q2mPTFGWfbmsEfNrf6yDS AqEWEUe6lNTqw24WVMb6Voloj60pxyZExtl4Yzdud3+j3oCd0STbTQ4TvK3YvOw3xLauh4IzEPbZ ewloOsZT+N4SuLGXCTz67mcXETgm1Fm0juMfC8zVlpzXyCP1C47oFg3siH1HHhMBjdedKusIgmeP cywUClMANnxqcxVeBiz1Yb1X1IqsHxmXxzIZqAFYIqmmQslew8176mkO7z9qUKHeT7cozr6QC8NH p0y9SAdkZ7MKoMXBrzmKIPAAvj9VwnEDsGo+eti0nnuQKo1drUoyvUqO+qOWsluCJHW07f98pI+R BZx5oq6FWq57pg68Ecl+6O4Xy5WulmOqismzafQAhrhJk5g1v82dGrCwcY0FWjF0d8nrG7yxw2wQ xPg/IJ7P6/1eQWXEBw5/ALN8OJ1mJwVHSu7KSI43w6xjTxdWfZHHJ0TvrynSwtqzyRKs2+RvY8pT ctJ2fZGar6AcmJD5CQGGI6Y31E2wyRlkTuEH+WHztfw4FsVJZhHyftbcfXJ1h20Nu3dXuf+YGbgL BDGVI8WZxyh+B1vpIKKn7+QeOkEHcEqAWLZxieonw7F9OiX7xI36RPGA3ioQoE2gMDpVZ5ruWybI USQ3zFTkuMZ/RGAFuez0poZt74O7uSLtirvxlbukr4z81pHVBQXLXCZQxgBdZzbz7kvhjpJMJsH/ Cn1r5FogqyotFzmoXjM6/qMeG8JkTdNdtWVQ7FkJpiMqZF6l0DbtdIoY+Gw/Q9A1iC17tC9cveKR 7PG6PvTjAt7eGMQNkWqurxtsyyNqlqzHwjC0hjP8dgGotym5CrP3878pQOSlY2iVElUB1x1Tem/c jgnBpOU5lpXzTwcs30JLuWesmO15Lf/Szot0GqQCBC0DnmxcYKj5ijvvojn5F8mjlirRmf9pe/Fw GOcRNkWXNzvR6GWynywU53q9NnjM0LeQ2z8fUJ97Y5IYaooR8Ek3JV1+SBq7fX0n5gpQMRibtshZ 5iEURYLczzluT+JpeM96AreDmYBZV4+MVZ0xfrX5U67cCfU43x4zSCQPBVd92dksGP42EddYA9Fn ZVujNgDHzVGcgODFiVyY4VcX3BmcSICdwFHCBs/lZylL9wdYVuE7TskpKHcpIpog4iC8NlapPMET V2nQ8I3RQFC6Bh/dD7ZxeoOW/LRNsYPLw9g2VneQIi8YvlawmJx3MPvzANBq+3fWZOtHeOSBA+4S DOyoEWkSElQNeAxlIPxgzPOSmw5frHxCm0J+z+o6/i+OI0cLBmDKszyehYYs140SKHAvFoPFsfXk pytsWLHyddcnYmfkv4DeuFetdHdIJV9pWfj0LH0XKb/gOoohMTNPt32YlYaOuHZocQkL/13DRoRz kuVJjpHKQJaq5UYmmYkGBh4RgGidyoqLP/RAqCNzqRZ6tDZFUNGjWpGIqji8kgk2DL2fhrpPRz4r VDS2S86HUiHsfxOyMEWU0yDVXF6b0m223Vcb3chOH+OEuu6g4WVJQerZt7Y2qeqXB+nXcNxz9oW0 1llYBKniDMe8yyuPUwtCZIzofCouNAAZ4SVtz/1TL3WYiP0qMdsdPXllFtmUyf86z5pCtXDD6jzP oWj+NZB5FhFtNC+1+BvD4BvfupxAzMWNPG30wHz3KBXsgX+VsCCraqW7wIjyVeeVb8bWBNDPfeDi suGuOz98/Yooc65Oy3fpnX8WRlE5rg5plPr0cQGmOjStW+jh0f2+dT9TJ+sFvqfKGoU1/o69rU4Q LWFiRRUgPqXmQ/Y0wRTVb3tHo9X8HzrPXX/e451t0jJ5fkNbzog2QQduM4DcLnxh0i8fO9XwtY6c q/gTR87L8lWPQxYMWE8DL5kXnv1vWhjy2uc9E8tpK10TNu2url7rQSKC1nD4EYnGpTXHcn+Iuesy 5aZlyS/TBPDJXJMZ++gzGj/wf0kyVwR5uQQ/C1PX/BqNthWOk2G53kMC1FAMoUVbEaHzh0E4KrSt h04/YxvRdq0x4hqAJoB/591c/GfnvMWL+8xRRI34Viopl/5jQ06xLmeqIoEgWXJGgLKPI2EtL/G4 VpXz92BkbRQosXa3foZJJUzXJc1tCcnoD4tG740fq9x+Hhtuh+3K2Hz4KyC6JGXsQsl6sYXme1hR /RNhIPmipYDEPLpKmMZUeISOzdKoYaEZhO+4n9nSMqwxepsuHQ743v+fJ7qfiX7lk4UjLP2f7U67 zbsg/ahD9fe08leU7pnj8D0mQyV+wbWb7l0ZVS5zsE9LG8sTiYyYXyRUyCNoe+ej1gQzRoEGLtXE lTtHY0FcEH5wsETTFsh8NC1QA70UrltC7Ey/XV5BL2WzI8nZms3TVULzETzAKMoTx0Vx1JxlxT4m 4Uu3rNLxfOBE4Ie9Tzbw4iWbT/SI9elCzZjv2pmDOvP6eNVhfFD2lpM+d0GuKuMay/8DZzwNqElH 9gdR06W8pDNi0OfZv714SEKlacGNxtk9UuHmQ7XNmNRTJf87NkeD0IxWd04xaEOIBoM2z0BvFFTE RmA3RezMC8LEikLpJgvP3srMMRMWdPKN9Sw3ogiitN24qK7Af4bO5TbF38577KrY/MwPlc1A2IPh RLe5UafUpmJjFo8dxkSOmGU/f9KVDqQIvteXkDli2zjIgIV7xnn7eq8r5pt2qoHlyoUFp1Prw5zb +ig0aJJrVS4g3d1ZxpDgfbrrjmxo0NzPTpmNnwUS4ynuP4bpdLYix7+6RUOtyjKUBJqp0QTCCa+2 IF9YqVZaiZ01m4NBTidRUOZPM8jb4NUZv33uxrAIzfcWJMq3qVXW9FOFnDoYTuOkSfITDb7Xo0pm z80HH0LIk5gUQvG/XAFTHs6ruw7f7pUzc3xLAq25UHp5fojNCvPxRTShIX/BDs4xV12jRzQnFc8/ 9qgJCDrKC1J0rSYbHjbtOtX0I7sjwBG1xYc3l6mzAscMEk0BXsgq/DJJUll3zHWrvgrAScq/jihz G1ArkbZPhZmyXZq/a8B7T3VRUMlHyuKjV+1SJ+H/4wmRqwEnMTGmiReTEQ07ZL+ExSbq/tC4Wwkv REO7qA5BFA0yW+YxsGdIQiSo7SEoEB02EeiEqrDCg3/u6ay5X/pO4C1aH3YPNZjJt9Zb/Nf3H2Ig kL/HI8MfQFU3/z3tn3kjgWXS/P7mb+Z6r+6SudT4ACGeCrKiqt2aIahnb8IJlSG5JEti7gEq0gqa EJe1pT0/CCTtnx9K9U0KXtvHwMfhJ/ZpRYP9zKn7j0LHkK8WCxUTQenvMQrqjhd7utSaACyWfrFb gSDhLv4H5OQsUHV0kOrKV45hB234pkcMYASOnZB0Mua7bnDXjLl+mLRbrRsR9HP7aLuS+2SteIV/ PzpXJ/69ZAX71kdtc4ZyfTiA5Ct9cSTYOLmWjfE0iYQ1A7Gcm/07tPVU0jwTNVC/rWTN+VdwU6MT biOgapPu4ce6yAn1KwGHr8j0c7LgGqrEAzfn7WjhZaMW4lamve9Bmo+h7ZSyzZMZGefIcJJY/YJR bXG7dDvtUQX+Tb3At1ql/F3a224WUo2fubUhPbYORIkHQflYhq9oCmPKAnxZKN+Nm751k2wtW3R7 NQLJyvno4SaDQAkwlNoW2DblmN57Wgrtkd2BK5cX0q/YySuTUM/e1Lcj4XF45G81ICYMiRGjKP5R whGjg0WX1eEMWoCqbYr3q4O1zEHY6g71D5GlZTSaWEdDjwyuMFsMmNbcBk9RYqEEIY0i4QfCkeAF BDI7WTtw0/fOMOMDEjhh4cPzRzAhWy5kZfouw+2UyUUjQxL6iZ5qJe5ON2JzCTpFs5fATwdCm8Vr IjcmMOMstiUTWfAGMVSmFdFYiWTXOmAqXTDi3z28mbNihpLh3unaW96FpjTQ8t15dQ564zLVrmD/ i/PmE9B8kO3jopQo7KZH3cm1WwpF2+ZqvnfpPxhC4/iVG+dQdKKUcUuhF+znfFCrTqt5hmZbvOzQ TjEQovlB2BCyL1EwF4QucgDG6dZmpmS8Z6N8YimiqFZ63rIBy6xdvyQvee6yvoIKOClhoaAmNW+A 3ef9m5nxCeH6hOtBVABZIllnewZt/NkwtANw5wW9J4AlQAAj8sG83gUsKOtsT08P8t6hJ10Kwns/ oNa7wQXYud5x4/TeZAH+5FHo7TLQQRgzSU1LVCVgzxZtEfVBd5WC30vpVcaf01OYu4EJB6xTqlA3 Fn9vFckVOYiUkhrKHjkN+92X1ulKZ2H0DnwUKVpHFhgIzOPK/MRy02u33ElZyfG4SXuuMttlLst4 xAcMWgCYSgOdqL125uZfG5vbFVa5ReRZWjXy8sjLHz6HC4ETQkzhq08vBf3HppVF577+1CRzO0NS nOlhvV0JgxoPi0uQURzxluPPlEDXim0R7Yy4Y4AeeycZQ/9RHNBv8TP46nhU7IOSwKtSGEuR6Zst JJ+XqLfNYjnuMewOr/WJw3ZVdogo+dcWrMtBRS6Yt8LpXNKN1EGyUNTNNuny/jJNGaFUnd7VB3ve X7LuXtXVjwVnaIhGQHWPpzh979fpOhWfVYgdgs1aY43A2FWIL7DfG2BejfO6nmX9NtguY+iXGqDH 6l+2qEOxdM98VOgoyQuKIBF+4VzjEHiywComyLheQL5i0WtdJjBp+3EpHxSrHq8jQhfwnh2mRDZ6 dLhaY3j/7/hqebwPLBEdalRIrws92dHAQ0N5OoP4zvkeLe0UwL8S88ImJixLloTJlb2ui9zzAcG9 gv0SytWsvQt9kkpPzD41Q8nin4VGiabwdESPdzg1+7jMa8g2iZ7rzrMmLKbo9toZStCJQW1OQPDK vxFfCzFuEYgeXyS9ZHo0V6gQxXj2VyNwmf3hCmvhyP+RKeIaJ1Y02LDhyrj0mpJ9/9JoFWgOvrcp IqzOlGAl0sLp9f0az2MSV+/AoYtLYVytO8tORRRP9aLy5kSpRV6/XhbFbFyqht0qVNw4hPFDMVj5 YO18V7wxI4Xsces7APQZNgbKa9ETANm46jb5KRpcX5SjU8m0V4R12rzsKgjJOCSVTwutOBFTWzDU EODXI77+ZYGOyDLdKB0tf1fmZHdN5zMAxZEf6aRtKWkC9bmhQ1GNTmU3+E225LNy424rXMFe0Zbz pOTuaCyc+rODgmNw3w5a7V7B2ryGaUtM164BztpfTXZBG0Q6ZMc4CacLSD3NQkZDA0+B3vbUmt3A nG/AYKEGKaNgrzihqniPM5WepnXrROA8l6TZ5EDYs6xtewVAznXshSnfJOcC+DNTasc/vCq1hTbk 3K5LC0+VfgV8NHKWc2r/jUEVinyr/zDdyQenIvpbth/UIXksxlaTUaI/n1P9A9/lwj56adLRdJS8 IRHBl3BGZs8EanFIUe9+4nGelSN+THWeNPEW1OfXTwat5hnJeG8w8A9CMZfd/JIE+tYKr0/NW9GV Yx0H9Gkzh2di/7cR8wogEo5TDA7K1/STAJtH7TNch1L9LkrZFdu9PfAFRB8q5j6GpRsJKHUgnRVQ WIbx+f25WboF7r2321aWN9E8ohTkfoTu0lWJTjsq5uaSs1r2e5aDN27s44OpqsLbTXpP4tuD4L13 zgAg49jn4qZVdDgtJPcUJss8cLABTILpgZuqRBmvTmvXC21BD7gXLq5q7/q4zD8A5JKoN+ou47qY mi9ulYW+TXvxjN0828Qzz/1z0XcmTe0GeE/dnLf9ExlNmKBN8bNOccSprWnVLSqfoYh3AXnukMFx 9nPKIYTsz9T4qr+WI8tKM1J0gnR9bZ1PICgNMRMRZZtdCMRh66t6TAYZR/VFkx76qhgEeCjDTN+k Q02jarpoKAmUgp5u5aF+fIYReig/7Nss2fQXwd/kZ05My+3zCqCwXLHk169L8+lv6r2HOrtBdD0Q 24ClNhHpy+lntDSJdn4zxACJ0BE6dTrrNbYmKF4pW0Rr3j1Y7LVzRgzxsQEZjkkdv9ploO9gko7I 7bN4fVaxM4cH73FfpiJAPh+YQOLuuotyyQo1wdcXQqe6l3a2Gc/dRRuIEjYZnjO6ds7oH8E1KkD7 GZaJL+txmHtCYj+nsU3TmRwqflhDk3tFBXEPlCY1j2PZveTseZqjtAX0Pt/0WGxra+MLYJKWQx88 sTcVbxfQXRzEYVXir7UyTkv7opvSv3GGCXmC3VeYmGA2l74oe+oZznN+s1jbsJmTSVo8wgi91xE6 uUUwXm6wDgGlMB93q+HeMh+t2SLHbKePVNpRO9GFosV6yqNRDWU2G5yx2TXAeJ2K/svx4oiFm+vC G9jXH7414ZZF5YQtC4GcYzI3bxg9KKbmkbq1d9bUzlv4DLYWcKrg6Hq1MbKpclQIzoUCu4wl/v0M a/NfB0Oe7E2LbfY4ISf4SVTz/sRD/OCl4Btdv7d2zy0SaLgOubUftdKNIyo3sB2u6EkhU2r8qo3W rhnLLSzgaeVS9JGsPY6fqPr6khR77d0v6I0p6CvGG1csiZjAACehYErw3omvUuV7/+06n7SI3d6y WRPOeca6vImqzSi5fx/3WSLmGWNzML58mvDSlJv2xdZ7O0bDsBSzSWs1pNTvmFmotq1xDjQEuufO v5HpG0GBTWqhVHiERA24R/chTVnP2aTlYvggKt1aSFISPPh/7yO0K5i7PfFF6zznh+paCukPwXaf lv1AsGzpXIdIMCK+NUGFZCznyBqEzf/XKnjENzHGhWYIQrk7iFSNsgBCzcx/9j93CJ5Aqz/4OlLK aLbMD8S5qEFJHYBRCp2wyp7sJBtf9v8DfQW3UijFzAvwiW3SRBuyYHRkoDj7Irds4Bm1FSVMqr7O r9DL28MFeA/FeIZh60tKQPSa6nIIUr2VpgjLD95UByous4Q5k7m4AG31rvPki7rdrceQDbTQThDs Qp3iGOVZHPj4A/0w8HbVgFNlQqt59aRQ9KitrL/bfENARt9f6iYNbdbJbpopd8O47c1xhnaa8k0u AGeNtoP92YJpdRsOLkWw8cCeOxvtchryDvn3NhyoHx0BxPDW1jDWhFazQ7GIf37ihIYotbZWWKx/ O+nA9hg0RMopN4Uk9LEjJhIWieNpNBijOIEwX6IoM5ix9hPOjUQvaEFJSNMkX0C4jeKggG/Frb7N sR1Gm69UE+uCkgWKSotWvYrBdoI4v0LGTgS6wOOBKdloTjNhYADMJrQxlzDPsR/rlbyZ4Cmy12jG ggQOAdgwxUnny6WOWKmjWQrhtU9umfZX5+MqWDwPs0CG6HNEOAlMwBYVXCt5xQOxDj3jn4xNi71M p+Hu/m/qZl86UDYfOf+JGIao7q0BNgH79XuMk3hd0sJkRO6ijpSwytV07C5pItPBffxiS51H7mrw Tc0Oa7qFxxWhLo5cAhhx35sGitxiBPv3dhSTgaEBcDhvIVHczR8Sa8yvPYjC4ArLH3FiRgRYTvQl 73h46NibJfZqyZRE1kjrmhzf8GfdDuJsEB0+486SVZtHNxWcw6+OrnvTJwQLpWHzxfO7zORtwgWZ GncQU+yOt+oun480xSrPO1Yk1F3lvSdJdGbLkC8arjXl9QH/NV+V8Wcj8d7RZSME+YF1vKobqCBG moKv1Qu4zVXM1tDeqBRLaajtkA1L8IjViRj8E3qP3Wiw7qPEe5TgN1wpixoeJHQA6DYbhbYgHvRd Wk52iCsYvszL0c7yKwkbEmmaq01sdpGUyKRBRXxk22Dwob1MBp8WozjhSTyGRHlr7Tt7vIfrZ38m nkDtOr9gTiY0XESPjRou4r2z+5OlUBCKOHsv4qaSKrar1f7ww1l5bFeUxmfba8p0pX1/09q91TEe MYJ2TXCZ9q9xwkD9v7lCmz9YGv2PQ5wMyffK0st4r6Vw27svAkwXWpL0GWLBTgsnQO6wye9S6WvZ qeD/D15kBh0cA+sLTm4XHmYovwIth4eItFEQMrBUF0abC5cVp7V9B4J3cJluWYYw2ZBIZRm9AlzL TZbmJilr49r33qmNm2SeN4yo8IitO55bLGsT4BwdL2Y5uRfdbVGKFATlbi7PWPU6mQT7tVPKLsrT C3pGFn/1MBtRQsfoJ4w5YwxCLes1ZlH1uLCeLNRIwODJPegf/YXvbCm9ZsRKFsRm5SfEcEREyeri Jpa+zRMmw7256F5wQRObtYPhDxBreAyNj9ifnrcrW6C4y7Njxz+SRj8L0802T5nsGRJlCgxxqScv 6P1Z8Cz0jbPkCXF1ml1T0ML7HJsXAUSfTI/q686goZT1U+lvnR+WLrnAC+ut1UtpyaBL7CML/U6/ 8Hme8kcIc0gvcr78AeD8/p0Mv64KQnvtzuaidfEbE2+8H2tJEDkBo34x55vR8yLR+rIojHDMCZ7D mu6QcWFGYwdE+KSxXds7Djv7zaOQGCoWzmsJnMSRjR6VwOiLoy2J3eM2mdQqTQTIRb3SFqpG1WKb gmosPE9Tuu0J/E+zGR0D+6SebePhFSnhkHxSV2rVDeVwmA9etpGPJehLyfeTvMKAKN/15ufw2Rnz nCLJ8P8/CNOe6b7DsjrgkGaAt6cHW9CaS7j/n6JWSGRNqqj+czwxTmebUck21gwiFqP55RJNW75f bVkJuFGI1/QHBe40zQYAF9Woo4dWm6p+yZCyr7FCtNaENZBGJfQWKzm2VCoaAAkRgwGAf5naI1Wc t3kvOIfPUn4g3wWpXW/ZuToNAcYh41bRpdDCN72v5mR11j3ZJXyhPEWV0maK6fVW7y4yTULaDDMY LPcfSPcvylBi8XU6s5JvfruB2YC4htEs60kKrfb0n+hbghfefmdBTZsHELNquuj/rQFHmR0XAdZq YFwrm7a7ooluV29OeMncW3Meh0df3dXnYL52ocKMQ6ArnJ6T3HlsYgWDPg7O1u29Gb8cJLpaCJ2p Aer0uweioEPEfsVQrWjhO+p8w9Z0L8yiujy1TrTy+/c8eObC/mG3jCbnLLUzWlOzt5PYB2OCeABH +U2uBW7qw0ijKM3oZzycR9W3TKl9DULwYhlWmhamS46sLy3TbbVCje74Hor0p9ByrCsTqL5NsSEv /rdmYARHsf/kdFksq7Q7mkVF7xS2G6ZgwcQRI47AIPuiiZZ92sBnQr/0WygFt8gP5rIDXit/GjKj 0jX14PIRz0eJroYE31CCRw0XUk3eQxYiCxyuj3VJDT3b8s4pr1KFwiX1N2+aL+pLYvl1Y0FJkXSU Dj2cRBuvRXeCWiYX32XxREmx3yyvxlYGu2FXbdG0nWyMYTdOu2kG0z77IVKEQAC1JEcVgrjCtePI KSFOB9WrhjYLJfM50CBjziBK/Bk5bb8oZDqizA1xKtpjj0qZUyS8Eihw4ByqpcDHWCtf9XHlbM7g z7O7INx++SDV6OPm6Jeu4gZJenyLYMVwpYZWnaHXMksJ3JwxEdE/F/uCeGTZIlXlD0qei28PJkVr qx7/Dz1+9Wnb+XbY4hYrhxaljq/kBiUa6k/vELEdEbpRt9DPkL4ec5B48wAWO0bhypV5kkHQbQm4 HkujDOXv5szAtR+GxXpaGWMtRok3mEM5Z8KHWIJSMrtLcg4zavmtNNe4UpTjJ2LLTR/Z6MLO6lIk 5JRx9PXV6fVvJEYPVRAep3oY5ENgV9SdjWG3mFrHAI9XCC5UMlc/Rca8/M/YO+JDuXUIwcUeHSEE 57R0vkLsyXaRSmc7mgLd4F0PAVisR7xgQx9ZMVzCajIa0fWq0L2YaqES9wTKo1bOdzBwrf1htBb6 ouRkGw2o7pD1h61SsriucrRd20UwZYp+zGKRSmVlnmoA4hwfJ6xh/AZ63PbMC0Ype0F9JJv7iO9m v3OKN8uQW0J8d6NG5wmzcBXfo0uWHfQpaFXrLmn0YC3toMEgPmhBt9FVS172SqU4wBsLwGrtMn5U g88w5hKvKZUxumhW7+9ToUW52uLe3BGVmkINLRa8susnv+NekvtEDWfYoku8ArCtJoNXJtQaH9fj 6jtScHj6OStswaJ0xNUmJJofCswkAPACVndUPzAJBGeOH81SByeg2gbHgH8QAXGR6JQlUU8cXF8r slH0EWxF7bp0EhtoOZKfBj0GwgAZuZSA0OW0Sjw9/B17z+TEh2fDYatE6vAA6wluKEhsy2pGkh5w VvkseBorLGw/SHwntiPy6yccHHyw9qYJiegDhYPh7PwBxM+1aG4sFWksovurU/Jb28Ol5+i8k2u2 r5Or6ivXHYxE1ONOkwhWtpyCqJnyLRMtZGHGpGu+BQi0e5I1JxVNNMCnvTg2o44kmOZrvsBonElo eAuP55ehFa9BN9RhmnFhb7aPF3auPNKDKnGk5s/D474CtUGdUpk9g3FQvi+phb5qdm8uM98nbLbq 5G82vIxOb4DwB8iBRt7sKeS70eK6ZOyCb+tO6NMlLRbC8YeSBmKhTQI89B1uz4CVUxo9XNe6xuk2 2TCT/+SuxFPaFTZYv3pgNiMStwC/T6DBN2HJdY+RNZ1fI7TtGvNp1sLd9z1sDrG3oH2IJGVf6ZJk kfr7wNL57nEU9/BxghXCvmYRwuG80kQKDOtmMDAcJUcFrAAb8VYUz3R04JDBvLEVSTV1Z7lH2nku kKIQJ+1debCJSl7KhVzrSlxW8Y1Qcv8twxs+NSw+razCh2or3XWG03XQUb+xi7M3KRpyO8jI4/OK kPlShoJjjqKg2y6LpRS8cnnmk5OwCrO8VTVfMaSUngEz4sV3xR2UHZPtV7RaRYtFFZgZE7gBnxWm KKFDzu2Pl/J8nY4470rMyLTTfoT8DZupRB1UwqELJPseuE99YRbPg90M0oogobZ4GL4JqoMQD0xG qCKe1VbmzvqFgGLrSGUu7mA4xD2tGIptZZIuHeYP9gjjdAuqRkt+BsHK1TwsMet2TatrUA2Rrq1N 9jFHVrkPAfyyCv287oMlps0cIf9+OXjvnCco3ALtbs5W18ReN4SIGjVRen8nQJ7NARXyLDSKbVvl JOa4kVnnIGU/S7bmEU+X2QfXTTIfdbuKbM3ctKgPxa6TA1A01lMWibqCETlsJAp5ZsH03uMjywQi lCuUkSPqxiq9hcoY6tUIHY909neiv2XghYbS8rjBqtR3xzIk/DCqVpTOKHMUsakS6vePexJw0dub 9e9mzCGxpAUiisVxfh83DEIzCN800RSK9b42ylJ9speX/47hRpSyNSwem/bLeCDCfbS20CGvoeIz pyHAlzftaZNFXw3V3IMpAyhkq45HEmN8sxA/dmMxrtDpCNyAxDQU8r0chUxp7t5DuLXXYJtswdSt Ks4V/SE5WgvFVrQp+HhL8PGtJ170/Jf9i7kUER4/xPanGM6n80qAWl58e+VKlZfb/rWgyOGYjXyT IvkXvICiXFpwKiUPQnTMo7PknBtzn99X78meNzsG56IJrQ4nFhb9TYselXBr+WZN35zD6qa4jPvu v8VaDk9WO1B6wgId0/9tNMDC0fuRLyW9d7ZL5xgYtJwd6UFPkPG4/8VGGJrT3ziSb8HJfCDIhxQj A4Enz81ihbQQPWa1ThVYQQL0usa67+2CvRJZA+ZrL9oIsNRm5ArA3Pq3rBg2er5RhPrMOnfCHyZ3 oawAIIcPGyWxfU7xGE9x9aIzUnejU08PiqLKEmXCF9tb93yXef4wQi7oR9EAXpdeybecZLRl3mx5 0iTec61FPyDNss8/+V6JDsBL/oyufx8UjQi5aQXQhPdbwny4TIj8EJ4foaNghViokgkk6ofxneCU qT1UWFbfTMFFL4QKaatLV6u2tXgcb4hk5M11+5sNzVkZivYfTgzuFLuYv7i8k4pM/XLasImgDb8h 3kBw/aGPOD1AKZnAmbxGzkk+eEpj6CNKtQp2DIjg6CI6OBVC2sQKy++svHyL4pkwzjJub/ezc5/J X+7DC4kn2zgNVcpWJPVBB432Gjsr0672oksq35M5SAzQazvo7HEHXxUG4Y+qNaaQ3wkdKWhekc1B tCNICmdqBPGcBNN5zxlFg1sxdvn7UKkfQdG8OQGryIRhbjiDIeQmZBRpS1xgNXTv2rrl6d6S1H/u dcBVlNmUfPYdUT0Bg5yzh0sa8eMk+KCtQNSZF+wPI1QJGNtxuA17vZlSH+qothtYWmBpY7PgUtoY f1kBXwxWIIgo6mNPn2YqbraoMXp8UW7Xueprf/t3gFb6ASM+p5BLnoNcMPOcinGDWkrr4uwCKxGF 2wIFqI0R26iC0Uj7WN+/omadvyLmvhKRukY4gHSXKRDQfycMXDhZuRgJbW9XfCL8nz8tVyyAEbiW dMmDux+v+YzaFVOQU7OkHwV4LCPdpzGMG+vxUxZJG4ucACfSecpFwQYj/HtnU3a2CjxeOaXtU3Y2 B/9M/FRTnreSCsPT/1m3hgs/JiLZQXE6UCQzn2uZTgVEHCnazkULyt2J0eRr2rLGVgf8uyUfWNd8 HXzljF8nfu31p8o2pB7I1lDM3wwdO0LK+7O1thx+5r4mQWsqax7WhcvNcyYpFZNE0BlEI9mGvWYJ kvEFxmNAzsmi6p0zSDmIbDv1HTS6aahIvIOLtfnkwPIbCTwT2sZPWYweXPWvqjyYY9tPL6TA5vHl kdMQxDxtPmcbWNNuVrxK3Vg3vq1jv0rTjT7Zm6e6NCJNe1dSY+4MpnqnlI6mOf2adCjUgbCctHLT GynF6gbc/e8B642slQ4eveRanRwMhix0ir1/aCD38MhHkR+S75KkUewODAgU1cShw1Y7DtR5gYAt 0q6mrjpC5nWwusr+Wn8pVhyHyOEI3dX2j7DhEF12Zq9u8TK4yajL46W1iOlWumeXNp+PiM2pOGSv dBHl57JvjxqmxPMzO5U5tqDZRtvOBWe82O2WdrHSSZyzIBGfjQHKQKfiM1OpWxmYnnbm+iKStRG3 5ewmkuePMANRB7y+n7u191xbyQYtoH16rzBBQ3Mxn09doicQolkSmAol1iTimbQA6RbTmjtX7myf vGOSn3nm4RIiLV5m8GlhkvAb7xvael4omz+vXMgpGYEcOHveMZe0CfjkXMhnyI81GHo1K0KG0sqd vJUCuwcyyxaLqy6g1YH5n++FGUt7RLyqHelwYJnsb1L8lSFLrITh+pcn5hNO2VL+IMd2rX98g0UX K9rLkHiD0SW5foTPHbr9In/Sb0WqbbwEdFi8msS6lZX7AHV/+jL5BoWI0d1wAJnd8k7e3sIMHaNS cKJJD9eZb59P0vcainxLCpB4IzG8wlFHIAz5TRp2vuyj5xiftKZTfjZr7/xqgy3NmxdA9znOCENn SXPghKM7i254/na/7dSAkFCIyQktpiUMBstt1pfaYQMNeTNEgIEncIBOdFyO3jZzH07SNnOL1aJT xLmzdY9DAF42cmJWuYwu3eCSTX59ZZ1/3OmvwHxFRbeToJJqoYAziM4FC4C7uMrnaglwAFSUVcM1 MWvKC49X8BTvOJOe1IoJkmtJYY5fKTBLcRx3O9epVN09enpPAbuK1R/YYDNuld9AGZEHPs5bKanI 2JGqzgBWlZkJQdWlD7kP1cqWavA1p6sApoLmQY0IqzfJVxJDWWYB5JsTwlN78f2w5BqieyxQqXlg TufvZQWnC/VacqbmYvZiLBvJ4/elzy1eiJAoE9nN0mzxAhL3QOb+k2odIc7BkJkiGpas9ZY5Dk4E RYFOZLO+sPZMN8or+9HtvlBicGTirUeY4G6uHjznqQrocIPVzH2JW2elWP3o6bCTYzI9LVqoHhS4 ZKugL22tXnxzdItSu5dlAadi39QAPEvFBSKE7FpUJrDgE/jxOhJ2ckmvr5w9pFU6Lq2djvagztcB 7kTrk8bqN9tPTrH0axKHrDGJqifWPaLfRLeZ/U9dBO8EYh+TTIJu/WxSgE/lxPaAmrNTD4j+oNmt kWXfV0UZGtBSuDEhuasNN0dLgp0+sV7JV6PwEdVLGqt9dPvvBPr10q7NJ69ithaTbpcVfBwkQzHS vZiMudhTQ7n+EbQjh4Ra7GTBO5D6eG/qL20tOFUa89iKCYiM2I75otORd1DN+FW0pczjf9wJRiDm QNKD02qPMWJQWMwtYIUszUI/UsLhg4CrgiZbYamPNMO6FOCDzKBCn89+1QFF2+97u5TUuqtDZ4s0 nSZ8aJMsPLE/prz11HAYBENRVz3lyJMbsVFBCx8tpcyPstmBY6VsRZ5VhBaohgNhukRV6G0d3MPj vRxsouNu68EjM2Kb51noFU2NoReK2SXfuoCGjg5YdP/B4I5Z0sc/X+s3OuEzxBAx0BFxLk8fZjZu lmAPTZMy7nGRc9rUqxWacXv3pXm62zwl/bPXd3p2it6GBVE3QXnUshpgf9jls0t7cCdrKY/mksz/ xLWD8vbzuhzT5QHVopMhsQXxcJUQLVEtHX5ehEDbvpIqXVu1fVACFNHy5EHu1XAH3m0MBBjjLA9t eBKwc9dNSoXOmNdTZewUtwn/hT5JDKunmK/neO8zjrxIfcmijRK3H19f+Uyfnc3M//b8xgROX+U2 WMnEgzBClyk+duRhLMaXA0GG94O4EBJUwDAfO+EdzE0el5HM3UjxZxOG95GD+mxjZAi5HuIwHjUu V1GtdMHC59Z7blpayQo/xBsyEqIhFSzI16wQhe0sRLvia9AIC4OxKd4omnMutanRJdZ5epZfaPMQ QpEoW9fMx8/Q6TOWHODOJk/s4CF/SapDtAphV/MZwr9wnltQsIJImpqlJfYlS7hL18MQhuGDZpWr ByGKbOnjP2cGlPBi40KoCa8OsO4nSxEuoIHJeB5pbT2KuOX8v6ps8VTfW/2NiSS10E3dCLTrAQiQ vcpIIjpzM03Rq5Pgy1gJPxF7zIM3TZfPs5Lm5YXSxiPWfYMe3E6Juv1vH+0wJKubMmaj5QG25iK6 C4IHMYzHzc1YDHca40URHPABmhPVH3/z5Xg+4d07QGe6qT+5F67mmpNq6Rstr9wjoc0UgcEUj4Su YG7+6H4PRJRQECbv0/MytbqTQHTwO/XtKzYTobFGa4B53lGt0Uyv+1VCcRlb+fZc15q90QFX6Xhx sop4QMk4csIf46X2kTjgusXZBMWAEcxXwacufEVLqJnUeruG4La8zwR4Hdweaqr11//Z1iIx1oz0 q3kurrhGN3kc/G+xQigQblSS8B0G46vcL3s5N006STBbpJr0PvC9vWl/hwfLk81ViGtXQyTSN7NR Ts4Q4aTqnt3F6t4Q7shmhsaLk1OpTAa2/4Dcs7biZVfIqNuPS94gZaXv470gVtxSYyqpjAfLhcQ9 o03UFPC1uEadoYLxX6kaqNk9wOwbKlER6n82Wwj3P6Rm2jtFKE8beuR25dvSKP86Nc+gaaqTFaaK He/UbI3uBMI2esvgAzcEudUod9Gi4CxTL24fyy6HIYzHdpNPHPDeaLxOAh6FBxSpyRaqedlD2EXS no2TglIUMaw0igXPO1xbyYko9Dr5wA/H9Orwe8I7JqLnu2zbE8F0RhpY2uVuB32pvaMKAap82As/ jHGbv+9Gh10D43bsLgeUj+uCcc89SeCFYqTqtVjbV9/jLo+Duc2pDUWrEVr1qnWMKL0TFPY+GZ/v lCFkszG5uPYdC4LwNFnhb9OqfAvMAdAknMuhvANg/V3LUU89bYHI8RsmgS5nOC0dktxbWWspfiPG y96Z4NhHQD1n5qMlsBjOQUquYiBOIHNqkyr4OS4x72hC7iSJR5PhzpO6YMESP5dRqXirmCfYDihs LoSKQS2v89q0ITqBap9aAcxOdGyvosz27lvRL+k1jSTNjvHqrh6vm7APDbgWgbs9iSN6fvAVeexU /7b0c4pWqgW6mhmYjPyvKjLgQyRKfqBZJOmS6QPofFGdaw+U+ArkFhnM6kf4vCZE6CRypyT2Wfvp dQgTjPBbPQqfULccqncrOGrYYG7C0oitrcDP/1D5MEeK6fV+eFqxUwHezLTsSRnbu5kxeo3DTfIr 0ssQo9dRHaH74k3T2yz78ceLr9jRRiQpS+jqmRQYX33BoPYb2SN8JI3O9/mdJNC23/2QtxKZZRp6 18AgJ9aT8Zw2+bNBqhF1/99aV+TDGoI/lEk9nmlQ6lGGLImn5VitQj1GUyJT0rr/YlXPwPnHMcFo 0/gtYekTmJSrunrhojcV4A71uTc85WK0DGbDFWv24dSf/kBz1443S/lI7vWewDWHSTQFkHnqZdOa nSuJTiixLJS2lChUAxTiipUlZzoPDW1DK/n4M1tLlanJOsIuVHhh/3YCTs3EU/BOMyEeV8cpk9Wv 8T8xQvfT1UABGUeQeY6ViMlfnXXUJkpmai4YJvyqmkJnyRXl+5huf2SB4DpQPsY2E41mj3ZsPJdS TvQEujlFySGZOSAy7SJhztkGC9XH+R4nqM4CpSRv60yZLpFg5EDnUasVgIDNfJrTTKuPIVYm6u4E OIYG9wbAcF66J8BADNYs5TR4Z+/n6k2c0ls++OP0BM7dXc1WP0+Ugrt09aCXPAVcnBqAqEoQrKvN hDrKDgH4oYCTBezYRkYcsjVX0bP1nWhPV5YIJfhCCzktF5YA9GN/20FEFaGfaSy/HjO7gCdC4rLH vDr3g2DV3qjEfMrweyRanAXXxx6QXqyKyR3fvng5/PN0UnyIOqRH2Gv2X05Z0F15pD5DKpvrKBmK B4D+Cb1mBRPmPCYB916hq8UTaGheyLeqpRuzVK1Kp50J57z4I8Ck3O8favpBIiesgXqUlTZjYrKB W4eA1FGk7xaMb0Cs9MMiIfBU0sY1ydlPEPBiXYXE3t4jIuYdhXxEcfl7BGy3F73ONImXYr/zKC+8 Apkii9pde7xpmso3tV8aR/9x9JGfKpOm0JsVvYKyk7QcSb3RX3D8sGRlhKzOcsbjebGl490HhBXU nOff8FCSGMHXSlt6KFaqT8zaExB4O8G/vvvEpRj48VeQdoRFtVJIcb9wdCPVsWEepPP805H+oQbm bbGOWbkSOeu79M1gu1vrMKqA1G3VRz2MpBcaSl8Un3gEfMeq8LXkOia3RDBShPjMk9MmyNZp1OUy 9oVODSypMB7deL13wtZ6cgyrBXZ86vty2dItQwR6rwkT20ku/v+rZRJ6+QoNsBlSNz++l82E8pa9 xfpZWpeoXiNWPFRChJ1M1jFREMvkwJkyxHxvHIB+kTgKZxrG1y1Fg91r9wK1rO6o23JR6hwDdJ3v NAwTj3Bsns3FbjsUGQ5V5tkOBc0yvr7hMOHqv8Zvp6o5oKPbJYUKbRmA0/2XTnN7DcBVa+zFJB+k k9U5MqOhecSZPsVQc0Sz2xhNYarC8lh8l/UVSf4nNOI7Z45zz4cdNdMxNnskPybfotJoJavg5EiK yDwGdQUCjeGrurNt6O4X1SIeFZakeA+YP6JaIH7mZHklg7p52P97JiMxpH1e0z/rqoj8e4WzgSSM n7EyIdzbRCS+g8DV8K+mY0PVIdFINI6vberTrtGkSLGiAo/bJHUBwFmPD8feoEYjAn8ckuru3UgN Yh1DOljbd+pA2ZEa1vJnhoe35zhrucDdsG58Giam76jO0lSCguC0YVxZYkMJ1cOcULj4M1jUavF6 zQ3VjC3TjXZriOyLdFw3iBNW4/1JwXgQxx6D4997abL5xLzpm5/TFgHoleUYhlrACv8qDWMZRj6U QTfLxBWVkIYQu3g03P5s8nXHCIMxlMw4pF2JYJ3M6/+tKkglxdLm2drlb1Sus176p+7vfaMmlnlw c8P5hhA7TldOmn950gjslB4+RDCcjB7a4rFSXQ2u9yZYaj+6ghOOceVZmlhL479DHgzFKrsDVa+w 4PYS6DoQ5z+yEPizCvxrn/GW995CGwDFMD/aUeL/qCGz7wnMKkubTjkZycSg+DdoAe5DoqsGFSJk Lg4+YFiFAtnbf1kkO9+poB2xoL0xt8XOxRZSHaJNR85h3TRDJjq0xw/9sP4DOZDpbciwQEiJRHTL g76KmrGHlu+zulGT3fIhY3SebtbdEPOwniMBO5hgxw7jXkX4YjSBDhWKke2NR9V6sJaLkHqOoTl9 v2dXmStCU6XpGCQozlczWYiMOqyGQOwA8Nvs7gvBrd+qF1l/7CWjlpg9XtLyoQao+X7iPW8MiAPO Y/s6IY78eufWQ74Fl0l2wTcQdqXaARgR/7E+4me9nzpqUAyANcOX3U2OD7MsbhSfcO+hcwV/sip2 Xtc9PITohsqSLT7rAMZLoSZ9bYWIC4T4ciQVdlIbR8OSzSJ2QamoDltF/A3MPyvUVVvGd2JddX0P H3B12kf3d8VKtYd+KGYHHI9kPxlVp+cFJwPNr7NjIfvEzUpz+XxsRDL6yPIHqUetX41FxVCWzcdM pRVr5fuGKHXsfP8gtJ3cTAOYv6AdOSk+WAkbeqgzHvkwF6A2NjN4oQ1aRPEwJGZhFbPyM8IjzKjA tRtUwtdRWDRT9pcrf19lqKxJBMwM11Z/LU/L5sF8WTjCtgXBxG6UYuAbeGjFrnu60By0As2EaPDG 5k5cB+WwTPKctkG8+1333t3dH/4RCtl76j6J9iJxzUF3P9NUe+0MlmuKQXoSXJVbWksrV1upUhh6 O2JSzx4NtK2cUFcWFtcR9rA9hs/MjScOoXzItXRxKE1C1IpZqN7YiKBpDKbrp7eqaSEYlo1fQzvM 7PwhNE/1I4/tsp6HTc1bVtUaof6iH6uqBTAOMfylDiDdqlXPtyFEiYgTou1z9gvb9ArpAXW4kRQY y2YNZ/xqZVdvvfH0Uig/l06AhaUW14KNLB9L1PvBd65jzFk+ljkatu1hlkY5u0xfjhLTqK64ClY1 1ULO87TZlCA4Pwo8hP5KqYNMmmwgIVSYr7CHCS4Z2/Ei/xVdDFxq8t0rkPcJTqS71rsUVXUoo0Ov GRhRK12n85erODOKo+ANE+Vbj168WowxzIz6me/5mGyDWvi1KT3Yym0xS3nPCRMmI5k8vjzc2Hps JB96e8azkMIM5P8NgpNvuLTiUPIhE+PeMS6qo54w1PbUCBAPP2ULETaN1xmKxZC17p7H2MObrKEp WfHo1xyyG3Mm1IVVLjBGFlw+7IgWL1xrVRr4oyDWMI4urRfPU1agbUKDkSIXcCDArqHhdRgvbvrU +aKVGavK5aYoKUWSZ12D5aE88HwrDP1+TgRanb9aEbpOAykg6HKiBcgPqhNd3VQHPruQEd80EwMP 3WFgQN3uWso61Tq1elvQMGC8//kjgpEyUPOnkYpdEMQWhWenFS1jfulgwrSUStgoolkJM98w+HHK jbCluf+f1Hf3PxEzYrF6PFuxXKvwYFs742q+uer1qGmeLA/bcWsjf+iqPb/d+CaysCarrYELBzp6 R9B0oLoLb10LddZUCNX4JJ+XhvznYE7bMJcH1OnvACYkHShkncBGZii64wMsg9GzyI/gefl/ngz0 vKu58kk3pH52/e1DZ6eeP9vw/Lin+H+pDn7wVZcot0FPJSTh0eK+pnJSGEA/IztfdQHtpCWTU6Wq jlhqBhb4BxVcCVuJzL6dJqd9/rfszPHh0VJigWsU6E0jUBB/a4mMAl1yZfeN7ocm6gswZYSCiLTN qIx4srIeBgMR1quk2/9CGhrMqev8L+TClLRYkZY1iwEfFvpbIHjVHG1PWUxYcAd8OXbjjIjiZgle h9Z5Xjp27d1lGxZGY3t8GZGEU9R9idqyjRElcIsMJN6EBWi77konMKlgjVtWtdl1P9yPU0K5QaGP Y77jpMbBP+oJ0wYOzCMa3K8mrmXH5Y4BMOwEdNd9orvc1T2Ax/TxBcdYSPeVMS02dwKfFDHVpNbp biaJcItxnQtdc3mWm9qSVFFm9EwWxJu6ibG5IyVXDVtoVicyQAyChQU3S/37mc0HXyhpXVVkLADd 8KogU34BuPIDb4Ux2mgRHieWNe1sGaP7fPoIy0dwu6cUTv+Fn7lggdOf2jrRZIrwWXbNX4eKW2GD Bu/amL1cuzUdrzR+mGTq/r7L0TkP7J3Bu8TvQvdPPjknwXuEZc6WK6Hs2dpVIXstgshFvnNtJ1Pe rQN7kDBomhYsXhE6kFUrcov29eZTA8SHVgDDAAYSaRMm1UzBTDLdPogDBy5bix+vpKhJA2FK3xEL MgmuOkiQpaj4GYPqNOFXjhc8iM9+1PY1EU31RNp1h1LOw0x40CObN2gFVbEECjpH6aIoNY4H3UGy 74Jt3anPWHTzISDxtY35zNoRTrrpKsNz3qVNXyuYwLK4LFBSi8EyFqpyvm+hlBpfspvSFLd4F3MX lhILGl+NEkv/qS+9HLvyvYjKY4wsZ0Zz3ubTvXirIED2Bzq9C8n1az15H8OmYnMfrDtH/w2uShal pPQzWm3Qg3f1lx5oQflz4iJHwzQCBLJeU5jmpi8LAvou52pYTi8JC8+D1aGFxbmNsz0QKSlJ0Edh GwhWq2q5zphm9WINT7yUO6ol8KcQDMSfZOeq24O9cC4CONIs/xBqZtJ2HcR4FRO+xMTqyIOBGSs2 hePrLZvX5h+CVItq1YKa8OCdMnluo6T16Z8xDYmtrE5FS7rT+F6Cd5r7504eLgwERwmbjnO6Qtmq pAZdIDt5Htoiwl/GgxewPUf+lSVKzF4QolN3xKEXIfU5EBSpnbSAT5Q2CXqzfQ0QDsB5JHWQgedz CCYjsKmoPBv2t1nqe92DGRYSU4mNeT1lh6X7/nG6g4bA88E4DUyYpW/U8+L9Fb25yV6O+DcMKDLI JQdm3oxLEB2UqU/ONqhZF4jDsWOojrVeKzfGsHEUyi9oNpLIKYiho30hClFBPX+locXnWU65Ya+4 CZDIOFz5cnsxzDfXZLky8BrA8Ja845IoUl9VcyJpFaXFU3nqhA2uj5HPwTNxl77Qo9uGN0nmRnhY 71aB9bo+0FrjHwjiS/GamXjEUl7KNCGevX0773JviG9uVZROp4zNS1NuIY7A2TZAR7T+mStMHoCx pf2C6Fv+W/YlVWR3ydnrOwQpHRDLkMpmPOhCPJnQ3tB/Z6SKFcG/p0rNeASVcMlRGBFYxqYO/MFe +0yFW0Ujn4C/G15306KBwzLBTYSkH4D1XInl72+BbpPatjOHX9HdG0xBCiuAjH0uV4Zr4d8ds8CH 0DwUzYU5QcCoyRrrOAWP/1mbPjwpG6g0dbebdhW9BYyEFfdBJ9QE0+PJWH43CrTAtVcwZ+w6zZ9n DswB57rU132uFq0YlmIuZYsfiknvCPHUiRAGsIXEaqgmp2IJ799TQReUzecHyOQjQoc+ArwSd8dW W2Q8vYvqtPaSRb30QAd92cZiDK5twN8X3TK83YV6tzApiUx8kUtFegcI8KnGG61wLf8c+hQSnlCa MfJQ46Y7A9zQQ3M+Ov/lTHmO8gxYl9o6Wq3eCR9U4fWTqnmyihEtiVWJP6/VUoRldaHBYTvrhhOm sNcdYDKMy+61dx6YZ2SXiNI3zbY6pAM4sFrByjEi08/2HzitEA4AnM5H8qWasoVpc5o2Gd7YDWXe M6MZ1hGOJI9WbsKI6XcnTFyYl2fWhd7z8rXF53c+KrRc5eCEALf0dq595cWvTO0ppCqZMQQ2qbl4 5N1jbRYa9C+voIOWY1U0yUEa0kXnDmHFQjfiwdPRRHnFGgu6A2E5W48iA/CkLH3RGE70t4JSFs9l 3oJiotJqHHd0QTRv67yFTTd69/2cKr2WLMeDZTy7s+goGNsEd4klYct80cVQvMDZAhaOBCAC/gXU IR2vmAv6p1gUVirSxshz3J+LIpYp85N4HJTEwRoA0e4ZCKaZPjpaUnBdbkJYWvN44oH3Cm0h8ori wCjFwgY7USYWs81iX861Ty3h0MhT1qXr0V0bUPHz6GEUHGJbtCqflBLfHZEfCosbAalqb5VhnMjb AWIgqhoW+jJv/jxhrzciOos+UM511T5speZYj3ehIqfMPUdP4+IZvcegZws8zNrZqw40xL+gPgvR J8fWYF7g0CI2jKObYizppI1sW0bnobthdhaeIK4I4nAkPvZATKsDBkSyvP/FwJYf9W83Tc6JWFFB JSep8UnuwhqTAFVw5bavgR4H7BTi23QDJKXq/k6lLTu4bEaIAdHOPvCP9wz0caC7aU+gnLeqD7W5 jj9DTO3tXD1O1b52Endw5LqgVh4OK9ERY7h1pu31t5n4ThNiSUkAUTyNzYlWTEIQfZKjnn8u1FbZ Z0r7e+qzlHypnow1IbwjET36xAUg/vms7E7jxyZnYk8abr2iH9gDrcNbCWGQ536c48TX7GEjQe0C bJAfFe6v59OVD/xB0nGMjFe4NOEOT9n3IJwCnlJmoJVukx+597ResW79c+7kfI/b7aTkK9nQoTlR GJnKZ+oVnUA1Ao0YikwBdbL3mFdBRVLBMxs3qiyLt5ZHdowlY+1HtOxvcJtFyzIrFKC7r8CivXCN 2NAFmngn41shjAVBNcPxXha1HOIgvLAI3GLowrkmbIaTjt5THEV6Uds+NwmhWA1zheWLPCXqAnDl J7AtDsotUHtqlj5eCV5rVsR+qoaIcLpSdDQxqP8oPFTjEXRQQIL4jxHcjs0nhCFBARQEH5XO10ib ckY7taWpCvUBNhNmKQrRuygdP7AvI9Yp2t2OlNn6qe7YHS/KS7Y3dI3trXJU//DG90RDbqnBkgtS ff+EO4ik4WLuLVUI5fzG33e6EWcfhFKFaoYNCXgnMVQRtCmFCy4BYO/Qz9E4FR7z5KSYoS+0nby8 eHa4jUyAFkIFn0quGhDYL0RBpPCFoMK2d1UWQUc+KS1xDwCWthfy2kD0jPCaXLF5MCV9EpSKHORO TDJBNPqGqWhJRrZp9bMejtzAE2eCX7gg2GPEI/dW4bHb1u9XqSi8gj+Rynspkimk+HhPNJFLAXj7 QKGdRSWG5t7yFyRYY4RZe0CBI1HXqIsrGFdU/16HFkkRKvrcHJaJHALEovvv/OhKPyh79Ciw8JpV nfxxnPAR4cj1wxbWshAKsyQl8j/GKVyvmbZ57Opf3iuy8rf1JVVku0+pKKSmoe/+245Cw4s7p+zd ZeyF6n3wwj2+zNaNTyt/CU+j1kRUMtwUadN40SF8z5/NPVAtVexinxh/aRTLC7LxT1iOxaWVFwv/ yhnR41HxOD9MNDgPFlcnVf+fKvIWfCgJgnsCYYPN/M7vyubLqSwAbnRELAK5Jj0Cusl5C4LRixl1 KTP0yThjV92uPBCR7+6bMt6xsDzqNy3fVDv9Ih5qvCDc0E66Q0k8fV5iTuVf8BCE+q0tdKA1GTC7 Lbz/V00izw== `protect end_protected
bsd-2-clause
5a8b8342cc52691e9c8f140ca3e551d9
0.947385
1.816149
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/glb_srl_fifo.vhd
3
35,288
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kVk7NjfT4rUeDjbNh3KaKqx8aJSpUjFkiZ7HcCWAacoIHVVPoRq5tMtjup/PsKSPAvFLAMmkPti7 Nn7PAutsQw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XxzKRua2xRuq4U7gx6gch//fIXrW2sjuVuACfxkVmSOl0JwUQHZQZ5kPp+Nq8KIjrw2WK0liGidW WnS2B0l4lCoTJOToGu8Fbpqsz8R/2KU8xnLn/30gaXuvq8is6W0U6r1MJ8PNW79xY3WxHJ47cJqc nCTAXjT4l3Ntn+V50Ls= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eDy80e/NXXVDhV8PXEJ02bCUcVn/yE5EcoL98dQWVtzBFHfaLlYpfp5TStYy6LMYMdsz07XNple/ McTXYHnaqmmFE6OYDFmfw5vL77ttIzjq1J5JC2m5JaLpVP5pM/p0s345Zv47J/LXHzsGLgo/hzZm aAoeiAf+76TsRAzKKdGyNEBFOms6yZMJ4Pja6+aggAuaA1jd/abiyZBCmSBRnLe3c9rr+gAQjUYy +PjbHb2v6H5oIaZtFf9wPC1ZRXyQcZGwjsxr3wEkF6K4AA9B79I0FM2QThC2XNQYjXc4COEcBV0/ Nq3E8xdj1ISNWU/tOUSzdeWPWtSTud97hEscew== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A34E3suJzcjc+sGgvAA1iqkPn245AU4c3jXJYhTsxiYnhalvLcruEJPuCHbk3maIgROsA1os9x9P eArV6Kr3saCuJ3DAOukNSarVd/j6QZTddw5q5DbDAcNgECo1FpIUCALhCjtVQgyYCxJAJTFRXua+ cv0VKRfgESyfoa0Y7Sg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OxQqce/sAzLJPwCmMmHkapde8ux0PVoAwo9TE4uuxMxMylHGj44FMJarza9ZlEDhq5yrywDTe8Ca 10OUzplD0qoL/u4fFNcOUgqY833ujpMXMg4I5vFtTmI090YOZbNPbrFfJP41O6qOUPD7xPLV52oE vpCpoUgW/HnDAQveiWw51eMH/1fJzXhy1vouBA9DVXLfyttrrUOLD/yZBGPpAP+PGuWhpW6d+PYU yIOyKEX+TsmHzLdQUmUB8X5zR1MtouxpkY818JtccLbdH5eM7tXbDUnkgWmsjDnW8E7tJ/PzEWst WrHdME7booJLsSqqENfxAuvnSUXOxlFSA0c/Wg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24384) `protect data_block Onn3OmyGJaOO9DYpnBmGWTSBWHIsjvCDRr84Kc3YqoALKUHKztge30ll0iVPRA/kjpjKiuuqWp3V v+pGfD7RVPGvH0ivicAaaZnQ0SzEZ2FmMPSyn0PtBylC0v07ytGCAGK4ruI0iWE2dBBLQ3uUZpZ3 nJCNDsxwrHeDrXpviJf0LLIWJy449FKqv67FY1DV8rckmYME1rib4gEihqfjE2olF/Y/rlwQ0nXl xXv6eno7EDQXDhZ+SQWzZD9WxhXLYMKi2Zi8qto/Wcul6Gay4nWFV6osaS0bOoBDrZ5fUKkxHEH+ r+eTS2JCto1mOj+1eFXPdcVjTOPYG09RgF+6xwqrHo5F4bQmpKxdfVA4k4sYQ4m3h/mqdKyNexaF hDf4nzlVm+WTFErh0MVDTBQ2Jm0GhMLiAlAIEET/S7myAAI0CmatMEAG42YG8pkn+gCmLxqaChGd VWn5TZ4ZfDbvspU/j1lnBwZcEAEs7YVGWjV3JVBiosXrNyFCmcq6a3rylAZIFDTFMWb/h8xz58TO 0e6sczAxJ1PbgIM4K4EY8oWIav2+8h+vaor57xO4mY6n5qGnL1Hz31ODj0TyRkJCq19A4BM+Gwbv nGGWw+ZUH6J0/Fc7sY1QVgH1ZuEVVj/m2tk9mjn0h76ioYlIdIpX/ctMamWcICvCD2go/Egxr7fL mTEpICDU2r/HURTjBl+OJarvo7tuVKK72Nz0l2zIu2llHvrRwBPXOph00Wu++tb6497Eh09MRyZD Re0rkwL07HYBJzyVy4XRR45YGmelCS18VxTdbu3X4BbkIP6+edkfR/SFGyJ/h84DLDkqOPcGPfod NH11bMddQozAcHTF492bRzdacLJhApe7w7RHVopD0QxKX18XApoHE75eZ8EKk3sxMfFyg69J7lx5 KxvGVWoT/S1eMWyZKAYw6dQ9MbS3Opzufz/0kFXCFCsKJuDNlqrfTgaGFKBLLgWIRAViuGvRtjO2 UvLN2TCkjRsSYg3HotdKnnc2Zy2PydzXbLuhJVLP4IuYjQXiUJmZj5iuUjH4T4n0phSL/AVmC0aa z2r5L0WAIwgdQ77Qtt7Km/uSjteo/HVNiV70KIUqRKjbiTokbbVD5qrpqcP9ue5eSnyvQlwOJ81v t5u/bP8t5lWzDeCDhTxgu/RAuOUy3cl0kNTNsKZnvwYhmleZeVHspWjFpn23jJ9sKK2ERbN0gBIB 2lSGN5PuiQ6Lp7aqeTWHTiAy2S+o7Vv+8TyIUL1vbJ9lEH0nBxPKL3kB86jVkR3YxtJTYcpmvUW2 q0xafRGxOi129sjYvCWjdF80ZhTIieFqOy4umEs3HEK/7FAxwAICQrvZbk3XWKUMf1J6s/qsImDg hPMmP6E3GwgynTv8JQCqcsCLBNa5fvY+Q4MFis2l6EydK/YIcPpUjvBgku8XrdpLrTvAO0RGPLyJ GktiDQpL+pUhTkY0YmG9caohVZ/z9+YGIJ/jOLtd20XPlV80Y1gsJntOiBVTG6YCCZV3YgIb1tdR EnJWhrB/jdeObVLpgnP9UMvGBngSerMsMZExfAOn+52NNul++gk+Q+3L50RrRId5tDz3kEoGdI3F 3AaXveQ4bPNdyDQR5TitsorJMdxVdN/PkFe1n2zA0sMGfwY4W8YsfplmTAl73yJBF2K01StwPn3k ZAISwoT+K/eLKwmkdGqMMfXVJfdfax0jAU0b6MY6Xt+x3p0DvKOC5SJKmJ8wn06pXFQvh/xFQwTb DA/rJ3lbwHZVbvHF9LuTkBsL99NEsuMeKHay9ut3p8EqcdLBivIGP62fumkprUIuMZW0i2Iqp8aT GwtnxrSTSwj9Go1oinwa5mxvadez7ZnhjIrH01caRznU0iAsx7mht/oOiNrLwEFE7c6Tgo/7x4jS WUaPDUDgSqi12BhxhjXX8ZWXu6uQHuc+leeMF00mevuHmAzrIf41d/ucgB5oUJ7I/iOCMwANZzCI 41SJgRRSuXeMHbXsM7y0JuymH5WyQ6iXUjN+bOFru2gBUZRZA8UrqcrtpStFqNXzjczfK0bvLSYC lgMCAEwQLgG4AIbXuDb9mZzy5XpQaK8PYQc4rrmMiglf2PDwvlk7ZOQJtcCtMNVZmvY1cK0awl0f 5cmjLJfHz+ebs3W7kWrNGnLtUMcx1207X5/y4aXzEqAZCurgdTB4997KO9sLOAggA+ZQINJ/Y6UI NJ0athJ8mp20jZf4BRL48AQ7jWLO6UMQH7zr/3uP2mCvHgUnMRVOQt5AYHsobjNFb//sD3KKmLuO HMKwsQTd7l6d/zbQO5FANZD2XF74u7vCHysfIoKr368iHB/6CXo3kDFbYb63/7xeCs+9dX0Ti4X/ PCw0qaenrS9+oinGe1FrdtISJuZwhLK3AdvDRjR5r5bHw8dei3fjqWehwHsL3OfjFZhTIemrdutU 8mUGKKqimHq62BE1WFqA0eGazX59F+uMKuyPioYwCJ4fh5bww9FYjZn3fE2imHyE6W4ilBDUP2wc KeIZVQxWVgNhxUE/m9weHUjMA/0/UwZwDF0IoUpo4X2K+UIFjyZU+WOBiKdU+7QYFJM0q1/TvcGI gb02J9YnoJTV0uu09oPL7Iw5Y+Ag8hdyZjAe8WEY09U/Ql0LGDJDA1494/UM3p3UlVecLt98dys3 GsICB6HAF8g/N8YSk2WD+XiIylolOPPLnUk/cgKwma3cPLLVyqninSqsXdJupeoA7Ddq9NFSouFR qP6GQEt8xQVfTJ18CuI48z+SWOj+WE/hxqhLiba6WubEegkXQIij38BuEdeBUGDuzIbvfD2heCVK limjfDGIhnyzC0VdoOWVMZIRcdgWwNnUZEnkLYRljuYmBChW3U04bXpzyfiQSQfc5rmhF/Tzam10 3Y/bDCqYIavOkW8fkIu1M0YrZa0S9p8tfmvsq3R9UgSRFic/+xv70i0YXnAAkpL7a49HpX9Aiagh WeLENy99Dsdc9iiaxIC+SS5vZdzv1gP/3gPanTssNByp+GuQ7UqFCx1e70ujqfK4Rqv9VC6lAA5u KNzz9V8wJnKlc19uezFlRYgsKsIlfQ3eWaOlNMQcC1pynmULhUop1lt7CJyYdL9EWEUgjB5DAnau /C4r5WF/PPFcsHsoEdirvgHqvrPe/Su71e0mJnXuZRFadsUpnKbiVbSdHzdla77U011wAXEtPoBA yeRb9oiR82AZCd7aCHx6hoLKNGrcXJdl7qMKqLtYIqaSN4stlPSI724O0H8L4hFW+5HUjzwYFMtG Chp1UsMpOESaWhQT+pPcFHyIrsIs9O+RlykHDy2DbzT6jEOMdqavF/qhJWak/PIcStXDdTSjBcID hUgCfzIwZMQhVgC6nEKpwPRoto0T/dgsMGVHdjk5vsYmZXJPgQTm44nsCN56lpBDQ1/qsege0t0w JVxgBL5jBv4T1+2FvX983LHq5TsXEOLFZVa2znvHpgmI6bvkgSzyTcxE3MyWsxGjJwnN43azcSve 0OAIqM/RMTz8Cv4v9OaumMkFnYNf4wRHh3r5KdDtkWNfRQrXkXSBIIxyEaxKtOpOphGKKMS+N85k /VbMqDgzVr/rxzwCHhy3tQOdEUWa3pir5ZfCSu6N78RkQD9mR3CIxZeX/PIfmtzI94alWp1tx0gd 6YaBL4U+G7fHKZZDN9gmVsu2280Q+7Yet5yJoc9IJ6iIChXDJNbfJO3ge+HHtkjC+xyG2bpvWxL5 viXxPTnUnZgqUBzRJC9MK69fNcrh3xAxU5hv8n9I6wp9MuBSbP/lHw8M6Zjc4lTQMpxGl9IxT7a5 XeUu3QD5camkCs1RATjAd7SoynyGqPMvE8lWktzY809WU3L7L+dp2Wf1qfqJvNlRU1O24IX57oj6 c1HBU5ecsOrOT3t2tmtdxi2TOxgkznMlnkRry858sTZWChOUObuRvZPRIT0mDCmbR7/cZ8In12W3 r0ICGBll+KGHGvFg5NlnRGmCr3jgqwQdUTj9+k6D3ue0Dqyd8syeAydz+3uiDUFtgqOQVJJOX5AV FPn7I7yS8XRioMj+7iM1/ybJc4h8kj/qrMEHF9sK+zsoDcIBnvKSJAcPAp+50LLjMadMcpS9R/+Q Bm1xspBkMUgPLpNlWe/wt8+VgsYCmZ0Q4PYQ392q0TZ4c9JKjZkQfXEuHTs8FqR0xu/fxbSKXX85 W+W9VLjJwI+howkPecmU0JQ9Y/9LMzes11cqU3oeQVzTbzmGCvK/fqgEdHcaKFAKTlJcrV3JHJFx MVpwiWLQdu1E5Zmq78k7SK2L/Ft0IOhMqRJc7j7WgrITcoDqPB3R5Lk6gzYQGMNGb0kaAzrNMgAB EZSY2SSJvj1k/NlK06Oinn0XypoBH87qCGT/LJf/CxJUiQdBhAmADWWW/P+c2hqvNzNh8wG7VPay ZYjkm8BW0amiwc3nI3KAPiEmIm6hW9C5pTqr0E5bgOe0VGRoRuAbbBa0S3xTH7k0hXJdSs5YSfom gNU92O8088rUlm2yaD3kCCWidPacYXvcmpuCDSX/nm7PrVhF8cYistABgbDGzQo08FviSZMng8ZZ T3e2YMTX265KMETOgwSPV7mkhEdZfWyFanwGQ1lOP5ih5S0lxDxXlslxAnFUOmtDaOaVO5AP0tDK JfbJQ+mufC9fWVFFH2S2n5ZPoR4Pm+4poxskEFx3zB+EkxBS2wKi+DStA/mrcnFLL5opjW5Bspsr yiweTbxlZFIypJ4aKPhPN/vgKB3bA7KSoKFRMuluLq03s9aoKXi+22tvTRWHHA55iDyQ/IiAhsco ymrGNMixU6fOlA0jiUusOBBNzfEfqLuWBCdHWPaYV+FdHcoyWZoUwrWuviDHMLHsQsgdajOPbge7 9tLVeKuSobmjF92+ynNgyB3MNeQl/SbcMgt6adP/6h8+eKxZXBNVOnAYHZJMZAQ+g207J6vz9RWe 5cXggdXKuMhMiOg9weo6QN+crUIUHGFbNzTvlJcNh5oyuybMt+943K62nbTGl6fA/MpMWTVSy36G nyXeXO5xkECjsP5QcFo5KIbd8/JKfJHmpTBOJWLAZAa1eoVgmypMXcve8zMk9Fyh4MKoHIEDpDJO Fv16bwk05YNDUYuy3W+XeUCg9yvfbFCre52e3ryQw1rpxqqqXTFmkYWcIxrlmrvbTmvrID4AbVTb jMTMu1ghyXsdGREv6ILT2QsH4Ob82FUBtHkAiedSAOKRmj0MTG51BobTA+uiRkh8lvLl3FvAbJ6z DDwxg9MYhISmOiRrjNCngdpr6pWkPMIbCfIMgx+uqPV/vkJEEuL2sLqm4f5Vhzp7gTutZJEtkJSm j5CJRPWI3S1H+/biBEGaKTwVG/aA5wVdQeQAbld4TLYEGSJm9IJL+jizlKQgcikmzIEpUvHsr06E Zg7yuUvx0e9h1g/2Zy7vPYkfLEfGsUw50yrr4Qa3ZFscHw/Uh9hkAj10bAZLcEZzdNP5xaQX6Lxm bO/4PvDFNIw+XUjjNXSbcJ5hCqLNZRF50TuhKFI3Sc3V4ubgH4ah7lQaWxajYGb1NNNxnsV0lHYv WzmXfQ36enx/CfD4aPp6ib/U34kKFGW0nMahx0Dr8zJSyd93dWpay1ZFkQS5jFFdUUfLpLfe4N4s zJsefr2jkjpEbU+paB6rNzKKWZf+yMfEmMUaPrBn1MmaWEmf71yNBZQDdu94UBS+y9qRnshptvAu sycK7W4HkhUKbYmT/ZtR7PvFqZzELfcoMPgxPuOcr3v/LctfTeAIb4V+vEP+gEUIDQNRyz+X0AZU 3CipqAdCtnVrCo67krfK1SP3ZhtV3LtQfmugzwEnsXia3LqNEBsnLxTqKKNBg7Mxu7ksiFIH3ptv SzFBjHt3NJ8TtKPVIt72+IKn7bF3h1pk0aOHCROzWuWWUK/v/fuV1cWQlS4Z2+IWX/44uDLKrRn1 4hG8WGm8UWnnsFQCEjEIT9nnHjJnA+ewg/xVoRpYC0kK2WsB1GYvI4kTBUviH02zPzvmt3gf6DAY XVM0Q56onq7yd4BD6tPqtZltmWGd3mMQV4PvowPFBLeP+6Ih+oXrI8+O1HZlyZr7uJhnYeT/rMky SyApQsZw9FmCs206FoFONYLICaKi70PO5XY1CxIu5AVrdXFw67cTU1QD94enLkWivE+mCxxQ7kNP V7V6r/+lGptYuka2mUjFbBjl4wRK3wAgyM0KsE9PLKMoU5lS4PNkV9UaHcGXGQmJQNLpnMWKgzQW P4RbcfObD9+feN1OCSHkwQzdTXO/0mSFkok/R5eBSxLLFvasrPIgahOh6pt+mrmMIsyG5Xrw5O/C MuEj8Nm0lio5mlD7Hhot5CvAwTL9O6o/4fzHrPHg/JhpQIpKQiqJ/H0q1ahYQad7uS37msuQxOgR hPcr8MFTsgkrk6eh3I6RSwmp3jHZPtdTHtzIjVvqoXHAbedvVPOgF3yczLS48YXcUgVd3LKE+by8 98bdqiwO2unjZ67amtvNHkV6z92Cmjbq7NdGEe2i9TMalTv6CE1TZGrR1WPlN5sYngu3SxY9QQ8l hxpDL1Bz7HlS6wh9Q3jKtw2b54YWvpBa/YOL/dNIzm8p3b+uV+OGwKPLMA+zNVHmbVewGK7NIuCp uMfko8L6TM7IiGwIBGS9orq4hsCpfvmMiAymNa5wQAFCj9q7BoLB1xxXw/TSu8ZoEPhFeBeRywiK bLjdQYsODWS+Y5+VICtP/PlUwTcjnLzeAekYY03jtCCHmu8ONJZggoITJb/TMt7chGS2GPAPx/3L MkomnHYLxO+5YFs43dSW/MK6Yx2RZqs6+8aVfh4kUvrO0MYcmjHvgd6QhH81q4BpbR3VEVGDdoPc 7YpKplHbbh7CHnCasxhi8JL32GZjJCix/UEUwlAFzfjKNij3bUaDFg2vkDpI/AVfZpvtkRLiBaXo F1PzJegeZcQsfhN6yJMPsZmOkF/PmW/ueGd34gpvjwfDinSf2rhGrZjqHhk9ksn+myXLY9cQldS/ LcD4bSKjo5d6hvL9RnSRghByhtBhgINWLCz1QozXxlNoRlKa8AlXJwLiOqtIJUzBxST1aA466dGB ynMHlnUVvWovxlrkSFfyx65KDa1QQQFvEJUTf2uqtHyOWHsYX7MX04ksjj3+A+iH5eLlIxbdH5Yr 1X5sS+wFO/l/Z7jIhZNbiRNQucWj6rbBeulNbgvFEBFvNJnxsGLxKF/uVfenkpPYHiINqKrTtKoR ad3UnlfmMKdKl35hWC66IS8WiilPnsepdXlC7ttfeO4gs4Miyits/RX9iUHqSwwQt1gtQKMYB96M 8QiqeWRy0iNeayDjMJpu5GzqJQ5Ipk1Y/K0tE5DSfvwJaiueUYxUFRpslmi8aX5+A/UHzYkYYTpk HU+QlAlPs09eBpJME0ez6F+ZJUGjN15q1/gWSlY63i6jxwX8F1S7QfkYrN48UV7HkevCAMxMeoxp WrVJXWJB+haQyR5x/BRSE7OT+FntgtaSSfTFEUl89GbvgWev6KYtWxdrDZR/PNOdtc1yA0mFB2lp RL4xRLAIsmTM9uzSQ9dsyJz39mxXa/hGNU+RZn8IEcY5MVBFHccNl8Cj1YDYlVCgxklAuv3jvJB4 kMAlVX43RDUFu9fFT9msAUoNkpkwXHlT0us6eiGTqxspo12wu0+3B3+ZpTE3PBTXX13NfHxK0Esb PEhG+gm0jQ9nINSb1k6pTLDHXeYfSojyq3lzOAGxItVZfOpPTPEQxPOepvD+ewaIPYFr1udVszIP 6hgI/vDTe2fCcDNfBsZ8hSgAaPXfMVbAlJ08ZBjg35Waw3Unjrc2kjAdOtuPoD1YFVG5GWXEDDVY xDgNuZAOHeKJ4EKcvpZ2YP8thkisnfWK60BeDzphA/LuVqP2z1+zG+UD9dkgWDf8nm9pVc2rhOZs Ue8mzYZtLTdSwLlgempi8zcMxY1Vq6sakv46Rk7IhbFQovI6w7N++lXGfQfVaonCl/zbgti7HreS j+2mCth5T0QBjoHN+RoPh7wzu8VcwTHOYJnZ23ERqAt6CUuP8CM0oVmAUStwB9mg/GysAzeb1Kn9 HH+bdRlbAp/gEyOuHmR6YyyRrnhxTf65aZt75qTGRY2aZpHfVhWC32gvlDz9Qog4xzWHqVSjOSP9 dSLgYmEE4lBmhTE+eHQ+/f+o7grzaXLJoGVVOhulmEAq8z8Jrj1Ncb9bn3zmza25ff3nudA1ZlKf zXYaExLbqQaFxV+2p3jPLrsbonWbbFa0DsACWsqMXfbV9Mb6yYrNpaV1CPMectrVOZktofkrH+u/ GFrYP240qe8Tv1bJUeJu1gIkowtXB1QjSWxkn2fras4MsWchloiTE9RN9YsIbX+oocq13Nh/vukc Ax8XdToTuE0MhZWT5Me3lwl8WJQjSj2MqSHAZEbT9blxCdfaGVLZTbIXsgNQ90Yvp/1FxllVw+YT Bh7GFvVFocPzby03XgnQnlOd5j+vlFDLqacXTsWhj4tjX8FsGoiieUhzF/iqlY8OJx6LAm6ODTrV VCJFHSyVTAxTS+iUuet0rKTdf7x+NLXZPmCmWxEGc3dLfVt7LbBEgc3lRnB7Kit384Ex/9r1rPJO p2WzwtOMZDHaG7HJgj3xkQX+Kawwjg+xRCWmJbAx+EzEtzaEhYGCtci+RecmBNocNcHoxxC1xs/e 6Sxxp1wWrnQj/1OxTolIoD5E6gzlWYPFpni5h682YXgpuUv+NfAB3wQGse5YhTgwCuJPy5jnq6OL CHncezcL3U+dSGno+pRtr4D89q5YE4L7PBds5R3QBsd/A98M8MCSYZB8VlU2XKBOinkqX3uf2BD9 AfL3H0c299WL3dwI/nopzvXUAPGnR9cZ5IiDUHjdy8giJ/Or7XmurdxmJMZPpwqD3C0gl3mxLgEC 7/a5OWMOWypDcGVLpLDYpKRllgDdPFeZgUf6VtYBt+Dgrd+x/vIboYQBYHX2xHjrjcapdUbYdlOf P6cT97KyvyAidl9tINhbMJGsMN1yhm839cwBLXFBEF4kh5Vz+on6lp4YBEvubUAVJZnxSQHvGHqz 1y6h4aecFfxhpzRT6wb5jQQWvdjxRghWOr6i7detIFZCgUc7MosuuQ3wqm3DagGKFDbmO36rhHLu zILnh9AJFXiBqK5+X5qu7ZTx7p9TmDXO/qcXyO2G4HkWlmWY+7g2aZIzk/4x4kF3XtPVN2rATORb iSTnmm5076vHEIJw3FIPzwEgp6YrN1zEE6eL5ZLnbJgnrkhWFp9Zm9JL1hAw4OgX5fn8O7iitiel mdzrJ6Zoiyyl+n9BiywZiLRiCAZzg5jskPsmlyggBzVF1d1Zb4Y/scz2VeAJqnp1RAWCmLS96jyA EbTg9WnxOQlZ0WZcPb3k1zBwR7pKiKDAPQ4QfZZWnQ/HLxPmqBQct8ucAJtj3mS/jq5nRJrRPjUB u1j8nqH6/jstbHxCEMUatuiVEM75Tivei29OsT7yqgYu96eEXGwPU7/3+FycELErXaWi6Raa941P iBxWB4kG+hKNrf09gYd/G8969RKwAbVJqDehjbRaCXiuE9LfpRvjFqaANMKvD6AeHIFJm/gjWuK6 9u+fn3mbe1RLZGpdaFHHASKqNPjaS1+vwC/l52aQ/J0knFjbtB8AGw3AU4YnEdcvQzhruRfjmsAo ZpiWQC4wmaIyyK/qU0ZuDv6aGeJgEe9dF+YaD/zZQCxbTH56U8X/ZNAcobmRlVgkGlhEogkbqUuu QpbueuSoDT38ATfJovHdOq5SxJW3C9UjQiZYsQk7cB5lj1Bv917XeU5g/lQu4AgSBgDpmFS/Yj6k lHEP4ySkbRoLeFayvEe4aRAKUa6B5bJxpScVy3XlpXWa4J1pqGkPBKPvDtPtfunNLbDkf653Ev3s sM69AB0d5oJq9BtBCuZHEdoUxA+v0AZB9HWL4e0OvQ73XzsvO3ufgmp8iOBOr1zy/rGn5p36uU6W 3Tk2q727dvEL2uxigoEDyk1JpGPvpByK7eIjmWobUMPxnhQZvGNJGCi6q4VtxyDpte7HqQFgQ4Yl x82wXHGXnKA6qYFrH2bvmoIPTt5RdmxRcO6JDLCiXd5R4C1bAaf+X8wluGho+VtDdeV0prDkJFfT /MaIw3uv5+wI2iyBgxBpJxRrQWttN8Mx3AK0LXOzgqjQQw2V/eoJRVI3rzJtQXb3qaYmOqtUqE9z 1OfIYrcUmvZvvTCEmqPfx7Ckn25pxDz3IrSHcrwlFYblTlITKSzwtviZjnF/cUT1SJtA7BhLQjfM tL12cBCKUJlPgeOgIx7nfv4LQAFc0Gwj73yWq8hmQ8eE4vBin5I/wqkoAlcGHjIoJF1O4zAfaFWO 42fyqz6Utwh8C/eMp5WtryZbGf8USF3QILvSL7VXTzeqfG5lF1XoCSiLvXnERHdT/liVwFtGQ676 9ErVuIuBGMqqXBiaY5iuAK34vIc9PJi3w/9Tcs8HKfMuDYxubbDlaYXz7xA7ILI5VnVuIRjxgf/t saUM0f8lg/a5lU4V7xf2bzU8kOo5d5wdiXZMA+mYbePHH8k/3UOGvFnMy68ojr6kiB7In6/C89z2 xjB96fcTeOAsoPh47gTgD0T+KDRE6IJLJG4NtE8S1Cmx0yZw4a1YLlvsUulIiwBuaDLZ+sg+2vFR SrgKBtNLOme3S9Ja7qwNw9oK1XURhvZfKAwoD0rPotxES6QyW9+B/nPmz+93wgFZoKR6uH31M+Ye qprzRVZJCx+XWAJ5AXm7OeFc/SUJFciArutBxlNXcCPDIyPhvBgdLTGc+plV/KcslRfNPTBlGlUI 6bN+7rtzUyKuhmbdq86VhcX64uO495G0yi5XxVnF+9NwvesH1IED4TRCw4/m99f7Umf954LuoV3b 6huJ/sPppNBpvOm9GRxQdK+pokR1SbYWJPJ9BWs6qwy0Z9zppk3wyb2/TXe2dwXzbqQaq30SyUh8 NgF0NojcyMP5beRiGNoAmTSb9TzQxw701+v3MCbGW0SdYa7ktjGfziTkv80cYmfJWANY84NicGbq eKcuKWaQ+RPLM6u5zuiHtVo+HqduY7NfzTk85tz8+ZPKzJ1YcgX2qEPiMBaJ8Cgxi4KiOPLST+Tv tulkApVusyRNTeSSO65Vn6FEumxMlJAfimS8oUMX9mKc0Wv3qQSeVYbKBbgWDQJgz7+KPDcbRVew 0FPRU/m656nxL2J2zMVXyrmJUsxwkAE7/lzcWU+V0ryD4bIrSOU+kC5BLUzSXSnn/aky5h2oVzV8 VUfliRMGDuW3STOcjlJgQe7bcP0WlhTLw64nOfJOXO+rlsETz6d5aKiuRhKXmVuK5Ug4Ky6q3r7y Ho6uZ5316kVqcCDgy9ezfWvktYmaHj7zvRKaWDRMgOvv3nV5RVPgqujTFN31XgA5lMomLbR27ggQ 19gpiqzr/yHOXPaWOcO2+wKH+46+ZechHqY1nH29WoyfY75R0x6+PW6mWxO8p7oTuL9s1RtrkOPC 2U45irQ69jglp2BT3fzgqNx9TXymernYG9ywh4ZxdioCHZYAfOETyetqqoi75DWBAVxE/zOvaCmV b4uzMNbQCFYqS/Voy0+7av6xrPSUzS3BCo/0Ed5dR7RZ/0gKHeW5TeA5l9sM6jWYduPpq2CoFAYk Tz9NfGZ5fjHO674VySGUQSMfX9lljdcSvIyWvHv1XWu0bo+U1FVvTVTaKSU0YhFQ59yl6cAxsAKo /0zP1MSgnZiu49k5tAdQ3Dr1TJ81sWY1KgnZaegAXSX3riwz7Q3wbjFknT8+MEDQAQEkuaBektDi 6t9POQWHu51jaN4fG+hdG6rme7jWJEp2wzLg/ELZPXSB4gsQzYBf9ccEybC6ltZfzn4ax5XU0dSh fJvJ+/TBWSCi61uCuiIBN/UE9CWpz3ztYp46Cc+iTQImtEAAes6OEOhoOZrfALNaABzriGRwqUKr N6mKE7bBIrjtnYuTGFHeP3a1Hse68kh9Y4sTbBCjbdfPYQlf3OgSsoT2DF5hvFYZzqji58bnje7v AdpK2cvPT57CKLnzzZCIj4f9G0MMDaGCEpijyMy6REmcITCzm37kYEua7Ym6OTycHzwB5ayaRdkg Gr6ub9Lt2xAxsrV71BOexzv+2eM/TBuleE70KSlSU4NgZErtgVCgzxQm5Ej19Xyl/fmofxI+iivx BCa9NIeSag7zo0539RmTmg0ypTkJGhb3AZHcujvoR6rW0iN0Y2nh9Pv+WXPpOHBELSkYgum137zo q+nV5YzaLnt0+mHBX/fMQpD4N4eh5CP9xGBS7UcZGbMJ25yUaPbl7aeK01xLg0Q8isYwxQM04Vge njqHhEz9Bcl3SeBJJG3B8R4UzZywX4DBPA5M4YTqyaPpQjIg0Uc+4a6RT/dHTGjKYtNRSKgAd9Nq Fbw4jb1ZscX4wHrFlQ2u80JKM/F5qBNCbNNQNeIuZaPpzOp6pRodGa7k4sSIlg+8Qo7WQ8gGbsh8 f4U8vdxFuC26aor1/A30p1mpvF8C4qpFqzPNWidhNqivLezblhVeq484CLfavh0M/UZMTMpaocaT PXhQvFWfSMWjI/+oNhC3lf95hCQM707AACGInQmcb85A2oq7ZfSynfOObMNXTpvxbqw6oLp5jnaE Dql4DwCrXzhbne1zJVdmPwBdiMEQ4Sw40pZQ54vrVQIeE4eZJ9yAkI1+bmgBD86kMMCL5BygyPGP N9WAV+m1tZ/VryZm2N+r6ztVb/4ZDfiH6hMKAYsxK9GkzwYgItXzBI3dnFQcEEbA6+GkEvSFd6e5 cRlvIn6qZ5gtyed7CimWwu0bQ99JzBr7LKBdmDhVPtJ+lqyB36idmCn+vd+wAuI9jdXEZOAuY1dU 4gmRG70xBP7iO0H8OtYCofc5JxdT0fp8h2GuMzNAFm6Ws3KPo9dX0RNw8VjwADEfupK0WfEHteFb k2ypg/NqWlrd10cpnLuSsXvA57/A5glyhwdFq9A/ZwvWSHf02Nnm56lRKv9CtF3tK9oSpA6HevAh VyN6rcU/cH7sztIyhSS5/NwuRbsD3KwzYw4qJn9CXFs+2Zc+4BFrUNeb438ItTWXDyShEwOT+5oY wZNaI0KyEMPzSpthMqvVafFiM5B0nuuKeCmqVLXwp/EPUOb7kkHof14gyj8BV10kAXb1Cg89aMAZ PSeWdtxdgx3K4+XYaIw1NGPtsf5oO+zU9XJDn71Jv+odO1+WmSoSYh7rwdgCujkvV9YcicWbKvoA IdAyj7SuPxET95XT7b8OIb5/5cVtf1YabDJuP/xCnxZK2JwnG7au8o/Yz4AGCxr1JWjYp62vhlIS 4zxZHyMdt8IHc0BhOI8VuxcUqT5XzLsri0HXoMA8RBSPPD/pLWLQ2I7uT/knkPr3f564VOHT0MjI 4C2ruJ5IyQqP0XXVRHLbTrkIyH/fAML9jWoRBNrTF3FjAKiV5U1MGguXq3iugmoL0BfQe0Zd1YVj AoJbqSFDmAFEQmHrEImwIs7EUI05hv807ApxOVGTcg4jpZ4Y57Qq0r5b6FL3yYuG2prLGm4qgVdK Xh65UzOvCNf1ji8sd57dUKbATISV3j8UN0P7N8p5+EUweakysVXwu+xzoSrxlPPUiKJWdhByNIcO vv3lp01apGl6CobeebWuPtWazo20rRDd30VrbCd7sJRBohGnwvBXTH4C/DB119ecd3ggvKO35vrN 7Pa1rl/QPDsetUzOyxUKZy2+unR3IaMBqQ4XN1PwXOu1kicytoUZ2ZHvpgST0WoLqhuPeKL9IMzn Q6/g3WRoELEjP+Yon41d+PbiefrPr0EYBXiOTYqnKSBPnkpqZ1k3p0TSMsJ42TEqNqq255w7K31R IGHkVWSYSLF7nznnAH04Vgen9Kc6/TqVYg5+AsaKI7WsGZqUYOjzpAns1vHTQoImLqJa+gOLgjNx rHoSB4gIS5cGRXoB6bYUclINz97cHwYKnJJzmcqrmZw1n//66eTLx+NyiUjZbrPVWYhLlArcRVcA rkQpiv4O6G43lzDoqntX2H8bMY8OKLxjrSxsRe75gODY0fsVXIBZJcBxcVCM/ubWv0MfPeFoJ8v2 TLz0U6nyVioC2+j5mGpq/UBAQY6clXYXm8NAmSqbnmfn6Az/06wHrK6UQKE5i+nxGQ18qQkSvbrl IzCcwgrVUkaWwgiLSBSZmCzvMneo8KjovCkbTYGywYd16HFrcdayeEiKwqnd4qJJPmzE6jYzQHsp rm0yqU1x/M5Est0ubzf/GJ7VI4NYTvyrvyAUTUJtd81QikKmqufuAWN5knQkyqXBzPBOhj5iabDB civrKZx7e4OascsOolC3d8mXB2JUin6T9PXBrnwwRPzvGb1gpg6y1+Y0f0iuVFGrXkIEaZKBsWRf MxLmQMD9f+G6leYPAuj9dW2R5HNEi6mY3PFKkqMc1fSaZa4LjWKshABbEZ82CVt139WSg3pXvtxE iXG7O0Y+3RoKYMvSgDYYesgHmTJvazSmdkk70yHoJGGlUcm2gHivFZfsws+qfLF66RQGg+IZRD5G 4Ndsrbu4FfhSviBjC2072sv/CYrVVfyskWkCycjfvr13IfclnA2XFvTFQl+RsAs7S+5Jp/KaEQg1 vDHD82R1n3BQnnJTbAnq9a+iL+LtxhSy1Lx0R1+/Sp0Z6JekyGd1wT9+uGTGEN0T5z7sl8MtfpCF 7zIGXpTEJa18hOh+XOMbsnMmiOpRBmmLAWrxxKAAseAvVMOxIuB93M3OSC3QYqBOYQuHI4/wks3N TGlitc2vLiSahFtYmDWAk4mIHCPW7L0IxB0KLfrOcKfCOwIwkyVU22+P4wRsQgtajCXQwv4kgNUT fgvdf9IjZpqIcl/MzhdXpCvasagjlepsL3+ZZ45kY6BIeVq0XV4eaVptPruqXn8naa95uoJ3Wkzj s29yFOb2inS+EAun2LzuDn7zmMIOwEMow4mvyKfDjN1McxzcvZXad3EpZU57ile7JKxcV0PHP2Cd sDRH4YJa5M5CFJdXIoqoNjPBQp8+3+wJ5U9lnrt1Zy2Jyy0ivG4fsyTm1PxfV/mSE0Z5udoCnpjK ygdbJ5Nk9ou1OcU/nkFd6c3M8jY5kKRcYDU2wc44pSO5GEiUMu8TVpTLfPIixAreRSH89U7XqWAP 67b2Hrhg10CfASF+VfvGJjiXAeFiGh1LiVIyhGy5qyrb9icO3qjvJrake/qXRgnGFCLjBTV72ZW1 p6mIa0I3sAlObs5DZIy9leZeUgto3Wwqt6qHFdsIizNqQ1HLNq8ai8SebmMInXejZh3BoM3Y5+du n7Dw63TpRpHba9/Vi76MfW+CpZR8tRsgjL8w6hyCDVxm15SUwnRPaOm+5MMz+iw5R76D3OPRjr+G 3PPxLPfiVEyjY6et7BcTEiQlDZLcxMhDBI5HJdBWJ7T9LuzvCW4nARUDtbLtrXLZg1tk1ugxJtGG nNstLDSH73ey3SwIcn9pi4qIlhScFQJq2AxK/2FsVckMT06ouHZz0wwSYPyR4p+6FykO87N8C/P7 hVYGp6BKVX4SICtDLtemNXU1esAjsrBarPUMb25TDHCy2PJvxR5/YAkkbJnlZjawIgwd4sPqrDl5 SYwe+0teK+/IS59urv+DyVKCxWebtunS3OZ8qmGN/2jxwdRUw7MFxbP19+fSsEdylP6AOWx9CysS w6YKUa8DCUs3+N+as26Ijq+mDrXpzA1GRZfaZtC3hr8uFl5rb16L2hCLZgeAuPnZxHMkbb/TTh+K D2sRZ/7ADHjiFFPReqwX68cgl8+rinhIbr5NCnyH0VYW+CgWNbAwX+MY9WX/J5VNWACZr99jK7hR 7kD2ABP6m4l17r6nRAQMbmOu6oJ+99ckWWz4iEd2ZSESEExvUXxk1DQIvhUXcJlRg2JFTAM2pL1c 6qD+JoNgKr3UkuOMXOBFVCB4YuG5tD9ghITeFzjtK46WfEubMP/pX562sKRO3VkbvbsU7gAO/R6t 5koglDqY5XZmlAulO7X7B7v+TljQuMs6MdjcuEWmH/GC1vUfj+UjqYblQkwK24/UrQDG4VVt9xYC r6Xb1JSxYMUA8IGPiTtmWnl/0BWtEJJD2zMaGAJg0L05YlIMGhiqy3CSSyhRtD0nG5ARHRWkWo0K R58lqZm/7uG5WXDP3Xl+nFE7322iyfXSlYHxoI1HGHwdQjpSZ3nxlKF6d/VKkJ+1DER3r4EANSQw 2S7/0Xp/x9qEkqn6TwKBvjSlC+PZh59QS+FPKZqoQdi2FhPORlPYZD4A9cj6WvpuRH0DtoyJIPb3 8BdI0JlkpCMC4zsm2oq360BM+EbfG8o5gUG+BhVTNDlYFRbC5vM3qA5ZWwh6abuqJPM/DNzA9hhs jnma2h9vbiX7ZO90zzAWEQ+wVE6wQqNCEBYpAlxhLI8cp3lT0MdkumvYafC3kUN+mRtC9QL8lEtC jsemjHpCCeiXNe7PVbUVu7zJhOqaQlUDdmrpvoqhu6iIpncQ7gOgUTh3HjOfeQQkO+I1L7bhztZo zrqTtJJMTAbEqqw0se097jMCgaL3oI+TuqrRg+PbtW6mVJ7B8kHvQ5gblVub0v4FUz7aBblON7ea TSqdpCZzSXstAE/sHT94WSTHiEQknW7Npr/rgXx9WH4scMUtgnk0TjNgPNQ4CNbm13xUMirIt/gV Sthx4MzEKjXvBN6w+/URqpIHWFd+AOXTb/VELkV4LrWHByapZQfL7BIh5JRG8jRjXCrHhcgyAULN 7NvJBFddFaidSUx1sY7xEy/n0zsaTQijBN698S2gqdc6cpeeRhPY6iwSfCXRNxcq75yXzB5cQV65 6GbxZczcAcGSca+dx2tFu/Nh7gtcIeL2lbnuS/2wGb5GGv4Aq9tGjoEULxlF5V2zSPbVoZWmks8D JQzbn7eJeAMwgiFcDfv8ia8AGC6kNZ2EeAVm1DXKPLuYdUpIa52zDktSCGMbtxrTQa402psjbEwD HTjggkYetYbIUxpbFcONSR4cda1Bvjy13/8HAvgtdRD9ZwesvY8mFwd1gH723+uxkkT9kxnHqgpC G8lDzfKBvHlakYJbyAeiRAOfGk8EtljRg2jXG+hEKQfWPSXTyGRW5YxqzgnHYhabepOQ6SOBLgYL IPE4tYamZBU/wCbu/1NAh/a1fgqEZIj+EEtFlfPLnpQIwZmLUhm1GFKUhaR4ZMv1un6zOxP0/d74 nzHr/wPayov9ceVokw8BFW300R6SPKas+CNVj4fR8IMiBKt5/IKRmrF0KPEym94XCc2FDyoiGlpa 2uJZB7xt3iksmlos7ujP60ElYaHrZSjU0UDwSO2y+kTJ6+AyZTDRqs32V8H4rQGFaivwG3BZ95tA OGpWKpPxE6jjEF/eDef4iRvkq+Ku4bOrePI03HBtjJA7raU1mdjF5I6fWU2YqdsVw/FfL9Hc9FnM Xmoot+De1jBAyIejpdqsc1siYM4kmWwPsfH4WE5PRhzLajM1HwDblxQ7YGQAgWiN7XdMd/FOSiTk qh6g/iwBFBa5TF/ZkO3LOVKbYivmbqlLXBeTesoAf2HbP1+MJ6VRjrwWtDUjgmkZo4NSK3kC+ghM 6cg1SmP3ND+IeZqGsxZRg6YkBexFwnxGHeMMVNGI2Ux/b2+zGoKnviYVTDT39P22HvJPdWn0nGRQ TEYXenethXB9Z52YGMYiM5aVJHBSXxLq7rBv8WImUzA7TG0o1SflH2TnLY/iq6yijFpL71yn7ay1 tGnLAigtwS9ECmxO9616yxMuVJS3iEQHgfa21duE6ieRRPfMWkRgDtDZKeFthQ8gfRntzE6LDQtY h1Orc/rVErsi3QsxvSUtggq4N3nAMndHH7oIWr/xxp19GO8azfju2/9fMVxRwR7Nb4V7HyyOqvY8 aewvonpmV3gn5F5M/NtBCsLcbCrWvkfGRJ0mFJdpE/4LGH0OVio7nSqZk8xFFCKQn/iN4kMo0utY w+ghzeZ2SgC/AmSdSDPUFFIwnuzBRA1cHk9a2NeLsw95b0mNfLOKMWrSqQX52Ooqa0GePb67UCBx C4MZf5TJJU0C8fUCr8iurV8Pscj3dMtzx/ee11BZl0FrH0xZrvigT9A+CyM5ViQHsboO7WzHmaT7 SbEyeI3t6tC8qjqT44d/hjOQ2xHFGwi+vOk/jzVgC0XOWt+wNWda6xZMz3Ztr/hC89dpB2PLnt5Z GQ0CcGQmHAchkaGUqqbkVIhoXAQOKc6V7FdVdgYpuxS7vO1w3mYig6uIEX2cG4XJ22cD40Nh+Rl4 sLvK+rXRmFSvaoKKsm6j+tQ39cg8NJ1FtjJSurQBNdq+tjlrhG8NUzSbm/rOIzmOZUFeaFGQ24wG ppGhymzdrCSlIFLAC3bj/6YioU60HaaR6IiyRX3MVxBBBi8eWDI7jieGlcfnk1DPF978qx0+XETP iEWX+sMNk1+/uBmb4K8SZgXeXiIfV9Vc00yYXT+I6hnpiy/m4X9XwfSoSc8BgLgOw6vWgL+EcGDd 5rfSZoNa3LNAAY46fFY7amv+0AAvaTiytad7chpQHMWC61WC77MD4Pvmm1BCCFl3hFFxNeaTNmEY TUY1mYrTil83bML8YwoN31RH1Xpz1VGqA1jLMJorQaziiNyNw2Ghtybc86EVcVUTMLCxDOyDq4A9 k3rU+nE/JPxaGhFbtSUpIlRmTfc5AiNjyMWBjlkOo4aP/T/z7X9zroU5zc4q8dMdeh6W+k9k3Nbj Bhc4jqDdhgZqeaCpXdTKRvU9nKPqMhBVWk6I/Nw0hnUEUMWCOH5bjzVEGS3/9BAsuPdQyW+my72C U4CLkantTMLeQHBZf0dPg3vl7o+JnfvwS2DdHeQ+3bWDc30hCUjrTbNLSheQaLNKvZ4j08z7REcU U3aicFIu+LGkJdx2GfVSJ0WeHCUQ57FRCNhFDnIvvBCU8VkPQY51bknElRHQV81tp6QGd/HN8TJj CJvL8VRyVuTdOKBIVBoVh3mbseTkrCw2SN1UZHSSZgKb2F7/gt7/X3B1BnDrjwe/XQoYTWxnQtvE HpXEKTMDJBAXucT10VmqW+ZWChw8g/czgopht2b1kFSurutHxdhV69b8FejNCPz94JMAj1WttXrM J0UoR5rwHnl+z7jYng68BgpprMubzwviewfcGEtqxo25rmxNN++KiIeDxt5nZyratGRw+FdC4fZ+ kr8cai9Dv8T2kIGTbmkROUHCM7DMMRXYtiu6GQa1LirV94OQ+xFismgti0Mx+7JgQJ8kiDHhHmpl gIcKQGs/Z0N5RT6V1aSBb5Ki95OHbK2B2JVkcqB/6B/72A+Xr0SE6e8GsMv135Ctbv8cK1ikUP/x 8+BobvIK/69Q7js+XpQ+Hhb5tOFArNfsaPY42IXfvpfsJwUk/Q+lbGQIPBTsf942aVrP7W3HzMX3 Wn7arBAYdrIac/ZOBPkp5rTFEe/FDW4d5gjt8QvZQkDT1ga4Vh2RUTTLah29gERbN7ai8oSifQqP 0IQrGECF4pMIAoNwEuPtb/c9eRVlZGXXSRh4MLiF4zPDFhdAKMrWi5zH7a/VZpzj789hhqOA2EQR F1QEMSKcLUrTIn6hZQgfMISLSzYTYgOOvv+Lb3IoCxWf9fHGSKrWYwfo0IDcFYbLF9QF/pM8+g9H wDUf6sufYsbPlIzYKRgNgFaM+52e8NZBMPnv1/Qfz8NIXHXJxF3wLocbqlN8YGqDWhv5X06nn3KN a/DTB27QgFs3ocU9iobro0MNpYzoLtFDFGQ/yWRiwTMWT8Twl5wFcKyP9BgwO0bqhtnbcfbI6jl8 QjwLJlpaF29A6PixxLOMxKP1h4c9AAnN/UGADY0Jm2tDitXPwkXW2i5nOlQCev4zKqJ98lDqwSek kQqXc/B0wTcMfKhOv8rOjvNEaoyFSLRTC51/doxxh0kZEo9wTIYL4ANSObfkrzZ3PKhVImsWepMd ZsZL6eedurnA15PgZYTJK5MfMTByeg/x2dIkn/G9LUxoGveiP0FmsgK07I+mf78PESNS7NFP6B8c zvcoGAgMGuace/de/9raeuUtGeq4VUJfw56nXJed9p6jCJtwIZ1Po9scdZF4fCvA8jEOdWUlK9f4 KsADeaYk6Ir8NocQTnO3peOUF5VX6yqUMn2IAjGlkv7Mr5Z0vvnfLzLv3exnw4GbqYXMx0KkSDh+ tQBckmufzzCIY8zJUauZ4jqmG9Y51LEBgHVbpp3fmDeG2qu2Kf4TZnFuNUH8YYQ/1oKXP3dVCkqf 0Q/waa2HI8WtbwjUhKzKnMa+K2YX3bS0yuqI0yGZBvqknseBopbhJE2V7wUO20mjbD6BRj+mLLd5 f3coxXPszlKkV+MiPxwgbKnHKjjkVZg68nIIazqMHYi85UwSm1s1V4hd75A3ERG4SfvS4Q9WWzkB 158ci462mXCPvAuEzyjY6N2zmoeJ5vLTWgo0YdeU5cSKo+RTSiGB7I6dn5I7HfvhlOqhw1/1pkWt DAtVW13ZqnZN86Ow5sZ62ktoy5Uhlmx6PKzrBiSpV2MhbP53HrYx7jqsqh6arxYO0XziBbR0DnlS xtGW/3wMiMxfkZqP4HoLQXS9rtYFIMlGSY7SIWtjsNjwrdrUdHdqQmZalyJbXSVPlc65sLNiWusV k/lN+eIyGYg1skv9wAjgleCFj7g2sKHLpjllMWgwVF2mHvECIUEB9sLxzTJOqg9bQZOQPLcV/4eW q/pPNFIKB5j1kiJgwIFQsbAs9KXm1JFgmFewhlvOTXd+DKt9nIm9ZfPS4xLCiIcn+C2Y2lZ6VH9a Rt0K0g3CM8jZg5tM1UWOz3ZRDzQXgt98OP/P6pJlAYJChTgMESmMjCGOetiVyV8qMByYab6XT6df PkMGvc4gVwORp2oI2yKCA++cAzWWT/MGDZpPZ1+/M97us9UglY4zImDpHTPu4GL48U0EQsXkGNlU 3uZs/AbbGWPMHdZ/w70lRlVQJWPPFb2bq0Aoe+iJ1dfWlIfkynZYFMwlhvfQ5PdcAAtw1D89Q3dN D4XABI9Cu8PBK9jTnAwfPUiuY50wOnNaTvr5W61tlA+DihoVKx+b0AAepEC/ntHqhd/fNrTk0aJF dPhVCaHHbeOSWqasTfVFzHo+nqabxD13DBjUmbVFbMtPBxh9ZyUritcgFAKATsqHmQYUzyhfk4+M Kp43fkRYZpbNZJCRbPQbP89O/MZHgLvEhNwtIEke6qt6P1E8kWO0PBciB53li4MVYrj9ExKBj9Mc RdzZHZwFU3cc+fY0JDif7cuLR465eYE0BvK7UvQw/+V9Y59b5Mw88v2UcBRnBhTH+opOvBGRoeUZ Y7zjEWbERqvSD5uhFrEUR8Xt9WpH9RLAk6HI/FbIQ2+P9GgVYl4ZG5sNoHnnHq8g+sO4R6xYr20F tvUDnmDmNAQsKPDIPWgBCnRb+bsFLirlS3+1wVGRc/aV4ZWaahOrnKcz5UAtc0LvI+a7As+oc/ZJ K7R4flOZEwdSVcKSxGbSOCL2Lr/mMdxbXDU8IPU+xzmXkMGNMJkuZc1wvGwd/BCUCYs0AM/F6RGy PU+xo9ADJh85FhquDlmkzDz1pW7ACN1J3j62foYT9t4JEkVV9zknTkAr398YBMs21FgCmSXtQrOs G2L2fdN8uEKYavYZHBsQOBXkGMpYYwPQ13AjxhgiEpOggDTutbYWTOHmxKOACIQ2oPkXk4dAm7+i 3dYWemKbXgUK8mGmryRdcgnDi+qEBvAa+uiPf2QqFNcXgXI+9pAjT6MAUSUTuTg7RI86f++E9j3O 4gg77yPHMV+F8eGCpOIF3iN7dKA0f+UPERdqQ0ZwrEXwxyz5kFgkbAARt+jrCexOo6BoPXQkVG60 kP3r7VLMxfhhIL8VxwB1OqADsEDpzwqvAfZefhmg0N1WbOS7/QtG/XUTSbu874HHmshLbqeDYhJx 4nz8CnrO5faR5Sm/WXtg1vNd5ydGchTaxIAWtjCdsTMqxBx0JqAqs6koJP2nfNMypbyNQoiffblV XHa01INGXL+uY+GBnDe8g1NdYybm8njqjVRp0Z/38n1CoRVcNctDQTf+bfKup5ZrKTgY6tUvRV6b odnsPeuGFQDRrWwANpnJm6OWEoGbCu7DQnQBUL8jPAAtP9U6uuBFBXGvinu9H4/BQpTfkt15SoH5 4bLvOuw3ZMykSG2XbkAC1mKxuSAu0pJVx6IHVXLKHLXinuYOMNJNQA3ZMQICp73ZtFV2hQMuYvvE MPn+eK+Tn4rphbC1MZhRxWwQRMwP2Ctur3Gpjl20gHpmF2tQNAN9IJapKtXtgBdNgFjlikmXZQIB gcA6q3ugpyigJpu7K82GSiCUo5/lf36s1UIxbfrF+Puus1uas9jicM+oYEgK46Cdj1pKLOSF3tM1 LM2Qsn2tnA8hFxyUp6K+Vpf2UTxnIfiO/UlgxQuq6RMnoVAm1nkuO50owzB84fSzTHYWjQjwnK/u hsV5elcF0M0B1onI5Tj4GuQfBmhmzp5Sq9AhFJvuIUKAJKFIk9rRgxzPVc8Ar5kvGHsgNtVnRnWn w2+n/GUoI3mW9yIR3t6UkN+8cVrPerJK5Rtsu6JZ8GnLDW7uvQS9iOZFXXrffJxa9EwP+lPM0+QR nH4j+ArZdP+Vvuj1YJk90G6FyQc3SeU97x3bTm8I2dq1JpXdsJxQQI95JQTlHlpCIgzlSeqJR3yR IzMooLJTnW4JrwxFezuspcMlmjP7ApNbTNunRSVTLxCBN7M3NNPJLG4iy5gOkysC/sRoxn/E66Vc IfvWUd7Br621qcKJdRMN1MW9TLiYW2H+RUoRjQDM9Dw+pl3/YnMJwr5Ow7QoocTpcn8dx7q+kOrH edftWLBmpdq1Zeemk/oNmwneRCpY4luRxhzHJPKcLK+RbAGJ/XSRBmqpxmrgf/XfJGSFK6zx2HJV 71DBLjMu6fTn4Qcd5b19ooA/lC5Gv3uPuWaMElptx6r7anf8OkOWUzAy2DMRFjIHB5NfO7OwU5JS W5UOvMHkvrP5OKuBRUXc6mLm7ouXCI0hlBalPCYHKwyAxaJI1HIc6hF37g3ziPCtpezFESIGzUkf fJKI7m5piEO+RcywzaO75BLEBlamp5K7rl24jk8Q8KGCcmGWX3RLvhUHYEhQzTKJaMznR/34FBYw fYU6Lf6dVXvY95owo9WtX5qPtziPy57LfeQmXXbgRQnRonTnVQYRUerosqQcqFjrZB827BMhpvjf WuzSmFlRO/zN2lrmd1tRVesPPE9NeroGa8Du8CozBIJ3XAkV3GZUfHE6HqH9Vb5JEnc3+wh9D4Mt 4169gZSPd4qHjMDSA59kvF8l4CegrdXIYdBVevBiMBfKC5W4QHlhDgas1lfNOWE+WFAdWMLQHyXj kSFT0V2h4kcFyZqLGPNSP0p7EnY3oB5nSsRXiiMyRMAAHS1F10hGvFm7pFS14m0GlMWJYPQL9cJT AQb9lO2fGEvCO6x6GVO0YbtR8arx12OQYCrSb7aBbdf/ljQUEX37mRgzR+zKzk+SWcc6z9gwmVLJ TQQReqMII++ieHPW6PyFRrbwiEMJlnYaNibPmUT7i93TOztsZ5lhATJD7IlF+s0ihq3NjD2DSWlV 3clYr/bo4vqHj/Efh9weegny1VDC9WjlonCCMVbXcnhII8Nikajy05GuES9IyencgsiYp5uROAzf t8kFNNIBzDfkUBjF4h0N02sGvUkpDBDXsWl3cKlB5HPbe2J1NVeJor1QRGKWmRfjO+zvikYKNpgw dulvVZksJ9qfj2C8ZqtkqQzzEJRI7eUrNDwlBYpGh+6PAEPTNgvdZMzILGbqpy+DqpOlHvgLpwUm hf36FLPEyb2UAPzMg81T7WUHXSWO3BIPmXN5VJ6jFE236dh1lbVNOkS9knrPyBDCk3pT3vVZQtxv DyowxYeSfiLi/Al0AdpNL/FZqy9PTLeyyZLIqgZ7nHiIQUe2ygWcx+1hGmbWJZt+irBN+IzjFBj+ IB0Plcrwu3GW+7waH9j9rMtjfxUbmnR71IZ+pKuE/n7jxhBjr2dtGMW9P/r7YutIZZvGc0L1wW6G vqL1JYymYwFlV7dGY23qABYr+fwb70IsJlF0v3aSjT+lSuO0qQZmv/tLLvU7QWQTF4nIXhZdsF9o qb9jec1eP0vG/8VTUHNIf/vAv8PNsxBNhIUX0F7jFwC8OEfK0SrJBtruM1wcxLuXMC79rmOXXaep zMtqhDwj78QbB1fzaSfFqK3KwQzdJls+Ai1xcHpBSVB8dgqWAYZQpA1aSFgmDjbCTkdwKBq4J47a sBEWSCxoXrDGaMG+1QMi/cLO+Gsjtl4trI5+4mlTsY7jBho7jw6zRRYP2GGhC12kj9zn233c0io9 Kralub5orBqZMoGHLd3OeoLOgQ6VHkCsEUVCBPMdEPsg8Xx2Ful000V8F/JYzyAPB0E5Wh8Z8E8d sNaRoPrH00SOk34Ou1USvnm2PhB9gqt0EY4EGr2SmF24ChV7n6KY2ZpvKMn7e7aiYDAj2QO4GCkJ 0R9Nmlp5/AkgSXxkmsPXkDAuIEK59gpIw6JhfhUMoL1+bDAsVRdsiAXjsBR2nAll2s4GD0moiI7X tlF2OEtTWg7yiuRx8sbysr8TCgmy3OZgu+rllMghIb1iob7oqcJlx2yRft6nxABZpRIemEIEPmbv imAmd/DbXQ9bs/fw0lOk1/Yu2pc9BxqEVIxhL+bJ1PNxds0i2x+OlkZg9ehcwGV3TXeLOFwAfTCm aqZNrK23XJUwCCYLNVQ12jnR4K+pTxmFwYSVx+Wb2R05lxxZaKpVvgmWAPl+zSO46uveKw3WWzGP gBYB9FYcMkhdTNYcXi5mUfeMJBEC5H3ahWDxdJYGe5XIXYUPg37ES4ek7zkh1+0H9dQ9XKC/yQqx tA9gnMTlxFD7gZvs6nbBifPBKWrpDwIW/MK0uO6aFbJRAnc1Oie6WzJNZGw3O9kn7Nnb3EUU3BjN IcaD3LGQqkQ5fsFBg3LROCZ79BUNs/g6ZU7h1gI+YqumF0SRZ6MaqlmWTWp4w62uaFfNapgxsXlq 8inMZLZBYB9i3TUZKDaVvkqID+KOanBBTezvsab1qig3ixlJCITfiEmadkR+ApbKeDbSYcM82LMB R29os9YHaWh6BqxD7QQRkBvT2bc21rQtTtQLND7U52yIxQ754JwxPJIAGPcgZUHZB0024o/3MGPb 7e7SliaAqANO70GRIUgczgDf59tfKHnDGnnZgtDZwG+ESnZZDcXqRisACCZz0J87Kg7zCz23ikQ4 kXdUvj3aCCEidbCNqVe6Fuvo0qxob7XvR46pryYoHhbAnAjEiMzCo3j6yznUWDXVpOSgwCAGYIKt taYrAinWTcN7ks3CGtA/nrxBu/lF8F/J+8YpEV5pYJik9mUkZrReL3vrA8pucKu2woy6rwg+upFY rvheGJ6agWWYMbeCbOTA74SiPoN2wswFHX6ntHqnZ9jdtYNwFcreYcJyuRALgMuURLegwarNTQXV KxX+ViKWlZ6WEXKJfpyGFInXAakMD0KN6K3uiAN/gAWOopgGMBaoQCa+7hqUAORTkovOgaNbz55G 9AjSbBh3369yh0UtnzrVldHlbGAmcAbLTO2CVJhLyIYstOopKvd5b4I6ef/GUlpbNlgwZAF8Mk9K tzRIg9VaGMRNywyFOhux7G7VJwt/1dOUaHxi7sBPW7aImLaLpNeqRArm/dV8TgpYvIicfWv134cA Owh+YD4ttDEE4NXqTGSdIkvsC7r+VEYNNwx4CM9oZcM3twSZabqZqe4ZCBx44VL4EV1gItB8XiRl uvByTi5s+SLyp87Dus3j/ZE2O0mT+1rdz3FkfXu7E9Emjo+7Cf/D1ISeUFbeNMFdUM+hfZ9vKJyS cBseSab7lNWF9KRejor1nqgYTA50u+McHe2oXrSdkLNc8J5AGsf+vA2Tx7fkTKIMnjm5JYGV6rzg jJYoPXMVIiWtPX/Pusih2EqZL+VZ4JHxHOdayLdJjW3gFTZSTYpV0EZPF+MoVuaCWATPW5onbGCT LheCkYwBqgoeBue6UK/oDKI37UanLZLeed+VKLTrAjvEeDRlXaJ9bSMtc7F99y7XjRpiA8YViwjM vElfMjjk63vU2gnNjualLJSmD3ONdCdC1nNTBnzEcBHMnaDfdXl478SX8gIeo3FqlAfnEePVTpuk bMHB8m6Jt2XdnDwL+NYlt9ODopUuBiV5dSUrKaRM6csuYWienothrygXC2B9fT9nLOZqT0ANm5TB PofzdLxs0dq65eyu2xNAuc0Xd7v1kmdO518tZNLjleGFhvbR1h1gu9kWSz6a9XoC+yEjszT/ZwW3 B9RF7lOE8DWza3SURD2Xtrmv3zTGGf+GKuSgouIzJscwcP8hdqm9++qgQwJVDR4T3n3FwSdzPoNx pu9AjxejVDWdJhXMUelWc6OW4nwlezAf93+eRm6bgKtZs9VzCXDhwqeIPnz5Ohp7elT5QQbnertO phCbuz73iZ71MdAblrF0J36h9vjwSiRb4HIMIYnlQNO3/RaFqNqINKSynMk0e9MDuBVAAFMmqV1X 6coJv2yB0T/fcKzs+Bq4L6sMWq/mm02aG6UcD0BW0J2dv1tIMB7eZ5K5s9a3rKlIBUo/jbR8Umqj D4+kgK3AeafdyLyBTL6AHlcy6cW5XaTqsIwuHeiAA3Sc4KOQDqg4hIqplKHjPLKR1x6ieKGueleI VSJ31sFZH3VmYQah3QPQHzye/JHwtmFytxJiMNp0DY/XSBZNKvYAULAW8gw6RYltNjoskIMYrdZI iFyr0+FYtENgYADy7ga4lwdQHjs2eHwI1Ei9RS7z1jBjlLk3DGRzy9LtLIqxmFTyRfR5cdfQIbhj JK0oGrz2/XZoubCYlwl9XCRaWEWv2DJM4BgeJow3WoOSbGFrATCQvapwLZ3VU3jyEGVimWvA/rRv pu4QQ+Z+us19kscmtfJ2/elE5SavhIVYz5JJELp4GeP85J1Ut1DCVgYeE00e6ZCHNtckdC0vHx8C WewXwj9lXF9EeTN8ZDUhtmcqNqV2PmMnm+5P9z3oRaipJSOEAtDKp/HXBwFYK0dysBcYg7JXI5t0 8rO2kSSDOlc9mJcUfOqnAIo+OenTbB1LF8pDPaHCRH6Tp981aAmtX812aNiLc6Q4c3xHv0PSg4wg 0mNKBQ33FGUpr7X3ky8BoYVf/uk3mEneUD9QoS6IB6gpUl3UIxqbCjkDZpoSEdK3x3myBatpcb05 9KOxwX8K+5HFHKBBKzVXTUm4+7UjaDk2sLeicmF28xurP6fwh4+uxNdjtvm/u6/wXqQWqx++yWek VCHkqKzyIJsYAWZ/0uql+934bJKL5XfdRUrME8XOhIphzuWEU341EltRFfRr0oGGID4VVSj9iF71 vS7Wynyh9V8HRiSkW59iZQUs+LCuhST/ScqkeRrizXdCTJ/skfIMBsf4ryN5uwBGjRbtTWnsKIhD Jn9TumKfmTyEujCpOdoM3Qzwcr4FZM00ObDVXP6+1swyKhPTEloziegUcSpK/cLtCZJ+KoDskJuu yGMrZAVSV6D9cBA1p5/zszkmKeQ2oF2WFocSmrYOeIDOACm2ctm0c/xHNLuAQnZB/lTB/hqHLJxy lqaHW5lrfkKXyEsXPo5a1xzz3qw4SaExdQDaKBQ2l2PhoWO2PFbMu4hSnjQu+U1dSMVGidau/u+N /5HQrG6mQ/tXLL9iU5GHOJpLWOSZMKAdDp+snd9cqLA1ncL1ukQ68gfx+vTyGLCfsOfIqxAVq/yV fs5BbkhZPSOXH1SMqcqtweWANbqv7aHbqw1QEiQie1ar7kmJ2ygekSu03Vq7pXznmpdaq5o8SVjn tybVFJWPEP0b7O/gtqT+s0kgVnXoOelWIabEbTJXcAdRLatmVN67nyVTJRAwFZZMB3kGEkKO7A4U QUNoWdTTM9W9/VafEG0ncpnPNNB/+hSbbVZarJOfVzdSVqyOZ8kTh8zQ2vVhOGw11caOa7ijWWwK h/l/boOuZl5D30rY0CFya7VRWht7Xe78rM/EhOaRCHG3kiSB49QfTN9m1PWA0g06R61iyY8L7Yrj dFGkkqWispX5jAIlf1lJVtoR20nAD0CXFMhmK7Kkf90AeT1qO30fhFQnED3prwbVoURCnCCuBbSH 9/WQzzMQ7ispdRBx9jXGZ1xVP0f+zKTyGHtqkUsJ1h3IXXO3FQdlMxm/FZewKqMLlF3bt9Kqd4+Y 5CPVQrj31hljni1ktMHv6y0anKjiJSmOeInIkG3mw4/Sl9A/96eGYye9w9i9KU1U1AHOzPoaA7xQ dPDbXreaxfdenOtd/7Baoaj+gTS/58gLbHHlUTkxfAxL4VUCd6kYaosnWTM1M2O981wlSV4X3ONF FUJy/Fmct1Vswx4RephSQ6m7FQtrHoq0FtPDA0IqmqsOGxBNsSbn0jKqZECiD38cXqkpCtMPlPTH lRMzok7HvtcfMzUAwdID1HkZpMAe5nMkdKx8naf4rY8GRZrBEth8Ivvy8TqO2FzVmDTWw+IIgZ3T 5ryABvxJWu3DaWSMraI1ktwM1li4GR5YByL1VpZpCQ+jpPMixXONLryShaTio60ZZsZ5owyRGQP2 8Za8XE+ZcBG9+9FHYPoW8lL18srvF87FljEOKPOzRGLhSstFGWdauktPYeMgpAfGFZWDWpDGLoA+ BX3Yrope/x6OBrPpcxyn6u2mBB2he/bf9EL3qOTyDQCiR2YqAzdMwb9o+YBRrXRScZ5QHCJ8zx/v k7EF5UlEXX3tOqPcB/QDt9+caCmYErxkigz9l7ACfUGj254E5IAX/JwMecaswzw7Zoz7SIxEKnQt kT/Do3hbrpPozk8VzP7h3nsYrqqFSp53WddP7iA6uYlyUOj5BiqM5sYrzm9sdOfrcl+Bn0O6zXgu lWgqwExzV3xm/hxYaSVXWlgcMeP/zBox+rYmVcsYkCjTrWUeWoP4+mtrPei3sD+Yd1xPBFVuoXoT iDusdd9qXuZVboTs7sh8Vz9mvrU8qYj8SKHWfVjAyK7MiYtkCMY7AxC4Vz0CtDhaVVUp3wsCyz2Z vVoH+URnzUCT0z39OKYqcYzUELfrx7suEG6cYbKb9L6eCqpMIYdL3b4QKiVjrhErY4vtfVh/Rbuy FwSn6Owb9apxdDvbiZ1C01v+rDF85KLWqgabwSVpa9E9DY0nna/t1nVvq+HpRIzB9DuVbjRAJU0P LnomR0Od0Qbu2IvT/HaaNtPH1z4ymylToBwKWMg2uHrieta4ilnuxFQ65cDYzjV/XJOWegIIMESr 7xm6C1UVvVheSL8aQ8iIko5NPHHQ08+As64pX388Tic3ogbXChJQu5XnetVTyUxRWw1acblinIQ0 1eiZmO1q80R1dOBotJd1mmZwp1PKmGxwBWY4QbhWi/1vOj7a3IRWA9k902+HpFIZ2q4XLf7mjNev 90551EFn1tQW3jAkhObn5SOLiacBp+CHrnwPCuaz/LMLNfq2dyhkYTOFdS9P/iJw/BFaOLF/eEBT qacKW3laceH+fmWdH65izFIWUGS3vPz1zZ3KswUQkZj256peFSGBL+dCKjS7ZYHL+Xy8W5LN/h2J D4B8C6LyR2YE6uw/Ycckg32liI48IzdbU5y2LwNqGS8QMF+um9qpH1yXk/O3o/XmsLmVe+W0nATA eiAF3uDCv2aRMCaScjg32x1YCqwjHbfZLp4K/V9kFqSNQ2SwmB8ufAXDgQfw0mZZQsdMYq8Vh6tb S8uqkD3uWJuTOWzofUeIM3nASiLOk88wlGC4HLhVQoiA8ltdwy4wljc8LY+8i2O8nsnqw75WxWq2 qnvWG7iKHAnwywiIFK6mZ1+lVwrf4CQOGeYtmWQd4FDecAinf9TIGz9C4DV8290NJYbpGiHjGAft pNwq1aCx83IBJOcQlGYp6H/BFev/1QzRrfnBy/XY0WvtIVAD5u/J95s4ji/tjga66FVtE7tj72cC TWLOXZ8DVP1xngSVvXSyZTNcpJ7rjVw/kVbjoSxaK85eLNW7grcAGwmbRuMMBCVzDefdQfxDmGjR 8LyJs9+eN+YLMUWtjQMszqH27cJ+QKP/+82abR6VTJ9qou8uPM3vJ+Tv9TSNLwDbA/XgV5iKy/Ou y62D7apROWnOsoY+P43om+Zb3eTtNsqw3TvvnjRgerPOrG/I6+3a11yojlr8zsqCtSQKL5PIzGPM 4wTdOR5Z3rqKa8zz+TE6O1YqluxcxsetvP3gFdSH0EanlRVb5ZF8IUDle6ean7xup+GxqSgGgpCF lacd8g+w00YJ0hIrOAgqkYCwZ48TeM1tcMj5Ujtz1d5K759wgPv6m+04Q4Fc97MhpnfVHKyv+5Ve lH9clAnmiEvmXqiZRRJ4aHTevjFdxpQ5qHi7QOy6ISxfvDsPDJH30b256+V5RSbCGUWCKNiwReVq wyIVT8+lpvBakXrOUfgJ2KbUXp8kaXVDURyKlBgQSAJMogP72F4Bkaueo2CU/m2+LvU9gH8DI+Ho TDg8hSugACsNPr3qNR4NK9mtfQ8pWwlYdz9/398XpcHpsTGy/bOD/F4HOUqHIZ3Ek2jZFa6nay4o qXlgmOsI5krhwqgCVJA9z3uDO/yoVMX84IeK1wjeC8TiKhLnNk7iDr4deI2QiIjf/MSiMeF8H0d9 oY/UzSSOvWNqsb4mlKZ8sJG+N9JyHnF+VO+tQu+rjwNaxixzHv28yJKrZ1EbAHsEG77XwgJ21mWh jxyNsoc6XS/iHO2zmbfCe5C4H610+cGEs1jYaDyELpn6NnGZDGRq4zPQXU3GFYG8nQOinrk/mbmC p/zr2+8bIUbOiiLVP6Y3ULVGK0fMU73t4H82yRYHNeLTtabJNY4z5ntCG7oS3JEjWu7Opb/hMnj8 sNWpbaWpoK9nJ7bztR6BhXkEjK3QKbLfBum7Ju7vTjJUOK7HtDR2C4/pUAzFQWuDjd30zP+IlQUE ZVQ92MN85Y0m8ZKOFERoOG/GjYu/NQJVY6a7dXtSsR+gCr30k/HYyDe1pynSCoSXw0n5JO9l94JF dYjSG2XbGknFZy6NmKhTxKHJCtkP8slP8/UyofFKWibSEoh/veuofOvyni8kwfbUEqCa/+mHxu6A 4QaaMxWc9Dp6F5SuqDqEPpNQlBQN2HT4OBPN9qbkufrkCVnwGu0tY2VGrc5eIQoeg3ZhRWyXUkrb E4wuNwbmNJoUh7nyJ2vGlzbF6z/v4Emx1Oz6xYCA5xemMPh9iwBQp/e7Za8QHQXxNtchArvdu6wT 8w1fCJaZAOhtM0fmX+gMaQabP1GoM3iF6NFEg3yuMadQEoVDVxw9xNlngfPYC4jzVUtkCn4uEvRb 6sNiE3KHOC6Jhg+9cYlbIyOAkdgMKnPNoHfHy42smHEyU2SRQPbKCLUfHWKaliGo5qYxNzYiQ49R 8UNBmDZySY5dpdKIkonROtuasGGIZ+Ex8sEtmdjDwr4fSzYmkn5MFhecL2ros/sam/VJoDSYJhWM 2urfLdg6GhtpMXUbHXKHHnpNhaeYIkDzBnmq0uUWMWto4nxbngGWzYu/2AjwgFnz+THqqD5IDWdv VbxchDpq8QW6rt6tatnvwk7+fT6OaqAkNo/1SlhKWzO2Yp5CF8zsBardyF6k0ZI+me79w1srzBxY B8JvUhdPFAoS98tZ/hfnKmPFGUbEzvpwmv4jChr0dKO7j6Txkj1pMfu/dzwCjaYxwAPNXoklZV7u zkLe/LmFontc9/9M2zMHVvmEi3AbVy0LVsCnnUksr5qGCUaXR1As2wUNcGz+ydEc3X2E6mCCbx3d 23cqfFFcG0VlI/2pdwY769WNIX9NtB1uy8CvBuf3swWVWD2aEOgSGAgkQWpAoBn/oNNpoa7Om+wt zPaLSz9BvYnqb8jC9eoLepIYAvrFZCKrqnm1Wn5PIH7PuMmrjIKshkFhTDlLG/a7rhR14tZMPFPN qJ2F3Pr0DXnQbDKgWwVf5cR4SmZsYzNGi213k8UCbKRGQf2VH/gnlZ0yNbheqTwn9C+gH9bCnMXB dykQ8Mn2xuUn2CkTVV95Nu6JQg6b6bQg4+CRJ6ytOR1s1jZc3q7uQ3/HQbfVlwJJby7lJXwL78v9 R0IzY7lK3CT+o1lgACgNR7fKi4PwVdLcxxzevuwYsYpwrmVR6isUzpPx/YSYkNHCjYdqR2GwCKmy aS1hZ6MTKbMnjYbv+ijfxLhI84KGkohjHIxfmf0qZOfXniU3vr1PsEjPWwdkT6pStL4EJ6sbPJt8 DJJ5IjFbSD9sb7Pr24Saa4Xa2TJN/TA7wSW76Ka+LcEN6KMZu2k39C8g/o+jFwmJ3Cdrcmm28ZTC 1cWX0s1gf+vHW5erBLfQ+pz4zPRudORBShk6ihmH5vwjXypgBJz8U0QkjsDly/DNfuivgJqZs6MT CsEjsLUNCq9MLnJ+kDvYp3qEo7OMLDgfvTxMZXQAR9i/1H6b5bLJPTo9/1hXnHeSZ6SiOAwU8hH8 oQ/SJpBo54WvKIjQkKppTtnyoXqlVrMZZcdBHvJav0dhTYJ6AOjrtEEEsLTD `protect end_protected
mit
70a5ab7319921b14a35b2ffb22c24aec
0.946752
1.833333
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/conditional_waveforms/rule_500_test_input.fixed_lower.vhd
1
400
architecture rtl of fifo is begin process begin var1 := '0' WHEN rd_en = '1' else '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0' WHEN rd_en = '1' else '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0' when rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
gpl-3.0
bd6ea1bc7be3a4f2da26cd04b183dc08
0.54
2.564103
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_027_test_input.fixed_lower.vhd
1
565
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : entity fifo_dsn.1clk_fifo port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity fifo_dsn.1clk_fifo port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
cfc460715dc774ff19cb6624c7b0a0f1
0.493805
2.742718
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/halfband_decimation.vhd
2
339,334
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RzhECzT+9ycWh1bgnkpz56bWSMlOS2C2qo/ehOaT3WPvy013PYz4OZ5v0LrNvNJ1mw2s1DnGQ1Em kLAQwYDipg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o+fP5UbR/yhpfo9MfJOSyqjpaQCgRoUuDtMGqGidyoLwM65TS+j+Q3wrwWAuQeE95uVt5C1HY8ru vaSNXSRS7yOhaGUx4Rb2yvDyU/Q1u7TYuvqAE81C/+/TGqpfd02N3DfdBTEDvwn76RfSfFI6LYTN HqGmu5ZimdzZTN3Exkk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n/5RfExNmY5h6rIItRFeDQpjpiOANqHHnIWvMDBj9uQNPDnsruxyk/5f+ySWD90BFy5ctcrImCSM QeisTaLJxRA7hAgtE7lEUUK4yrxrpaiWBmwphEDR92QpYigMNCYgjLZUPA7z/CljnqmkcTdtmwT/ JCB0DBXxiDvoUC9NkETilIo6+WYcM0hDx1QDKaR/FkB4l0vx0O0zq2vd6aA9aUxY45Lion+2amxj 3HGOWnBaVcvTdxm+H5baMUjMWgtnU9umbCXYHzEY5zyTQzdSlfoDssWwuyLMnexhJ/ZhBR6Vf8Ly eQbiUuBSeXJSyN5Gk2q1IwHuUw3uFdj+G8j2Ww== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YnhiysvyTci4sHtzqW54U2ODyWQA/Zc7iHdB/SakTtFquqBb6k/q3ta/SWV8DknaGxdL+JRcq7E+ /M2v9R9Xy6D5ce2y3mQbQtgmJRluN6OSHXvxqaGiHciPw6/2NDN5qEsfO0mvVpLX1vDL4bcSKAuv DkxybBhkHnXJez1j+yM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kcFlikViD9VP3HhWC6L4szfOwqupofLtcwekCEuA/YL5tZNpUh9Xy6V9yMXNxZmjA48b/mopE3xq PkFIGws9WM67BrC49IBjgX8b/4L3Xd9yRDnEWYStmsnCQel/rZf/uxOCyemGRnFvFpT4J6TovBVm 2KfqYrKOVrYStO5Mq6TuZXuQLb4t0y+dtyGOR9uuABOLr69/hiXIn/bNqeYSmjerrSIdolDHNesb FS75Lv7DxwHOR3LPO1KQzPaC5gucvMUyoI2G4GNtdHTIH/QOqiQgA4AvPa2tLRpAHjrTSKXip9DH ruYT0m0wnnmbRFuUk5Bs+etTambPF6wwx/tEfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block vTISDqPqUmtlR0kdsig35Magad9RRDum4pCG9uke0pGXBJHEfJrMeLleY6IO3rrkI14+O6s2+K3C Lgxd4sQxLQN2A1t4l79OgfFDLP7YHkbDjw6TM1s//U6UrgKqBpLNYWhIJ4SdvcGgf4jaDGvQjxuU jmWdZqkLbt+plERwpDu2oZCzX42Hr1ZciC1eROyA9xmBnq9nPVb8RdnrOwJd0a4q2uZlGqNN8PnY WqG80jZ9NFKKtZqkxSWWMoAOr/xBKV0WuZEwEpHYBnPD57KxFdV4jpP/ttcKZ2qxh5ssCFH0W7Yv 6zNLY6liQjEiGTscQcoH95PuyMMYUuuv8IXfXnSLoOnAo5TRGZKcjjF2SYAoc2Le8LhH58KYKUEn kNIXfwuJujpBKbte/Ow2m13YlAHr2M4q8sMYY5jYVnvPBJnJL+4kSL4mAOC7sTTRRYIhPkN1Jvhh a1tuVzSvdNO20+B27BHwIzIaPpNF4sSDYg5lkWC8T2X5uEUkdLXXa1HDtolswYGLEPKDHulwb1/J 1MVHYrnVU9yfsRbOndamWFaAhvE6nFB/oa0P7bZmZ06P8wA6hJ0SJlCkJIaHQ907ooUQYQZoByEN HTZ7/Gtn10kZKRwpXUCqvO2je4oFBVZ89ydvTG2kNEjNgronYpMsD6JkiR1Xkb6Fg0fIaE16fskI Ob1kUcu0qyrHmynnj00ZrYs249dAC2p2efSQonC7elOgi+Zx4MRVnpjRMFCtUd25iKDi8MXjLmXJ yYywHLyHJWWKK7z15lg4juCMYhgR3LSDlYD32DNSbWEclfkX9pTQtjBne2nXKgp4e1yLQASS0c47 +SIk3N/bCdgC7W86q89Oj3oHu4mKYicPNfu08M4Q37Pimb8OkrolpxtPqcmt0fR5QR3NiorVi51E 9ie2VV5lHoGT1e0S0bcq1/QuP3chLAQHQ/AbUR+wNIaHFXZ7KAb+003YoF6QtKAh6M+2jmCXT6B5 bo8OXZZYESDqzT67JqDiSVm7rSGtxWQcLSDh4NNuihtxz3iA+AYxQfcXA7MuzRy5KpeClyC+JBMt GYk0CK1MHfO8UZcNnNsfF/5fkY+PwUx0YJNUFF+unxVNhDwZFcslwLvqP7YD/l9n21wuvGzwfIeu VOdUz5cUflFqCdTHrZRdkFwNu1SjVl1snByi7mYzec4qyetKEKJ2IQ/nlFFkB7fWf99OasOZDgom 6ZniR3ruJoyOWbOBIAKUR89Jn7ivJF6fRo7UIBOsFvClFI5CjnHpABtit+XOdqQmHa3WRkckkXu7 C6AAvgRk0nv3Fcb1190xLSesIK+nWLlDeuD/Cot/a+KvCucSeoIbfqptVXGdhPHxaxKa0d5dv7oN RJCHguLd7JCx87SNWC9BBoRepJYrEthFSI4HHgdkDj7E7bhSByY2OEI2zgs0wIjZrdot7FCK/Ck+ CmlqcDP5It1aqMoLBnEEbyNLDGxJB4yP+AzlCl/tIBuUAdEFmXWrmrhMuZlQPXQTWdCL8gGHlOgO b4B8Q2ReDx42/7Mo34eb39TRtco0ykghYx2xGAgoWoPP8M4qxhJPMsVHLCWFeNwMj4Nxt0urrKGu jzW7gciDZp4xo3zOKcla58nJcdlyy+rBe3kkR+epUtJOD3oP7/1o4raiNjhnbjPZ46Z7FECIcTE2 0w/5KoJGJl8uDLkfkiDKnXqAV94lZIoO6n3fP5azGDHninFt91c0GrLQQsDt6WZzGDTyvT7ENHIA GNOMfCf2MzWFF2HLDE4pgPNKwLOvixqlPUrc5hXWJPT1ZuBxULZghgTpXslrfwSC7Hv2ng4B9+Pa UUTwBilWGrheoFB+9LTa5DIJVjhTOHcqQCN2UQ2pwsLC/2c4fLbr39XWmj8/R0vyWSl2G3pXHqN1 GDf4FShWCpbSt/hNZB8QZj5MdGe3eRVxk8yBNA+FA2VSUDUA8G5U7bnhNgBq/rIenqgEvDpJC8fR eE+cDsk68+zglhpCcvSEV3KXO5MutAWTS77Rp2dXmE7Qp0q3FhAgWfFc1QLwMfGBLLmI/GTqGFZK 40jIj2FqONu5Jl/rTIbiuTjRKkpl8wtb939zQw+fwuNWTDI6+MlOYnhTNyWcoPo5UVvB1Jee4HWC UR31XPVmYaRAKM0Uj4QuA1ol+epIPmvF5q5M7Bt9JOLsRSGQ1GcyylSm7ecvNhkHTqN90cacRk/A 8nUx2ApAOvUu4hq0+UbwFEIU6wLE2F/WD+wZSr+4IY5bvvZ+Vrr5/rZVVFCEIT+q2JpN+y4hDHCV r6om4OpmCj0l6w7N9xUFMIzWa1Flv5xAmI9aGhY0aYCney6LIWhhB3gCaRKTtcfYO9+A9LQWOQhQ TmvF1HaHH49WZawVwkwl209Y1sPd43vEIieYQmBF70IyPlp+JYnfYUipTPzykVgDVwGFJiLhW05x fqR35E9QNcmnwi9v/pUXwdsMXMO9MDJg0hf1W+CHRgDkA1rPtUxlgmRi1CLCZlP1lTWKR27pofLj ciRq4mJdOtCFm68zBUh8G9z63fhRDjrxrcKrz8rSqbp39MxNSaVuezSrHYpdzksjZaZS8gSXSFR8 gHMNk74bt/wVOadqfJ6leDYSSSd9pSTecJ260VSdsarhnYEE8mj8vZCxdt3YaHN1RUY354SUBQK5 k5fZDvzcE2qh8vHbrkkJLbbyVxvyHC8+/rr8rI1qlO7rQ+6fFcfoMRyrXLhfa3MNhL6iZQqIvx5j Nc4wt3goKXm/RDzwSLhnmKEySKhz/mYIgCsXd6ycdwihb0kgG7vLKrcxS+QHBhmMHxDj+HZTlJMK gCmARZTmzeoD/kICqTUfmxqg2GYOBnGncT/WiW5SGdLhN5GxQ6Qi0V6sLhOYDrCZqwwkz4fzjZ+D /3uC3Oc1P1asVe7HMSfdUQ7Zr785JHh/5Bum5KRw/5Sdsm3QAowzP1YiEH0jbA8DPdF0fA5v7QJt wdFNfcuUQwSmo/xqqf/2eQSOps2KBmYhB4QsPVgOoSsAoRE29mvmYvcYYE/v6iBJJn8faYu9n4jf ByqO0/JAklMTN7//pcJMyur2HzoJfy3F8WXwCDWs0iWzgDYmPqiINAkq/2itabgOjRM07oKmHGQL 0tZgfrZQa09hKvMYZ21i/3II5mPkLmV4ugthkpvVl0UbjNVJTYZmwUhyM6gLTzme8jCdleYuOE+g hWRnlONHIeRzwsbM21Pbd6osQSJd93Z73EoicLO3lm6mG+wRppkQoSGXRHLNgyQMLYcnIlIXY3IP Yg/kPMUteYEmlGwMoUVYtsm9EUGNQ2vSUL+zZ5AhaXCa/6PHXgwMA1tCcSDFNQfjXAsH5vs57whp +THOzZqpJVNBqnZDudhzFQstbpWCONrJJ3PZehvo1wxFVpkFfscYaYth2pKj82E2v3KRQMiRjdz+ 7bmzMiPN5QgO2Kvgu0Q5lX0jflNBt95Qluqe+0lEAWzHqdNaVbBhpB4UxVGhFMl7RwaBro+RsDNV 2J7Ow82VJ8fFKX2wbFHNzbDX0HjbULL+9Qk9ZRNblEk7brTNeuWrnlYHy/TdLTtdFpNtTB35k6CP mI1AvzILyEMnQ+9FkoUWLvlVW5q608kfhsSV2HPwcfjhq5PsEHyLJMZmboJg/p+C7iDsHBxTTxli cLfQ1ynRy4avw7wOyD2hPndjlw1xelG1fBPlbeSEb2gRJg3uTX1fMWtYXl20rXhNhqSZ1c+Pkfu9 vsIxRdTZ231RGAoxxrrloPLsWTNJcRDmctvkuRzVxZFjcoM0YQu/yPYDKxUnBaMLH7pcQ+n/Y5s3 wtWbzpCC+hnJI/F7XN1qnzbnOC/37NnnmTCEl45EjfoZRAbh80LqJDVvlzEJh2DMy0GyEFUa0SlT 2Z/xndkt00t8hBP+/Ao+r/D1aRoZYaDizPmnN3ZkT6GO4rmfXEHScVVIoz7+F6jRKVNnNH2mr8Nj x3AlkQu2JCkatfneC9btWlAs/R3IIzgHkLhyZGZFHPNenY+J0LC4t7vM3Zf9yYL58gdekDw7Wnoy pJCX9csDnTBFQMEfJMtM8bnV6KTLY2rCDCDnLsOBi9Nvl3rkcSMO5rkXC1ZDemYzaLKah/dpMjEU Zt9Hd4OWAExxOt5MO+CEBSvUPQkeTZrbeLe6u4dgqyjpPRaGdr9aKBW6UJwzOKCMB+eLiu7yqiUv vGbhaeRFFsA27wXOzBOa7jbtMRUgwICnLI8e+gMJULztWrmdzcREEBbTSThAnykvE7tyqI8K8hPO jiuyqEj9zt0qy6AqqPgr+Mm1KmCM1Vkz7loHjhf7uo4/WbYgSPiOP9w4yeTf7yssEObNCjKTEJZ3 l9si0s5CxmB66UDbEfLrMIU2bn7vpLq9NJa1Tc5PayEpcZHkjuKivAFtst6PNW8azAIuBkhO4CcO TykxBlSeYLXDiz1b+7sfBjGnwUtVyWuqhitYyKV2nBTQFiD2J1AOJTxwWW1K0lbXQ+2vBG6veYUm Px04vPd792fjA8UphcuCsk0TH1OhlAJFWQS2YXcRfimedAPNrvU1qXSd2/VhJcz6B0fDUORSaqC1 W9s0TxNW4HpMWDiFvJNKUZ4UmKf8hXlY0a9dwypuFTNbd36z6SOAjyIzYNERgnbeQDtk1d9VdXyY yFZu/QPbH1T6cAE5Fm5CpJ4aGxkA39ynCLdbCr30UnKaKC2cq8TKrSSy+zcfoQPHKi7oeQmoIyuo Xh4E7Ou3rusyYe/DipZ7oMVNUgK5Dvnf3QnA+cB4Ss5z25l2fnY14nPtH4w20s9xjBeZv46oH7nF ZMjzwWCIzn17PoGWJKDt+4lJmWmR7KGH2UESMKk2ukjz3cbjzX7FZng9GD6Wlq3VJzat1ucvrIkW +X1g+E0Ab6/lu9xyt/j+CkHJWSfZIORXZDwyr5fvPQu0ylnRoTVbkOqX0wf+/GP5z3NLM55WQHQ8 ZTtfHHOY1onE3WqKWkMOjjxgKRaqZP3la1I+3fZvvtJDIeO0aoi0LFqjwxSYDNn938qa1JK/7BZp Vd5ol5+jUEuSOSyovQrkJZ3D86GaoaMXzfq+nuoK18td2mA2EqlJnNTeqivvG4ZKJmOrCY4+ixbF ravSosTc1LSrShVBLQKvxKn503eDVYgKfLFTOtN5e4+BooiGCnESEVeqecmXI6qaCP/SWWV5GB+O tvWXIf2GZn7rbGcx0S9qyH9LzM9M2eS6k7g2IYaoEDBlmH+GxBCSZTbCewK0BFnsx6SG4hACCC9u nyIq1vEPCLj5UR3IoAAFyH2TMMqzhO85+eVbUlv1xjb+o+XXVKwIyTuEKlS5Gi+ztrGeciCpb3ro 5Y0EVfQjK+3PI5/blgxciEgVb3YyhsdbE/9hfyqes6BZWL5IiUI+6VMRcXNrnxgNctcCvdHutr8B FYHhvWU3ANjWcXpy4sF2dAN82wUHsYxhA76iGTnHC7ZyEHmdM6oWSkXQcw54DMOtHZuNQiA/v1vk BTCODE2Fq8UMqrvM2OkdFKgG7EO66o6aF4IFudzqh/8vMX7hfdhP3sVpHZ6YGGf6wcI7hYLhowWc lksExJQubzpBnLA6XKw+xyr35ZGVRWyu049zxWRg0e/UIKiYT/3wPfeJ65WDTypCVs9NmYTdCUyS WQku1zHPpGitm+k4ThEP2aGPMujzU+tpiUg8L54PbLX9FuDEyFca/3ki3nOi1o31LZv1uWIZvWJ/ hQ6iO1lYPBaQ1LQQu60ihZnqqGSVd175Jpy9myV+tv8yXUGjFO7Hi+xxOw+GZwMUH4qRbWvg5U6k QwPTQCfByHGssBzveHmVFg87sXHnMy83oPD8xX2HH2+bUKxejtvO9iDpEVz9Hye8v1Y4SeFsoql4 zSK13ozCShvTGTAazJG3yKgq3XD5TX/GRYtwMLUc9xJsxlTPvI2VrVJ2cynBRP55paZB0LgqEuZ5 Lq/d3lB13UQpKMQS+xNbN4VPfN4IFfaudkhWXeen981RfDgigOMTafuBkcKT8eZX7K7/PYGV/ZAI Of4WFDIiOJ4plbMRbHz8ul2wKGmeuCwj3keud62CzvnLI9DXgOmZeS6AN2XbEA6REY7m243xxyjM r62mCm+zp26YVQAt2ADXwaKD8xDseSJTe0nvmd6kCKmDeKddWSAeQ1HqwxIgDesMoMAYpa/ggD5H JSsJv8uox0oRnS2LBoCCozfuMVJ8oaRS9rGygz9QcfjWUqGj95CUuDmI4lmQNmE64JDOYQZFkYlN CEEB2QnKtoorYFwFYp1O9TG87Ap3/gn5UWQrguLSK0icc+zMoHZ0Q+zDWMHWxywyhAwINJmhlA6N ISw9TsvfcrmNatt0ALpD408zdn56GwPqU935mHPK1LYl/bbkOnw269XkYIQEpIU0ELBH0ncdETk9 4FSwad/oJHJiAODRasvcOOY6tPiA/VqxL688cGvyuI4FjFeU6hMgksCzYXl2d/7UqQucpaeBKv3S Z2z7AhoqZdysyG3bbnPEPhHqYwXDmMsObR3anN93VwjW4XzWaa+hEskV43ykmqIFQ7bF4qBpT9Qt BeoMCG1BbLvGXVn9tCfYc3FFCbqbLdLXncFHvEsSf+6Jp7stXbU7KlUGAXyFwhDTi7weuicI661v P1v3AhUIf4l/CRb1d6B+G5N8aq5Vf5CZV4NMNxhCWTEF/dGoxUsyh+dfz4/sZVe9n6hyAtsJCw6A snK+BW9BhKtUYFwya55niGZ9mIG0jfMrzgbz4DJnP5rorpwa3HvRFVbBTxw2sLFcEFdvTGie2lIx 0xHlvURF+waesiwjkmYj3AryTWSP/PEp34x3S7nmiid0qg+xKWVJTBzvQneZdcU0xvSdfbEELq4t fITXREIX0PrDyfGwLIf+Z9ByuK4utEcX3BNg/q2Z0fjxtYJD7Iz3rJhJI8u6+UA+OH2Jv4WEKCEw QD/mbNuw7AL7ZECh+kZ4byKatY7QVPP06M6XyJGmyeAGplkkokws+pMd4QGjrikJgYsWjy384UcM Dny9MwlK2gZ81qQnkhM0Qiq/BLt1B8ik1+ID2CpUTA2ozEWlVaY+d+sun6OSblwtcBqliW9y3E4N 4n911q/yVcWlRoRhvogDIcms2h4Fm5+bTBrHMTPtwaBnyh6ZO6cU1il/fDjn1Ty0LorLj8jJ3aMQ QohiAsIaaY9BPc5ipLZIWypQ5B11DpzFj7xbmjEqXrLD84WWNlTmNDFdzJyL2ZGJ4sRgxyMoMEWL 9hYJ6s/dkjAk93lsLIHjMupSsOoOwMM0OPQnu8FkXnLxv6899MBl1BKOjcX0G3RZTkVQNVUvFZZi +m6YZrCR2Qak5DG9NPR3SFsU2LkAe49Wj8KnBEkBIhEiybtteed6YaPUTcVYU+cDPKnUU3o66pg6 +0yUQivJXPnp+aRg3QC0M8y6OzOQsjjAb9uzHfbS4FtcdwHO7tnGhM+G11bu9+NsjOgpD8baiTxC 5OBJ/3VDbQRK3EvFX/mVmM9rvrYwggEfPCMtbu6AkSeKjxbbCd64lSlHmxyGIfjch41eCn1uGdEY iR93vFYOfvP7diTYz0RWXUrTd5XThwQN/vWXxzDQmWW5j21Ogehuo6GkKGUKTt9RCeN66/pbJwoO krfbB498sU8eLF1UCl4p774BysKTRTLsVucKG97mNz/CH1+6MrMZnihmuq6aZIq82Z1x9WLj2SfK M7O7EZBZvo0K3fIDX44veBKPGBRzaBC93VdI+B0u5B9n990ZsZce5zFe41DYzIFfOXOMj/XWegEz VHvhieYlQmyt0k+1ErxXoVdAQcZb8F9xCNtCRzey4DSaGJ9upuaANs9yBDhgilov/8tuaUnJ8xxA 3NKmaVkowuAlM8c1S/MZYDKj7Sw/P7umuAnDGYHjUt9d8jJ7xErLjsnkeOlwdYilBFLP9XXz79Wx IdbA2ylZwa68cSupQBIoSCFRWRTu4u3IL/tdgKXJivpGxouG47Bs+VeYIzYBsN3HNXjl1uib/ysV i3lhSAOxLD0h9/9n0oszNNXDK7cA5kXR+UypvR2wMkpKoc/7oxUEw5fissqZT7iKBvubwp/DXPE1 ih7qiCI6URLEf0WBdqgllZQv2rv3gkeZ/fjiF249UlEPmzN1MtTSA51J8CzQ5Gl4M2ywnAk6o2RX C+NO0bto3Ve3TCYi0LtZqhMKxLHAkuma1Wtg4x5mpBWfLhglewZNm2VCJYjxUeqW4WkGXcBv+Zth LoszigY6EHZvdAslmk4FCzFR25v2wurb3akOD/5wvGXP/3gOXVf5+FK6feSkkHF37gc3nnihLaxP UBDlMuaw6JfdKe7URAusYZCg39/NlvErqbNXuzQ9lwj2TVK94Bvqe9aJ64oCSVqCQGlrIES8PEJB FjrB+ocZ183ySWI8xc7nI3R5NmellA42GkzfqiWKLqtUKRVlyC/zyo9H/n0rfMiT5EBE/+R0zW/u RCqJSUN/wB4TuNp+sWwnGadsB01jmyepA/qQY8zRXADYrSyXWqUNqjwcDecoTy7E4NJ/+jeDOyb1 ADDyzVhYK4AhSKAw7QLpkMlj1Q+b4WSTDoyDppHrTs6mwHQsOH9Za35QTVyR+v9HGKjmbO1gbVLT Pg4CsZBmcg5mWV6BRXLr0ueYMLS2LwYeTr4si4QUQrQ8usUkA6Oo0ywUmU4zEoSWi/SWgw8VKmgc jd/XRBtLDF+Myty+5FlRHPF8okfj3we4nh8hZO5RP79dLu0wWqT7P8MotMb2dE8RxeK5kyZqvnmP S3usleBpEDq00xgFpm61xdsUAIfOkxnDDP2mDsV0wZHCxRidPlcEGiaudrYIquSV6dSfSjJFnN21 LWOWPxcbtskaHy5yczsalWT3MW0TNMcxzyLcxWEXE/RyDG2MxpLBmhxSJKptZGo3OaXG34ShcPR9 t32MzJ0xt63Abctn/Qu/Orbjb3MZry7MtkY4TWDsNoPKU0ZRT3XupFjKjyenuqMy1IVAFhi3tKSs W1PtDaw+n8pJiyafin6c1u/JwUgmsl3bTpglA/GsQ0PViPltOvo8I8c4TfCVxh/clw6nXJAOiwr2 1XxevLwPy18a95sNd11UXRgKR6lfv76duNDEJVDlaJAmcNhf35OYva+XJCacT6WetjskdjC+RIsc lO9iceGvGH2OrSjr3vcxzwP/XVtmKCMCq1nmfW6ZzWaWvX9GsVP6cKd71XfKq/qtTGtZsf3KSYRY dh/Um2+uDDmebb5LlUzjjpYlNEKVM82oMYMJrtc35nMFD24b8diiLiF7j54Np04N9WWOeK5bnJzO UD9UdecZCpRM3/WvEbdNF8SAKIz6HSYRbYkoTrDevWj05aVMVVG8wiQJBhAc0bDyAFsyiktWsUkM 2vkT7mTBLWRK0luiF+pUpv1Hb7qbpJ5sVAazQa3yFcUK9ncGqcc2YSaqoW0NI1L6+PJeUlR+DRKZ aF7cVnLwT/+yN96TEYnY4Pcp67e9/9frIlLjM6P169pAgMFKccd2NoRGy2kTjI2W/ognmK/YDZJH 3I+a3z20sN1onLUijMRv1GgP1Z+Wjf5hPe7vLiy6qqivW1sYHa3wDZYvwSLe5t1zS+XS7WWYME8n hzSiGvS48Fm6xVYLXb5ixYXebDAU632aI1JIFkhJbvktu+OU7di908o/qkduFKBtsd6zxF5L7f3H 9vHRq6WJ8fmG0iUkqaJv1zEnne7BTrBhRgUnrUzp67TIzwFkcRTdX+hsYiQyE4c3Fvg++pMZF6VV x7wPny0BJg7KxhYif6K4NtJJb9MDRRj0kFzZxDu2JR/2c5e0vIyH9X0Sx8wqN5myWHbP3L+W7DGy Sy9F7sct0LLDUFWgvTD2WdRys24n6vzI523hCQnDm5X98t0tZUVLTypuvsOQfddkZ0byZGcIoR73 pBXrTj3Yd9buLfV+E3VgAKyKIAV+N6/7eVhewpUR1W+MhrUlzJMjQB46CTehXkFyBHP5fbx7LKdY bIEZqvYb/7QfNf6DeNk9aDHt331L0zsjuUVpjiQrvKgvlQLzjtWQmomTK6WDIDob0u/wHq5bMOKZ 5zv6tsYWceWXHpPGtGM15bxXTkhclnbOUtsIG6zCt839UAx3P+Xw7KQ9COHknTd+ma01zoaOQz+2 y0z9rvceeO944XNY59rbxEMFLsH02JC3UqjId7z1JCH4/leW0Jf5AOYjMg+3I35Vs+m3PmvQQ/vP sJZ6ZTAabE4Y0AexLvwCa2IVBCloYePAdmP9fuxIUS/pvppWwE9bdFDuc20R1yuKCyd9g+InKB4h 7r5t1rvSkvlVGtOPnYHFnGHdW6TIAoAs0PAs69U2H7E24BEhI//LpZpNk4cJ0q+uJrUhKa+N6i05 t0yP97cufXOxFj8+E8wtjwiyekO/oBguOwgCm0NvdAGP10xOOGBCUUtJ3bDLTPld7sTbPddGdMN2 opVyn9XFboR6g6cM8EyzVy1NWeSg7OG26vm9XvTBheSx8XJJ4yzvosxmsBjWqIag+7z1XnHw2LGe qCjZtN7ERggWgstrcBuYH36c58EjFre+AUOWoHk++NV909RR66Th2k5xUDHzlL5C06qSdksnukr3 8DaqcASZzmVUOhv2UqOYfsiJGOrGiiCW2G+v9fop23PKh7inEx35KKn2PH1+DV3F92yzV8aVs7o3 OKptp/aA5fuac2jei9ZzSp0eTLTT1u5fNOkgwm0gL9xCcTqpVByhEDURMYzZrGjN4lAx6e7aZG8D 07lYlSQCD4Yr56Op1aJ73i5BuYlMGRhTwyjViE9Neqw7RNKxPrV4GhjoHupqvebIU/mWv+RISTrG mlG0x4YlXdZS8GTKlPZoecBCm49dvINWN24CeggyihM0pHEE2coWD5b40/B0RMXKB3RZV393z2I0 Z+NoKpyyonBKDrKk7DoI3V5JXQXIBPRJLGMILKU1h6OKZJqqlgHFKolcu07YO1JyRdiDXJPz1SBw eZaSCWwwWF6HEElAsiUM26gHOGHcEWSRKJ+s9LdKMPgdJOp4cwk0a36Crpq7i5SsMpffXc8vxr1P yzceqPUqPjtm3p3IC/oWM6i8nebLh16zEzts14RKrlZW7Qo9fFZApfXvoQtKDUhoqFGNrvBjbQCH kt2MiOUlpBk4TPjJqPqXEm+PTpeEzCBuW7GNAvef/6knt1P0zMENoAp1e7iIjoqc22abOkBjS56P uiegN0awfHYB8RWJx1Ipsz/ZXD1lNXUA9bQL7Vf0Lro9fRTJHDQGMNK+LSp0rxM/Yve8SRp/AX61 LtyPExkLRNw5fO1h1gWKR2uBY2+MkCr/s9+YVvyO15JLNeoLKUK6/gvbYkQGPrlgdZbgfONlKJyh 9GR+aI5Kroon9MUVGZV3BKKupCw0PhdUugOtmsLDvyiN2OXNTNHF581oC2ePd7/7Heu3K6TNYsEE PIMb5UA+HZzJixHrfcSkLqAGySEtb/PG5KTRUsm2LnPvTRTXRjEoYiDhfooDQVb6fRDNtvtag+Tz fCnL7qdCFpy0PwgzUfM0zFo58FP1DZVcLEXI6ut5TqFqKpFmpCIWmXHkSn4vC/7ECVuZamfuDttF OcDngN1ctpHuq2MocrcvoHKQuaftRzMiNelzLiHoL7VMyergbsc8On/UJ48KgmEGGBBsON5mXTbo 2By2iIzLOoT3ieAp/gYZkWehSIOWir9/QNqsH8Xzx22G41cjQW0w7CIxRB/tunVddlKk2z4MTIpB yj+DmAmjWpN/oUZZOvwCe5xLK0l9cKAh7FBHKrDZwkwyjG4tf9hMUQ/irXqjbNlpjIngMAneAGX9 pIv0TbD2DU/PIVKYKX510HjL3LPVvsLuNLLFDwAnHFJblsuTZW/z0EcYq2ZRqsLbidnzU6NQhnaq Ob1gG7w47ErjxrpCwDcUaX6+8KSHU+hPSskjOR1sAlMm/j+8PZdeVr0VvcOkF+tFZVYAo4Hqzcru i2BJmKG1vhLcu1yCBQZ3tIvCLVaHMlzOJN7waNaOsxSmbSSkjNg7qzSbY6EZdX1uwGUuy3ofa2qD jjRmJPL873Xrw01ZSi084Cqq359mbc8F8dNdiCRt85Iy/KdWKwVSNQjxKUQylRchKQz3nyjZvV2O 5T9vNPFcJfldCUWcRPxd/2lOM74Td/7w+eCUqKUuMbjW7Nq2twydBlA8yRw8LiGrum/AjcuLpM+c 8toKvIzb0hCiXhvOyTK/eXhcdwlj93y5XlihHRkrVuPe9r9znE+xHBjdWT8nEiepW+zPETFvzKaD AMXaRinibrHj+nh+YylCETcUEYgobr0Hc7MuJT6EX/UFplgBqs2FHNrgUgKlEWx8lBG1lgfsJqlA JYT27BVUVXVfyT5hPHa/eGQSXDOIFw2VDVsT2zkbbmJvTb8TNZNKZxWGdN6GW71eYKtSYCOiVGC+ JSyj6ms1XevCN1zY/dibqdEnxJXDJkUY4QAekD4IkU0ef+vSaHHVS6bXqbYFSyaGoOPA9JEvBKJn rjLtFOaQ+UkntjZ/M/Z1Sk/Ptdx/1L2H/7ws0q0gjp2UPu1vYfZGxO2gc3bF3ZtfwfmI9IAgyEAe dORQ8ssXDrOuWLAHu6/ZZ5n7i3Zq3OLK9CVx4KEc42+ryF7hnVv1l4jTmEeTRrneYX0mBo7PJKyW zjKMpThUtJcIAIoffpUoDZpsvvLKrNFiNGWfx4f7fKrGUwVrSfYfvPFpklhjcprmFKsdi+XSrwCz SO7IKKA5+8nPpL90R/Bmyza18bOZKEtYEAx47xHyJTReC7WWhVGNC66yUlyow2niQRU9+j2Yd6T/ tjzOELeuK3tLs5L9b1NF4QCOropiPW4+b2BDPQbUDUd2oE9U1HANeQQ8sQM8OB/USA7t3qfuGXO8 IjbrVKdgRD+qXvDt/kaZtsgUnghEyK3QKtlyr+tjyyItZqojqux4SssYuC2BaQ+ZAkjDuIQD95P1 nmRHdk4FGGU/5rYJfvQA9ri65fMuoDzKLivhjQKSpryiDvFAVvIRQUZKNwJtMvIlu7fzxEEpjdah IsbU2q7kuapdHyrCLvisOxSihIUnG447ndQYZAXmBoEsQ3bM81ZHwl44Wy9GJX9mGtLeBsIyOSe5 veKLq2cCHyX+7vH+0ZOqQsK5bmAEb/gSh7jOMS6L3sWDnyhMSalhtpKCk05WNoUPcuB9qlLlniwa UcAW5TVBoQ5s6bOxBTxeUveEeSaoismnL+R9+/LHi+MYwdMVZZQJoQ4YIMonHdpdSAXAwK2fl4Bt LhtCJ8NL3qdsLoUHzAwklO8jI5WGDHsbuN6iJs00W1LZPqdR4Evv/zIq/WEYyIt2WzCO8r8Ky01S 3xoLCsRwa4YIV1QC6tAozsalUHX7q/GrjHGdsQU2fmnXN8yXxkwFAaewGb7Is8/8DEoPJ8Mpt0Vz 4xEWveugCYNnNqUaYKFboF+sYJxlXtc87H/o1wBDny9oyHuqhNnvYre/Z6gS7zwfa0erK2lYWkLL y2biCivW4UtkQg8sm4CUuDA/LaAiwMOigKADCkNYWgDykQaikirzc5GY4/ubhSN6v/W3z6N7qTn1 gJSD8fg0D6WxD8vqyHD0pn7S0ehbqrSOHNMMLuQihth9tdo+y6IXSHhxvyynbfSt3i02L/7g3VCu CTkvvrAOOFvFM1h451sUeZCPAn5YEa80JYEFV1Jpd2tZdTQhspBY9LE+aANFt9xyBncJEDXATUb4 1KBYZ92qnvJeAo7zjDlG77xHTKwUkdfS74saHpq3QdN7Dmw+6m0aEFehYeNM7DC5M2aPWJ1uSWaA MOztcTL4Z8s6Ex7F6aA6jPyfViSvSH83foCpGlB8VDmS13aleZNq/EygB0pT4i26asCoQtp0kDsi yOjBpqHFEM/ey0gLK3NrDHCl22AL7jFOFQSl13L5K0v54yiRkSdpoOqCpnSEtQeb9gm4JJqi4MZx NQalktmBSIHDug4XULXD3s2VStroLowJTt+ttxAj5/GipUgoG4ZzTA8DGN2I36U79vfTp1R+d+jI i86W7/37cf97L3Ln9tFKNKgVfKEM1sZU4l0P6NRPe/4zArJhx8gEc+U8rKusgZuY8bCr78N4Snao Do8FUaj+MFPLBKipTLwEZPUFSeo9R2DeXNJ+D4FE+oCdKdGr9DldtHLtbc7JEIRtLgRayQylw2ZM PWqxVwJy+ohhPOUXnHOPWAR9Y8ixf0GuMi1gJRR0cB4HyGQPVo+ytZySmhfrIWXTRyS/CcrR/+eE rScjPe68emyp3RCNaOoQ7Qa3IUs7u2sAtLi3VG+/3ONDZ8IfSmPI0bdt3hddZeFmUuFBsmQiS87q HfCV4CbioeT/hEvaMAyZMkrxEeTJKV1DePiZ9VMpL/APffqOeqNvbZtb4BWC3cob6HchRk4Zx1m7 8Ib4I1Fv+4LHmwaQNpkSlb3ddmT6cITAdL2DGBHSDTwxm3zZsSxnRRXl21BMVxONM8hutHKzT49w WhHH2E0Q1GGKFhUiyfkkq/MlbDZ3SR783t4NFhiPLfCapozJJ1lY2NjBL4osU99ogvI7lIasEYe9 L+S+gEJBThH07HsLvk803VKLQ9Pvj7apwfT7/0U+XBmN7CVikn/hmPSEws/I30ulCFMW7MkqzObQ YGz+XKk66NtUuPQwOdlWH3DSz/1qOPRJIV775VPgAVOv81ojL41Ic0S7cS2LBiGQVvQ7HX1UDPW/ 8H9yZYu+0Gtw4GGDvYfj3JgBSg9xZ3hCQDEOMyHc1EwfP2jJHWRCBKZvl/9YrbBjX7EuWh1lCGU+ wlr+0S3xkTGxi38v3C581h3iitnPsTu/56RaG1HCtm+peu+nzKT9476qFC1zYSz1CksiIvJ1TOcB nY7SxPj5tWHVn8wivEeFF1bxFORiZqo6nMmhbpqaPu3zUx4jMWPJX5Pu4yPvDbYd2rY3PUBAwbnq fQBT5SVjm9yNOqO3odM/ijT+aWm31zFrPk7R8Dw1a3Yq1JidoiOZJqmc8ROI26aYi3TPn8A2PYx9 7FRUa1yIF6qBvH1n11W7F5pBObypaT48OtkjCWcJQWS23n+Nocwsk8AeL9ffgKJewO3ZPZUuUbmx wXiHM4INym0pf+eEycTa7qzSR6GTei5Tbu9lF5TsM6ov0pJvtBIwtr9YfIQdfab5sikB/y4B7sXC cZgCp9A2kS0ohxY1AAAXsES6EI9k/f961emU3V1cFvClp26TUCQhzy0XmckKDSdtjtjtQXeRDiRa tHcNuZm4h/BbDrJUKT3SfZOxSh03aHbkJPppxhfSPbh8erIaz36h8ZHepyVPeskizG10ExYWKJmN mud7bfSCvfQOEmG5e8nCYuWUzbDFu2EyMaKHIKVYXUkqUBfiydOUba591F2Xp2jKZL+nFCYRD3Np wxCyUzIsTA2IE7DEZYh9YF2ilJGitlMd2hNfN76EQ4KzOVqNdQFo3AESpT2yKYVoyzZ57zhD5mip dadJdSosfN8M7AyEstBZUG92n0r1jGExwF0PXVGe6jPRYHPu2mGodGaQGNNjCZqavKcB1OXzUlH3 euw00PAN7KPpiOFzObN7qIoLKt9JFbkku8jVL6vcgniYZ0T3p72LROE1OfY7Moz6zFzGhiJLlcgc vRI1Br601nJuPxRU3MYtccWt03oWPfhZOqFMOiX4esfM5XM4GV92V6AyB/IdlHEwD0DRwg47z1xL 5hewx8LN4ZKzAWLCKYr1VdrSU9JUl6fXrEIy4zfQkf4Q3Km0x3303tIgDxNLgzWNMc33wnvmvRyD scV2kXNKogl0OtrZinmPLBGjnuTKtymlhS1S4xYE0zB1UzoU2omUkFoR1ZXAaBCDzIPYo2xBDc1F 4kVjNEnDOMKnrA7KrxFp99cvaXqtdGmdFomposbmzNskAfNznZ0+aW42M1UUOebMDGkcsqdBobCi A+o7GYLryLFUWVRqz3IqXdgofIm9DMoqVOkKL6/vFZ3paw9IyLY/hShm1mHMi1jsNoUHAdtVR6TA zPJ05kkNHjvWuedneyH6TuOyIHS+xoeb6a4LcwmwqjX46dViHRIhfthi4BgTMIoOrDP1WDf67kYI bweJEsbcfKp/HBwxxaT5YWebT8NwjHl7cmFU9Ng3Da+7pmF8VIL6EkYO/z3btpuyCqZlx2hl/rGu ZIbpkdTynZ9IXbiUmP0BJGQHyIMXrULpcI71vfz+bMK0rJWClHUbRHd5pRYJz2lbSszPkmpv/4Qe tH5FfNV/UfQJTNBjf7Zg6vNS7b0YME14bPIQsssZ8Aoc+ARY7PZwSgybhSHn3CpjLXiNd4u36+jt VVTCA8PnBIaCo4I1P7Bsi7q4+i64mIc9o+mhO6OPr9PKd63Eftc3Gxz5ndmuV+cziw5lv41yzDyb Ln6UAPl4uh00e0sp6jiiuB80ERb9xnw2HDfdiCRQLQkwyRRTbHQQ2ybszMNvMPSyqqOrbYsnIlZo MZ9flpUVhe0H6rJr9mQ9ygXrhpcNS1gRGPcFEcb8xvum4pfH03uLZNMYOnJwkeMJbo9tkJilfHP7 xiMdzFWdRusdTWiWYhbLJzB2xegsdVgTrzathzLVeXm+zyeAGE+6mzmyXXHrXDaltFksSmFZNUNk ib1i2kFYk8tGWvhynLcPSj27lckKt92Ln2bwCeWJgzsnBTgn9uyAl/aCcX3BhNbxSft0eWlGNpGv 0npdPZdrOnnwT+EWvFraTG8lGwPvMk0lP7gQdhmq6sW/yz5d5JR+1V4Zrje4lgJvT9/iHKww4+iX 3pg4sd2DdJOZBRLDF8brkgKR8x78OGrqQo7W6wPvsU3gRed6R2kNq0MJFjiU8SlluB533hPGuASc o0MHoG8DqMI8yDVrtqXwHX6mzyknbASi1WzD9AXxBsZW5iyK/+AZBtfeER2KHLILrLNnzf4pUohA W0oaHILK+zgHCZrPktQDyG6baY1rsNqXG05EMyNtFW0w6I1c2MBhO4pqnP+jKnXNd2uzOxP5DYtI TqveUBxEkPRZVA0XJ7j8TGsukcRd8BrJrkENPe3RFnp4bFOSYG15M09mAe5s5+CCxE2KXMBOk7JI niaM3cDN8UeWoh6e/S9Ww7zHEgxTQAzxELN8279etCF72303PZ+fXWLrOIdjvLYfQJZ0w7gfDpbH l+dNqzd/jl1AX88j2uqX1syPwpLPzC6hgyYyEnJhPOrfGWBuz9UDChK90eMooL/B2+e88TjvuyAc diPcc/IMgTydOMYdugybTUSgV8LXRgPon5Bg97tjoN1NUJkkJPpNT0jZQK18mToRbn+xaY77GFD1 gzZFTejLH8fAU0xK1KtZ52lsai3tI2HUzpKR69AokhSKRq2Wt2ngUTqGUx5kI7eNP5cD7/ICgziw YvRTBszBp8y+NZxGJd3NAN/TWrfFKfneT4+ua2bpmOnUXp1Ccyate7xlpMGXQOZXcdzw+VIjSqjL Wa4kZIpj5vAOKtBL+px3IZfcmuHpd8FomFM925fd3ucLnn1KeYHsiePFilc66fQ1XzVTOEzeJkfP tmvB7CMelNSQNl7zDEcSqCRbD6iVM2NLev9fh2AlWjR9rgrXWNL25GAC7YLmwj8PEclA9hW8rnk8 Q4LEsSeQbfzTdgMT+rKjIw7gClvMxfwsuh4nQCKXN4Xl3h7q/UH6Kawudy9BoYYV94whWsg3Pc5l bIgsOKvJSUboAAzABvaJok0CpTVefEg/BDJ7B2VSNjx7bP1RiFZujX28h/B6HVQXexjNr9BxCZqr fkM248//pCmJPFUujGkuhRc2ot6lqSyaFDIAyfnoI6Popk3lQTPECrvOa5oTPSCptsRbXHsa9anA p6ggCGSTCRiBFGLvtfXHcit7wynmKnL7QNeCqhsz5ootoHeZI1uCKHTD+cATTCZC/XWXIlLYA0bS wdEOR6aa0Nt+D77e/wpCI56noeqQTum7KaRavZmB/nX9W6R4Kff4JVXBJ6135CAZtig/W5dXRhaB Ka+UF4jyjhM9lxR2XpjCfqZJ3eOvxDifZUPjnW8NCb59dwyin/ETdIZeF/GR9YrOTNoAZMDZB9eA SUtmKk7+RxISH9+GgJ/i/lYymdzMXmJiUxbMdA72p8ekGzsFvvPppRkoA3e1xo2hHvYnpZSRQJ7G VRnaabqtfQpkavh+0fxBgwZJtEh5A+YcSnoTMwTCXNS4lVojmNWW087SPqEw+dffIbKgD/h8JoaU /L9jBCakpcaNN2AJsB6wDmgOZYGGOdH4TnpC4IigUhprUHm/monSW7eykt0cow4iZV2Tl53olHOY kdRusrwe7QNZE6xE8mHXOCIUJ1cnv+aJ+ea8nIT6Tfn1DkKJoC/7BogdOz2tX68ze+4FyaE5MPeE LCxP5RpTTwj7MEZKW/bNUwSa9pgpRWLAtAR+mhwXxhJ4lVC4aH5ljgsb+/aIL26W1yX6xTi9rrjM /p9M4M0v09DI0P/elPf+gIF7xg4ue+ZvKi2wH7mnOjWPbT1tN5nKcVdeNeGlfdiB1blKQM8ZR4z9 STJCBqEnNRCC1CiitBCDmPk0GMPUjMH7GMputwtoiGlYyx/U/7+j3O4PiombvbKWJHMWxCIxRUtk ikFepGffgX/JnDwR6mK/0KC+V87sFIO9kM2DIAN6fPyplc+K4aTtmGuW5Q30CWRpdFCuipcrsEgj qm0hquL4/mNMPX4FSw+9cizon6gEeccLDoC6kiygyIfRJELGjQ9p35eXRQYY9XPnt492nTLeDUly rn1SyS0DCVGMQULDU1BUdi64pHehI5Qege5i78XjNrtjKLZxR9eGSShlgDADLIiNKJlcHCPernlF 3qp/+g6z+ucg6NEJy4L73lLAIpdmEvJqk4IyDuETXMxXncFleBu+HfVLeNhrI6v3PF/hoi1qxReM NLXLJTNmO8R9fKJfVzpyKH0PNhM207DVBCoyk0ouPUF0tFf7hd+P7ShQNxhbY6wAAl9XY2njbt6g w2FvfZQEyYR8h20hJXUjQPQnHudEFMNKNHxjW0np2YizZkO0ghHjFe7WBilsyoATR7KKHH9JOes8 l4MyaFzuYPFCcDK3x4l4FopiyE0udQpmT/5bid5XL6aUjC+u7qYqmiBOqh+iWMxbscdBPQTUxZQD 7Sg+vraFpzR48j6MY7olf4wqkwgC52RUhfDphridv3y3F8hB337KP1zYR9sdqoK2sn9ezCE2lrYw /RD/N0+Wwu4/q9ukXJ3unoomsQ48gqkyvBdiUyjabEE/T6N6ul6mwRBqZxOCYBkwhwWAmTNdIfNJ X4IRzZsQQChFuqfEMGBzhfkgZ56JtZK9JlWXfBDY7P0sQj/0yHod5xezXSYLV2E+94geEI+w66ZT 6FY+qLYWTonF+9Cgbbo89YYKhluCdrbNMKXba5ixVQpXqfIrEyXmvZMvgmBUnZBp656eLf+w6r9e bOnZRZ/m3CwsIKXCfBMHNZlV7OOvkPnZG3MhogeRZm2Ien6PCD5SPi5K1gaqQa9VUeVMND58dy3h uz6AouGp9KQakz5Rvvg0UDxN5dJwvmJJkdcuuC3hlrfJPzOJxTNp3uzf4T5968HvGrtUINM8kP+S oViGNXrHObwGBw+xQDt5kiEqCMuCvqwVAxS8KLqDGWLn9ptPHVxQjsEMV4E4SP43Rbz/9ihj8EX9 zr5wcTVvJufWkOo8QpHFvJzSCR0jnbw1BwTBgLcdu0RyeVP4isfvSN8CCPC9V5EeTiYHuqrOJPqG SuaaUgb2Ay0EmfmSYD8LepIpgNNZqHjY8CLT3AI/OPKme2UH8yW48qS3zxLtERGWAIse39YUkb6j MS21RgpYDOCuxsLQ53wOEo6aK6LHiAiSZVsAqXVhtVi/NEmGFyAykkyO7TuDM0bgC665TXEQwSHF 2yC/RCX+qG5/mNFoTr8riVZy9I2iOgL3suZJ85gpiVJXoFzWvhBaXTa5LqqVh8I+HGrETOzIGefF Y9AQwIq895VIkDDhW0TuxFPGuRFgU1LXjDxIzhRzxoeeQqa9zm7KQr/uGWPwAohL/S+pM+YnVxxw o8uOwrImiayuBH/AklBLfQ/b9AmxwAlzCGVdErgS5z5Vdr6svOMUZaGXAbPyKQY5jPvqAk+TlTaq mNbhqUQFEHPZTrbsEnOEkn0xUnuoBQhJ7rN3B26qMUybpQ9d6qqmh0wVMIX+5e6rQcf9Bo1n88Xl U7J9DlvR0pZqRAWVkDqJ2BY7MAln9ujtstGfpxfMvUqhwc8mx4SDOyCx5HBHCTmFFh8Jeda01ZQ6 Sm9Fb41gO018qCk0EiWEmeIaJK2OQiSIQVyH49ZpNWsnMZEp8cjWEA6FEO3D9q/jgKCYhkMHdn1j Px0rDMVrwY6WL8+lauqUcii4LOuKHSoSFBs/YyPef5TWRSsMBjFHdWfd5+BNvy0oNJ+nqxQyKtQI 7fbK5J2hiG5ajLaIijZpmZhnPjxyKHRHf0p2uzHXvaZNsKyYi87wMvGcYvjT54RbmoUPKTnd+FuZ UqT9tRX87HdiHl4wwg5QKixb+bHk/gf316ubkUyKI62DmOrY7KCzjaGdrEujB3hi6Fg+IOsYm/fk 8A1LnKS5b30GIepFRKT0bGr5yzdJzrvWbCffI1s1vzegI478ft9ZmQec6yx7QBXDrODXFvN/9YF/ 1MPtsp7S1rAPcupgQxy/LShhkkudDS9vF5nWNXRNIJ5CPUWgqzJp2KWXu/J+w8AY7dhziaJ57VFF I88SUIulQin6vOcAnO/xQA1UwNkhEpRZnudnaaSKgvBVr7JdH2afxrNk5syM2wnDa86j4VDmdOTc a0wedBDU9R3ze0C7Y8H9wm07fVxyh4ruVdfl7RloWp8CmILhxJenCf2jhCHUEtnH6HSKCWTls4l4 +PgkUgZUu7mT52oHlgllql+hL6VyusNH7/9Wb10xJdgUKOKvJbsbllxGmttby6GV8XF08Dd5HYVh 2iMsY8rdzxoJf1Hbtr593zJAFeHW5kFLWCZwotqQnHad0LBUdeeOqJIhiedVPUjPF0ffsOwDLd9O 7XN5rpabTP1LN9jhde8lXcqiNZWhbaU2Q4gXCFbncQw0CQE/o0W2GY2n8F1nm7v/oLnJPK9w6FQy J8mL2qiYiIrY9iPMeeESLvW+kUUeBOLGXvDQL5OkXIvDIx6apZk23lLiJT7N4LSVgxCtSnJbA4wF JUlUWMKBjs7keO0Nflcrie4hCvAocfYsmDgndZ2b4eEJgEru0nnd1ZKAjahOWLHvF2HMRxnlfO8V P8al1LWpFVN2B5G4bDEiUMYxDPnJZ77in4bk7+hdUr6MRPYNkl1tkpQ6v0MAwluzzsI+6UH8ojT9 ySizAaMuyEXJneHpFwTiUlkT3oz7/+w+uyGRdjlNIiziZDDwnmhcYMqOtEro1GUM5RfQnPTQ3aKW RZiSLh8CTzivVo2pG9uo8RnNjoDWsjUDClIx2/FwI6xKFqZF0LDZRO3T8Bz68dFZnQvaVrLR449y zk+ogiIVX6iztZwCeUkYjWnCh1KZ54xKLlH/spfpdfj6kvjqNYuP+b1AQc2jqYlMpc5HJXUqwA/J NGw7mUNGbTBEquLgV5xQBB7EfDJMl/BCGsjTXf8l+Doh8VJ1AIhykJh1u7wJjJCXymthwg+kLOix bPAWDcT11kJI7nrdEgSR8DSUBc7rcljh2gz7DS9WxT3jBbBF1rQ2Pr5bq2QwNXSNwyHq/es5voVw b4CmECuVF8HrSZzbSNM7plkNTS8K6Jkc+/zB8HPQVe5Q+DVhrSRETCbWw5pYFBGWrayCIIPwZ+D0 7XY7HeCQn9kHF3npWuioWS5Jvfg8IyUMM5K51ytHpfEyUbnhiXZGdtox/xYQA+kJLgdc7jGHgn4J Zeiz7fS4G2dymQnUr5sQtz8xByswaC5GsIw+3YfXkIgMsF5Tfjx71Rxs7cV7HM0Fr+UYBDzmHZUN p40pkm2TG8AcORZAF90ilrelvaYijdxUfSnMCR7zLnyFEhalXBV+WXV8dP1fJ87+WCBZYc/73hut maeSA7NlfV/YomXXMYMaScPQeqZtcu+pcojqXpAHcRq7G7/WyR7HsT+XmmxTJH2xC+E4KGnUonHK hGYalnjWDWri1j5ubqT7Kb8Ia1cPf6ZMhsIrAduNX9TYcA6qNaPvCcSOkRJy0OT4wSc8m6A2yqac 9RpqUvrlPtW3FEpOm3P+rebzjdCUfBO7TT+b5BR3d6nzLRJARpxYnb3Rn5Bo6zWa1PagCzKpa7tQ Yq5OpT5C8Pq8f0crSXqX9BXxR4L2+/hQlyfvVwidGXPpNnyIxu5RX59xcejVdkG8RJ4/lSk2F6FI iy1riqvM8MXt5I89sAACYnKyJPapQQbnu0pfnGbTpND+y7gFaBikDXHHLNTYO9WZKBBjYcTdsXNs Xp9L+B5Mz9tdUeBOnsn0pBoDiHezSdUqIJopXSPYefT06NEDEe/BbSNR8XGNEQk0umC/AsndRItu Mo6j9Si3TP7RI9YP9VtF4/MM1ccJZFTAXDTTCXSrzrRX4hx19X97YtQO/ewjFscpKvqg5FtaJcuQ JWt05/J6UgLxh4z5TQ9nOwOHma7SimKCOnfJNxa580kwssysvjvCwksfmlqnkxeLDC+yEAHdAj7l cGcSzTxxcGPP2Gnf+lVZFnx3p9GL6MU9w1qVYkeH+qOLiXAR7Pfk0UcQ3SPYpOhdfSCyC6jCD66Y XKrr9g4a12UXi5eXLXRj28DXSG517xrdoOpPC/WCHmhxOqksmYCCUpF7TFPC1/fM5PdMiWmzJHsl E6WHZgcda5JUO+01k/qK+4QmiWi86jQ3MCUT3HVeEyxaT0ykzJiZ0lEQZSyhYQPdLEks2LGFAPfK vNwBGgcj14R+F5pCP3ft6aGYpyMQKAdDRelDoPAOSOO32EPnpxAzrVCWDDUTZ4wGJ7F79ckcTP6j t2MHOp6+EKNk4iPa/6PixEUmAKEI+6uALzrGE5ZIJf874r8ZlKvkuPHTFFs8r/OtZryumsoEu5GZ /SRR8UiFhaPmnsKAbil13TN9mI7AFcYlvfTw4RX9JKyVDHqn6j4o7QMlayhdKd6c3vmldO0LpEf1 1T5pEWjvbR6GbNxFY1+MK2ZtwHVBuswUJiZSYs8hAHQfAF/43aNaiSli4gJVBs5aFx4BuH6Qqdq3 X2C/eWoJ9ic6tWlbDRlcGvyXHyEf5q24qdfbPmn89CqYO7WYhePKGR6sPZIgAPlLyUWVNzsvNBys TIOlGEr8QAMTFt8ncKe8HlV31F+pkoAKb1yHJYLBwA2GDoRYoIw1eav9K0xKXBERc3Wh8GrqgYlV g567RulzaWiS8XznupT2rfpCV+z98vHpHJwmzjhPNANzjodxCzIpQUxmml1U05zN+rVJ/3I56DY5 qILiQYU+vQ/l4Wa5ZfuQIUuDyzpQWMbkB03rp3SZYXLT1IW5Jdu9MdFb0Z26zIXOoHrONH2naUVQ LPFsam5Wp72adYVY+uunvZHapRR5sanTZdIQKa+AY6JbN+lvKw2S02krlsyptjMBN6N3l5XIDKsf GiEcrpr0HBm3AA7RpjFVES63ocSonaDMHoph6kkAZHHNXmTYyfiqKZfVcwXkBTKJnJ7yAB3l9UlF 3xxLcylPJhAwt0EQn+hLe9pt9Rl6DVdqsPQ8YtWo6cscPfzJJSTlNrQJyexWeqLI/GpfjOHssjBj GxJyJZmVbcbZsADsyfCMjVtKr2CJ+Xf5krU8MnKqkFMr3K853YQXfFyNUrqCOoVy/83sbRctRh1j 0EHCNo63uPH273CMOGB/c5GXqQkgdG9fIK067e2QA/hFvWa/hlGP9yzGrsgDUWtOOC+9ahtn8IzU wt2FBklry7CMd0Oye/VrSYGD64J2uCzRkDACRzP+e3YlOggxFaLoAsbams0cnbgxDGAHzL1vSJlB dJzkwz0JBfQLlfn5B3gufw8AM2Svl8OU/1YOMRG32rXWhwotpi9aDkbiRhavBurgD6F8o97p2gXf fVCg1e0VaTBUChA6pVLzoQZM3Spjo8JPm7sStinNadvFhtDTQpzgc8fHDH0Ywq4e/2piSaN3ksfN 6TjCUUOO/3gudgySbYk0ZTZFDRB1n7yAmPSyCNBlmXL/4v6mQHDNqMfcCZBk9HR3JIrzBXnDAEOy L+KsF7nR3paf3DXWYcHbyC+nRZRlxDmHC2Rvoe8K/RsKvDUowUdIobFV5LImDsESk8/xNEpOms4Q 3lIj2s/yXC1TBjaje6UmcieCmx3Cfm4GGSfZ5DBWGFsKFJ43d/nxW3EFx3LbJ2TDZfduT0926yHS P87vuHs/mrSJs4MKjBildY5NHUH1DqlLLsjtQR44B1mBylJp7DdUYjxudrbg/n01Q4fq6ViTcP1b RgHwLT7//zfNUxNQgGprTPyRowdugMx66RsxwsYZwTUHTTwlRIqEUK/1vrs5PsSzXb6xHqrO1DOr D6OuaIVY0ZXYc/O//s2ViQjqvfz6aKb8nv4qQec7sds0ttuwPcHbE0sZJ246U6MFchxjepW5+64G D+ezpAD0bOgU5z+39WXs9GpKMa7fFODC8Vs/4UNZ5Co/r626+g4MNtAdGMmMvawmjL6DqEwkSI7Y JKhefITAp3BmZypIdmTVr0PBPlWZemRgKajOe4Vw50IB8S11n9VH9JNFM+wDNn2Q33cS6+OBbJxe cT732Zum7R2pQjtQsddkSrJgoDMEC5WLmcSpwKU01R69hdbapUw/kqAJlXFR6lR6aVjZny6xYOml BQO6H9kLQxNDIoDwSM5HQu3gzg2edq7usc8t/JLpXpkcBq1gQrZGUqBT9FNwx/crdVT8EGZ3Qjdl 3hXVLSwT0IA0/MPzq7QefO1z+Dv+Il1wBLl6FsVNGEeLzf+OU1sFeXORxoMcMKScwz4Ua22NmecP 47tmw658dL2N5zT5I8g7TTypG2m9HuzaBzfEAirGQVScjfn1Bg63rSHHnr0O1Hj6WFRm0Uk9OYYb sdIIOKOILhXnPN5XVTYrBiQRF0F1e+ehe4mLMw7exqgZBIGgXFq2hLIGYyYyyoRY/8gn1nJL3lEz fO+zPoklXFrYw8EQpn7XKLEoi9Pva4H675p/8rhS0r3268FjS5I1MnpdeVWHb4LwGOdnFQETyIM/ sZD1qJ5vC7puk671lhXFur9EWoNrPoavCPtYMfRsr+zKZtLNvcUmxBp282IyTLBrSkSlmB8vzCob gBsswVakKO7WsRqiVzpt6HL9nM0Vm9X/NMGrIAYIgxLvJt9E4tbpNc5CMXl8UjHTSC382kxpMM6i jYW2J0pCF452rQxEuinasdv016xYMCBvnWqzQQAsQ9bkOG5mO1ZVlQf7EFExWRCbpekazVZeSCUD 50PQRoujJ2tbmGYFxalA3Gex7Shrsrgwicw1Fdb/vHzTlwES18i0FQ89N4Sj/0R3dvW0NAKK0DJ3 yP1gB58sWmO0t6x7Pysx2LZQ4SxGAvQ9lCYOz2o2jK+09LCfCnNroxlPoLDVu3IU2wUg+zuHMGeg pWLCDo8AP8SgIx9g0qAzkgOBdTDW0/aD3T2oUnFXylM422gUYpHlhiMs0rdw5uxO263jxYLu35/v FrOZ8eg7EaAyLmyvW2PX2zrIgWJEBfX2smku/WG8wduhp8kLa0IBGwUJj+NIjarmr7YyQ1CS1EGw yN29Zv+iwh+5CtnmAXDDxJOsZhSP9aWCa1pPOxhO098dsRBV9goxlRAqXWujXvi6P7+C/Wyv26vt LTjfSAiWwq8kOwGjY3/VIolJ3n09naCirNpdUfYLCok1sjEQuahX1wlPsWqccB/eUVxH8CsMYVf1 bFgonaDMxH+W5piN33qhhzm2Z0uzSs1EiXIJ+vYjYekmX7hBDQEp91KOW3ur9bcgKd84AnxFqe4e jTAQT5OdKdOEfFSvgCqu6XDrn5uDr54DQMYI/9DVb665yCOxmVOKCouClpg9WxjTH4ZgTO8YLqr1 V0cyKVESFc6nWPqbEIeoUhjQQn5c/XNDgGdd3y7julUPP4lmr1hCYoB3SPqDNgbjwGAMFZ3EjBPv Lc1vx8eTs2bBLbxla4o4JPa1+eo/h4be63SaJPdopKxxRTPuP7nVOBJ+hMTbaF4SlIUe7g77g2j1 AeV0pjdAsVvXQWGV1ypCnEyffkdYVMcADRsZtB5m5+S48+6QhxxD+Un4Xbe3LICdP28dC9+2WXSf TIuNIX0sOe71LArY6vu3AMKoh9hRmzzjbm1D8G2i6DxHDtyr8e96i4gTjRj9jWk0m5Uh7thl3IFE 7NGTe306bVSccRGCed4avCgNeadml2ZFB8ctSXgyaclmYo6UWAGrgp78jNrYttp/qUBzFbzsLoVO 2cpPRmoFprdjAkh4E/4N2+oknaMSU+yCvt/mgGPiy9ooToSNyZcxh0UupsVkCvaNGEvILbLIvPyc BA83WtNMeI7LBkCviA7Pum4QEgpKUBOkIv4MHgcQzk26WJ6ZzAyGBpoJz8oibDlH/J3NgiWudFLP V3Q0Zz7EwZpfRm/J1H5+JwoMOqo4bdVV77LbY1yCYRqXMvGkGRUXYMWcqCq/6cr/A9Spl4N7kLvk bXquUDmlAEkK1ztfMV5I8gH+Xd2uDFpfKj5rh34PTo+Lljt8PpovhKJslqe0+0vLhE9B+KyRjaNf aiAlVd6b/eaxnGPgwGH7nsIn1qlMAXyKCUu63zBZtUEIbFulrBE6lBTgRy5/xIc2+MTV0+jywGVR JbGu8C063yJmaVSEYkBDiaPHvd4ih0MkFTcCS4uAerLM6OmHUd/38pCJOCA/xW47gv0S64ojRJZl DKIa1MHoluu92bF3MMVAzVC1vA7+pUKEnmTAT77364uAgn1PTBF0iB2fUbbmTiQQGrcz0B6WYnVC E1Isx0Z/bSFfBE9xzvF35b8+Fli3RMJC9dk7o+jHdjANtoo1qbrGyFveycGViiSHAN+NLR6Bk8f7 bm7KW6seGMjttCdecaSC7y7txnPhY8ZgD8N4rjSJ9JyBoc+n5h9iOWiMNl12F4gTfC2odEwhIIT4 3f3uEpMrXmIHDAqoKoHx5prLAX7muJpkKZjfgNWTQz4I35scFdHJcDLePhWJV4eGk+Q6ejdNSOz/ pNaLn4vhD3U3ytQwMACuQrveqlH66odsSQhb6YSRM9WAr3HaJulKZNcJtpJTP8VPSeN+NOCB356D K7AioiRVzffrgm/YwPD1+hQPElyJFatkcRCl4xxx125d8DLZc1sxZFa4dxk1FykDxaqJZN5XQLnP 8zWb8BwRKyWA/obMHrp99x3n2spy5gQ7w6LS2I7Hdbr7QY53yeb3g3gwvz5v/anP3/tPZjS8ND7I 59AIcErD0m66m7oATWOPGbpiXNLS9T5nBwTtm1Zg70l/eStnj2vdScaF5HHVzspU3aOmKv1kwdNZ Bdz2gmn82DiNHRr18dXTtn/6hGz0/FIRHy5wfkcgAzeEjhpyPYu/Pl9lgpchjTTJu9WjXx3tGcks AodlAzrsedPukqvk4tuXSFx1VWFb5A+AbrmhYCGqsSpfyt3uTcNsxpPOx10wia+WzVzY/YgvtCcM hauj1qxU1k49ghBQoPXi7qjtV4ja5XEqkpBckiMLCaPLHE0O5Ju0GHViNEmcdw4oAG0Dn+rs7vqI S4yUvO15WUFwEv6OiQ+9xZZosMcT4faIA9uvozFweTkpWlGRpEXuB00cs5wNz0ME8ieTwXNxFeHS Ocn2UE5093wTRhyvgkWxNDcP0B+xAR4Wck0f6xhp4JjZKs0uB2yirrPx+m2KdU0bE1/dksJxQ1uL jbHm5wKM1j2kozubKvQL13dTTp2X9pCFHZuF6/UTZ51aB78Wmv+cB9B2kVhM7CJqjkNyo+8GLIdx fxMCVZsgC+Rm5bAMnA6Dj223K0sai0aCY7fwlmRRyRe44/3aCKIorQHuBrRPOuJMF6WLBZqxArjB R22xwku7ICdckQFlvE/tCmSEbIT8T0hcDJkITe/U36dmiSwYX9ylTf9K3LtGaF4mn+iMtaamFEuc H4/X8l2m1BIu9rM2K3t7bPN/VCY8IRt+SlO8n4O1lxBdmwXyLHxOsKbxXOBXDaIyZzt3bVme/yr+ rCx5ibdbkHSkAnDlC7rv05OQLUtuR6iEd9ch1t1reIFESfPNJT1KmPa2JBKZ6AUx+Q+/YqFp9HIP xO76YMSkY8Z6iGVKIzw6E1RNoG+VojRC3HaGZz3m/zMMEMq+F79pCejOOBoPuvnTK3I6BrMS+DOR dvxCnEVe/gfZg24M97eKhZLG1NxPAD+wyZhrKN1sfYRq0PIwRqZDmSE0IShHnSyJSh69r3AoUznP PcEuW7/KTvDNwc2WsRVvYL4Ci5IyfhP2p5cBoqVN2HJ9XuVCtZSd5G10Y1RrWyBkBI2HF8xtTx5D Hs+T4INToLAxtChhO2jMVg1ceR2fm4fTN8XKoT9/RtGtyGZT/jYh0Sfi/dZgK2SvsplUVfNczz+I l+dyorsKN0D7neKj21rr2uMoON04AslAYF2wmJ+VobPkoqDXrdVrnyJ5y9ORjzuZhJfMYGgZUdcA Ifo34vn27pQMguM8exdnSkzgxKIqo3JXQAz6Ws95R3KB8xtf29Fs5qnSPAVRC9xRewlxiWedJ7q4 nA/6qxYrdpgSyuI8q5I6RUBnlM1DQfDwpuqcIF8DNYCOjxAgRjncUqybrKaLLGhblTLPBrl7LkkN 9WvKdvW2xFXj0Ra4lScwi4IlE57U6HU1M9JwKU9tqE1z8HQzRE/A5t5f+XY6f8iUdIs6hgWrntjh 8+9FLzPaexKnoac+f9kBX9pdjgbjRquxwynRyfeDQUR1dIFAlXekO2EeAbGjXXXkfVt4U+zuk/YS +chph5MWlroqTKBFQMICeDcVaLY/e9/IdB+TRAmGPxY8EvIgY4ZGZIbgTnprHk1hsoSD6PXH+oBe 6rt9HcRp5y7V8xrgxm1+CWiCzo11monVIdLaDd/LTTYK2jF6+Hp0wzy/OJ4M7/fjBKCXElWLh2wK dS1+i+hnidBHKp/mwht9yZbKK7JmF9FVMIvlx9+VmJlGkkEzLtP4PwuZeI6s8ZHiSoL4B28DitlZ ZGKAVydTfvaCqH9X3YnhcvvaGivoEhmdKSyghsP0SPJ2OChcBgVy81UuLUKyb16Nz/GDWc2b8Jfk XHPoaWc4gkdy4/9qckm8vFmTP7B3pdUBcbU19x/1Otb3oc0o8Mg/rxGfTcWDQ5hPwlfUF9Yac6CG eE4EGFuXup+hJ36gv/opXsEHuu4p/F04+2IM4eCUzVaYWk1ymQ0d5DO5mPD81cHRIvKs2+2Ue3o7 u04A1tL43DVke9agsc2CwqMdbxB2sb8amt9sE+o6NDTx7xzZlfqmhB48dQp6JVuT/q1REUVPN2U8 BzA3NaqlQFWwnFDWA+NdwLxMyD7W/YNN9YarTcXZaPypTpOdAafvVvx2m0OplgwwDU+nG3k/9OxL TN367K4kfzkjn8snOpgfRcYy4lvPMNh30C4XFhq7Aww55dsGTIGhpJ/8MWaF8EiuaVCX1plkthQO KPsGhUYSdhIPic9UWZmDWOjEdEHLYrdCv6c8cUP+XBug+70NxoW2PO5PzCouHPifSzGtKGxlWABh DTTdjtkaVxuokGH2EVOzue2CTprbywsVZdaMEBSTob2y/vpwjxZl4251DzBirmTqFPQqb3+Q8X6A 2E2ZE2jcdDEIYDeRSKFOl/LDJm3nEKRTViix6fpJKW1OmZYyqLrRnKhGquu8FeUVeB21jjSPblTy QvlEWSWGSAkjDU2xfjHwkiyegWJY2wftsXfk6mqrj8oOv5yzg5oOQOhXvz9I7L3BLmIDLhlwWyFg KEAqXs4/6C0aq03dwSBD5GSaTdYjPxtZoyoepJvkLdVwmbdjxHkqK4hdG047D/KVGAlK/LIJXwjE qIJw1F3BP0YmnzgftGQcUSyIhpJqc/0OuK6AuzfdEg9HZd9nnPNg8DX5STNZzS7XGiQZR6r0iCnx DjJxK2L7utD3BjB4CJ1j2yPtCAlQfCtoKwjuHdSeuJEAW21rSIWlrvpQWnLBjVm67rb5nP1FTLf+ UUVMgsSxIsnSCie3KzPeUXmejU/39b3eKvLcltpiEQ80AhPXlZmemh1D8RPYroOs72cSC4qqEl0h lQQUIeReyQIG30vEjrnl3JLqVPn/eR19biOwcHrnbCNQApcb8Xk+o24l4Sz1Yt7DWMzriN8KU5dU uHcOdxiAWfDCtVAfgnOUEVyaqOQrNtqvDQKIez3poaCq+4dHZnCUicAktHwl14y3It6ddJvgR0wt Amaj+dzMaAFXXonM9clx0+a3FYBIKDq0Nxc16btH1ZgH3ICt1AdFLYqhw3StpI9jtIyChV48L13f Aj7AiiH3KsnTToOS86h56gPg6oOn7dJB7tS+gC6zolzf1Y22JiWxvvlTm/vZ26oAQinHY/t9IV/8 8/OWTa7fvC7p7w5vBxn+0t+eMtARKEZZY4Op2v+PzCel58NxyDDN0L387ZS7LysCkvBcuCfgP+H7 /y+xZOXfoNNdNfX/p1kGiBRlIdVO7GsK6BiuNMtgccwJQelAQdpf2NJ5MvltOd2vRkO2ijezVxcF h2jO2bGE9KXKwkYiCv5Jmk3qLlHSAT9mZWCaHyYfTo4gEnVgbq0W94bJcn82QY2i5p07PyBjT7bN Wi1PPCUuX6EdXZYDzYogi4E0p/C5rd0rG2DDbFEaIDndIigqeQT5uGV6Vj60BEb0xCOjK0nbYKrQ GUQhx8U3oqllICPpxS2frKN6yF10LX+kDk9ice/SeUyrTkUZA5p4N08S8DkEGzBGiZQlv0XXFYWg 3nDayOWrOL2ncLlKTgxRhmILXLyjXSXpq/sP23SSYOdPA8670PJRcgbO2rnPpUVYxeTvX5xHnQqD 0tM++MhXueNvQN6B5OPS5sE0dp1GMrTOQaZYaCCDpIIyssUTVDzy/wR8Y5CnSCtyRXwaMW4owV1V CnTa2Z6Zq387Job0dFR83yBs7XJhKnSLi79VbholgXe8vP3k54Km0zG+Hx9wPQH+n+W8IUrHQWGE YcQbQ9lShA00tqw5pddt1qEXYC4IRhORCY19rpcoYSbdr88+zBJFu7JsdDX6DcLs6SgOgcA4i68c tGhjq+ctFsbneVBIKBRvS9zeD2K3VH7Q8rREd08ZSsVKRc3hhSzsiSGLKrco+FLrs4RJL+Xumt4u doihcHvz3ZPeNnReefU3pdmdshhnQ1YGUqWN6Rnci63dlsWOG4VBUwxG9aYKwPXQMGGBp5q474cM DRpgcq2ApX3k0VAbH3haHTnOKFTBvJPKFRCkXrqunpnS5RVJw6gbScGKD0d8z3sC2tczf5AJ92CF GnvSGLQgshTXFVAIBsvwrTD4lBEV5XOrnaVEobpH0x1rEsRotqoR60MmVdnYbUMJpY83bQtVxJfg Y4LXASKI4xJZaprRF1xV1ulNBvxs6eHBgK7dPMP7hdLtfa8zEaG7ycjo0HQnSX6QFEdRV1Cyq5Rx RS6oj3sMZjAzjW/La4He0Tqk+sBTqYczW/C4RdIIJbYhC61ExxvW8yhaM770b9+q+IVgWNRJnQI0 nsL4NfpDnmoS1H/Y7CfBK9wl8AZZDJBfZny1jzQ9MZhmcdqIlNhdNrX7alEnG4bSAaMe37YqM5Mq M0+6tgLz/1JEH9WClLcNDqlraxRdfnh35TUnCONJrfzgdRcxQTULblVl/LdrIigSDNDLai+59Fr8 JXZQo/sWpLCUIcJPZSlGxCsizjUPHYVZR+TCRfPTe+o2mD667f5Kk0HUV9OQh4jwa75Z+OtDxsie dXHK73hWlt6QDlgsrWytuCux28U7r3CZ4fuNJfhVUOCfU04yhTAjRrCyuuPMv8fVjUfYJZVi+nc6 7GGb3G95EKurG6OuBTrdUyMXL9MPLsxD5oBIlALtZWKCElVrOjbD8sMXQn+s5qxkqER+qX3jaEnJ S+DuJ8BNVvq9DguC3CFNtvZP7iFSxgAhv+pmiz544pvzlgjHuoDKY53rdh5gxOo8NKHVUaD6hx7K hs8CynlZzX6iKa4GCQ2iGaOotamSVFZ7kw0aYWG2N8+lWikPl9iG4pBICehaXkjE3n9vXuVR+KTo uROAxpNk4/Lv8etJqnHq7Q4S/gpRf5w9UW5bsfj0bH27SQrj0Qx/8z/BSF5aKCjBkhZ7LW64KUKk 3T1ZpPFPhSyGd0mzkowtHlgWhyLgptAr4KYd1b2yCImbuxzIVn7j2AWx919phenfCSAG6hEhonpw xpmcYC95Cax29p1nuh9sJSdFRaG8kgLZfK7NsaP2ncB+MDcghrek5Dd87YayD53Fb+fI8+SAHHuv XP5pXjqSYHijn8IOJerLCiGcALERrPzFbdMMCwYFfh8Orxo6fRvtnGoZNL/wUOWRAn3+JagbnTpO HQh/QPh5Mb4EY2gsQ7FcKVEk8bVWw5g5mTlLSINGMiaRnU72URMUs8dJ0YCjfkLdrV51ex5kE664 BTby7bydiBiTtbvF1Ln84ThZNOAiekKSwk5cHPzaWjNdlk5MQ2TYw0FhEH9mtSKIHf/SWLFKTOTR tAOTWV8tmvF6lbkBQY6wk5j4KOBbbCVbA720u0JooayQ7EotIQVL13w8/PblvXJRteohOljxcuvz b7+l3PPuJPLDhi1Rf1q84kDn5gMfpJS+/PV9rJjf0rm4aK8L4mDgMQu6FxvGGTNDmAZAvuUoWq6m mbenMBqR6kdqMoYDBSN5+Yd1aEI6WmN/eb6SlxEWtZw/TEjNwjHFqycJ2DAzy4/Rg9k7SFVXzXXx GZ+BgmYVvnmYs+HtefVKkj77UdJ/V7TZujAudiwzF5oPd4MLHFjdH5j0Q2vIdVMcdpfPgUqHMHSR WKx6V1l/a5M+5S4SqMZbSIw8WoxHnXRNmrJ/02bitIjDA50ViBDX3HlPhPAteHxLSGUdAhQ5ymdk lwpowiSPseaFWymOGNRye8TtnmpQIjdet9X5nUPDPlyI1SbVtiSxQcP3o1cc8f6dhgJtCOkrpD+C 9sfNNz0Ces+qyGLa0lVLrZV7/V0TBpdUuDHqdSW3U8Qx+boHDl+0UkDXAxQUPq6+Qsoravp4yLtG wzcwaxHicaSE08CK+l1W9EJNUxs7MhKh6NdeNYjj+su/aCSrsPQIfwHj78H+HQwY4tMYTS9EjsIY 3w7Don/NDqqqGcCllzyf8+hf4dSGacpL+F5Unm46DQuCo9Pa7rnUf9+YYtcWhRMqNW8SXzOSLE9I zYnDECC+5qhBdacIDyZCJ/VVXlZ4cZ6LYBrpq1ZUSAMEF4jYzoeHujlNuHk1+MXw79eUL/IymaMG s4YqUJV8/761O/f/BtKRcn+OkWLCKQQUbNBRgGzOJcHi4iIc8ZSkfrkDRH+mGRBvpRuTozrCd/9k VyeNTjdxXiILCvdyAw1fTT4dPJtpslacUcuMu69enEnwTDxkDNDKow/m3VbBbVD3a4yzw9px/hBB aQmI/5ihPQ7thz43cVEHvImkNYYQAgMBqVKtBbEXcq6TdFWw7VKSQYd1wijAVRJ5gWmyhpPb8AO1 teTMfGKU8LZka/rSJiSBhOxbYYrWN2zGk4yIGNMwScU5hqzXYEHSPLw6SSXqqca4DLRTNa44nThp HwSxTQPUrhf/bJQeVcg+P+NNSEM75lkdQlIb1xq8G/WJyIysZQAe1kqiqx4zncWyJBuHUch9WXNx O4gRwhfYVwx0huncwoJPEC8GZg9XwrUCHy+vvcmAymFrXLtkeOBAGHsM/1HLIHnMCzFZ5/yHjBHu aDd58io9s4HJWVU1Tkdq1B8D5HK2Y7Sh5rRDeedl9jw/oWUCt0TO06pYSLXKCSQyRDB0nW7XVZFG oKjpbXuLUD3gXP6VQ9FUJww69jzoDMLiu1JVQ/BkW6rRUrlXpPtnJm1YPCFkMRpqdp771m/Wq9EX gl87zYSW86aricKqTQHlU8iHvtgtGWmyBQkTmRuvFQt23kaSA7ZSRNlkFM7U9TrT8aaT2Ha8GdWW dMyp91RgGblCm+UcWFJQTYS10gTYeiAv8UiiA6ggoQveAZu+c3MqIDowRkX6bON7lq3h7Zbua4BB 8oahq7nMdjpQAbuRzVXSbKqRA9ZTLQCl1aXXMxhcmfbZrIwA8yEaH6D37lZLA8P6azGspiew0lb5 1LWQ08i9Ho1ptARcoS7ZA6EiWQVUYyIhqTUa4QGUc8GJu0OcYMAAoQ+DP4+6Z89ZbHkS7B1iHyJa c2wsAQaZhO7fDS65kqeReOcWMH0Ocvmk//zbC19AWONPvaI6YDNZlOQMvTYg5ujP3ADCZ+Kx87PC XIgMKwojfnuZ3q8I18dG8tjibbEeXADDK+90IdJ/Plq4ISzF26AnvWkGbnOv45gipX+bjWCEq44H fjyR/sqD0HvRykDpR+ARbkW686lHnBAnBdejgUyOZvwfYMBsh8QPtl93J0MdRZ5OU8VC/m2ztkJp AahTAz0BWS2IGkXJLrIusooce6YSKO+k1NnBM6/CzX+Gjb1EtxKQrqiyV7IB9pNQkAbe8u7c4D3X QBjHQ7TVLdQCJloToywNeuOKdIEUWPS5CPSNxQ/HrJwhGkiQuxXywVxLkgyMd/iHzFhh0LjOEObx pQczPwLEs5ceTAS09QJ/NxSsCUw8eUtsSecZcNGNaFx5xEryLJIHk5iZvLNV6pffcjrh5lEKytv1 J420tYE9A930Q2GfGYkttyaL0+RMmqbmuDAJe/WTVMeNx4Kcowm5qYPytQwJZNjtY7tIFXONWH1g 515oer1yRj6IDAQBIE6Ph0SAsWBdSzJme9kM/zPj4uS3uY00yMrYNA8DmWO5318+hsKgs2VvSzIX ciDbRkLHK7ZT1VvzRPA61QeP4lqBU+diASWNYjhCjT1A/c5Bij+xEyD+mkWO9nFaQL2GR5Sx+Jxd rBsluugQJpsNMZsqD9ZPOMjwAcyqxHZnJx6rCtrdk98M8LPKb03ZRhrIWGOuG9lZDgTwjkwi7WR2 hMuNGNP5pQ/PZ87qR871bFYS6PMzVS0wh/2Pva4d5368rEvI7ytc7gYs64TJR9X/ds6EeBwg//Nt 8n71yu1cGqCaBR9+4mz2LR0Hsu106OswmmrHXWI+ZMaH+avGoEJM1/E08fjGHtFfftHaQNBD2VO8 4S3c3RMRWkzulxKGu4vkjxhceSgk+Y68JwMYXrS+hFrmp8jxZ61gyQj4v1lhJDKj1wGx4BMYZ+2d ehxHbrOwu6EeylJn/mMEJW1055ZlSp2TSxo1N6Bx5zK1QiyJj51PxbYo1Mt2grnKiGKT9ljDbcm/ 7g7xS3Fy7xa4pTl01XFD+0+glQjKGAIfq4bqc/HomUGX9Az/6G2c8ttugAGWsAfuHW7xmee+EIYc 05RGRawY7ltSN5sPYAQPdfT1rvjFarfp3eyYgv+KN35ewaeVWrJvBKp39OKKC2pKbSBdLu2kIP1B T/ycOLEs4w6OIkuUmUEN3mZmEi1XzGjtciHjPW0kqWaTglburGODHnFUMtFj6/UOH2R1rwAfIIPo KIdGMFUDIP47bTRCDVEwugGU/fVbWr3g59Iw+FhKKbwSOko9W2S7LIzjQfH16jevXYhTsK3eEiy7 sFOcz7EhMgYvAYE4uvcVEp5B6XgjUeOh0T5Sy6jMjTfR099K230GiEmZ28AzajqwcdeAPwCpn/sO qVuWfzEEi4WF0kSHJkbS9aokAgCFj+pn9fz0KLOCDQswo/itSmYlLy0nPi2poPT+8cDM1ID6FXWF K1/ry32PCMKk9vSQu/bQGWOUcNruF3WgJhGXBmVo6n80NXhDKZLxVERs3gW71CmrVNcocD3z6gGV lgWjjeFZtGiRelFyahlmzD7/4BFk8esTCYaJ06Y/Qr4sO58cMs+21lAFi58lOTw1kIeaS3fQz7V9 wDVffR7NeQzup1KHUWonxfRapDi+13qASwYzCHpo8SfZUHHIV5nAnlHHP4PjcUBI8SjU47l/TNAX f0mqmPwghEb3miTKnGgiLyhK1D2m16FSmnetPnmK4cd1QEFXnplcFUf8Znf4yur8EmJ2tri6iwOo 4tYV+/u8m8XCgBMYqTtRGm4myE/rY63NLlk4uoXW5nzdOm9rlXtMv/ZOOrPgPgfv1G6aG6aQrHS/ 41MxsHPi2laavryDzsNn0ivk+C1fpvQmmFysV3IiFATgngvlsqmRWOEyer/keARufsl8q2XdBDlx gfCIyhOOam+c2u6Y0XJZRN7kqotTDJfBAob3rV17qMkcJUtSsgUBx/PeLoI4OSEf7jUitthFBp22 5FlXAjioVMrb9kJ8lMRZgTRLmfS8HEs3+NNSuW8rBqNQNtExbyDnaia2LEWZDpQuhzwSw37xWzVQ ONvjO1fxYuhxiQaylLeelyFMksuV+4pi8pVgpPf3TvV/8oMcpIiHe5LRGNbZ5THaXH+IUU13aJI2 EVsyMY8RKubonlGxnhD/U7vB08kBaBdCGoFd9lwdS5zT6FHc5wIzXpWhJJ+EGsl+E5E7CGuuoCiY IeCbSe6VdeZAUospTAjxTZemDnJyqJBJ7RnKEJ+66/n8TGSTdiTyMA1e84+2LycDH9HxFgFu8rJz d+XgEadyd17v5WNGSphBSR4GtjQkIpRLAPigg9pal/21ruiEL+rn71DT1J2s6KSPmrOyBp/Y67P9 BpJ66j/RapNuGcBrVQGghJofXep8+GR9ScfdzM9B1R7NWUSvL62Xj/roEnjEiIPunFL8EbfvjleV O98qVYrg/8j38LZsn9sz4rVCNbcT+PhPP4itddHoK2B4Cg+slIYdBuYPzNMW97kvqA+UKnwWiqvB TqZFQ1IS8zl9OhEcAWh1OmR1DTmPVJUVcr9hubluBO9r79rrONq7jJ0waSB6ou3xzYOhl7fM9ipx +G31ZpH2EnTOfxZ2unjk4n/6fluDp+JhSHue00Equ4j5AV2rqOoFLL2CDXBEZ+M9GD6sD3wZg7ff 11pIUOutreuxrGLb2hwIJ4XAhfz0ZIKnlnAOn94oKK22qf6XgyFpqaVBl7jPF38vHVXauBhbn773 325hApx+UZeRjptXvuCAv2JRiq1LcxaTGwheRqv/kJfddMRJCI2kYrPWE/xqcveW/nsXgQTaYqZf oYRKB4iPrLpuj1aQat+Ij6svhbitn6UQVtMbNwrMk0PlhW7nZelkW59OHXKEy4HlTT9yVrtdBJRE N9tWEJtKz8MeHjdF6wnNqS9es8wnkmySy9b4R5JmTdcjq6VSKQGrYiHaxy2EMOSRS1jhy4VggnQb bXpJAZFmGYEPUcSvZs9n/1gBCHYPnSezf/G05rmZCjyf0W3PQ0JFymJxR1wvXPhEd2dmrtIKI/Jg cvDtVtTBk9dJ/MupLePo1xxHanxRZvKwbwa9AJFyKDdHNi31FoXnIw3cS9zZl8yGY5Hf927KBh6o fe91jeqC0TLGFbmlOrQJRptumUptUnN6/QBnBuV2++JIMGzxwP6iYABxHXgh1YOfUHz52WLKOAdc FfXmKkv8FIBWqebXNbpNXJCFh6vDScfz9ljysEf4VfJx3eU1YP9KpG3/o3zAGOjNyH8azCos1S30 tBnd55BHE84ywKoSbhR6rclVxmzIShmjOq/+x6U6frr6i2W6h1qv+uuLxg54I4LkujUonmmNPVx4 dsdtXJtgm5QyeoCwtIZVl++Ss4HF+YhEfPG07n38dtGGi1/PzVffpFTj9h6v7C4ejB7sgXHPTLFY 4VYk5hwKvbsdI/PYKaEo6oviETd+sP3aSK7z7k6lawBnNB5V9kXs+6pxXJNTpRrzIOMjranmfKJp +Aqigyc+kqwEo6dtZwHy4bZ+rSVFZn9PfWu+gihdqMExpkofs502Vtz4khs55tBA9KMhoMl/E5jC xu9tl/2D43IkpAViBBvm+HfznOtybuazfjxk53rD/OB/OuXfS4jIYMZ9QMA1gmXPvN4+y7mR2+vj APgCMVYWHOo1rZRowbQGKPAVATi2pfIGtNVQi28MMMFGsqUxOeArtaLMKtrcplP2T20VO8jjM7BP Iq1eCmuLO7gPv2jfWDI1SRSOtzUEGh0Ii6ahkATWcqUiZiYWBZJ5KYHYVn7h8xNNxjBGEdxY3YsX 8/eLiBkA8yEsnS9EUOzA3HW+nzWerR92oxY7eDrGRAOyPWOT3v2QDR6ArcIP9XXmUvjxLC+K7dio g2QqnGAoPVjvfoLR0KEB38PWnkPdVEGjN0x8OrH4oF2iO68rx1iXH9MNdA2+z2UwV5g/C4TO3ABH biElPdqpiSGuzCM/C/GSIYPpQ8s6zQe1OYy4XPkhEKay3+eXQTw9vA7XmyGcVmG5WWxw7A3MSDJq kL1pp+qbIOTDTifuZoCiL9nv497lalXq8rRitsF6AANlO9RYkDBc05q9J2j6su+lfDOlEsUYNPol WRHyzOq93bBIslzCAlcnZnph+VruCrR1eLc/qfX6oNhIvdJp2blyg1Jt8Iwf1XGfVBUgRJftXLXn zg2jmHtXDoy46/mSve2bfojqvsGw2zjeXQGnVdIh7U+AiV6beoy6WEZ7gSr9pgkr3sauXMTahg5o Vs0v8kZ0ZtnH194cFY/qRrdk/K2rO0IIz0xwj/+pFsfhXwRvEeXZbQ5QoBEOGejeVZI6gJ3f80U7 aOsATLoLK9dMurAAPl4OjysL6pYnRCV1y6hxbLgt63lB7yxPFF2BUGiPKmDAnEVd9x5DFa4uCkYq PNt4ot9vUbZl5Pcoy5snQ5dqU7lm8+7zOTc8aF/WRWhjUj1MzOi+KoNbUiJzWTO+L0raYY5++AbI WC3Wh8mGBVx23NiI5+O3WD2onYoz7kb9GtOr9RTjI1QNkOcK8mob+hdi7PO7UJRHf3b8qT00E3QT FdFbumP1zL7rwnHC82uFtU+P9856PyeLmjkBTr3BW7UVJXDGkzPUpCjiLgMP+evniBmztdJvl+6u P7j1SZ25ahM9GmyirGwOer/3mexjCxifM8/4Xem9CDFCPXF917/6zupZjlg9dCPK8Et9MVslRZ0D nnqLkwA4otidYGWUFWyH49qPoBS9H75eg7GtZvcsd9Ed5NSK51Y1IIw5/u+JnBDInTb7Y0pmv2+i 96tDp4M1yBWphEv62+HiOaJEMbczqPOxg7/8BZbPc5/eDAy1hx5HloWHEIfiYqV9bTvez8BCQDrp gDqrqkwp68V4FBMt5NWQ02e8lCN84rqc7PmyyFZUVhxELQO4+48DWJWaQIcYjh5GesXXcQY3QrE3 8F6aCKQhoxwZ5Ndr7+4b710RjFPM06eJEcUODtsWCvdmWS5NpkN8gR1fMdpMOLcEU3M0dUNkEVsN KkZE+plAHcufb/JkrXJ+Uyynm1PsEdy5VQf+LKwX2BMk5Y1Idq7mQ03d70qTDw975yTz+KYrZWbz C9BMjGFukjQ82hdpb6c0oU/96hiQWpr4BpItva1Jd5w36z3GvOP2dUX3Qybv497CzPJMRi7Sh5tI yEvVTdXACiMeudVyQyG6e7Ju3/CEzgGkyH44TQxsTnLpVxk/Zp/I0j/50aN5oDC7U/yzRNz2Ykzl +hHmDkb+cpHpoq0tBsqBGxAHgOtIINtIEfdz/wVH/vAV8+Uai0ikdLxcdu0ZYyvow7v1LT73Z4Mv QDQpcMWZOdJDtN0dWftWAalCKFPkomnf99P5jjRD0NCfh36JBz2KKYQN8KoVu8l5AGg/znFL2etM SVz4rYx/C0F1LuhI6uw/qOpqNe8KmNzRlrUKLrSspuoH/uDrzZyRnyhqaRFgk/gspCthFCPDWgDx B1y410KqcHKNZTTRa2Ul5ViMDgWwAcm7ZLRiNSRUzJIR+nX2yDeaPFSMwZHWdd61e4tajl1sJhBr B/4gWeiiL9JBOoQrrej7C6O7HhST0u2Xl1tGIivVCbp5UpJVPa2fteuUzOHCjdMqwIy4k1njMOCA yk2Xq0cfuUtPXVmu159g2UCdFJqd+xlqQ+tzS8siqMGXHgR/oCR7v8f4pKK1vtLLRkXP0giQzK5P 6+iIMa4p3PGsXZiqCJpv56FHUwkvdG3STAC9+X8uWUSH95WkBwetn3rnQ3SQj8CKA6TwB4XaLzGq EkLSMnfcW4TEdKqi7xNBZ8Yx11PNyXLoAUffGaWqf8Km8gQcsN6y7/RPpAZ0tC3IDcN3bVsao9oI 4zzA3Rf2MZcJFqOnAQHf6CDgIWJXG9aC4LwW8rR508y3PpivVVjlVrYToi6cfkIlOP4qRIZx9i4/ xNA0TxS8OXins7sE0ptQFoIURYuUSpxR2mjzb04J0OY3cOGHgU3L6W+4H2luO6p4Sp/oGfFmMhDr DtP8GC0lqw3GJNC0xLt+V590mxYsVv4xnxtbXzjWzlvd8ujTY04z04JD/210U2y3ijCzNn85Kt0R xi0OoN2yO0LyBhtWwcwRI5Qn/3qlbSujMjatylvWxHIXOB5D8CG+Gidtyrx5FM1liX6KYwqXSeYy +UW24hRed+dq3oo0VczVj0KDiazIZWj7jaFeeHKEbCReiG/4tDynCGTJITlmvir+S8on0Gl9fjH2 /+Q9WouycMdWrwi6HHY+nM0vwrYWprVri5rnLyG9Rj6QwUYFIMUSZgsckBHQS1KgMJAznW+e6XGS 7MvEgrHbAyE7JetP6wQHF2qSwjIgaWVJWAOj5JBrnirxDpzxLkALWd6yI5pEs5NQYKbvEEp3rJiX mldj/MWuLYixieqJutztB67hn0xx6d5ovnMZXYys69L/3aAWmKGtLExRtHAZIOF5kq0einO7MdZW dW+hdOJ35wCmJISyfertyLjUiE+vXG44G5fS//piuPTsnK/6s8VkShbXniaoZJTr5Kl3UTNtI2kA kGOVSkOW5UhMdHK3iasMXHLrIA/ZLiHPAFtHMApi8Uh4ufeYX92wljCShBw2c+joF5IgjbFRYMeV 7jLI6+WNHHdP0GgUc0T6X1S5baPZ8vqOpXAZPSMgRInmSuLk5Zyomt565D0LqTmJUnqtIAvm0QO9 pOUFJn0tGDgrzbQkS6AhzW2vJgtVrJJX1M20O9QUOu5MlWdUBQWrKftUPX7mVw6q58hJWoFZ9EBG s0a0coN7R6PLT01LL45z1IXAh9Z1lXvWg2kLWq0fiUmpZRtKLvBxsCcQkMXgdO3ZoVnMfNB9Sj86 uwYzqEeKEHS+5axRgfWJmKUfyCMvLWSEJe9RKO4zunGnm2AHiglJ1OV9U1zy9osX6tjEWRs3bRsX a5TCHEnr+RkLR3DUEeiI4fR/BWq5cnSR+sIoXCECSgOSO+SQuD9i9rijnxCCHxfRovbbKm7P75hM nBNHq2U0zUBFER3m7b7gR1LkTm0omrIoXSTEs7zpryKLF0Vkur+KzDf24YfdgWZc1skQ9/eLxtC8 RyF8hFHhORZts2e9Z/YK4DX5ivLQ9Zt6sENOOqzXE/oxI5SimSQcU02O+ncS8YqRW4kA7O/Gb1Ur J5JanNw1Q7rUmMygV4adnAS4whomS2NlDVvcib6sw51ZFrEr374Go2LSZSm3vaB9IJZR1GN1kY16 9QYCzAbJxT/nVv0FjCKxX9Ath0OLq8QHYZsPYhYLR0D1ULgoAUWq3FA22FnOV0Gh5kT9ByYj12hE frSI7WdpvnnG6MXhNpNTovFWJZv/1SaIjeiEOXfyhWjrO4EvAuMsDhKR17IQle8n+TArdyxAsFkh W46iFajVX/3VoAj2xion5aSy9Ave0XYU2tDLEk5n4S8QWg1g7aAJf0nfbcoYVeYvGBj+yx0qAw32 UZr2bnlN5m3WBm0TPG2kzFAKiItH0vKKg8TMR9vcs8O2NJlWAnyEb468qF58BNOa22ciO3zNm4ly Y4+RFaQxIAHsDVzORPUgc2CQqqeg/8NM+nv2CbbsERitOcSEpCeJSN7eLvIwYpgpdcFaeooVb/ay DYgIUsxe1YFg6kML83uX/1KVXcsrRh9cr2q0GWVT98VBz6uifxGWEnNwEmPn60A7MTlKM58Okgcp CGn3SDWlSqQTOXx8ibZSW7oXPgjMIxUQtwXGF6WieNlavhSrazin8jb2J4OgrAlBJWKBaL5W1PbZ JRV/adVh6VQul8S/i9lDLwhZxvEgIN6ns4AufPtwMEIrMigH+y/UMYfNSxfW+b0FbcJzfNb6Ious vJ98Kd6uZhlb8Kuj5O+IU+7v1Oh7FCasx5+zOqBzy4BnERfQV7k/xombGhqOrLXVs8Jk1QsvI8Rk bHBXGsgRdEHyVbhROBnip3wR8RIVE3SqX5nyNnccwPSvESHuscs1vfhQV8UHd7uti1UAwgDO1fcR OtuyiIbYMfB1y4kq4OGrhotjPek6KSE5Fo9sUpvUXkmGCcO5S86RRe3hJcoZAGi+CmICTEoDHHLz SMiFin012QwTDy1k/J7ZGcmBWw/0Ugz3ihd++Zybl+SBWvnWAAI7Rybq2gAb9WOMd7+Hw+uCZwGT Yo4nUbn+BzJHF+L3EtgfMUfvf19mUkOBDPCvGgLwlwOVfq7d3znkE4YFLaCTSJMTlIaqfHPvawb9 JTcFdqk5loxCbvuOJamrrWupJWUCDZLnIjNsltxvr8L3ovyYm0x1BqMkQ6Gd6ZThYNy/UebqQJ+j Emu4RN1LHvmRQQVubukKup1moZLmvJtWpAKWiGULyOGn06QnJLuQ+LDKXsXDwsafurXmBBOyooUZ ZaY9OSDdvUqF49OoBf74aUVDVZHYiElg7/DQ/7R0nD461D0DtW67fsFnu3GvhAqOmCYyshC8bHN2 Wka94Hlu2jk2oIpjOCPgzNuDxvRBPlMQ3e1Pa6sUb2awj2fEfji0HwVl7iEfHEWork6Ac7e+pvk0 ++lf6vJlIKOZ7IZy61fPY1TgM6iR//TvPps7HYIHSYqtxz2f1U6NeUoPSehcOIppF/gJEE7rAOJp 6RtxDRlmsqo6OOiIgYCqRKVmFZTjGIYF8NCBG0BzAM2p62zp/dWCG9tzrHwTUgnL93rQCkKPbAqP JySudjUJ/EeDCofadA3lJxhg1ut7klMCK0Eg31qWGSiW2jO6LoKj6k3TDFj5KrEKvrW5jKJUh+IN U6/AgtWj9t5c9/4rNtLSjpKzIJQf7nNU+UOg7Eqka4nAgdQdJSB+Wz8/rJKGKFaXPdurd+4hbYM1 Yu9HN85fOxczhVMwjv96HRzl96ZNROuirC9H9B4cuLpHFL6Oa2EmmWyxwJCqh8e+aMp9q7rYuKph jZWLFs5Bt23YsE+3vh2DwqDTlA2CJXOjqGhHziy95skTMSz3fMyYznTwof3ApO+sZ2HWQ8ov85CB FrnTsM/qCzpDZjLL52gwGAFEJ+E1OWPghUi3YlpsQBw/9b/drV71NY9/t2E8GJnIMOorqmyFh5xx V4DBA0ga+4dRZrZ9JlMJSWbiACzwuh21ca2Oc6YjYdbbWz7zLJkThwQmgNBVhWkkRtfWX7xiTh4c YeiexzzTivpieMPyrxB1HAdra2+1+0XJt3K1f9qFwSYtPujTM+FyLNu30YdvA0WcLI69KwNetird 8QlSRvebLOcSaZkcpew2sXcbPJZrzOPzUcXT20ay/NGAQaC/lK4u1jS3wPKIXHp6IQxOEURajhQU ypWFMPjPYS6CCfJU6zY8JUXoyru12xl/amDM5DlGdzQm20VduUFtDEZGd8gqN+ZtJLqBjPv5IqE+ II3swDTY69ry6GkCUXn6uAYgbTa10VZLRUKtCGAGu9hW9g+rIOkmIQp6Oup+NPEl/FWYtogH3B12 MA0HdW5pURQT1B4ksgH0+/FbjbFPBnOPedsihsd+DsuBQcE/7mtmzxZ/YDR8Wiv7RRP7rujtc20I i4L0aYttBgbPb0XNx6CjgpgKURdcE3Q2073JDrV+GZ415BSfIrXCGF6RNUcVqQd31YQFg3kPv2JW EWvP+65zcpCWTH/s2uuvGkX8sgSKEVKexq6W2ALwaNSV0ACqcJbgwzHBmmzNHrx5oRitvC3jhC66 i19O3hUE/vpD7DMCFedS/clmhHmzGidr/URPdAOPOo6VaIfOJ8HZ7x3rYp/ZdgKRkRaKY6Ql/8lx 8gGIauDdJjCnpQMpMIY637uFIMIUL8PEbTs8FF8kFCxLYYJI/3On/GIyxSuMpbPZXjAx0XIjr2EA omzMzFjJVR/eCWPm8A8a/RgkUqd0aYydNcFc66WqNqJY+kAFxp7qJeaRheY98pdA5GDclyd3QWVJ cNBUq030Kde2IrEmPQqxmUWuuEnT8Du5V54g3YstEWNutvrbnYkiE7rDXxb1n2RQOLzg+3/wxprj M0whMmKRz3sy0Qu1/IB3ug/3BJSDw9eJ5e7ebwYie6jJvyvxjPzKK37kIR8S43z5xZkEk6W4+DrU t2KdZ/egsYS1MkPyefkxgqTMZ97nu/nlsiBFCvsHnzdxRvgzVPq8N4OvBNJOqYQKF8RInAhG1NwQ jqlz8PdQdwEWc+VIJmrDQmXSFhEeL++pMvNh+zRdPsJyprzgUu4yTPNjhshnLsiEeomcrzzI7VQk zbagBXr7EUek9yDH3e4L4co71ZfMQRAE8Tk15Q0DZujl9fxzEMHt2wOyZWVgBFyS5EGIQMPDBEIU yXgt6TR7Tc/4paVjSBXfMP8Ejdhk6Gsu1HHO/fo1sARyqFxFfKVWHZ5ZyNVqoaoeN8o5axMYyZA/ +CsO13ZIwp+kcVX/RYjB4ge02K+uF483+y812tYnupHLCpiWjjaDDz1lbpsHDDWEKbG7GPkIP7X8 gwrqnwaUFF96SHYJ1SI/U9gYUBXKsd3JUViWXGv/KZ3CxVeHELB+vtxJqM/WpMgUqxYUpX7QTax9 ptWU53RZ0CdGOXi2I0U46NyA4GjcBbYbAHwP0kxVNzoEX042Eckiw6YC05cmSpB1jdJfiXbAIwYy VKXYRDeWg2ZM5ZeBxyz3Sk+iP8JWxxXL43KHbHEuWVARzTsXkcpE/Agkovu2GJS+ck4im/XRTe+9 owN6EDQpoMdwzKJaZp5ZfwEXhm84LPgtB0otmqTwCZZ0/JpV0N8XFgjZ6g/FU4RG3yl96vSePzLo MrUvedEsuKi6WoGX1O54sUfgZLLhPBF5Ft7cbqiypOhi+Qan7kKsN+gj0Dyx6VhnpfbJv82IxccL 5mM9t0p3LcDTa1Wq6ZPkZPLtGXoGH+rtATQxKgICDH3zRX+UqSaNtgnMNWt73Gl6XGjt6PxbsdEK z7+oH0fQ3YqLGEwa4UPI1D6B7PG92/bFXUddSk5bIAvJCGcNU4NbUTrA3Q5xPl9a2pwfM6bV0VHd QpYBfJwhoZONBqXLi3TwxVBn3OJyAK9YBIGn++L1vZEVct9NxPKutj5sRdbVGRgHhzcjoN87nXJ+ 8XZOIqVquO8CT7QLkfUy4zKjRv9IdxNVEwoTakScQQnPuWobDU2ethB4hAjwMzTpzHROVOJO+PvA Te1Rr9py9wNsmqbSm8MoY01LmtrbApng5MDI3qNN8haRchKUNEgy4WFtwpx98mX+ZS0O9zcagJMC kuknxzQIVGZT6gd6pzhuNlF7rmPFk/TbexCXvvItcLaVrXPUs948MYxG1W76sJepG/QiM0M0H4HQ N8jialRU42sBVRxoDIS0ExgfdIbYxAsXyoVZLii8Pey/+TOBDs342UyQBHewknSnAfMZP0AjruuO Yu8UihwnYCKHtxYdmsPIL/SBq/pPtMTupHh4f6puwcXVo2M+lZ9LVyMmy//+JgqU9CG9Ovdu4jT9 MoQfz4SuC1ea93wds7hOwwXGPGo5vk+0cc4FLWKN4XWNuGUwNlPaNzxpV1C0BkvUNsCuO38/WgFL wRUoyhthkk+9GGEATFdW6i73ZgLz1svdPcvlNZav8Xo4e9wObd06dgkglla0Kfg/hd0gTyDTu3Yy KW7I/ETnuEQIc7gxi2pwBl3FlS/VM8JzZmwtRO2QVNVMaFpx3xdQv747p38H0k/IbK4SknDGww5d GoWNB3XbVol0mg7Y/NeGFsQh79BPCzqFkSWRM9vb8p+LTXe11Hxd98VC9OLwHQdZ4p4iZB715g7J 6C8shTrLEGIsWUmHEqGru45yQAFzSoeQNNclPNGekZ2qS4A5aYaqQ5HGj4Awo10d4N0o4E5+Mwi7 xxgmzIxGhjNxD+5LHvGdrP3k7sqwOQsi92929wGiW7RGRj+H7Hjr+EjT1QHqG74/rf17ThXr+72s 7vNmzdvHp/Pf0yqleyuFRBI1BAt1kjntn1CNA/DMNQtp0LkLCxUB0h+I3o/A/69m2vweLEjXncr3 9NIe1j6Foniz0D0OCAxSQudAPkF/TVmayTxFu97B+LiLBuDrETW24X4i/tSLCOFwmUpz83CAk1IT 0x0+ioaiE7TWOY3qXfG3ut+b43LQBikzRWs/gXjgVazO82TLmL+tikQfskz3hi0jXr1QjG/FXV6x 86NHzawfv1cYO+WnU/SgLSSfsaUz3Z7VgayQ8YfGzK8e0/nAXBjDRTaXTyAHrZ5D3eBo6ENanbBD jIko0iEBylg8igxKNSxcoyhNmPY7qO4NK6oS6ifREKqtRXw5iI+iFoFAl+wTPfXvQk0WEBWnsQ6A 47Jf+zKY5t9Iusawr+OEDhU8nbqhMIwt2MzG+h9/xTqwA4tI/xHahg2AXVcDEGeATNGxYB+xxvXY dLXcqXXCYAWqKSa3UQKeV700ok5oI3hJIOOMd0Rz2oDdbjjhv0WaTARQ+NAc5wcc0WELOpUtcKIL CpKSvfjfPQP0nDmTL6tdml/hfx6/65pbo/a1ROd1GpJIyLnQKnyNteqb4hvK3Bx9dsMeT0yXZluU izmV0XV0pkQqLH83hv92ORHU18zpt+N5rD1xfQd+VbpKUPlr+JD8/iU9Kj4jIuiFLO17eu9Q7cwM 8FqPHPG408/7cLQTD41+lmu6LUtmM3seYjB1Guo7mEcrPHwIU8vyipZIzOa6OUQ7fI/hqLmeK56t EV8bDpyPvkfEUgf8ICABLe0YTB+6Id3m0yJ/QAGcCbUCPt2fakjW1piu1SXW1rvWvrzSDAWj5YWT WA+S2V558YLxSgpRSym8tXI4Zq1LN0/diGvFh1RRviJDJHqmP/o+nGixh0LjNv8KMcksXrlPdD4x 5hXwBM/BO6A/gpF0KjVzq/WZ0cdSytp6MNk1nqRtFT2FPjC3kq97TtY9X8efJSzjVHDqa6u7s9yk 54MfHbVnZTA70eO6HZKHx9kDQYfsed8GQdIDbQoe95flUGZ34Ml76pEjAcV+Ro5QFRBnpVjxXKMy b4wZl04TXt5aibU4nC8Z7GxHcJGI5fqKZGEsxaCpeFRF4LMksvYdbzyh/nV2HRPOxEcsa5F+n/h9 dFbBjsnl7Y5cVNTKxRxXQ0mUew2UP1DUQIw3ID2jW3+VN5t4LrySukrmQsMZwIyaJyoBvAcxBJD2 0YKcdV45N+3rSvC7CfwBoVD4p5K16bbK/0Ltzu9WveRV1mbIg+GIx75UcBDF/G2a9Hyf3lCaxoRD e/+2mhsdshZ5ezHN1+iO4KkTMLfXMF+bnR3ctbLx1wcNyBtGO/1enamcKdW534DADzV1sp5nczAs 4Y7a9JRruyOJihiN7DzzPGvwjXg3YXBQiOXm1kPTKLJbyQcDGUiqfhtDBwFjRpV5PljnkmfXFaU7 QUotgsoDV+KNUXUVhAFPNF4lOd7NwRpzRVWaTsM1a2nJ4Nrfe9GDaT70r3YgIjt2idhbquQWRdJi udH1jYCQ0aRFI6FySEtuSCUY7jmp/qCbxbgi+jbbFtWRr77GuC0EOtHiPBmQE3w4Zr17XifWxy5H IrUkQhfQnv83wbOWHnYAR05YIG3cIKWxr2ZPHw7M+fDL553b3KdbHbqmRiygiC4cQ+8V4ypNlBJ4 SS3NchhqDlkhLsHm3c0PX6iSytEewTFRV9KLbdWHNPf+csoqaMgyNKRr/lZ+6OasIxWrNFvFqDk9 GOIHrCL5nWltEf8qyXDnMf37BRFbGDU0J92k4hid2t7ZftK/g6CMGBGcmFxGW2TyvRSUN95VtnyB eq7J0Pw5brXtFhJhBuULgz/ftxmkiUM5lFmEEgg7P7brPDhBH5imLvkansBvp5rELABuaDl1+RhN s0OnRIPDxRvazBKD+Ui/0Ak/YomtP4Yl44r3n6BV1HxhwNeUU6TjYZeeUkdllBZFOw9FLQVtOdVy gb3pt9yz75qWdyzMXI0hSWPNNpfN0ClDJLj4N41NWPQBHQMv8mj/RV0iQVpGOwljd4SvRrWQyR6l zxj6XcKiEpZnuBefjZh+VfuminrnSUpMUDTLYDWQ/j/AB2HGjOvqNaUt6b9qWhf8xbOfU/IUqWTp ByYkR5nOU7MqA/NVZ5dbbDc6t2ACqgMqE7jb6zrjRMZLsN0Pa/6VTkkyEjzftSoMk1RRu/5+e7pV pNIvMxVmjPRCA6RIoqhbVGNwpCAYBpVxJYZ5bltw4P5EhI/XIXlx7lUu8o+pwYjr0EjbydIfIbaP VSSMhgLZNYHJ5d55ANtE05+AEXWkGw6mv8fqqRn0aSvoJ+aPYnQ9fS2hgJYF04QLWC9uEv1xtZsH 2l9+3Q55iwtNCaZVoSKW2iIp3ywqXZliqUe5nBvyvHVR/MZQTLX846lAD0mDYDwIfE6UG+jsmpLX cYkvqSHoyOLeSYSv+WzhxBfYo8qAy/PxFRyAXhNdasTDUPP3qIVWFNLkLeVigEKjDa2ggNy6qTtj IHxn8mf2VPoodRHqFDvH9Y4VoQAMlEGThv+Gyb7tdO8TR0Bgz1oL5PqP5QB1I7ZrQh+Xxxa03Ray IT7PcrbXVJXRhekxFgj7b7PS2YfBKAgGkOfwQkqWxkfYty5SJO8ioLqh1neZCnVNfoHg10PooGdQ AfapXOTiuGr0bwN7Q7MjMOT0chEB135dq26mL1JEVDYB9HRS9fuskA0lvF2dajnSDjyTBvl/Zn/d jUMDZHUzpmDGOlFJm/wqKBQA80M08ay90rsLjQzqssi5HKTtsPxoPyGgDshOndX0CVhwdrbsP/q/ nZRS2pc0nSMoWFVk3aC05xb0CwF0ar9AFaEO79w1VHiai9xJnMAWUjz/hk3os/EoXoDLuAWMeuKq vACHA/lhodO7U4aevXLbsguD/WXNhethi0XhjP69f35nGv49ucRDNcR6HqyzRS8oKwBoIWqAcm6m YLvq/xz0fJ/87csqhR4bfQUXhG8Y/7klSyKLKmGTvA774HlZy4q6Wgz0eNLDUG+O20sdHFtKF+Rq aJcrDA4up6l5JYgJOeGf7NQW0tlnYZTc+6wacBakUqx+otcz0zU1XMfHkUPWQkmuDJ7eyl7H2hiL wg98jz4TwDdoaGSUxtVDQ86Cc2COKnrGikBPwUPd4EjKhJKXt+khCCA2rMj2ioZLfhs2vL4Qqr0u s2f2rd9tphfCiSBsmU4VZvzEVtjNonWrtLcL6vVe/vjeQ5/3hU7wsHnHHMTOdGKHvUuYtVr19hmm rJUHUiyDb1I7r4i3kjPQSBKORBX0iGmvBAq+B67fLuJS/Sd37vD6V33BnuPBWGdvNacAcImwahVB XiG2xnSzZ73Cj3jFwepxgEu6J7xYjyISvpixWDU//b0eQyBOxVFWMkhG2Euzex0KVBLNziNwJDEX FCFod/iB6j4LNaeVBGhFkD0/D4mjRIvIsxd1dcg0bwnMliaXUDdmujV1afA4M9B0TLEQ90UGcXFC XN0VdTGvqHMhG+E3oFMEJbx/ZyjFk/H01Dx217wH3JzqEbk8C+n9I+DJYnAMXsdeqtFLzJH0PiWU Ym7ZxRyMuWqx7Tm51N+M6wVZ8mwm+7OjN/rm4Bp2kEIuSK9V1j3I+u2mkTGEtTbeGxC9czE/2bTV rOZg39IQZTomVOLZE9g1EkN6aGPim3XRUYP48fueHrBttTX8DXZnXY+LBKDwa9/42C0sdsxsrT/j h+upI8T/7+/o1FnZGolZgUHDoWXCoG+4hRb4WKG7uS64x8VLizFdniIaU1mfwOlu1KyBEjkiNGZs PLL+JHxRa3rNA+OeF01Zr7syhsqivoE6yE3a336jN9Ros+FHAthBNm5syrI3JBYr/FEQym4U+QVs VBoVbgA8e6lwkozk13HUifYXJiMs2A5Jfjkeh/mw8gbzkKexcKzOIr+DmrnFX4CsMXZmZlNiykON 9UobFXlYmjbFfB0merLwiB4+kPZC3Ted9CUO1uFe4DUYu5mHsQXcn1d5NPGZaSgRYhvXT8Ed3Tie vSaks3AL08cIaMfOrrUbRrFDn8DWZlQN2g2iZekdudTQekAKcOMc4Qdz8DQDlLEs6c3ENv91R8U6 6i8pqALBrWb4Xvw39W3OL16KSNLRq9OZFmwEBRTpWEuG9P5htG7kryZEUeNPCNHEjO7XpY//FsB2 DKVJKp3b+NfVt0EnHL+5pRBcedIFP5Xg137HCpCy93a19CYFXA/slV+hWmspo8Le4Pno49QcPiXa IgpmIe3H+zKFFgr78p+DldOiPfOJW8SWiTVFnTAF8P6zN1/YeN8TQvEIL8QagzV99plclBDoSVXm kavTvrW+eGvK3iuDeUqxaYl0oZ8Uxl2JuR4HUEPrQhKC25F31K3B9gk48akFNd5BTVbNAQSHIKfy iN5bh9C03PLLRPJnIcU/Ve0ElhrfgDPZsB2A5aRYvtGlPmO/VllkZLXEZtmwhe/N7D8ZRKudcsjY DDqqL9gKq6Icq3lLYme1+rsEbJ2UP3xhzdFx4+hA+NY5deoMPZWGFw/6VEpU1gR3S68HsctiO9Ti zRZll3GUCeOQDzbqXb0AFzDegcW0V7MJk3yksic5t+Qn2adQJGKfMa6+2tR1RY4eVfrSiAVVAY4R YaAe6xEfOjOf0NNlHwi8wCodr4UPxbtSSzW4oOech64nGG4TqA/wnfFQidsWmNS6UgStb6e70pKA eL0ShvGqeg2dSSZIt3Z4UTrX+uZXyZJOQMLmlENE4d7N9RVLr+G+lbb2aFWtSgJp/skurFjI3P+J NGt5FMq+StTtASMg4xatGr7C1f8LN27nM5ZkXKIH8e45LJBjDMKOCi6tRY2ouea1Z7vN0PFiT+0A EKkGLPPvOwIBL6/+trPvuafPpyy7fdRs0xK+eG12urjoM3xDYcOs84qI+qAmlEPW8yevmognD5Q9 +9DnDkaDd/i2XMS1HGqFCdxoA3U1WGTG+wt3RkT+4DeSuL2/D+U/BhH+0BbXFM4JBTSIqb9WtsCI +ncd1LNOQbrHCzEjW7nPbR2CY5fDDJXfJ1nBx96Xjlne/yAQLlNDVEc6rRCIZAByxm27qeO/dh+T sDkUb8hE8f+xB9KXWALUIj7SNlIqRJk/ba0U58JaMbfv8LpSqvFHhYBk3vnvsOlLzYiKomlNcZVk GuJdW0U0jGJh7vP2GnK5g4sMsWm0me/B7bXJ9A9laELSzDgp836DjmRb7aRid70Y0YAhlvlm4Hwi c0l3uO6hYAeD51DiubpFjvLUayAlgp0MrE8WOUfyVgWzhwCZ2nWJTRQuhasIHd3mc9c8HDbqYzvm 1aPD/OnQnrRvV/BtIQW23GTYMDXnjr4Uq7G+axvs38y7j5GrII3IrM3keaOrVdoljzS4N0PzGSlR x8wCX68FSeYZAmItmdNrMd1f9E/MANrTqgYTMx3Txd1j1p9EyRkHGFx8qr8vwrJtEz/RF6/KSkh4 u9GXJgTouNa/NrluGNIu9/+R45O8ziZR2BJquvlr8WIzfj+TwrrNfE5J42DPuSHu67SRho77SuLp vOzS+EbDZuR56SOrYZfqMNPoFQ3Rw69XB+c1BjemgTMTQoicqSuagL83iMo3kFLNnuDv/Q/6+vLk jlsTT7R2ijGQnIJSXS8k7nIjTraHw9/Lz578lDT5X12bNrLbcX1ySkSkGX6aLzqKRfm6ss8jTtz3 oGdJI0LjGob7d3T1T3eShx6EDIWt8GW4+u2qRrQVgluIrVjS6fGnMyBw2dZnOcg/g8uXJyq9LTah wEB0rQnSpWI6NAPCFatCTPFMT7nZggvt0nczwbHH+Q1DHCZYg5X+vG/2JvGv/XKsNUNQOYquDdt4 ojOdk2S3LeTUXqz0rj+fIRm1W0TLXKVpSVruzqlXznay5DQHLDjsry39kcmsJgdaWdVaB0U/vwPy csRk18N/c3E/+y4WmCNrIPnyvKn5rRqYYPkHLYIhCWkeYxTje+Zuxu3gZhbfzgImugB6P7rRvvRF wnSq05uUEJ1V8NoO1FGTSlvZy0roY6Ctp+5apb3w0OD2x/DzBN239pFFMXr1l1dos9YZ7yKlMfRU BwUDLqxPE5p0UJnoDRIUfo9OdzhDmdeHXl0Bc/OuMEa72doeOzaIHfRiQDDXml8v8OPwZ9FEN+Kl yee8+z4cDc/jeeuyRUfjylamtLCtM+xiJ6/fv61D/iAjcVt+T2DEP5mnZFdgEz0y+Hy9oHCBm0n/ mbLN6ei8Ez7Gwul9Z3qw1ZLbzee5fs8AL0rt0EMZ6Ej1Up7cuW751vreZ3BsHjZNQHb7/OZVswGr 6McA/mIkb6jo/zSeXKQc0fMZZTGXXCPuoDgsSUWIkDTULOgSxLqlRw1QaHK8Jp8Cjv7MquDatTUD 08Ijdo6iZOTlYiTQhy7FGEm8JQJv2yFrm5tbYejhUejFDTMczMxjLRFun15uuaNn1aJBysvx2bQX LooPKPLB5+HrT088x44AySSpAuG+GLoaG74mG3OP+WlvfrJaE5r+o+bZmBuXe6DPPHasfrBXipJj LfcZVaav7ulUIv+dbyFdUIzheHNPVItcWBrdR1alABH0tD7a5OyKdeRly84UfjdAGS2ViLHuXL6n RZAh+1fJ8m0EYZ/qc4qC74HilskXCLEszMeTEXzg/fHYwrrMcE/d4fAykmw8tCipxd/hJndoAZ7e E5Yb9SuL1oWHgYFP97e5i7prK5WJlLaqAuJ+uTmrDxcEOfMMmKrPml6OAbZk+5eWjIbH2Il5WMel zKzDw5LUJ6My1MuIrkHSI0Px0neb8IfqLdOfsxDxXubmoEON0/G9rs2sexLk4SjXQ2eSlIVWkJZz BFX/ON83UsM6AfL4covl0k7MjnffSv4iTQ/TbPPn0MFU7xSg7PhAYj1kIudk7mAey1ijA/cC57BI Fm0W0TiIqRsp5kxaOsw8mfVDvU/16toOfHlZYoBuK/RfSyYuPOUZh3SoX2jud/7BG7vlBaMS3F0H fCNwl02JOSHdViaJ0UXkCla/pqgkDJXHaSrIDdKusZaFojETAJHM7t5ksne3yFsnaQjltKq5WSwP AuFwLiDg6eHi+SC3OCaEqCTerAkqtH7SZEqIFW/k4rvSOEdMFqhqcBk72lvuu2xc9joOaXbKIVC9 DNnyQAytyoWEDHY9enuUhn2RUfInnGfLHKhoMuxAPaolJvh4n8trj3vWdLPewjq8x99syD86sZUG 5k0LesYBLieshTiGup0AyZbLKAA+09An31PbEaKeRF+aOGdwVbgQ5ZJIzE5pLjfRgbUxEixXnj+I 2pCluolkmpK6T2ry4V5kp/D2mE1iDqSA1b6b/GeGEluYb34vxtfzSjZKp1uU9W521OoWEroRM1as wUaQ72tyHHitoGik7zvCsUYBjJiWNTmoxKowtsEz1W8YsMUEqE7w8E98PQFX2qHbXk6w5K935YRK OdJ1fRd+mVQspZm/lV3aJ39j7RM8FiS60Pu6WXonj0h1mIOZT9l75RMN9RO63LADhMG8U2l39Ji3 9RB3NBFEWy7SvbbcTaaF7/L1Bu3GWTk8/C8GloNeap+T5AyYdD/Rs4MrtTqjg5mjizl1kM6JPayS nJDyxbopCXtemkwMnj+w+WmZU8t9uTFlJ6Q7HwiwDZsaqTNFC2IrxOFYcBxsq2XVhGKEGEt3hFEA COsP4aUQw/1d86qzRlQNRoPLPMeVLm4EgsQOxtEaEvsy6dXGaoYVLqPvoC2IacvCSU/6YMGw4Cio Yhq65C7ChWifQ69cBTqoXPs7nGMSUB46JMxO9WkS55Pm7yO+TsxkZh/U3sTSLFCTkXbV6+JPqJ4m YoZXt0tmZ8NZVK2TgroPh7r7XB3QTzE0WOvPHz5tBg0mcP0oAGa9hVoKHf7KwTmNOyfonKUg/KDm XIphERdkdO5N0ef5pAgcG97IHajFeQrJgumd6uvNAi1rQSwP64lBrZYo+7FMkiCC46ntYYo92mQt AFpBQxIz3qSI1xq52xeMrwrA3ISoWgstxZdLf0igrcf+1zS/e2WQHNI6R98sjF7NetIrNXY9ELST iWykbFVxjI9TGj194yo2TefPwG5OdF/3DsIFAtqCCWP3sNy4N8cvDHgwMbhPnxiP6v1MbppnpyLm eObh59QpiklXgonbzRx3+sQRaHchXGySKopMFOsPXtx5SHXHLzJfDlFvrcDcl0Mec9dUNCN3wMvh 1q+0G7CNtylU6CmXoxsEakTgK02awYppmJZ8qgAYLWcfGD8PUuFrTQ+PEgNbObve330Eldf+sDtD d3Wn5w7/PDdmkebuBZlkDFOXHUnOsz/3Bml3P2GsbSPrWueRzLQo5zcwumAlrmQB1ADhKaqdzJzW 6JXFtWkyDSLWy6a4DThxp3iOb3jMNsqlhTVZTJCwDB9vy0kazkW8LXv1LGHRLw+JkKU/BzVMiA/l mLAM0rv2E3fGWy+u3WxlKQsMiEWaKjHmU7XFAU/aI5lSfDGai6TaO+Pm51uV6x0zEvP1nTtOZQ49 lKmw4XpBGZbbRzGK4ZcDDw+I1LBCEd/J0D0frrutQ5bZxZ3ljsQXfp6GB0I/k6MNKBdHWsrzYMth 4/n9AGTY4YxwEUPmY8mDcdqvQSK1+FiMgGp3ZAI7ZndDwWj6KaTKN56Quy69VeD89z1vRHUoSHaP n8KaTZWKDl4/GJ2g9/TPHa+sq58T1OuXKMLSVBXXpoJAu5MU3EwxU8K/CLjfbR27Io6VTSr+Q2i4 6VFW+8b6L9NOTHwQfwqV0xr4HQO5gT5QBTvDbVaeDY3QnVyZA4kBmGmBMqD9CRKFQBJ7EP/D01UP LrclK8DOWrwvylRksroaXbAgGIBDX4jyGJTbXJMk2Y+X0xzE8HyEEPFq+kY5N/0s2ki0/PSFfTMq uDYdYY01i+rd8uiKjrYJkFQQNJQmsMg7imPHosXzEtSPI1D1SiswvzBoaaRATe9RGSOKn8ODEArC CHd3jHmgsd6Z31YFKklN9nkHWOG2lVtvilJnkkfvBpuLIJm4yCAM/kYJ2q4tg1oLCBB9qFYFvJfn ZC7wsNpJCwlFz8sn8/CGUBKQI3gkzqMWieg4eoIdUDMa3r37AigTIXmHiAobIVTnMM7EWh03sA6p fSPt6SIgkbUcWUu/FsOLGwg3qprkMivvX9Z50cFgG9cXe9Fmmzb8rL1U5Y0/iQtElNATKXPZAutd 9jV9vKDNok+LhvycqgELsJJWDEO7oqfFj/iZzvlvOMx5oTNSXpRxehySnOGITomps3iZ4JnkgFe1 WbVdhWWVqVOuzU7leGGv9T1+pz98s4gvNYFxl/PKkW9R3NVf72JMLBHBFLgS2UKX2stB1WArn07J L6Pqjtx177uY23BWviLokWEoG5CYSKcYXFXV8OyXWasHU/uuyIA7O/YIfwlxZ2vr+IoSF37sz0L/ utm6S4NTNjCZkPp/cKBW8vM6lKlb/05UMpL0BVKgIikOBu3GB0nb31qNT93LWHPIv3U1106B4jI2 v2mV5mPJY7H/wLjtQ/7/hnVmoFvwtvfXj7mJT0ymfyB0x6v1AlLNanYgtqk3Fsx4tqKaPbHzZIG4 Ft1qyeDx2vHhoQYc6uULbSTv83wtawMafNyRjKcFO7nZm57menmjGCmPUD7j1vdglK54emuNqcaL 7UZSY/7nJ2OZA/Rmv76gpMtlKEgbOydVjrQlpGMTqoUoBXy5dk9e76aEPP3G6yz6q0na+1cFmyup Nnu0Dk1dy18cnolnd8h/Pgnvj+xFk9WnmAYOfcx1CghclFEVyG+lsLvx+vpEfS330Ruxfj5Ic8ly DoB8Xl4OarHI8NgTpib1aMc+y9Qlc6lJyXwJCjYMTIqBNZxzNTxk2FVDTu5LVb6cV8M71YScRwHC EuHvxQptUNRhkt6z8tkhEuS4RqQaecsqczgq6NGKThvHcD9GKY71k5V/94zKU6g+OSBWKnbD1Ntn 9DBC6kFMQzMfu5QTbWiG8lqNy+lfSfGsSWmPxTw7V6/xOczNukSXGGIYMK1S+GCdOfm9pC3ZZwYB ZFDsnDeWkSN5GN3D+t1C3RrNQvdYvaFiqzZR/TpmHVdTKJJS826zKBtcg/vGAvcD1WTXa/TDHETE Q0wLoTlUZSKHDrvM+X26xwqO7lqRNgbpVPU5fkcm6rrPhU1exgB7B+pHrBatMqINs6mXgK08mo8D aWiDGNdI/G41okGbp5G4Za/IFjJyaTa7A/QRXdn5Tbi2korh+zaPQUwkSDI7J4FnE8tbEH7dthCg icV8Z20wh9OkzqHAjNqv9ZRAyV3KxMIxKJdLq4wxmMuHhFflykJCiT8B1P/31r+Js6TucDFwrVWi YbvWfW6PoYacxGTF76zsVFK6PNC7GNvIPoX+LZok82s8Tefg+6uy9VR9VG0XPEm3uuPuXMhVqtUb OB8P4RkHBXiAp1MUe9HCyzFb2/1Fn1FeJp5cp13LtePrkz+yw/OAlJAx6673Tq8sbMfTXSxl6Ebc 3E7kexQQACUwYEE05XPZPK84N9x8AO0+cPYRDxykcEbJj3WHUR2Y21xMs3Kl2W9iuv4t5V43TWjG JA1Ct4yYzop31V+i0SejnXR3baeIN/sa7ZzaziOyAlSlC+c9EVJ7nuKO7gOva4xGYC/3nxKCv6XD 7VFfLMK7SssQA+3mEy0MGAwqFbBfkOyA0S1hAW+I/cai7aUDigpJizpccSpWUa6d4L0iKEWQ3ojE qb+wz+/3QQjt6X+IBtyO4u/Ik82XzkiZaN6i1RxjglhLG4pSgPNU/B/Q00FIpTszl6OT58VrD1Kc 6owbKEXx+PihRp4KgI+KqjsHuampeF1tqQXuHR9C7fytX9QABX+ezFQDnY4Cytdlg919P2JOSZea /9xtpdS+4ZcMJMJcEU3lqFOOp+KO9JhvdkAU/mO+LOiJuyfJOIqvDyDlP3JV9xGqkuE9Y8DYkxmD qdUXsJhGq3hqU8ZUTInIktMpPrzHVouoUgqZuVhAwp4KFlZRp5rwu05Bfy6yFshFY8eaedmwnd0R b5FBN1POjcrBBpbhHG+dD2peprcmbaKdI1o47Z8mpaWir/cz3h5pghbI4iaRHZ4b86tkpj6rg3O6 4fJDf6WAFUiLPWb2NVe+CGUFkDn4t6BVqhJJwkE/UGWtxdPvi7ysC2OFDgHtQvlBwu4PYMjCv2hs B3fp7BubuiO6JdvLxw3jzwkM+t037HiHFo8LiuZhBM09RrFxbMQMguLM44mFyaNXrZ95uADrJrce wG0uhx8n5dyppThnMNCKngHfdjL3pnxgHNVdq885OFVoEye+LiwEcCHBwNv+/FacuYRQm1LzYi6G cvJc6KRxoZiUyQx8VOIV1sRNQw2TYclvWsfnQUY6HLak7mfmthfACQEs0DX0wuytd4eoVJQZUC0d An4T1DyPk/dwaL3ameNZ9dHpvsDLN52aCbQdOeVbnamCC5LB1e2O3ShQr99mUEoXcUyLq8a8Oa2+ uD0MyDlF6ZOrIw8lmjACCmfeZcEvrG9uTBpCQ5occDDDrlFMOcjEDIx6uJ8TxomYK36LlMUaKp+e rmcA8nEexT3xF0Dur6Lyu5LHuYSP53uJljvirENh19g/+MUnTSdtQtucquiPB8BrHg7LWa9Tq1pW lcs5RHmXbbDA3tWSeKR41XyANvKqBStDOCSxnxeHxmVfXjpxbaBWVnZ3EeISZ918dvW/ArUDHjUg x+gZdMk5Uf4RW5m8ptIDh73trvFu4SWbPmdApx5TgXNzLbTKcMR23DybNxHPhfDJ4KjR30KtH/qe q+VluSd5tFOjSGR2ZVCnXxoqRnEPGxO6e/gXUy13Cz7nn/L5FEln1KiDKAS+4IW1uldP426dIOGD WYs4B1PSJtk/6b7jI8PUb6m+Mh4ORJZvPMMxc0SUE58XEualdiiPn5u+a46Ln1DYoRScLONCRH8m gvhdb1SBwIA1lXAKaidr/NlSv9fPpOQ0Aofl6yifhcO49wewrRuQcE0bIUFL1mCsLxLb/CQvCrzi JGodL3l7MqI3LClUDwhdVUG9renNBGjcUAygXJMk3+2OeTcFvSpe5t087revNxfRKjyS+Gd+09l6 OPNW6jpA+k6VDDSaB+sr+34YOatq3h7dsPzQ+rYYgMbhaNQDGZJwKNmb0i3KmlMrGieguVt5O0T6 NhXya/YIw1UPh1p341qegtEXmh1WERmX9qVr7xTFF0N3HffRWpepq2bU1b/mvvoI7J7Eo/HELo4h 3F5ZpnvjeuEQmzLuJMVfGxlJjez6TlBBkZ+J+zxzzNgyMhonhco50/4hAd/tCAMTJqaUUqIQs2A8 HouzPZxtbynXkESdnk6ndl0zGMuqUGcmHCGw/zwQ9WFOFIpdFoNR/DUwPgYmkTbp1s1RYHWTfH6e OgLH1yfMUiQCR7uoWMttetIVq+sqgc8KjmCM3DTWgoMRnGcaZTFU57iOVdUMr32ztEcDt/jiNLEk zcif+PkHXYkLTuECFUNMCuVZZK68wRirYBRmWEYgydcjUtO7wvWVtDbhldCJBPTnNBCaklaWekPQ +vP+5PS551ocGbD0Tk7+W698tXKAB2XCXDKJzfijj9+8z4itex3wd4gwx1UkpvHiQ6WHSGC1iSVy KDTN6ahSvgTBjg0e+zToAExkutvMo8fX4oKkbo2od5AzF5AMiH9VD8Dz8jui7fBkvPQJfHnCnqkr IY9FORTwJ/BoGny8G21RtN2ThbXJKgcCPwr6NL1jPjjHMukK6MzOPhx8HY9J0cf4WhRs9qVH0khw gNXMLH7IV0wVDXnQX9bvu62h+lDa51BMnAWwI5+ATEfY/kOsN63Bjlt199el7lVICcMXSkzWyJVt wXy4E/yoafU2HCi0aZIWk0tQ/qjfAsM9bObRZDpcusbGG3U+/ifntwgIVYhGX2Cpo4hx5lNu0HS6 rn899lWOO6bzsYneVH8D11jw4/Kz5BdkBmHK9dweIKsTOm3+u0QVboLSoe49feuKhj3rRUxZ3RZ3 PiXW6jq19kePWlc140hfwtti+OZObJf86yOTnmtmfOiZImNkXgV8UZ9QyBANDCDOOGPc6I770Elf 9tZTx0XCK7EMWholE20+MgzQpcCskmFAhhvXMw9otd5xx0B09JS9NNgvPHw5smSE9YaaRIaN7emA qGbTy7Z7yqhc8eDb9ytZWtiJHsKToFMIUWMft7R58tw3Jh0AZbQxrakPQ0AW1GpCaLll4RVIbju5 TuPGA9nPwV7SWWFVurM1md3BQlro0UD3K0HeqnRJYMq2IgCy04xv3iIhac/waU6lsTNJjSFnOR5e yoI2DFw8N9xxdVwB5sXEkG7h5jKPzEOVHc1/4dcuNnBslwie/k5dqj2uLGnQDNq5MyUpkK9HfXFK 9HikrCU8jYzT3lxKmfjb2XI0JjdchosCY2jOjVR9KswOtmLsvjEQk0ifz45lqiAMkduXdElL4FkT 0dETs4AFAZ6xff69HFZutPc/IyKcsEdYzBZbCT/2PUS+XDPZYSKdqJcgwc9/MNgF+I2YILZAnDRk J7/XXbSzaU6n+FsyuT+P8+AwyILTPagx8nBh1pwj9vEdbwA8sYolVBCk6fsxZZs+e5WUCd0IiwvD MGdJv5JBUyyWeDFfbbJsTQL3mleHeXdyWwxYz4ZF+t5tsdfnl6A/5npK7AdavXxiiJAXm7e+bK17 enPeBsxLq+G49SH+oi+ktiAs3EEHBPEFqkeeYz0B/NRgbbIio6WGJLGdzW9e0nChuhPSUF510X1D 9N9FEaFJbIo9OdSlGP7L1dncgtgxU7jvgyAtI7WaTlXoG9Xqna5mrvkSZlzwfLQeqojtEaPsrIhP 130dxY+HDUZva4Ozvn6N/qth+OR3UQliT+B+uoFOyDw9FCaLQZxNnjpn0z+khRO8iMo0x9dr5J87 rgH93+qV3vKpqyNZqgqkIIFI6rpoaikFub6oX3ln4gv/mLaWYgn4NInXGZ26EwzIu3/JBgAAFQlJ Rng3TN1yPtsWKLDagAd2ZoxX+p8uSmphA/thZlMfNmit4tAQtvnOx7SN2nWuAWuVYDaRxvckUwIR vjbvmLsCnuycjUIlH7qnImMmIdgXYtyucONYBH++BCTKBRZX0Gru8u77o4HAdEf2nGk/FYMQo/0G JVXlKWPQobbqXRoDgikelFtktBJaUc7JnoWAIrSWh5TT8L4oN0LwgpgXDGudA70RVyjgmsQXVsgl UgQufEU3cPPSznDzHikDIS1KrMtD2ufiYgnqtkI5ZETWHRiI1BOXPDp4y2+u+s7gtly9U3X6AzV5 7pJ93ra4amCWEaQkoHXYS7JmYy2ccR7g6xPRS9XbV4+n+1KP8QCPhsERjpkZSxst83iVGh9icYgJ X416qzYc7CfEQMVz4DZveDAZR88pYZzaWx7pYsHl6S/D0rc+4KNxBBueyYtFREocM3LTcgd115i+ TqPNYLTppsajPQS7oe3tcK9qT9j8FfMKmlVXUcMp+rBhICJrttXDSs6voZ//r67KKSErs+Qaq77j zu/ToB7wlrAlfu0WlBV51vL6wYxCQud6YWCqPRAmK6lLd/6zX4EsuyikkefKaeNyCvWWBRLSn2fN 0eQ+HTXtt8f/czESo070a0Co3zous5cpI9J+Cl7a9OnzG7Qlm3g0MCab64pFRt31/1IqoHt0AL3y O0vQG+WchqqPjEStu0M9xGT1+MA9ziSQK7HU4F/Jlcv9IDXLruG1rjd9SAlq2HPiV9sH3WJ1ESvQ JRaXI2ZVPFLXXzKcSteSq5e51/yIg3kizgGRU2KEf6q1xUQcjwCUdrOTSmPFXNJs1xdr3DuIr3qk lg62BzZLlqQ/UqmSsde26pkWYS21ASQRYk7byPevnFwQ7f9Um8s1F8etznxBrtP2/zs/QdEkwcTt BVZHkhY41Ecgh+sXXcMtmYgL+fkefK5Ae36JLBKHTTgawuz7OeYGRD/+DKHPJHrM2RWTdHJ4HlE0 Rvg2DnAJq5aeziLbt+uRiiQYCRCZnxQTNw8pNBMx2KTWBH4p22T0H21SR12zampa/B+9iBoWYrSh vozQHRq77dt9nfiOFuvzxyoAvX3px5Ge3iltGdThU3IvRBJcwUoC90o0vDFNbGjz2G+GCAdPTBbr yS2QncqgufRnFOqitNxitHTDsc+lWOpBx9qJjswBF6HGOMl9tQrmcuhJiaqPqVGMKejSYj3tzjm8 z9YXy47Rf2vvYydxUhf+tINLM7a3OmEAMVVg1UuAqbIX7plll+2LbuG/szwKnUDNOtgXRXSFwDtv bYaaPWzaTHaXm00J7u5Ndl9AmrcwSS6rLZYFM2K5YJSdwuAX1RxiUVG5FJoHKjdoND5zQ7dngXou YJJyNLIF++puArIxbLEVWmEGav9GPk8NxDhwNxY7i8zW0h68XogLrw1YvwtJRDXuxzmgTJjsMzv0 XezHorIm0bfLEAe4qamLlZRhA4BuBrhJOKv/4JK7RJ3ke04mWzYeT+NSO0u/mhzB+nGAwlB0+9L3 ml7pH7XucIRRzZdHuDmP1ceh19A9cc47rW7FiUnDERxV/hubGnE0AYdFs3RCe48qI3v/bfAI3Jdx kyEq5iSssZFHrPsBayJ2xORc4HPVx3mKgoFgRtGSqTW04CY5tJSamn5byTefOnw5oxkdRhTIrFzM FsYtoARF1JCFnE2X83Gm4i6NigWO7DB8hMTj/26dcXlTckJzmDoq84mwa0JfWfB7t/L3q3a0o6Pe T1DTFJmvbBWlO8WO80zzYEkMcrmcXAJujAjdUPTlEWPfE0TU8WBz74OKZhzDVM0sptETj25vpFfO 9a30xPjL2WqZqP5XXkY7EZZbMMXDLdxKncVazyvpibdyXu5GvzclfMZ6WcwhSrGTkGBsgEJvFJ+S kB1Qg8GRKhpSeqTqEGpmTqlANnHkOgYvGcarYMmiLVMblkq7ztb6EvJo/Icf2fSjnFhvb2POmSRP vAOVxst/ZEwfP4zM6IviHZe28mJwoIXC+s7gV7V4GhhYL+txWR7DlrG+lssnC1cjGRPxI5DcWHkD 31eEjCV2sNeE/A5eJmFg3m9XxXIt0lr9VUFTNz3Fl7awuA1xU0AV7HKKvjGZ0CIR6pk/6du1m1dw OSGTVoA1RU9Un/nKaqWBKdEnb8K1xcixALE6rThn7yt60LHsIIoCk3tGPoAf43CX04+Z+HetQAP6 qTtrA+xsjrHxKdWu9lK3Q6PGhN8JYhGXQ8A6QBNiQz+8Ek89nPDt0b7n8+wbJZS31lKe4qKuFKTU nGM4xMe17a5XZN2dcj2OjQDE4SVruuoXtVZUuPIh9h0VX+mBms8K8dXHtSTwsHqxKNL/zK49gK4/ yR0Q3EVchWT8gymiepb8XEYLU9PdVK/kzIx/THw8fuD1sUQkfW+Yzokk6lGwLp9BaLiPWjuvR+NV cA+KNR54IHNMCsl2FRiXG1QMlKj2id+NfB4Mthes1eOpB3O6z9zQeFrGKAsH8eWdJVy9r+L2Y9vx yKe0jtqi681iJvz7Dgh6T6+iCQG6TUp7AA7SByZ7RrG0ZYjRrxGjF7oTyJM3PG+TcQD3ujACljiM KAe1R2ihY7s2oHJl7+1iieYT7Xr7sePjdwBA/uf6xFK4vYa0QxOPvy3/p5gwOtxFf+Z0syPPk0vW 5nO3E2EBi+ux5qrhSdx0SqU+zf/h4LZMuSck+0tQf4deNbh/FchZDMfJDOoAqn36J8xDEVvVL9WA zWATStc6/LQAtZ6o0V+RiycoFH9S6E6+QqLWLWr+aSnbr8G3totwMajeLKAx57ObDx6xd2WULPir paoJ+yx8srd+99ZBhHp+zQeAv3+RZpT2RB5GTuwKKNE99i512okRTixFVdVk7JwmIypQQ+f6MnEe oSDf2HWFSE51JyePyG3LArNTrEjdA4fXBsrjOv44vE1IWn7Nsl3Te+9zuiLDUqgJo102g6umAC7N Oy7WRkZj3HGz3VM74yymX0VwGHhPv5H1XXrmDf5akFZbPepuH0gHfiaXTjk1xD7HPtQF8S2LIHUQ Tnv4Ew3U1Wu/eQCbYodrVVn6axsh7XQMEpQwI+hwoG/YgDD9EaI3nKy6uOiPahO7dyfWfkk+Ccvf OHLi07OUUCx64mEBX5KeVW/wPTSj9os3P1emlnKNwyRCAOYT/3NXlk5OKSnEp8tIqGeyMhWnddkE p/0yVvfsoN/MJT5MRXxE6LSLeoSv5i+Q2cGts9PumCCtLi9KYx9gKCuoD+bKSy/AZuao2Rj14cN/ S8hE5g5PItnhJa4FRgzxL+XC59s1jYmwYRLOIUNKE+JvwNlS2sQ9sD5tohjOy8QLx3t8T8U2M92m aO2rjFrwshMKQ8BKNyF+BGLbNJRJQ2f8780xODrU/AHdFQKBwLvuJxpjMWHFryebTmbVNtUUN5Gh 5r4SytXFK+7L/y6gGKqa8a3b8zJT4mEx6o2QnxZqoU6AqPiPa6TBnUkwLcS4yEtFvh7Sr9L0pcpS dFlBIs3SroX+9Uk5TkOj07LUuo3i7JOk+DEW1c6TgoFvzQ9D1xAd3DvZw/zI8waY7pO9cANwitKX k8T51Cx6XbrVSNg7AaVq2ZgmcsoWGyxGMSLzkLZUL/lG5IS3zurYj6G2tM+1JkdY3BVd+xn1qK5+ mkO9B0cmpVKSzxwxW7H0037r+Ev3zmK6z30TbjkXD19nquw744Jgh7movZLIJmirLwfqkFpAm5Jh D5RWioBwMReYzqqTLnAMdPA8dJ3pVAUB5fIVr/gwKFaGOK8x+YAKxlRHiVtFQ5u0sirieMmICJgc N7rxGLJmVu7woW8Nhc2GbuA2aK74gq6iVgHH1bsCCNKcNoSybZr7Knz/y4hxbEfmsgavb7Lba4Ih 5cWocJfRNCMbgL6TOVMnSS77a3k4etew7n3TPSTpGuhVFrD/pa1A2VDLh3iUK3Eftql48ros2gLZ zT7Zjrc2QwSVroGz0JzHn7XMKX3L4Ee5rTB8DH35pbHFPe1DzFRVEbUvC4rdwaXl1wQYQITcRQeD dVITZCkyVei4jBJ4WWZwZzAa5fF1BH9EMo9R44DCeR/ENofqRBX7F9uWIuhHuukszS/BOPo5rVzT 9mHZLFNuO3e/funWivM3g2oEEGXS4C9+rzzAiRGJD0kzu+wnpQf4PJ7CuDfsBG3+eDW4Xm08cMnC P2WB1zpF09xT7zdInfH3lTCzfRt606AmJxgVEpGqjkAddaVhbm/FqxgBQbgG1T3mfuZLviQ1D2Cw BACg8TDYi78tsOKlVXMaHxtr+z/gTGK6Vgna11B6S84BgxyH5j0Yp3BVA9n9nc3NtfEf+UE43ecg OIHYn0qdUBj444yIOg9+Z6zn9lHxaM3y73+bqZMp/teLQXeEuFCkRM6knaz15lE8hl9OgM1AHylI leHYG7yoDX+NoxNTXpc3V8TUah5SLPk6HPKGsXXMSz6iyytibjBAMbSJjg6X5984g8ZhfcLWQ8vM V/HT7O0kiMlhN40BU0/P6CaTEgzEKtTEBkc83hB24utDPcooH0kleSi9LdZAb40K0Bo8pwOBV9+p mxcAHonllmsjTKMJuSlm2vduyCKB1BWsbPqEB9X0Um6ZUz4GWFK/0j6wbAISpD3Db7IVWJ48QCxt DRtutHHfkj13XNHePUb3wlywlT1sCZWH2BuwCsYAjw2WB9Oy9IxJUpvY2eOy54SaWA3JjqdOm2oT xAtiA9Pov71OqW8lsm9ErdhV3b3mFrCIbJCm96MXA87Pt8vPTsoQteiJfh3mpezT/cs42RNcYrmh yYii+ff3tvDLUR/hFEw8f8ykNF7EnFds5oGnIu7zdf/n3GfYK5zUu2peXzVf6yK83dj1qLYkyrhO 2SWH0CzWCABdnLNvOXcPBzCDFD7SpDnB02TuMxv2lxvi7zwJXyNHTVZFrtISLTGzs5gx979h+z1S CP++dYkpF0EAKR2Z4bWNvZPVZJi0Xo3qaKkiWR1610fm9UF2Go3AjRWgWv6/k6wuZICTKwgAEY3o rSpOC9grNf4EXmEjhfnkbDO3b/ecBZyFeiPDMvSVESGnyM/TNIQ93lSqByds8urO+MoiZtUC45/E 0RLIq2Xf9gDrJWeqGySAbAgEfW480P2kLc+iphWt77tDzPwVeBDmICgHggwpOEgRnyg9898HUyHD Ax29lmbV06t4KRgbKxa55+XoZfpAhjnbiNK3bKOfacdgPTvJ/TejQjoOHQCPK7Ay05ySwl08Q54W cj2kV9C1JuzEpCKN7WHVa+NDkIkRBCJyDMbQYbXO00dsM5U+Lr1lFXlvgJeHsgGGJMBuNYIJbb29 Gxnal+rEmZbF3ttsX+2dqMKE7J4u01g32rrOCnxZOlgxxNH9huGRzaTEOq24jgNdW4Bg+CFgkihW UJhBJ4S9+tWw1nJh4Kgq45URLU9veeMYZca453fyHIxU/vtRGbqsaxZgbbGuukM3zNK+yohCI0XP +bwwNHsQrw/cogsug5FWWH9ScPa38g4p/5bHkZw3cWHwz59y7j5yIiOG+fDsSdb9uJi+1cCj2r6b eyxja9KNrbhyKm9WfJVxnB5RKw5aK0rAkDmooG8w09uCa7j9HpqRo9UPIAv/JuYmt3OMbq2CaIzF E5+SFzF/gSFstYzn83m0OK4R7GLjJKgMIuKUXedT3EKeXbOJ7mSRlvQQYPgiZqhEAZrwzDYzRbvq pa5E0/eyfJXyNPgcdMtmN+HpXz1s+Q2hoP3gFYStvgdmT9UCZ/ZHx6lTHNulBxMnK8lw4+O4eroa +izBlVJNRVtCOarqhw9qV2E4OFunm6gNXQus8ZVa/0tIQfdn14uoqjitdVtsh/+EBsZuj8SwSowk iJAajSbimcRJ+xIdLvgbot3hfrMB5m3jY9arXTAtYHIYtRll9IJ6HM0SRVvMxnA+kmEmreXgtn8S uAVHkULAmD+YpD82F93vkyiMANZMnKOWyll1jcDJnQSXdAHR+t9xh/41Ksstm51D77BvLE2cq/Ut tZjsF0C3KcTuB5UEEU3CoMLfeTnSBs/F5FeXo2rgpkpCgiO9crGHcl/EE1fbG1rhgljDl2eRcqCp vdVJvHDVvUUAUrHH741xy5nLpsBsCVdb5F5w86eRqd63dScgLQKORmPYnxjM3myQndW1VQLj8vpw llCHBZMmE8KFn8k79rfnv0rLWNN4PPS9+9Oo9OgzBc+ROUcETaKBud+tOFtAztH2+fyZvLQM0t++ gBefgc3V9vvA9bamtHvbO3YODv16nmuNZ98NhnRXDPSl4mTdyqJTYhoO6kR+fBtgDpQvGAZSUOol 7VLR689oO3fi3a1RjGm7gsd2VaPfN+IR0sXA8srruKAeOCsrbZ2IYYzLmsrUZx0oedV1NGJGH23m RwSILDvRijmiy2r9RwehiHIvVzjj5u2htY4So7qf7c1TwSFhgiyTjLPzMNE85g4sqrEtHZ+zXGN2 ft5g9stzp/AgxnSmSb/iB9Dy0sAt62RL2proUyg7BljP1bBVTFIhIVItzjqDfH81sLc443OB12Uy icOsKrci2FYHTNoW9KLYphZsBXgRuCLeMz9okbPjHOVw5+yqkb0kV4LoDZBamEZboeskY5k9OXBF 4iPk7ZJSoi82hpohrGifrnAtO5RuySo8ZqOlu5FiDKCnEr4jov1a77+VqUnHr0QQgKZWP6tHpUtJ yKVncceSwb6f5lq4QcoFIUuqnxWoi1k2utlS3hZgkhTjhRFHt8AvrxspwiclLyFOwrqyPa97zsmt E9I1aBtn+WH06qrhuQeJ+Ms/TPay0I5bayBSHhGBIjiNWi/KrYx8CT3SPXgDeeEO1ZsbKh05Pcau DlL5qhqSjLQl7zX3KR3cbf25YYXuMg3wjjBxhR2yayJzsybq8WCdBd0bT8AS7MSoq4m3t3VcPsMg REDi17mp9F5zFiloFAilEcJeOQ0AKAuoUA5dvfEf130h5M4zmp4TMZpSYXifmn6KjTSoPBtV8TjP cAc3I9SMGlH/AwXRMMpkp9o4fD6HDPYlBypSQUhaSny8rYgYwnaQQxRvuBlLwHZOHqONhVxd0GIJ 3GDiMhFLEpXk21bndgbgLaC1FbjsvIuwS5x/AMVDH3wwd5GMFceFBG9DgAWWoHMwqQ9HAR6YmOOv Pt1GSXUdsBCpTOO8pR5pc2AO6AcBDaC5W85baJqYNJe8n2lth56+iLtRZkoDxUGj/uXUalCiTeDJ I+jtdms2bwnxHMvkNbxiY3salMpar6t0yZuPjyCllTwkCrxyv+CE4LLtEj+vj+NvlV45Q/rn4LiE +sqkx3kyqO6XP0Twbg6WfczJkMfvzQ/ng3YkQLZlX79tK+OkO1qAc4/43ogFVUfgyfCklNwEEKCb iy/s/WmWtfmJS1KhOrN2t3fB4YUoDTxB7GRo2sQcJWBXIYJ4RARNV1IMTk0MQxc2IAhri+4Ajbsp upFHBSIzGUw+t3L2hE4f/EuoT86QzibErPJnsM7yxgsiLR4ebvPy8tngGev/idQTuxiDC6BsrxAj ZF81v83uAtg2+M1SvC6ZUECDw+AuuBtFiRf7i5Hh/06j0dfPJgWahAWmGnb4lUvPBwjL/V+V3NH/ 5chgVpoIaU1WPfRVK6FpSwv97ORL5PM6no+j9rqNow3IZKNDxtmr2lKQ1/GiOZJn2a1yKv5brQNH uH8tfyKrSZey95JQgBe4cMtnwdr6lL+jF+CljAPPl7gv/o3HUXAkFyGhr1ZYekE9Rf39k3Q+OkhZ LpMvj33+9lXCHaoPVUIjKjQknLIUyHtbYoZ1qbPqo8TZnQXkbARPelTztBS/j76qA3NfQcCT9/p5 Vd7aFTFVLpcL4gc6HhSotNYxiiYTXPAPHkzPxaVTAVT93RpJ3Z0QEfGNWq+YV+PKLMExv98pQC64 re/pwfDCVnakiP4piciEtXwqham8o7cPgdKJ7c5Xah82oh7nRd9ih3KEdlzx/mkDBdcS2zDolaFW SYJ+QA8yA4YUaHau8zQzswaDFMe235gf/QeJl2vEelmtzBB+r8tiPL/TEmgRqrubS3o58oiYtEiw oWiX1geasKZDgxAY+G0mNPs5pI/U+CuNBseF3mGQkehjkZ0x9Ne/nTdkx2uwZP9JFjO/YdEQDFZy KYhdiD8Nw3IRmzRevXbmfH9MjQilPTG3I7aa/cv0uQ01uIPbS9I3w6cFYLioDqLIs9tr1RWGW7Se gBIfibC57J8nPO0shLq0jOMHqOhtmF4SKATNv4+1K1xjAyPy8/91BJA0atEJOerqDuKqcVWu6pig pa0ldRAX9ng9i6N0Ky5sSzBuVIItbI5CBCq1l8mdIOuZ6sKJYdzXaFUOqUkB+qI9GIT/y1FOh4s2 BplsCqmWurXi+tV6Xn6oflNpq2Tj7JRHR6emxK65vhNlrnMSqSjpXKXjanPcI454Q6H0iR2mo1Bk /wGSTY88LEx2oB14a012iZBcfHxC4EzDEvfmRuvucg/KR6RzQbw/aoBbt06toNTaNycSCJ6+A9qw 27JtxEF9NfEY1KaMaRbfAyjZJN5tmvMTzpRgeoiepPkEMUHZ/vWi+f+bD15uHqfFFpalau/dsi5o uiDX1quZGQ2yDS6AKRjAuDkA8HMVC2UO858MeqCPcfKL3iNJrhCqjbeIHBVPfsNKmhdnGbIFPRys MpP2mSXq8IhE2FVBNKFFtkwvY7IyXKv4wowOgrLq1TUJlyy0UX5doikvAYWXQHiijeWaA7jOf9iE gA51KzRtxU3s3YIIjAngsHOgtaACW+ubAlp8BexEKffJ2fb0CIR2p7SPqFUMXTGyGFPeJ6R1ccHa wctuyH5lPqdx7B76lZgWMn7wg0AmK/XBPlFdMZSkrjtZlxKJynEy3+Opqx8yylYH8c9193k1oJPg P3JHtrNUm75x54929bdkq31xLO4H0y93otWIKTKSO/boPVW3i02dI+zMxmmEWQqFpo7iwSfwEraB jvtq35sSc7ySgapFvkLPrtbOG7ox5IJRDpjoXWLc/zC738vdUcMb/N727PdYuyqEQW8os+HBG1Xo Fc0uP6uqbDIqd/Mqtf7KjUtf9RL42AfBd1ygbrSARSnZCdcX01TSDnA+v/Uqs9DyKWKD7iyDcsIG uRisxd5rjf0J+mJeKLQLepfSDsiQ6q++GBNFR1y60SaoU4MdXy/4xcPACIhRK5BgFEW2pumFCDGx 2GIAS/YiIqZuVG/beaSeTw4MnqinAKJkddTAifp92J1DDlX4J8PR++736/Du+o3UycGadsXqvk8W kRNUmrRw6muP5KI3nRQDJUeVibg/CJx/Ek7YlMDdoX5PFrf1g01sHuFU9u7nmLSOqKTGpCGrQnKO QzzHYhzyGXTOyZB+OFIOWuxRWpSmHJ+6FNI5DtFqgBOx5GiFQlDoY/6MaO0LkMwzrN4CRGfbJOKN zNUVgP8bhYa7m47/abFQeIFaDjffOwbTp8dr0wBcP1QgVoUD1TZ5+MdPcnG81Os+wXvHK7H9dAe3 ECOf/wD92S1i8q+hx87JpahPizeGZgkATGiGhu1MmV+dt4aUllH/WBI3oGa3vlEPh5x7MfKJmhl+ z6TXM2wMvZ1CXNEdvcP+URpRmn3oKuRVbeGq6dmQ4nKz806WTSEwoqcwc/s7hIcjm3XG/ZMSAATB THJNgSwkM8Z/Kku6rPk0z/gnHFG1yMPH1UnBmgqMa0gPR97Vz5onyMTR9a+VYoSgaKRtTAqZ1Yi2 fEMqCMVdLVZ3mXkNrEZJBG0zYw39ajdFsoPl+qMqZZz1BW9RakzftE1F2wy1hke8UEqeOmPR5Sxi AiYk+WrR5sm0UWCEqnHeSMbeX7RHij1cs7LI2XgneLD7ffoFCdifyEm2qTp1fMsSz+pOOwPIQlHZ 6bySpsAB2+dodqUE/0VR3S0koG7icHAY6WQjZ6UM7aATmCqTNIROKz+g67ktNj393ILy1WJVw8h8 zIjzt1ae0Oo1PVJYuB/ca7Dlbou2JyVi8iL2s4gCQZQx1JA00w5SwnlShl2vujBBDGMZbCa1zpNi j41E5yTAbLQekoFTgXQHI1mIa4eflXqqJsgvPy0Rsz1i5ExltiwCYv142CPDaPyX1hp2R964ThHw YdivtoHLUktZS8vbcGum0yTEP1x9PLkQQJw2KA99P1Pv7ecQ/LJGaYBY/JfdwKc8f/MZA8uv4qOx SSK900mQ2WYRpf8LQ4CxlJqR2Seq00pHAcG9V1tmSBWLFxkCfYhSRExqxm/Oe0ESB+RNWrkIf9Y1 J//Onq5LjH85BBgK/HTLNDyzYtcSTzjjDr5Dsa+NE/UhpcK85yuEDYJIh4vToG4z+74nTCAUwIBB FPhQ6Lz6YvLvpZ0YCU0y3/ygFZ3J2Cz1fnR52XdxDT6zyOxspqeQ4uEFfgxmKmYZt8WjOPWUhr/d U55HCKdQyJiM8wlq5HOibqPDBo9uElTo/UeJCN+i5h34f0mBlxRl/h+tBLXsPuMbkmH8a889yP4Z VbPvcFJ/OSGFjVkic3H6PCYaSgMjHkNWndC715jOEViFcQPaOY81I4YFE2UkmSVckZ+FV/pFvX6R 8iB4xDzEpoXHB7ugFqBjGNJlG2nbzxBXpcz38OpyXs8FOk8hjg2Mimu8sXtNSaviUDuneKTulhmo /pRHbudhIcT3SHpS6VTmXS+ibi1nKTZGROOtlkjD/CCDXfzgcS41nzoq5cfPk8VsS7a8Sv3vSpAs p+7XnC34KtgF/jMwusuBLYkxH70rtjgVpDNnQtrHsCyripOv6c8jMHn1iTtS4TrXXz300zdzYGuD krab/NENKWxI3+5RljQXdVmeE2qWcRcSLOlfcwMp95xrxqX/uBhs2Od7oLJ9uARzBP59Sf4Dqkr1 p8oUEV6Flm/BWxTFJprtqObVsM3wMHlfg4KteQ3/3LxY9rn8U0vGlSMplx2piAe6TwtpGyoc7AIb shM9rsPzjgc2YSFSZijppgZ+pDtrKQgGBeZBwq7cHK+ax2ogmheKbYfPh4DvQsmDD5SjHZTAmJz+ pYn1FWbk5hjbwamU8jzPLtooibZLia2dVcknQf/lJs5bqOVSDykChowu+XqDV6mk/7FJQOf8vFKa 9Fpquv1bqmgupAkAgkS8K0FZB4+j8KLMkZNbRIxqftgbZAv1I4l39LKIAIhNx98Tt/Gns8sYUYT3 knSdCmiS9k85q7dLLqn6psK27D79ki6tIg0SmPzrgJ11Ssj97Ej0bjlLo/6W/b6yuJdmA0PeBqS7 spPmAJ5z5RPO6iSF0folNfg2nksnOJ8n8cgubv6y29BikcKdtfgd5G3ku6IDRZqDvEZEGHB1PQOs EEgiZKvxmp/axVsDnuj8a1uKlFgab8hfq/Nl1QCcSdk7VrSTsvENWfzLfh2OCbUDlqxaovkl0pNh PaKT/tGTyfa4UkUMD+7tpCgQfu4X+huFmwZohmCdmxkKM8MAQVrlqe+IDHZu53GJkss/szNZRFk5 I7fFeQszMd4hwB/YLRIbkKpCMrWbeiMmCgJvvpPPVZaBwxpyd0B1zF9+GyxngBrvvTHXcY5UEkne 8A3ceb7yKko/raE9KvL4WUFmoevaSgzpJ/ol3Quf1BA7oUJfmEF1TpgZbl+cZQ3W9RpFQ0clnZjV IDAUEAwLezNLSY/AxWBzGoyeaSHeQQN4vQJhD8lzxuIN/o/Y3HIN1sLuAQzpfEXX11F7jSGZnKeY eW5XRBrFghS/pKYaSX4BqJWB/8euIp71CWGjmgzymy1W0zFLp+DZJHY7UEnaul+ZOTnKKXWOk5dC Fj9VqhgMbEXemPUhuxxZCaUwIMS8rRKiwrLslnBIOv/u3LRIJnjvCK4ySBokt4flE0kNt9uNMWbo nOizoOiM5xeMSmDKxauTQWeeqdCdlQT7afKFOra68lPaN8JqR1g6AQ+BM1eydjNraK28cyeF3GRu rSFLU5OQLY1+sPzDhwqN34qWchj+a95zpkl+/n+4NVU4yeh9PqC0wt+pMWa97IYqFTzx/FsueN7L DzVtHD1UOXrqTaSu6QHrcnfBpf1LBYHH6rvrWkTREMSribj4WEo6Ai4V3CxKCJ/BKn/7Dk1lvKrB /oL4CHjGFzQhDhUIJBy2CuadmZuZ+o8SqjpQ/Eq1KY826PizA8eejWPH2kBEK/0ydRyDQHnGvtYK SaVaTtPqCrf+eS94glSWJlbbVWLOBOTuO5DxTtABqkkHFBXConh6fkfIcM1iuO9wC0O4ILF3Im+D ujJivdEI432AfkcqPVrPP3YrewRqev0lcqxW3UkspHwrWDuhbo5wvB/LGCXmaaigxrr274oaxfew ySndQYYNTM/ypmOl+J8EcXSE+u+5dlM2Yfc5xto9WMooCUzBeCvjz51i7B7DZEETMg3At0uz4Sx4 ntO6It3AIm6A76i49cK3mjqeipQyVPZwR38dRfM8VXMau5jh72ahzVvHi2dhQnweodCwLsRfbBHm mNTX3bHy9Ku+GA9DI3ViIYSjafyMIB3pWjrtbGkstaJFRR2XlvlOvhuxziRWRLy5DdFZdKBt3vgz s8bFfnHlMdNgNM+ghDxl21RSnRvkGcdnwCUMu6k9jSmYMYaT+qpG23B23gn2ZXF0QTqi/sRaZmpa /MAj254gymaR3RJCc/6VeT9QfVue6hJmJTbvE7GfVUCp5oPfvsHkO4vUWK2Y2Gkge4ZNcws6MIja GwRe5kKZgfvtj4kVHVBQuC3IKOagzZessYLdEh9WccKqngQMlKfKTjPAy3CAELXhFQYB64IqT9OY HPa5I5b04hgwIVhjH9KcUxOYiBsaKra+tJ2Dv4esu6yCSBuJJjL5IuowV2Ko9bTW9xS6u9pU3a5G ZT+1LllhaI1aWfsZ4BOHo+H3Vas8EcvEqDVmOT6OjMzKAa559fNbmIe+CzqC6MieCMmFV4t+X3At jLjxoLYjH2HLd4yBR2RnJht+wdZ8Qu/CLPKk2Om0kbviSRg2Spp6GNen/ACCtURLGf60XXrkXbLm nl+Ziqo/Nv6mrUrQkPfAY3zzx/jUOiwzJUsF3+KQQYGyHewRkcw92E6w0L+6YyBZx6SCcuOGsN5C a1VB1LpNLBVUv1ZO3SWs0qBc4zGsp9hAHCFlLoOlIB1iZ892bIiRxJCtzmEeEep14ogvUTHEezqr zIYwlLZx5bxTucZRc0TdgHrd4qLlVzHvDcnXrpVs1xJub1HQNhFK0nEajlnQSM8IHQOXCUYuo9JT fD+pmDwSf6mPwehxh5ZnRRBXSFDegMm3Z8K63N/c2PdPofeeD9TmwRZUshN396/OF0+uI1H2AAxK C3rJ4oflJRXdwxyELv/cVgCRAX51Sss+JedCM9sOCJDlgVWfTv2L3RchI2OMgkk1EyUdrrU/30Br eixHc4oZnjM+MnkL1/Oai/43Ox/dqm2eBzxGDur7loyOinQ4yMszDiATyhbD1RNTGW9xM4Dsjme0 BlK2KoCSwpDNXFtEQFROrQKhscW0RGP+IeT68y+XZURrfSvf2BQwDSSfv2/8l6rElWK/0YgBERh/ EDq/eVsYFGMpuA8BMEPKhN/rW8vA0a6pMyxPqonJhTJXs7fkggDgkxw7lIhuuC+14ivqDO2TPBQ9 SeHwi7vE5bnKW/bSdzVYQVVaI0W0D8rPb1ffAYi9jT4GICczt0L026jVfF6l74ZaID6A3DNyeg0U Qwn05LZRw9g7QshOdY7U7iQ08JIlWWz+uz9YJBgym7ZE12MRu77vpZ67cnZoiag+FxBgl6ykhKdv w7DZm+u7L4/9C1g2U0B5D69vuCJh3r0leL4fq/KX7MXTVtZG6qnFCIZIQ+HbPfXBRBGWHFrFe0Kq wXaWWR5XFO4g504WeKJcfYYFXApnYkbnUmrRc+JnOkP5QfyH493NOabbZduCyA4VoNgN2a95cRjB gob26MPPx0w94ioTomAUr1yjFIq6IViahCFj4NzmSUAzjc/EF0qeRMoHj+uCY48rVumR8tkEyUY2 2Fs0yBlgUFjTFaJph0bYBcHKyjszQ6DB4F6t6PIHpAEeglES6t5MvPkySKxNvNgDxi7Ss6vHTjAY s0QQtRn4ZZAq1tHE83adskRu/tJwO0X5X30jr/tVzYNceWu1UdK6xi3/Tv34DyscxMeUNxTjizyp dgHi6zh8kUf54YSd5oglQdHO54C640vFe5pxdI5jtd7Ga28yjoBVXXgCjkfte5cT0bndk3Nu5L9y +Hol42pBgd/sZfNtLjbkG2usQB5vWbkvjRu4Rpz4iXj6VALpaKB42SG+VzYGbpGw9Uqvxf80Opq8 wS3Umwg+XxCwGdWNpAI3CDg2/2YmVtChV/rA9qemYBVH0bGsKg/op4ZF6FYLs8KJlDhZ+3bOGuoF wdGezrYOGN3Od4gWeMVkDXrCRzZuu9ne8G+halyFaApjyMzW5icb/S36PcgYq13nvH6qDZoRYX2T xlsdoaYYlxdSk6BkgoeJcuW4gnRGtu8wtnV+tE28jNYGheJYv2X6kAi2TzFwLcOIFnNozce7RJqE Of8hxjv/ZyaX8F7+b/8dE0QMDkiP9fSYRNMMv7JLaJskQxcxNoTjR2jayxnQsA5I66+G5Nn9yYro 804vaDCmA33Dxtbzm+kATknyKMtNo0la1yMEFNXbR/SxPWEWlMcYL6hV5eDLK1aQk06waFsF/JWQ pxCrikLlAoDNl2dJsC0S9IwdeAlJsmdBszOPO57SKx+cmZomYb9mo5KsrBBPPIVuht4S5HZRdBjn trWK8cnPrZN6n6XhH0VqkD21J+d7HPw61SoTZqFm19IzdJhBerHDk8czRmAP0modgu1OPxVnfXnv 3FNOOz4Eo4bNHOsy+EpKEiZz+4eiUsGoCwdjhb8YyovN6XrMppZxEr8PyVt0qAA6dogKPIWZ8rlo f8lrl1RYcAClAMBMcUkXz27at8aOndXmc5p3CpXqOwh99dSeNsEQNxycUthXTb4dTl+k9mcv8Gt9 oNYbSUJZCzoVNltyHsw1DXXFzAL82QEvddzJxgO63Zo8v1R1rvQ4Je5ClIYfUXiiHfVg8UGX5yKs FsCXe3Y6Ff86l9W/DcGR1VBPfRl/3HumSP6JaTyWKhB1vzbgfRwlSs9fNF5bXpNnKjG1CyMos3hu zpITky8lCcjZ9dsZcPEoDeU2LK8xGKP9d564YbJbcCqbveKFqzYd9IvKsEOHraeU1d6xqgOW5oEh ea0z//xE1d9brRPH3kHcoR7HxetksJA1i3DwbZUCkUryUNC3LzkhDLwk9E5ENCV5NLfDQgMsdv3p xkqSCpxSSQWoeM9vjWoFj3g5mwjyBwR33YCnAIhEOBO5fMaMp8OaKpl2VJEFZRz0tHqSblnnP/nw K2Ra70jsudWB7SzjnZTU9oBzQkcqu7ea0iJ2+18IZTKYFKsBNX4r1ncEfc1Zvbm0AKBkq9Hj4gzO adik95Iw/QzC8jjAuSrveR5S5ilTA2y5yV63ZrIMvOyQC0GJE6KIjvokaJj8cK+FnjIxAoV7Ru3y 5xtkK70mglW2FL31ETNE3JdLPSWcgvrPNFpSTTLgklrgLyuOUNOB47IcbY42C9R8IWln8CrzddJX CtXMPbx316kOuTyCNoQZ+4c1xak8T3mYbm4KKjsoqhRKR8Uh5tCD33sFUh9UyZX1IFKtehjbSWsB nXWBt/Pd48D/WYTQws8a5rBORrw/2QmVNsjA4DtZLGIfM/9FPzQfm6F7uR3VZkeIgpWy2II5rd7o 27L6kd6ogCSjzIbWwwwFJA/4itojmWn9FjSlPZ9d4BeaFOFcB7gnDQC6APaPz5PwOHew9C3vbR4k MYVuYPTrDLMNzX/PD86zpBWgN2wgzXsbEetaM8I9D2zjbiKJBWvqJV8jY6QRT6bLs/yW21mjuYUO kKLdaYca0KZIhPlznPllkpM2sJijHjtG5cLgYB4V8FYkbq7//3Iw4fIq0kGgfmbVHfZNUH7TXgFZ PjklHfiyKjDdapPOIdjgXVEmoeaojypjif8Ryc9cXNxBkT0omNmNOZPqHS4tsvuXFOFyL9IZbWW8 OSrVoRV0fsd/PYwtA26wi5sOM7R0PU0UKzMfkXH8aBmzF9X1B9n+p5ijIfr8/ax7pKFP2W4laFNt Teo3vF6vb9KW3DzsJsYvlEIvA49nAbqC1LsLgQ8/hSSc2Rmif5gCCVgMBhPjR7b7QnpBOnXlOwI1 48PZi0fXjoXcr4OFHo4eNMWQpIj9T0GyVcNrP4MnyumFOJxzOe70y47aidyLxsdb35gjMbbBdiJW YmcjkC0Bh2rf2RBpZw5U1d5iQ+Nr94YghcE2N8bURbaCVdvCb57P0u59XG0XZRezbmj+CCu+I8gq yHjmjIvYbWM+E8q0wREd7fW6E1ka9L2NiJVP9RhZMayKOqOZb5EJE3NUXOXaO0rG0sZAfAWP1rZh iQuS4KIvVS70kzM5vNaEtVixDXKyhxEmKSz+uAcsD9//YpY/jPOpx2jRzSJ11Wr5sHbH35AugsFb RgpdKpAmuAbfQJq5zIsCcpeJ73r61AOxdVAZIcgGs9IwJdvZmKJ8XAbAMqTv/sw5U3YIKvW7ooZP Jp4oceFp8hK/KjHUqSn5r+pNiAuGJksxvzdLTayctmLCi1fFBzHIMntK4s+v7cW8K5oRW68J0Yjy +T8bCtszYa0aeUGI8Pfy7902l31NkOqvk7zkn5NhZkwiprVtkJA7tCoP921Q9DEw4jDMrnUmZEhV q25eeuarvEy/icmtsshJoINts+2d9EJ6Zhib9EVKDe/ylD1tu7uoTiiHJEPeo+ay3gQkrebtIsJX C+4rVrIeBH+0t3Ie12/PqvJDjI8PpGP7D/U19EI9RzTDXmwgwu/dDj1JLH5r1YY+QGutsM9orqbO VxWTUUo+rI6EMp0XxN3Dw1lmB1gBJlq6H2mHPu3//i6snIZB/+L6D7ojyJSFA/K6UQg71EsNA6wU JKFO4beEPZzy5UBj5bo7AUKqRJ+mULuK4DhsfXWLKRcXHzjxRZuQyL8a4wnEYBuW4yyS2HcbIoLw nu+fwGtM0A5R50MBvHDJtfrRFDYaGwnB7Tte+qMkBZIZ10wnKeSkiHgPMvxEonELCf+7KrNW+kGY I35LnIwpojFUFTBgHx9fgeQROEu2CyHdg4Pq/AGNqwp4O9VbR5/W9objqzr67OljSGEP6H0XkjgX eUJbsrM3eUrEKglrjXO8lsXIwc/jmulsnVOIrJbtTTXJ0MDWtVd9EvYUseYrOIi6W3le6RdlcPdT GaIlyG/S+bKxzcZm9O0w+9djqrQN6Cv55zxLsuf+8ueanJPxKO3c34eNasE4SETSS/u0d137Ww6/ lpy9XAf71ZoilY7U/lioMZ9vAbDGZuJUW+/vcP0laTNtAWlK92fadz0h7oR39E6tou9f1/aZChI4 X+oPnlRNA1bX1sgZ5ZJD6frZqRbh10KNeEwoQS3qv3ylH75WdfI52+T0KAgb5lO/zQ9IEw5UQFN5 boLFDt6H5NIJzGMuAYF3t2Uj7E60Cu1TlSwaW+kFjeZcbJsaH9znse+xkWJmALIu5OJc0qC1MEMA oFSw8LtNXaf5ztNeOj6G6ySGALXd9gotwGY+tn1BMu25VEzR/KT1gDys09ovV4EelKuqTUHAEzqF 3CmxiBV2l0sV6TtyZDsbygmQfgLKUi23ej2XBl/nYHsnfErUB4tHAAJE8Ny7luUAUX9mmZCyQyjM 4+DzAY0U4NLYjne0tmzZlMhlvDxs0UQoEvOXJ4GyQEYeC8ng7SKEahTK4l/cukXJzo61QGxzl1zM MfeSA2h9UYf8A1OszXnLsLeMzsB8nASs3yvH+1IRvt2ZNVuUxTHLMA2CFW0L6enuZpUn/PayBHAW ARLQI4b5sHx1vwQpmhTzS3MT491yGufIydlF9j65pBkfNUBosOTBA0M/d9z/oLqXvGYlvrAKm7l+ Aa6t1A7fvFn/7Y9eVhXG0Nq9mIg9cdKU7YFoLmya9juaZLx13bnOOVpYl8VrB5UqEwIUk9LUTrSu iYyPukGo03IlZ8B0QPaPMy1Ru8wzS3Eyr1PYYqmjOft/n8T3BgfAhC3t3BQGdvLMzUSEZ+1t6b+O AYg+odEdNXEdFlGqVVOZDRsIm5nR4R08g3qwYiFXuG4V7gQYNX1A5B68uUFU6i1fokDE6hZdVUOT i8SM6L5vJLsjW37H2/1tHIfUq4Njhz4z22s2FsGVBicwe4T5WhwF4+gdW9EyutEaSa587Ikd5A3N AyPP4gb5iL9JClax5B4Xo623dedaiWnYLLB89kD2ZzyOqJ48CufefDGohB0whFF6FSMQ48gQzhZ6 cbPkJSWYwwa1dO9P1pW97dmk7GFOihGYQX1LhwdrhKA8ZaUmgwXKo3R4iF0gGXq7iMu8y89FC/la C9a0XK8gQNejVZVZ3ELrQAnjV0mjK/wH6SKw0GJEa7cTXkrzEy3eoZkNkei5LccSLNiYZEibWGa1 giBuDT9C6lPtm2NG7iQOsxKiPYRckXMc2pb+tm6Ah0M6vYi4fDrqjY9tBLax3ca6u+GsZvPjoC4L Q6kra/m6yNj7BmLLoB7aJbOoxy/hgD6OZsb0+Wr8Q+rZIWNZSntiSsdkN8ifz7BIJ5gTJWuHuB1a wtWjcbJTR8pjS57GvdFIIPXj8EsLdPNGGqafNB+inVXU6u4tshgHnc5QaI+xB8CqwR31yBkoYgxl MhT5y799cZrCp3InSZ9pEkQGwwBMQlPwmhLT3ySMUuFCNLyn8AalLBwduCeUrXnx2QWjLLCQmAGd yZgqdKxaDfEJ6Z3Fg/uMKDU90rgGfMqxiaAq+Yd08sgqqFxSqrPWMjdNBa8nyUGcYdXCbeWgWREl gPQ2/Vf1xm+o4oLqx9B+eHsutSszt8Emk9FN7PFYWipISo+88BqG1BuAtqtLnBaBO5cpSj0pmMev iARJ+FOxnx1bVF9kmHuhwnQHy8mW3x92w3SXDay2v5WrKlc4If/jqL3YDeCPJZuECidTsiWjb3Ra dlu0+5PKgqCx4kXRuAzVkZBrSrqV6OzxzlBfITsNkhesgizWrZyCIuEnZs2jbf8M2iKpyPfDIZYt dAY2pBYtLCB883BydDn8huBKTstsmxSw8nRPXifLk+ZNYGLHoA69WBsk+/chp6EagwPGKXBaaRAj cBOAaMTsll+YRFzSif4xta/Its067j4wlw7/utknODDhUVY5jPmWPEL6h5pVlYyeVamDG1VrzZZE HyldbBqXNOA2cuvPmDICeJoMfSgGPZYR5sZ3+XTmqzR8/yfQaejQPBm+1afN/7bemRhJULkdTwU9 gFpl2CtB4bZjszWyfRvhB7Tlw6vJpMsw4qmsijiHgDCU/vyOUVAJ6Jt8/ZXoKhu6ctOylTW5HJQ6 n/lLlkjNU8RX2DX8eiHdf48IjTpSSCh6pJpoAH+dMzbeMjW7C1G48Wp71U/DVeS7n06Vu+S0P/ga qgju/CfZVPmimXX3sbygPRJerFkADerTbopDLuHk2udITu48kLV1vxtQramcJKCvUbibSG36/clt 1rjcv54eKK78y67wcHh3wrp5IG8yo+MKwhLEYvNQ1d2Hxn/9m7SY6w8EEw6XUBaKuDZtrRGYvCWn dydORAcFdhAcNr7Sw97zoDnX9m8sVfsiq9bx52QyIhFCAF940YOb+ycov7m3A39h+A4gyVRuTOvL N/H8qX6QLRNu8W8lT7wk+bRZx8J4DnP72uXPn1zIbX1crlwb252HlzuSQSIpilSCR5fRfVu4wR8L eBbyf7ef2efOAdJeSUZWIweXRA+MTerS51HfJ2jUi1DD2b1tmMHpc//JcpEy7IMeKxlJ9+Zz5xDx zBFoZWpeOyvaTcYLHMN0WlTX3vz06BdhjU+eX89Qoa1/l8Zk/hS21eUPxcOF933RYNKdZi9wiSzG 7qRtO5z6e3eV9MQQU8o23FdBRY16FYN4tq4tTUUJv7tVePaPsVn4I6H4EyBAX3whR2NGgQt3aV5P eorbm/N966Nib65V4kLJxllyoCRn3mA7Nyl17rvNaQgrAV2ii+1lCpa966snKfi1N4giMy5GXXlO 6lzRJZYMpCByjHMB/2UeKqpPpCGugqivk5p7sOFa/2Ka+UQdQnp4BVJyLUNXGSYOnPCLKcLVvV4q fn1sQSPjBu1HP0dU8bmUE0SWvwbMvO15hc0xGpvxJPMl64OF39Kpw32cQrc1NN1Bj4QuMxaaxXzg hMU7gXl88zgIPZ6FihB7jHY4332+MbN3Ch6JXQnMMQ0mzF2iVGlzfm5VAQleD7sEYfR+UI8n6+AW Oi65KjQoNqIZI1dBYfufstlYBBolH9gfrpsTl+z0d1ZvE78q4r1MpGest8NgH5H5vK3Jy7sjXiMS f3DHvfC2ZoPHEs0SmnkZAX5mDZc/+WdiKS3enHJvbu9icGha93vlSh5MD1w3MsZvLWV5+cwpciV3 56r3WH63e269NAb3A1yo1CLiBUSxgThnKhChU5v+Jzo74jcIaXtRFWK9SNHmQh63keEByH1Pp6ko TKFo58RXtOw645DLhK6X6ri1TvDUOUhmrK+W37n3htXg1tclGiGYvtv9E0WSja32C0xiGtoT9ZhL NYkW8A54nYWarMoHSOchvFahYe98U3fs9s0M05C30RkHq0hFvU3livW2vCm+07E7LZcdUIThkx6r KbSLJhQea5f6ocsHPueS3ewwuiH1tYomDoiXc9evZx7utURAKOrB3tjVNTWvK1YrJ88cN20jT6mF yHlJrRF2HPyUguBc0X3Q51yvEEa2EZ1SsstHpboAZpwHenYO2EpIYymvs3ah8tb00bozVVG29E3m lGO+/eZooUsgYmQv3gRkCU/BOdJfINtIHcLHlJmoETgsT1hu/b1ihCqVzmAZFkROjNXtk+/cVbhr mVInlSkxaZrXAXJ3D2wJOU3nEprJrp3IELRDmaj8g1MU3JtREVRY0IhPgnaOkmZtz4oXksZDodon fvtb4/zZ82r+GTGk7sX4pULMxm15IFMhF39hE9I8CKMXrJi0wCiM3A+sFGq0rWnelLn7k1CopJ7y Pg7+dnpWrwuyCmPKidNvuixqHdi0P+O2j/Xfs/JixO4kwCslpxYtrhu2xJXSrVwhGq5le1o2EH/w 7SLvy7bS4xhQv8wqLmv4vrXGMh+MKC5Zgs4pI2Y2EPC335CIQidDt6lPBIutsDArNuSpGy3hv8v0 RNnpkInuM3l89QfQxt0z1LYSCRqQvCrP9eMqB+WpXnMAq4TTSM7mj4M5fr1UrUI2tG0l2TrmLot8 gagfakkebZX9KiykMNNGAmGx2amfHs1qgvaCCb0zz7PGP4ESU8isZOdUrYhu1sZdPtX4FpvfN/U9 icoftEU8gyfXi8iGt40lKIEfoWa5Eku0FFgYfO+X08w0QwAR1B1GOhV/9tjjK2NaMIpdyM4MbZGp lhug5oirdsLI5U1brgagrtD+MwgILIgseruTJk9OCLzozgcsTnr70pbetsGCQ1+fh2O9I/vXDw+A xIbiSThHYznz6dx6vzMnW7ur0UqJsWTbYbx4pgvFMxOxyT57dHBsWIU3BbLBg1FFA7irIe0qnZ1o KDPsu3b+QLpWjl1MUK1pfSEOLM14rjEpH+17iK3pHftqxYL5c4bq7YMSWrBmXMlOAsV9ziehHQwR mxjq1Zu1QUY4ZQGYjzmZL0UbN1umlZsGFMBY7NipyBZ3s1VpIWuBM38KCMGF3g9kar/BIiEkFjdA HEJJ5z9JQIHusXOfPTdD0QeSLWov+EqydHvruLDCNHuy6ba4j9X8ASUM4eqMbcfTq3U1tAaKceww 23a4koTsxL9sIF/LESrANBMmDc9ggnho70F6OSy2BxqvmurZzHeg5m+Hm2srNdjWcbMjAe9DU5JA hju9vG8KEt7blENhlBqynYTr78lg2iOnbbz+kYGHnT6X6VYCScELt2YB8/HEvcrLY2U9WhucLtFz 6rZlmrilLllMNUxofFOaWpWlqES8wdhNuQ/cP5zFFLx9bDP2KVTitl+aKsdYxUV3WWB2IGX6nYL2 STTuvj1Ux4SofRZrDHfN+b6L2uP55vn8SEbVYc2gm10RdMxM+YGckvXvi7oXy4Gs5Mo5dPe4ZwIv AeXuCVzusi4v/Z8CeE6rNSXZ9SIeYOZz/SzV8x5wIqT8+OyOnChcs+8RSJSugWXaNDrqkbMzY5De xJIDhaVNAbhWoIFTtS1l0JtKocFj2RaCTcBQ6cR9d1cwfPO56KfBxl+FLlDIQtVJwrIwf1tDOWkp pC/oGWR1tzLXqzuYh6NW4ifp8rmr0Z5goXljbeqnjLkZBa8zXI1yaSVOmcqxU1fa4E/VN0B0JvCn ahCGH+EN3wWxAKgfMXii7mAvwQS4Ls7K7Uek0/23In/TiL7a871c0ORCOIfsWZ0kkobePPUfdnBe NCMTnt7jGo+hksqtBNsuvx6CCDnQLz27gjSzL/o7X/zeZINxfvXnq3iw8MFZ8uBvmNlgHraNT/jc bdqZ6lznnMAiCP1uDhKwAc3AEDKdxq3e1n3zWzQPE2U43/6rqpN9ivtxrEv/Hnl0Xz6t7smevnqA glY9rarTK+nHcI30sLcj6yUofQpdM7jEDy+KiLZo4bIebHDLkI9a+sqNmSJQg+ZC9gt4OqNzeBqP sqXhFk7BgviP57xQ+DRQ5v+sNYR5t1iQ7mhovnHH6EBSGqetF3QQ1vz1wSf89qDIYdOvkFc9yE+9 cwlU61ul8UwqMARsc7gBKnwdE4eTVtHXzWKX02Ussk9N4SOyLtzWn+nKiQLUr4clOAb05RuGLh95 UPYEc6RFPsi3qzhTUQjKvOVRVwiqLHAcvtJG4Ez8xg5bUyc/44gagbFjWZm3HjGeNjI51K+3OlIO sAFYQDhb2ys6y6hTLZGTrOStuZldutovoV2iRIRugcJT5qzyb6SdAwaiiKawDVNIOclYGhdEHy9/ y00nd/o6P/otVjSbBqysqoO9kXW1ZRrBygqWECgOKKjM11WaSliZ2/CdZMYD3PjL71V0MTFVDFfU llfUkfLYI5Z19zmYLgfGMM5lXsXk4UXHjqDCP1Ly92ZCnyyYCkAhALnWb2GLwHctosjMH6f9Yr/J WEvniCET+uxINNAmV1HNNQOheGzqG5gXDVtl6t0Sag8HtoKAUblyYt8HxfB3+UuGX6efdoHhdktF ZppksF0e+5dMkA4lt0cLE8GKniDfz6Kuf0/4kpHswlFSs+w/jqZBuvxDUyVNyKUUfPngipbaVg2D kV7psxXlMC0Qy/1Rzi0isWV9LO9bFFjS86F3ZU873RrWO9QLHbIwVoO7N+A8GH6KSMo5e7c9smGo BJM+9XQQ3xc3oRyNXFQarcs4GRB4YvEGFEDwW/pjoQP8be3I8fz3eK9oJsQlD/6Bf7jTVyNTSn8L 2LxIehgQkteoUsmTDgZsCu12PY80hES6dFcqqlPAXcLdQbo5VWbJAwMJjFd9F+Qx0cFWT/IBafeI mp71YoPz/VWz5C1z+3qjMYKYxEx5G/A2gaqfmPlYbFXpLxuJNlF5LhxItn1vFdCZuKppTar7llqM owd/wCVKXInQuwb/iQ1WsLk6SzNSNU9vYcUFQ04dZI2M3pipaOhNMZ+aMJ2MXOSUQejJr8CBGN6I 5UrmV1mH5rqMZS20DbFRC2K2oPY67NiiN9C+nZbf6DpI3EfzNYkaMFt2ABhJ0VDYbqPYBI1toHLm WS+Yra2vqgP5a15aM7zFp7QbwaJKjSmAhuBqzC56KxcVCaiP0328xpkNLGvpzceu21Y42v6AldXE ZBpvVc/F11EdIWATjIrv07VmLO4WDtRyWP//6rNjBob7Tjc8UqVue8GXJJ2KDOK4IaAAbGqldqph nMrRecbzWun/Itu7jZusx4+6UxWqmasREBs788+PBm6v5sdegAMlwuUQtEYU1iwiKNc/YRoL8c9k psLZqc8CKsYiS7YE0Sfvsz4+9zTHX4GikVicaT/TivZrkyJwMYRBla9yV3/romfWwXP+Fm+nMifM m6s/sDkMajF3l6vZDoiH/OFH7XJLclia9twPr7XOBzZJ8Sbi4V2n97QI4Aev0pC2F+PgsVb0fZ0v 3pZr6dFRFiWM+pPi2w8LMJV//per4JEfjnOq2lQ68aa46ZeVYDQ407KU8vDBBFzd709NFtMjW2zY w4fqyWAey+tB0dX78OO3e6Q1jGpjmi89ONyBTMNH8aO7h7vdTlFXF3AtOiXlCsxhR/shikbwSzwP MScMeQx32ee6gPo7ehMFf4pnXcQOTNY/uUhcKYm1BkWf7HxfbA9Mgdoag28Jb8QNDahnvi0sPUzb cgIVxtprXYL1/zw6+hrTaITz5K5txTAHNoLStQLnC32LwBkYroSVHpyIC6bhmddOTiZrSu588oQa m44+4LKJsFJXWY7azbBVBGWzB9hRCquGy+Ih0NnVAM6jHlt7bmIpbd7GEdMaXya4ggzEG5mDRU0z gTtj6sTKHVWns7qXFymfIt35LD2KtnBELIkZJPB7/dj0sQ0PFeXgixdx1l5lOnXyoKNSHJrRu0JD QWDAtqQMe3+PAbTb24QCJzw6txz6LdLP114swtVXF24lPf6vQVXF/6ZSPX2AsDJMFUXhBZxYzYsB qXcJ3uLFH2X//fSm7xIwC0ygmOW9BsJhSieNtVqNpI3S+zfq+BJnQBnzkovA+jXBApHERTJ3eENN TkYasdXcK7bBtVNaTSl9d2W+h/ZhZpesLYtdQbhEBPXnRvB8hFjJFe2u114jhyeYZvq1scs25eE1 jjvtkn5ZykzeZ5AvGJZ7oyJIvi+UyGZ+kJuIaeNpjuc2GimU0tgPI2o3j5PocY10t9o80ehoXwQf HwYIl7LJc9JsgKqO4PlA4JylQQHQ8KM0gDK4neVZWsknXdbJ+JXiuTZ9FpuX2HlBO67iq1KFJJy8 7HJXGS0iQ7KmmBZGta//paezguiXZm2VzWDUVPPOJdfq4NuzQMxKwEe9YuP5a+27sxnnVSxjVb9s nGXQVnU5zb+jfS8alaUuXMyeM7jDZhL7Z+98n2U8MwnQe6xB6Tqqb0+te5nc9eh3l436t4fgrBtv 3KwhwiKBFqB2w4rK1tSctRwrisytIjGbjXYe9RzblERr7JhjTNWYuAV607J4EqPA7DsHVSVgk+uc 3AiGC3c+ecuRgmyzUnV99oI/jMmKsxzFE576OaXj9T0JezuWMNpviUyMwULAKAsdYtdolqBX7qKC mpB8bGGVPj5Qw7pfo9Wz8XHd60oMb8FJduDuDw6cE7iU0cuP3asFIT3h9P8WjbkNyJSfYIiTjn2P Cp8SHKAQ0hT9gt2hVfHybJIuO4Pq29kq0x1R9dt0Xg1jSy2leGfS6uVdq/hAzVbT7UkeF5Tqu0ER jlTbW+Swi/P1FpH4LEmO9qDb1GFAoUY/d0dmqyRDKPheWDJCYIMDbRq8c/5u3ma26BBKlTu/uaLO 3hwQmmmD+xFWbWxw2YE79CVScXh9eUTOA2/lG4les5gzBFaqdVVjUC7tP+HzVKHtWDh4pT+C7UMh r0XSmhpYGwLjoexH5kkzV8AlRen3w5sS8INvTljrnAy3rKqqNjogC9KqsUuoVdNJircKP5P/MKWZ aI4fTgl7CJT0vxCmX0TVUkLKdLgSE7GKHqg7pQVZmLhwhQBRfygIbjIMmt3OB4PZM6g0YL8RaCFR +Mr1Fn2Ns+MP41AK8VwUsRRLujsZCeifAQIC9jpp/J2Fsle+qAoH5+xYz1ude89VvGIdSujw2H9Z gw48gcy8+JvpJZWI9wMidoReV4kKl2S/GQCuJpEfEe1IGXl25uasDZX5efmvQZsQmcj3ZF+eKjPA D9zA+qThfMeECR5Fr1cE1Mz5wWo+uYXK46iBEeVF6E2JSr3EozmYshzXxJiTHcBPjkJm47GCysSe V6YtKw3SkBccQC1dc3BUHYxypIqPeVIN1aWDqeTL7v9w+tRy7x2mIruPnQtWQKjVmlSZRZVBgjfX iE2J1Cvcat8B/1+djGwg9RuKrhZDHKoMfsyixSM/sBI8NHGEDhHVH9YAUH/n5KwfYHmA87UkfdPL cgn7sM1LWswTAxbzQqFqYH4NCm6yx6UtcqHuuxgJjlvbtNLr1Y85vkKXxK64BBJZkKU3TRJAceQF 8xC/2giHTuX/7edSGthedk0Qz0JLX65AFz3gMN7uwru/QaXv9OJgJXoq0v7nKfGnZx8tiPggDEUX bSKBP1y2nhCVeEWwKpCsWc4T3VU6AkLpS3BpNROxHhTuN1SAW9JyZBgarUBjwxACXFp919MYPiWO I79NtOvSSP/6ITu0JMC1FVgiBU0/rKx5dmooC6JyWDNGObXZcRnsBZnsxQDE/p3D6UPUbgOL5elX Vj797swA0uLj8B88r0Kx7P0StTxLI15ZzcGyWQY6EhvAZuNwxpo7LfSlTelQrUPNQd9PotnqIhzj Z9XhxfKU8m1hyN1K61c6L8Ru7Qo8P024xI5eY2jm8J1p5v8Uvw5b+eitJ4Ni7Tr9Z0fT2bS6MNkq xuoA50V4t6dcJAommxQcmcCAlRcAx6Myn+eh/SgxZy6za91i+7nB1p8su9xC5kRu5IJCHIJZ+dtj vRR2/7V6NoP7oHh3wWFUrQEnEykJtFCvsXuiOIxuOISv9DEGAb19cJRVOF65FAXQGOCmzsDneP2S 4gSxZCq5fyGASYQolEu2ioam1++npBVa7QQ1WbHImjTFuFq7WK12dJHfrhGPm2b0zpsgC5CSLDST tvO0k5VJDoWW18o++5VKP/TZcibF2De25sdsxbehDhW/7UEzqn5CT9HreXOVrVDfnY1970nJM510 6NCZq50HpF/ZfuvTD6q7jPN3ytILx5Gykdpnq0bYf6BQ9RcPfa0jnMlWNdS+ZR3018lwbTXNoCHe +9/g3yrYBlhwlfUE4FFIHRJpSvUmE9TE7reZyy0841Hr3zjtC8nNR+wSPZGczpZxzKlYZBtKeh1P RyJUooIrFZks8OrWrgMUYFoaZPsxEZpxelg51zAtrENHBdJ63TTrKrzvjTaF5Ceq4abMMUfJZ3xh 15TAe9j1Szl2B8v1GHyfFoXvvqCWesqK1Rlr7cqFTQjpc9xMnqZ27Rcdi5OR9sQp8Sdq52OlrXtO X0uLENxziOXVH9+q+/Xtm5f7vL6LIkYbYZpA7QDH5ZROwt6kD6Mb2mRsgqqGqcNR/PhGuCHgXzsu ob6bWL4OcX6jioO7SKN14LLgOHttJi2CPvD3dlSIuKpGCgQHMfo0GktyUPpRslFCRAPLKB18ZXU0 xlax62IpU2Diy16ZGtkmUvcxEV8N9sCUxtfyXLTSijEtCCRu/l9TuaWrudTYoAyoK+SOdA/j5YO7 O/UkoKkr5IvZyq7FH91m6/Q5KSpTMeTj4tEKVL3NKWoxqjyNbSmiuS3lvx/X1S4/7FcoD5as80sC wDQBcOUtjyAIPFWouePxbM8ZOTs6i5y7V9rThh1KI9tQ6PwuqqamcWLbhBb+Oys7QZT9XIc7aKmh SnccD/8I4nGOwcB4G2Z3CsgRwYisF7bwM17sG8GYvu6QRQEe92+EjqdRpr6eUcd1KB8FizHkDItZ Qc+tFXAU6jgGryHAdAjG4hvA5whW6ANGmO+t0GSpVWdhUjDK4VIL0r0DpF1Bd1BbeY5cwGPtBgMW qNoecVyCShpoyVJF+i5i0Uy5leH/gyfaGtnTdWPBkz6sM3QXTFkwlJhJtTBj4ysAQ4FnBToPy2B9 EJ/BRuCx5ilJRPiq1VpuA4BGX8dba5VUBO2+GLI8xC33VNY9OXrFprVRJ8hEKnWQlOAIqY29H5oV aGUJGIPn1YMPkNZhyeqReYAQpVvVw7fHt2BIJK9xhNMOdpmVikNQls0pky44WFFiHVN8zddCYw/b nnH/C8HEOQaDaqwrQnEvNmgvSL0/Rw7hjWm8lf5QduMl545HhAcbgtmESbCP3laWxErY1eUDBWWt 8i/w4v8OGYwehHPKYUwfAsDAGzWxqWr6PXfQ+Jl7hPgIgq3/ua+n13E7dJpZy7JrwNriFvPwPMa7 zJ3GNqYatA/xlOywHkuKMi6BpdmUt4mWvgcYaTwNixiYj/EfQ8/Re5+Cx8QMuY2Yl03eOp7XDyl5 XJ/4Rm3VKFre/yFGPopglzp238UVVvtMoTh8TYUB0khduatoCXNxzkxgB4SSh7M3S1GWUpTtb3aW NU6AhUTh2gJYG3eBcogAsLMSnhpxAqzdbQU59BxArvDwDWjdq8PsIwDGj6MDStF1uqBM6jnvkcE7 CvWRwXnwgIXLGHUGRsFFG7vryjSIf+WyEz0XsTqMS3/52plWWkwLWbYS9MzI7+xj74a2vhWibteI 50FN0Rht3aJSB2ig4XuoEcuOOVlXsKyBZyOg6ftjY7IOfL+RhJYmEyVoAtnj/drLRo9f9zkC524f zl3PhouH5zy9bgPbGWLde1j9FPp+BsW+QwhB0SO+6WudAp/cnZd2Z9ovTYlm8QbCQpAFmLNjvHsk EmrkE8MJsj5jgWenpmPfEB2iPY5zH31y6erSr8Ezq6qBikmsfS4Vm+boU0J4ZtS+s9SpgaG1fE+0 NyhT4fuOBen8hSlc9KOEQt42a7ciILWmyweobkLTkvVN7iI5f0jasd0xMgsE0ijr2pVoN+BPK7IN gRFVSgZQMJ7PoXqiZ90uS9LZzsop2uzWl/cyWQIiKyW56BoYYlhumgIdQ08BrWmsbellNne5+HOD uyh2oq1QbadJVsRJlteg9rn1IsXdGB3AetPz0/BEkmQPDLX8qa022UmFySvJ2UaEJy0UTFG821mm EjCjTf99wWGILrtRWom5bYf9u8ghB7IMaq+x30dF6r2o+jtaHh9RZFahb4mZQTZwR4Vq6hD2xEgY sOE7m7JczhYscGFPQUiUcD0Ns3AMDPpOnZekKD/8LIc1dCvnciPL/IOsZMMiukyTVteE88eM9bPL 4gtdycf3Oc3lMEbhHKNwHIhqEbmDKsGXGkMXInIoCnpMWHJHRvTj34nrAHxK3uNhqQfqbaOIpp95 SXqkiqFsR+Aer5Z6dA3LDZkv/pF8kbsB0PcyPKgBYyXAc9S1Zx7h0fSwlegSRxW84Y9xyS9DLJSP UelFM3WN+FmdZ4IZb5+JvpMCh8OxW3dOyvCpGK2eKBuFTU0dqfD6Esfmk8/fJMD8f4kt5gfBWKx3 hqhGU4cFVFXex/Fbz3bZbDgt4VsU/3ca6RFgxLFr5YtMHRBewKC3W2bx1I73T0aEPrcrOSd57ZMs o6YYxA0+DrKCQbj4C1I18L3rJBRsbnm7vRlGv65lb0nfQ8pH8wr4Hi+EOyjFlIpuxCee+TFKNWqK SOCR/5tSMDzQKiLqDl9Z0bJmF0ewk6nG82f+z0ECS0eNUxEXih2KEC2AaNZHpaHXqlhltz8BLL90 MtBIB8piky/loFcUY1HspORED9XgJGydftLPQWPREdicaYDSW7NBsqhT35Qa0OqLTLw+63eagLlV FGr+1fuh24P4vAnmCGy2MIMuXCWUdvgEB7hL7vfU6C3nhCFP/H6sQX1LlJjw9yb/yVQy9hSO2cZC fIfgJ7uYI73GvoMpPwNP0s8sn/o+tW/Bd2fpE4wp+MnGjnfRbqetZxxy077zh4ZfoClgcPMySV2I ZPHIu3deiX9a8abdQHgKyYXD3nbt2DR+FUXPhpAEs5CL8s9aeFPzRoJDUQfkDaZuhtWNhbuPiErG 5/WNi/4GjRrtr9F9TS635K9vXl14d7TKDt2Oe3c0OvJuVSw46ztLpoP4hp4VzLyF7IsYUGk8Buo+ EuFj+8oNBDAfO5paCn26bR86NphJsRVy/GcCV74kHw+BaOu7VPpDp94JqyQ+7j2ofnptpNa3V5UQ ZQ1Mdy0JmJVFcTbiS2CSPkdE0KPHbltG8CLczn0o28jqPw0WE+fCW9lAdgC4mwXAHu2N7LM/kuil bVR3sLEcWjAD+2+bs56Q6w3f3aqqwZCU2qTpcInWoFSDVrfIdVTH8G/GaP9Un4JVDCgE1e5Y6pMA qTCedWZhZSARTkpPqtzaNbYAPDCI4UEwvxeyvLQBmOengQR+HZ63uyYr4tNxqomMLSQUSh172CRA UOGd4QjJBbYyCzr90VxasoqJ15XtaJXZYm1f1wpf2a8MJImdVLjbKxKJhFkaffGs7eQYMc4ya6Oz fRklpvDDQXuXkIDViqrqiSo8FGdryUN5YQV8XoLs6l2iSTTJsRod2h9JBHArNLZF6p2wPyqqL19Z 5+idqkwLjbd+Vxye5uFrdSH7w/u63D3d06Cd9d6zzBW/e3JrBlav6Zrg34RT3Glc8nJ6GxwiKkHM F9LfIw/CBTgw940uKgp2XQ24sCtNmH1tMsWsXlT4JuHxjPGF4xbH33MTsf0bp46OFEXFgHJzsl98 mAavnfW3/ExADihcDwkkHB57lSGPCsZ0VXYZePd7CjpT0SZKmebEEgngje2cz/OwJCvxdl5J5Omw +oYBuCkwIhGeiLi8FgWd5AZ9sUobMyx4UKVmt6my4ub2cm0wMBxC9ARxwK1Hxh75jZ2RKSV3o/MJ ZGzSmkOuvELKg+77mNLDqfJ/eS0X5x8Y84c5JdkCXDvo42Ompmd3EFTnSAoUQ7BdVxJW/ETzU6Mc l45af/mO46nJLkI6z1MhEuuNTszpWS/6FItWY37NoC/tsGU/00uK9AqqwWtSqLGjk/OO9QSKbpuF hMw1vpZN74FubyaWLozdv5Y3mKsHKTTd566wDMYPtKIdXmvUAuaKKv3nCXhnQGGy1kbhAGZAtO5A 0TXdKOuIxOTV9XTVK47xduJoo57g3nDwxL3iw7vs2TaUW+w1kVsL9EJGqKYhvDS4sWE8lNJbIilP 6CO2vUU7MA1UUMf6Y9dPq6MN6YYWeBGBdTmpnlKUofCw/++W3Do+8wh4w3fUM+tqwb+ZbucuZiOQ sieasw0KCfMDaXwCSSWbpQk9md2NfB08oNj8AtexJAb/LflUOaiMFMdkH/303UEmhyMzC1LB2k/g f8cBcay6hqEtkavt9JL8isILcRhi4Yut6aZOt5uM5pX7NOCn4qTSlx2P4Op/IjHrXvTQbQ45BK1n hv8AgVk7/enfht4D9lYR0lkECfk2Ew03sL9l7kWZuJ5cCfu0cgEZzOpP5yO9+44kEXSTdBd03Osn hWmCy3pY2b+L5g6lQ7n9lFGrqEIQt+bQJ35iiRPpZJcekAkT92NoVzLnTRw5SStTZLU1Z1KrP2V2 KvvUOhl1bvVMLu/IFmrBWd9aM93Y9QwzVhNM+Yol0pvkLqqidRoOftSQpGi7lBCe7LaA5DP93R31 rSTOTJ3fYwo3/51Pi5WUsXpcmT1O8cHGMK/ec+Sw3bVl6MrS113gobUUHz6zWlssZX/5hXdJhlu6 kvOWVl85rCsncYGM4PrN1F7FE2+DHiLkg+5FxIZfHW/bj7q/jQ8V8LuVht7TAhnGnLTnLtSKhtHG /BtvODqPg6tOwSpHHI1zrV65UwwhPHcYeRPbYjfSxyWdRxkcowQ5IZrFOLtxodSMzE82c3AD59Y4 U+hMI1tW0YxoW574lPxkcOpzbUlzW/xO/x9rFtqZefzNJtmhWNwlR0eHnlxU7bHUG+OBs5ylpPjw mcVl0Ep40C4wEdeJfgYq/Ht9ijs+bBMkK35kNy1sJulOSrAYUpd/EY10edm9krDshsiXmg+q4CmN 90s6yccirTqtO0soZKQMv8Aahfd6wAM9vDRCH5FbNQOrO/h9H24+HKVKtvr2w7X8q87i8+UDP3C3 GdH3OD9auJ3hju6sziAuwiMEjMQKF52FJWVkouvDam18QfRMXZ+cA3aeD/wKS7ZMJlFO7pHf7aSn /4m63XQO0U+dwLGUW7ya6NuV7GqrlmnZPzfVOMyZPt7pq+X2K6EmCTB2i628kIDy8OLS+o21+NsC i56gifwnzFhYiDae1FpMg0ut94+4l6UBJtf1dAKEyw2P7Abk5rEIw/HTiOuBc8QYUf2hhS4DBcfN mXDFZoLXTPov6gmyVxL+2IP+2ZC3EZmTW6q72NKO9SnUugisuMUZg33c3DECyP/pw0ubeG8Eu0KV So7gvz5sEHKUyON/EvoEiQ/v6KMfdK8t6T/a1TeLfUJ6O5yIpnw4+OjesbvDYsGFT5KqTcAIUOw3 9CEUKZ0lYXOrKi9A4LIB8IOpV0dk/CHjORFy3hsnKIE8vQ9HfLjCTvV9exkzsoR9YDPR7S22852R AcOD0SdnWpAdPR59Ee3nTLxlZElrRgfmoyH4u8W9dHza4QvwHio4qc1vpEAEcUEOwY+63hyrccvZ YJc4JM7SaoHNpmruBJ3QaBAAK5ue9yUrAPIYqII627ciccgYgW+TIsDhnV//6mX2bD4IBCNIts1b tfXA7Qn9BvBFEs9dhxZTy7OoffPKL84hmap4ufFfTWCVDQrCe60AnWCA4cekp1OFQ+34joOIJx3h TUPyvR6etV0DlcUwDjcqOmdrk+/4LvzkZkSgrwy5Q4LBFEIXO8yWRnN345vzpw3SqTZ9+LQCVzGl GCAMNdzeuEzfTTzHahSd7ZVOHfZARKh/yNg/DvOI91bf4BNY2YwXuaGPkQhOe8pCaqpycB4ulcnU rUhDafY6RGCtS46JtMtI5PuF3Gb3B0RL9dslKlHg3ucmmlyiMO/q8QTzKw2p8ZPHczFtItu57Bek PYo1APd/Z8pj0AL5mqB5eg8OEWDFSyj5Dq2VBVWJfUdirvPdxK5lMmzu/lT66OePUY3myTLbNcpv EJ0bzynKGdvEP8x3BbXxOesFN7+ggNs5RflnuQmfak5Xf57MYTwLVp8RGrH9LhJ9TUiJYW6QmNrP H1golliNlFcIe9yzVZiN+OqlaalH6ssWmaLjKjHBJ/Zz8A3UyVpjSyXqljKItXbQYJXfDsLwffzT 09/0PwSlX1XBPm61qEyc6f+Nwg0/G4h0ANjMqWwgC63FUOO4qYuVQ+gJSDW8LU7500gbOeGirOP/ cZH1vN7ReMfuRmFF+MkbSpTLYunNpkNeNADgh+z2nGpO21OiasRViHsy1jC0snDMd+9r4h2plDFB jsITOu5kcaN7v4SPS53HiZcqPOYr9fxSK7v9PfiORu5JiEcDyruLkYMPnvvI8+31TnMZDy0gYZ5I tPOSfHhvKfZ6M2NUsRxSXY9ZN0j7UJ/tmOIB2cG2THLq/jePJeKEe9z4Fk0tIMHXuJm4JjzxJ7lD 7rqS3Y9IEGNCi5WTRVR1Ges1+IstBhhWPfWBUDxxliHfIPVW20YjY38D/ATYL7jsiRsSBANagd32 5a0IWTPUQPad36gPYxojjvTnqJlJ23T4BD3SJmuFKIh7tjg691tUNzWhn8nUTVUGRI+DKOgPQCD9 xYESETmP/H1ArjUFOW4XptDASn96TGtkhWVU2BtBUeIC5TQ7Tp+hbVTShlEWg3Jl0xzo+UP6WXZl 08K6zNuzgX8Z2FVzi4PoN80U5FL+Y9em22kuwbOxnTyCUjvoE4wYF3whqbkh3yTqfR6e/qlQgZUm Rbto0rphb32tkKb5Hi4FZVzS20uosoFqGzeIX55ybu1a/Z9oQUD4jK8Z4GAJBRrZeyd623Vm9Xm0 KUD7dieWyvjcqPBCEsBwPJCjlmEyY2m6KTHDw42g62k0eb31FxSFyrfcAVB4opSYJA6qgmTMVQjj rKY7nJzflN1wMpuAgR/wHX6QWqQeDQXZ2Xr8W7RWiEo5UZeZUwdTJe5zQ9UVsfQQtRzdY8sqDY6P EBnOQ10Z0HLsQaMVoQpUTKmRloKagF3k8gjXrUPk8bb/d4/5/C+arHMUSuwtI6KqZjzmwGvBeicq TziYri5hqIDbdk47cCpdniZsus/96MN8FG5nzyjUkU8QHyGZKRqB0lWoH4Zh4qzXkwVqZEOWHb+k wEPaxyQJivbFMfsxVVau6Wm+MGDhQPi3CqoT2avBWyFfeJplsJ33nrlmMUP9Zl3RBFPOer5A9VvR CSna3LLwK1gFUolqPCbYm88CGaeM051PH05rIX2BNVFFKMO7pYSDKX8Iy72oEj4zgvI+swoSgPKh IYDcM5yRAqeMjTSAc/OAh3xdNSw8I/L/ZrGdYdozI1YwR4SGqxf1J12VgamQLXBi8F6C71bpeSBf +nCVf5ztMksP6giaBeBI/f2QoxIfslq+vqbe8+fzR1pOPaXdjDZkKBEUhONB04pfYvBqiciR7nIV yRgpoy+RZz4iMcH6SnzWO5dwvtxY84yFuvNEKERpslE6x5xnOoT8LJw1zF/l3yXsR9KqrBarLAx/ 8dTx9dzJpUtPd784qHFm+DzgNo+otof1qSTs4FoTHkA80MOoJZl5Er023hcoMNbyob2W4g8l0Y6m U1p8w4LyRFWI1U0XJ+w6QTukgWeqEBlwPUDnHTSmI8f9TCD8Nt3gH/QrSdFyQGU998uxSuQF04AF rc0ateGi9Xum7JGvYAkYa9rsNTlmYF9S+yLbF/1KHecn5kcup7aqEyCHG1kgGhogFhDwUooFJzC6 RKeKJmDq2zKcDZQRxYvzgpTP3UABXlipBzTYigxt9c+OOoCi4pVDvEMHNvIar/TZlFcgcnLCYMVe 0pL8Jd/2dcmiiGpH7JPHq5fwV+QCMml/TTA0rhl4xhVwWtrorKsbhU1iguMchKSU62SJcnovkZ4C VykSvppLvUtNvypVwVtxqc+i9aF+r78Op++sQ0XfZC65daHwvSGzKtmV/W+NQbijlsyReLehbeBQ hljdIFkeEPJv6PUy2p/0iaRDk1XjRtcXJRplvPo79Nk5OV203DUOonZ8nAr7kK93kpLcIG6tnbSe YM8+T+CzS9nGdfeDzOaoR786x9qtIcL5APWzhIUNFYo+oAnqCByiumNaTNX649NWJ9uE6+tTQ6eL 6oqvR5xBHxZh5svkyrBArbfbyjTMk+765n9pqob/GCD6BYOAIodYF0o/v/kA1h6uhOS8zmzDw5A3 R7Vd/8dHGVvxyIuz0rvd40O9JedPkhXCcFoF3VCsKWhUYCohKaEeOVh+K/3pJleAUQWilMYy4E5s Lq3Kug3EfBbJYgthCl+ccU83qnZ4yvO+NC9B/rNIflZqq/4nBLyP+kQ4icG1FDFPvRu83EArrqiZ h80GMt/RFvGJxazQcvXh9KQsqddUAY5fT35dzU5AtAPCXTjz3J2q71SWvPn21RwOuiXicHjc1cz8 KAHIbie/j+gq9JL3hEEIVcvCBdgwMv6CwivnBOQNTzW5bMF2ngBrk29blFls8VgGfYoOoYvtmW+2 pn42NM0xaoKzOFxOtJGX19EFacHc/OD9lb3dvuReqV7bf2yxRExS1kcQ+GiNIBevNAyAxfAU/g/o ABLYjwjdBnS+d8PZkoX8rDEOnWNTp0CPnZ1AH/UBMsqTFGczdF9TlhCx57G8jEhAxGP6GUMUWPeU B7axyW6lnc/VThp5eXTdpDXqShRGENQ+IFqFKxYBW18P79W/9KQNQ80nLRNWMfJlOffNa7yJdMMl ZvgApxP5NqmNiwko4xfY/lsxZUuJ6pC2JfRWAxJSsK16WDMvu9N1EkQGWAuounoEm9hXsjVuz8sU eNOiqqpShoHx1EUrZaW12NMOvYG/rnkHF2VLKIb1hot1jUTOgJndKZHeqUhr6ZpeeccG8uUrzwxA lF5birGtu7+30plcDa77koJ/6ZqkGzc8iMwF4I+xyWK/RBPC2+mWRcQP6+u6p9J1xBs49P7wpiuq BO6WQ14YrvAefy70K5Tjti/uaDJc/0yxPZgTuGv84RpCkN46FThuY1o0cR59KxMbSNNnHnHzC+GP 5Eu55X5+r9aXcEP4VIPOCWr86vJIGTdisuno0NHUs/Aj9J3+eeobICr1Eon23WRlKg9mUx7FjlE5 bTxtlcp190BTlNQzklDdAHJdTFR7ut9FnKE/VRdi1I4HzC3evomRkrAsZ0oljc4fEb71JWt8OQ6J nRNE/54EA1CBCTYLVnyYbNj5RfOg/K0+cv7cuwr5OYCXoKOInxtQJ62mK4H9R1ko2PQb22zAUPaH MtTLFv88+4xtwAVo3Tqg03SXddOhuV663G7ZB0+xKgputPvMq4B5PTB9kqjMd+6rM5kQLA52PjRz 2VjQllx7/y6sxNuIF1LOC1oJa//SAoxbJ7J5NNsWHehfhuy7Js7VWFOEM7Jxuo8rosPiRbC6s1CW 3oeOllPEztwQCksopInN4AB/vbQwYGRnbfdS7c8EjCl9j0tvt+RNf9XPIctFwt1HFnBVumhtZYBj qoDqPT+BItg8go+VVDZuww8nwxo3sm+FjFXpXbONo2u/zSanEg+tJWBEvELHORcXIraV8iY22tpa M+59ZGKc2AsoN+IjehYL3xyo481D2AqaY6ezyQ189JWwFtJbWWggUfv7YzxuIu8X9Kh8K18ZY2Y/ WoPggs8pANWX9eYaRsvxEE7HV6zc1927cwibDMFQdN4TKVU2f/jhnHYE3YuY5vuHiCE0zVhvcPf9 WWsE98SWMOhL6BL/fcMQeak4avurKoQZea/D8z3LUq3C2gdb3pS/PgB22GtK5XrOroiK5EqrPoEO okFQfECOxcrJb+FMhqyIekRZ0YwiWooNPaL+C5Wcxw+23Bxchj2QRCMbGru8NN/vBPcZeISmb17d mhtAf/JIIh+Qmk0Wj4rfiLFgxE1JFNWt1k2NcyUphwTTiTzdkeL3ygbpCZcXXUqy7VzBFChlbmsy ZUnb0Ko7Dd6JUa2miEsn57ubjbT0Dv8Fu3xkpBd/rF9Th7tF8o8opuaH1nsL18bKCoJrFD7N5REj q2DheRaEEuHwSY2BwQHoQc/PlsmCkvjF/wJIZEguAdB7204JyylUOUEA/oPKK7HwHxe/ks/7RhZS 4hoAf7sbYthWPe7iSv4UcR5W7e571yeE01U/Ns9CHYhg2tYUHci7dW5lxKuQCu8/pH8SO2kcBHNs zoA29xSpia6gWPlAIMuFEKbuFU614oOsPRcacgEWIgBddf/l9sWDB6EMShxZEXaEWmvTdGfXiZpz J5xIpPNu/JdZ8wLrhiIboVMdPoCQL6nvBEc4q6KkmnLUPs4GTpOCN7vu1tHm34L2BOsRqOvvCoCg lvTmFs/N5KbdWi/yuNgejKcWmIQtN1iwzTjDcPXGRZqCGO7hOSY6TIKR2CqZXBGztfdRpkBmwc4J 36E6wcI+un3qtCmafF5E/H8aoxhTWvi28Wbzu1Akb8wrLZ9HLXC9Zusm27diAHHLrQO6kZw0Fuyy JMMQaWQqbhXnc3b1eHUqOhfHfZwupYsoRAFW18pQnkc39rb3pQLBtop8S9PrTTHoe3UuGKCAxeMl MvyAcwg+M8DqkilhnXjr8Q+cbaOFOAJGwRcf9Ae07dOnBUeADqtvmYULA+Ky2FTqLMDwJOYAr0Tc GyLr7xrAjDg70SziKDlOV3f1olVbnDolfFfocPhYlObSat8RiBN9PBcnQqsa1ZFEiNZOjcmBVKN5 BU6m5XVlRfuVNq9gySxz3jcWVHUV9mV14Lv2FdTGCWQJ36+abqXCbfmpB7aWSswl7Jr/IQ0+ETeZ hU24hwt6Hn3qe0kpvlgp6Z0d9T/xxeKHQ1b41yX5MpU0kUBAHM8UBFMhZmXXuF7888c7Rf2O1jMI +Ag4q9VORsNMXLFXbth4QS6YHGXSJZ/B0FmVZB4I6M0wlnEcxO90Tiop+b46XgBVLyh7xjrt7H7J cx9c4v+PXX/TQKyJiwL1L1JgzUCiBtWoCKmZqPMMRse9avza6QOiDbfGnUejkGVDNgovgOX78LbA Gl9sY+1mcVGXhU0zzebFY+SfxYYsP78EPTxXb/p6zHX1bp5F2NpMKG3xnVq6JMBuQUy9fdMUPip9 ZAesxLKUgQSVd+tmWjsB8Dhv4fle32i/MNK3pCOLdYk3nmPyDOoaT4TqNFXhs9teGFS19SA4NuEU CngPdZ+dbzVkrOl4N5gGjrfROiw8GWpouD3gM60JOkgihR6b0evadhQ/m02HCMbKQTE1rmkPfBMD naq4KuP0ofjqb5uvOaEg/HCG4SAFxrmYsPddm7zjT8NJxVi4VobaJZfJrHvC55PGCsSQj0VEFiG7 4fz32PiToe+1Bmmz6EhGRbiDDXwDXXKU6/4BCdDQeAvk9d/CuBw/D+HMIWudi+XGJ+xwdRV8KDuO W6ixLH+TirYreTa/txHN2Ak3QMfGY9c1N9S0wrMUjYEgfj2h2QBsT6mrB69E8LkZ90dUHmM5Cqdb yrJIj62qLldkVTgBgvhbYcbD3qMiKyNyiosEiBm8vS/aK4O5vcs8xQ6H2lHpUcvH8cR+Vkg3wwWI ncIwcktm7yf4LaCTtOWRGlFQLgwjeHojbtYAU3Uq6u1OewEoYpO3Z8ostcjcEUBsxULJmvvIIsqX Dz/6LhMJ0zfqzavtVE+ZkZccXw018bDeRKnYbRWm/cvi+nD+zWRBJMAvQOE22HK9LRdWsnbJ+xYk rQ/AL5SFUbpUF26e7yHmX9981m2/D8qT91/7TYzsE0N5Q7XfnsE9/fQCwdYm9A0eVEWxRT30NtLf Mwg7EdMNU4ZsuowzR5cFfGGc/w+/rq4Yve9SW65TzzVV2WslYJWXkDqw8mvvlZD81w4bBNehdGz2 POExtI2vl78scftVZlq+J5h/9L7AvPNfDLGgZWs0BeqmXe7n/5rRupg7vdTGZxhI6CNTTJE8vxot 8uu4nsLGaQM+CcoA27ptDqccy5xzWhdVX8XKtSMr1Fs9tT0bCIx7YmUr30oGxhxEI6GVn+PK44VO J2/7xiNGrwEFAbdXmRf+EjXNpkDulDjgdkY8MBWKzHkElkF4Fruq+YVWDECue1qXtjswoPKCVrlb 0jdjyqjAjmllxstgbJIDU9Nvis/DT1KThjkgzgJVzuapk92dGt159/bZ7bwobxqpCQkwbJDAkaq2 Uu4lnqNmotvO9btLUkAtLTQSpzOhAbSzz3Et85di/liq5vP/vfirNJ9e0vcSvLm531KuIniws2si igC8X3qlEuBUXSJIt3DHySMkKrKOdk/Q2kZRbEB7oT30OlMPLMReOs6MymrWy3x4hvGcgTtmMxuw fE2H1yaVHESbt6IFGUPYNjDRKQg9bj7GW16JBrLZP/Rv54VwIvVas0w2B4DXxOALSETM9rIm7EPS hXVgY0HxxSLd2LaFroR2mbMflYFfnX+VF+dZvEAFZa1sCGpAMWfUEdaR2WMquc59xPiqRh2Ui0q4 q8HPf4LFLSpMYFeK3YWIj/lcKC4q84RE7h6HF+g/n/RX6tId82IiLt/Ckrj9zeWMpQnVsnPUXHY4 xTw2fN+J2A9UjlkPQfFEG02V+NqEvXoE/lvUFfy9KUhEurKNc4G2jUz80GVkfNKGqNrENd4d8gFX 5lHd7sZf1gojmK+k4jwUO38tvw+gHc1kMxeiIQf1iaJiPGhPVI8/cJnlapLk7RpcDrrKE7o5dCNk WUXt/BWw6YxqJsckH+rgR73TkkN8z/5twPUDhaUtukwl3Tb4XFCqoZApofozmPS0rGUn0NewQFZl PlN6GtvHiqEUSpaDgUkXkxHuc/MVi1vxb+EXUqLVtwfQaBH+BBDXuV9msWcbUHKRz+F9e86jSnJQ y8sunF6rS0aif1Me/ONfx9zW8s6feu0th5gBJgWHUNKp7MfXJpIdZXxPYMxHCqdN2/NjAUy3s0OO hfvp86OO13hszB/DP1f5VLzCx07hmskuT3fBvf+WXj92OaspXDSMe79+U51v5diRBHiIH3dBtFrB JBhpskauVXftdiJAkntsW1E93dhe32ZQk4BwOJPrgMayrX47zRNn3Q3gcKARR/etC3jiOW4M9bI0 5DFWtTdjMOuPqPLvkpx6CEDfPkKmbgSdYyT0hmb8BrmzLk8ovgAgD6hU32BJJ1mLL4HkUuaRccnV 5KF0xbfgLAXD/JcdPKH1V7Jhi/ak/fAS9HbwbUUXYqqvgB/v4S7JsBlZJU6CpPyBs27WhHAv1pJh vodxaaLuvDOII1RtSkvZAY8KFWK8cIb+Arw9lTletM07FUBwsbhYLY4v5So27BzXxooIpkxus+my cYhFM9QyY4mMs8nWxdnSxAclvMhPWo6qitdh6xjXBxe3rKywK8K/5zCPuYhSuzUogVL7MrAajquh r8Xk1G1WPoUAjv0lOXZDVC+HMdBx9CnbjfakMSWSvVY10HPUZXnYCpmnRDgRe6FcreZM7k/0vME5 RD2BNBQFHpMUmjfw6T5mvWqOhd5UpsNya+mop5Fbm2D0JUIwiaIutztqU942mj8w1cHMBYEKCZrC vgdK0YHXD4JasJ0sQ3nCvuvzfzdoN/MJs+plunhzBybTwRd0C4wlJUzj0GdXZ/+9Gm1ryCHjEyfM fBKWjTrv/9EwLuKXnLdJepr5L26GmYivOkjmHHDyhltt5/oWti9bDxZNoT1TGCYmqkEY5OkV8Nqa aZ+YRpTYWCIOjhQ6bWyaZMe31U1jTxuM1bpmlrET1T92t7c4NqLf/BuJJ3sDfq0obbD80s28FaBa oQta/7OR/Z4Di/sHbitZ+Tk5MVDbd5eOWlVkKo0ZHHQZf8AhwjQLUvnV3YuIWICk5ILGUHSEHHUQ 9huOerGCVy6D98azl5gmHqgeZxHFBjLILAvqjNsxMZb3L5Y7HKhos6xQ20bIleLDJV9TbkiwLo9X Bbf+TNR0ggMi+GbJZRpn6dvPeCWhY2Tv+KkWbKQsi1uxphNvFT5C+MGLtPCNxLrdWLDF3wZp+HKI KiGO1G20YI6Y4VTCAFYxF03QGzmmbn9zzx3ZpKgDfOZ2JjOYnBdIavl/AifhdAQwwy4ZAeV0r77c gwb7sCl9ja2U+zQugJ73xMHyYy19c1DoJCXdawWzkOk+lcjM+oF5CxSKl4LGEYXe7bn3QUZMoXx0 /sloxtnYdKrTbN8lciAcROoyFZMEkokseknp30WlKrd5QDoug2ucB5RLjGTjvV5/SdZu+2cl+uHf TXC0SVUAMNmBL/lpEAt7S6RG4LchZYLyZPE2QHH9qUF8XxKzKUya2/tFkYX+MYkQlMl3FoM4NUO6 XycVOvwLADMV98ocahqRa0aJiEWY04DUR35MOGleanhxSMtPiofjdgH6n1MyjHTamajB3RRPXGQc 90H7p69itB4BZDDq1bukArljXfIkWRpMD7evWbC9N8T0acsn7Bjk7rJ3Jy0ZHfTQYPG2nmWrEZZk o0wNMJCFvs5Cq6g0bgwN3m54uAeaDcCFN+T5xfvCv6Ez8WuOrLA0F53eclsZ9hsoNBD+Sg31EHTV UI3DC7AgyQli7JqbfCVo+yGfyVthy7Cqd/1p8plPofgGv4twYcGKCkJ7b1w9Y4G0O+acIp4Xxury to7E8ECX66gPW3wvCTetkgCDBzHsU+3rpbQxzl5wJhfEW0TeCzfG+zwJkUncVFAA6pgcoss/9R5F tS60dLWj4aGNFW0xQcVu1wzia+9EsCoiohAS/GEGMF+qR5cqvovXhqOdsxksYcPEoTKt78tr7gcW v1iYC/crzMhA4SQXfUqKNoHQNtx5Whkr6VXK1O/F3u0qu7OD5tRc+PjukzAzGCOaDDms1V3S8eAP xAst+QMeFVYJkmDZJTzIfqX5SWKWXmKC7RM4VLKH2CMX0EzCnl2Xl7wdEkVcyQj33moC2MN0DA8K WpX5FGiItvg3cJipb9qJBcHnR1vmD/0gMFjHJH0aWAh6ZMQl8v4Y5X3xJ5tQGx96+TWjZiGEQcUV iTxLsjSO5D6c3rzjK3eGYOR3TBGatNmexytLdMO0XvRw44X9PfuMVujzh8ZDFH/yFnYZSqSFIRY9 yFe8lSXtcnyCPkChFN9zeTEhuZn6HSR+aD3abItWQDfilBvhXtNBua/NNSh1g/HClPgkjDqCOmt9 ThFFmLeAmnHM+NHfpBTo5QIM/r2rpUfq3Evo8u42xF2cAL/N4ZUGHXx5pZ2fOBrrgA775hunBZPe PCKYdSEmFaPy+HXXlRSfmZuAhaRInOFMFWkVQyPa9Uu6av1sC7D+njAlird7FDSDoK8wb6+4iYGw cAEPElXPexPV0I1x8RlBGY2ZFpHUFlowqNWcl4mMnE7cfS/Nd4DzFyBwpdTh/QcH1j81T0nBcqkF 0JQakxSFQutdrwvW3XiHU4LGxyqK+TVFUCThYTTrzYhRtKS2XMci7l7JlQ8cvRu0yiuaj6xFsIfq Ht0K+/ixFEUyK2wr41Zf2HRfDUKj+45XpqBm2x/OR+MJSR5zfIngSY1tWGoZYgrJYxm8lrm3DU7+ 3BohPUJdXaCDAoNch28YhPvJa9smHna84KB6hiymunD5YCzvcwHohb0COyihqVKV7I5ZmMLJKaiK Lsq87rOzo0Gh/QelTIAk8KlWmXZEvRsTf1JWADd1QfbX5KqzF/zlA2CNLOLLxpYfXDeAkyZ6NJcG UeLrjzpy24iAXRhRvNWkQ4k3zrQx+eS2SxX9Bh/yjfbstAzn/uqr86EfaIL4MNQu8iUIgB1ek5dx kyDiFMa4G1Or5RF5gUsdVDVatYSs1ktBFfc5l9kvigz9z8yuBU6daWOpcm+b/uioOljNYsk0PHe2 o+3zGqX8FIiQ+oaYtecG44nxRbXPqBZYl8ySL/jPYl4FVlqv8CjKh0kPBW5pgNB0S/pLE0DicRDR rBN54uJDzsrNtO68Imi52K2xYd4mMzronfKmMoPuDGs46Df1wql0NrpgwamsxOqSoZlFpl47iUun PI7SES4SxYJWSFUbKTAucvTaWykMjqpo3EKUezCChh7/yyG0t/JFlr7wYdEk0B/+HCSy4fMZuJVy L0tun0JIpaIol3gvEbcH0Q8bJUuWwvWybPKvEGsjSWqawWAFeFgZLraINahM6YuHOiQ3UTXO9ipf biZzR/gf/8DJXJ+Dx4Bb9o7T0kiI8f4zKD8BG8Hm43QVk9UM0usCoJGrdtKad2j7vY0fIGbJJlyf Heqy70UWjOwbEMjMg661qHhaVwDY7FUg9LUP+veCF7jbNvcYiMWm92oOOK+12zjsHN0M0Rp5X6Yz bl7OvXHCGdqTR1DW3/+HKiUZQJwRRrEEw/9uIK7hCAmKiUi9T2YiGN/hX62G1fIJoqYOkrfQw3Ms CKGFqiQpVqbG1OYgb5ZCz+A8im3wW9M108oRfZGv9nzWblqmQu6odDLOJyRnrgYrfhnHmjpb3QJL +/eKFl5VkxyyF4su10s88/Xk2jBtN4k8ZbwBjzD38LWpFbVZ3ELp2Iw2XSpsah4wbtvDNGiilaAy KtZRbAhYwcQJ4etfbw2kFKBVpWsYf4U0z6z1Mu4rVZyAqXxBusWOr9iM8yQiExw7+qeMIIZ2Avyh 7T78LcxWhe0b+7iXhR+Q/yUwJ/Sa8oI9rGT/mxZVcTnY1X8Qm1OrX8wLDia3DBY1TxdXGXU+SuqY 3sThebLg4EqzUi9ErXHWliqyDfjNFrDLqjAFVe0Q9ExD6uF6bDvy70tQ2qvaovTDP+4kINH3/MIv qPMNMnpQ+YcD5+fDoStUC3+7UFowBl1pGGFBy0alHt2SGs2eplv81nAOjknf72qO+CfaAVh/ZXou t4QlYfCuto8OFGWngSFXmRv1XcsdyJvQXOccwzGvU0mKviYwZYZmKWZXTmFu3Hf2Gl5s5TCCKNM1 61rAexClFL6p1Bb/Y8I3nA2sbyZcgeg2LO7q03ZlLNzxWo2aYtglve2U2oRjmLY6Yue4j6cqsN5k WaRFbtASZ3qyefP72+UtJds2NsY+DtTcjy9qu1HQzEqz32GRUn6N2ZyGeSpYhPSrWAYQnvIBHdBz SQJQF903XBuVb9mtRvDij/8G6dGBIgD58N1g1GkD1NA1VCK/AdYDcBnk+swtpIsKZPhs5cuPVaN3 MLdXEFpR1a7duVsW9y+l8speGYAp99oUO8FwBYSxzpgZIFAQDrGWkeh1mPKy45cp5lJGTAmNfF3C aXZWQOiSRtEbUexQEzMD2RIGzzRCe5DQX+uf55IdwU2wBz4ck34VvbPCWGUd0vXOxiut0UOeqUQ9 lQpAsW5bJNBUbddMgF6NHE+f/+GOQX7hG0tdZhRf14FZqkUzdlpNLi58bmDlhlQRFHsTU7lim/PL EqBM4M5GeAtZ1/n6fSKe0t1blMnrjp+0+S5zYeC5RilChkUfXIFuF6kEIbj2axYWmn6zIWkXxIFQ DavZdxSJQqdaBWNPa76uWZBA5t8kZO2jkhOUrQZGyfPHmCdjDBsTalaFegLDeG/J05la6bv2Mmpj phU+b5AqkkoFKF653yXY1go1amLQmp6pCeVr2D0snM9rbvXv1UI+Qct3R7yDrt7dvtpYPEWwVa+o yEQMz5hVJr/vrMVVOZff+fu2V0vkr8E/ASCDKVXXMpHw+Eznl6X8n/jhgIIiQA7QCQ+yMZ5lpkEC IKS1TgTRVJ/GUFRFK29yYm5By60y03O2Y50rao/UcGzfsz51fbM/bjAkamKHlobYRRUD/SY/P9x6 8i3kjZKwGktX/InF4+6NayvNB7VkljhG+V++TMGbyJbFc0fZIdKf4sU97q0TiuXegOk/JA9Os47C BITBWJPtG2MrJxC6iJlCdNwoyuPqO+Dc7y1Q50kG1S+i9vxN2meM8NHt5JzbXPekVjgG3hu7t8TP yzIRZvRcIAdZVwGfK51mJTIFeKFLgWJaIPWjgSrJPlFbFn+aLUmpJ6bAQsnZ9TlG0F1v7F7cHlrs rgQk7lqAU99hgnrCSVvmF50jV+zC6FY5a8XYYqihU+QO84bJYhZyILJlObwI1vW7KjAgR3SLbDiJ ELlFdBOxOO5a0I34vWXIBl6sk3NlMtxqOxlyxLGapGjALPa+ks5G45O4TUPrF9M4bh5PQ/RcUa+0 ntbrOiNlY70O7hsM2SMSqOXwufZHyj7oMYe4XZdxGFgNqYZHi26jsS5ioO2Jv0BzNzbpaO27+rUQ 2yKhiCohF2SnDREWPWC9uaJvQAzCdNY9fJj26TdZ5A0d+zU02szCnlBbJJKdzycFpy7S4is9viSS EjpDHOX824lh8cMhh7OPGm/rmqssY13tnyZzOD1Ekb6H/ORlUw5IMA9HoR6l68cH9wqwPMTNQcVt w9JUFFONLXBFazIvN4vBMU7gDLicBcz7yupA04vi43KqJzbW0XG0wLLuS76Fyhp/C+dU45EQcl/C QW0uAxGRAmYbU4Z2c8pTNfwzMyWthjlEPo47p+7z6XIMPVJVrU4NqJKG2FXD1JJouyhV1GV1Isg3 WrOOsPBvHbfbMJixpkFYVme4WXrmKtykW2STb59ofJPMNsYX3EMIg5y35Nr1yHOc4XPFSI94LlG4 hNjY/15iaJA+sQsuKZVhvPGkuwygZarArUn2y4K25epNp5kWx4iSpnG22mOJlQEkh7NQck7kOrUU I98j3AQIcR6PJ6K2D8mYS8pjcxufiQLy255w284iQuW8LcIV4JfLxWidHWKmgcNmLSaCXxsHNYDs +GHvGN2PIzV0cSPrG6NMrCfKHxQIUpPAFjQhWmEl+OqoXF0tWG652efpWmyBTkFT+3GsEf0RolSm 9zEXxu7mZeOsJPoxxEys8XGy0MeRurPlqzI30w2ElRPW6ms26znDzum3H8E6cShzCLSYERE4qDTw u16vzmbeEqZ+z1On79Gl1sVMVigzaky4HHyc8zgFZQTcNam1Fqnd9mflTYtezTq09QvqYzC1PNbt TiRqe4aij+KyPAU0HBOcekkHPFZxOULwmgrKArxDpZECteiUVcO4MUmSduuhLdj5dwzzcDkQxods 58lzYyTm5oyv04Q9Wtu7QQefJnvQpPfSEccjC2HDFM5IrSSmlfyy4bBsP+JB+torvlRUbTZPRjk7 Nt3te9QG6e7cYwxLC/tchB8Fjpy0Uz0rNJJZuqgfTZHuE7wWDYT52xCzjApaa/V1J3FJV7Nc09Ig NBVVHVhuROIrvhlNcSQ2os/GKE67qCUzT2yVi79I4yxc5W4Ymv6QGbvRDr2bg0U2TkzFKiX0oRKN ks9ehqDxDAsJZxJ6M+2t2L9/LWtYDWqF4IjjY/Ugrz6z0EXWQKl7dsnWHCyaa1+4V0029id3xQPw mxPjUfq0F9+6JyLB3KwnVhDj2mL3/owQkgAqcGOUWvGZitYMoNQZrul0BAgD5U8hCC77PQCYQ0Zy HpMdZhIVb3XYzstVxyjYi9vPFGjLE3KKVZjDyWtwCXjlwOLXEeuBQGGGNd3KUVcgFW+SOIBjSP6q uAoJYq7HXpwek8M3KS6R5S7woTxzbzkngPBF4mGau01SEGBzc/jHv9somOBa8SmFwyNVg9q2c2sh g4A2NfpjTM9wC5wQRhBL4z74CWfO5VxvDoQ5sX+ggaK3ARfT4nuNeRNG9Zmf0rmYLg2CzhKDOEOU PjEGMb5Up5ntuexz0x2N3G/+7jFaOs1fIAEHw9kk64gV/2lmO5wjIU33Gtmi5n7pQ1cCeXyoe0sp IcxPHavneVXqxvnJZ7NDsx85XYBQiR2Rmltz7CT5IpuSOMfwk9oGras2UHfiH/02CNsLIRk6Izr1 4gsgqK4Ct40CR1wXeg+JxYRjsWhnXu+b7EAYQVlgOuCjAyRRi7zNDK4Vw8pyAhip+dhjc2N8AnkK CcWayxEV9yB1NDzO//bCUzzPNP5BqYorTod3RzoWkiR4c3hzEWv/U3eoSUrb1BaaKOFW6Ndp+pX/ kisdsBWow5cR0IwIej6neA8gJXvcJp3zvTL3faSi97qhE2nG1zF+7qz34ymUCb6/t8H8d30ZqW+Z YadnDWktVXCJWF8cMW6+IFjsV/8HBhLMuBADJC6wdtj9Y0DdNagbLt00PQrm6DG2RX7f5Sy95JR3 3eyzFQyv+n5Hv1ibCUlCLmg496zsVFkuCzSXNtzOIrVZfwKG5bvKxUK+syImoPUdWcVj7yRoHllT 6wrhZMYPZKra9D5j7RJM6UV2Dq9/BFgh4w0K0HHMqZqj895RnBqpJc01UKM66rsJ7YRqn/S2/rfE oIr00wsXBb+QM4GMiFB9uXrYKLy5+Z5dkc8+QqvfDhugMyqzXcjiqAVgMMEGX8pfoSjVC/6dhFXo lx9r0etxzTpWVv9JUANEnsfaeHZzo285MbFPllmRmEcd7JLfhPxOFqeLcMQGD9m/+JS443Rvo8pQ JvwbyZQwnYhtt6Y6j8Ut9XAgnAuZLgVku8t5JyoNYuhgB/fBA8fxrg6odeiEhkU25WK38WaUrJBQ F6EnAja/jXSNW9bduBrbtvsi7m34R+357WCab/ypATzLt+U1ookbsIZwseySczi/u+yd1B0OU/x0 QZ7+txSV7f5sKeuxp/E8konr8VlOBtwKbLCLtHUNFsbWeDqM8aS5hkXSZrOqVBZR/3+L/8hFRRE0 bRnXnPOkcj1xiLTlj0VVBZ8gDXHUvfkiGqM41jOP8Griq836/luFGqH2EJZbH9lUL3V/EkKE3xe3 kFYVvGYlR57E4qc0ZtO/P/9lOwx8DBs5ZxV1BJqqERLzvNzZZQTo9MeHnxUUipP7587oWF72wkwz sGpj/a7emfGVppJ1cutbBo3dj7vgeJG2PdI4imybl2FQqays7+IsFKBgW7xVEbO7UjJ0SoB9qHY8 1aNJwHaeWLYl8jNl3YVb8uMT0tSl+Bvz5huPB8580gCk3GeokLGCFHmpiSnFJ4baWEBw7imkM/Xi QbY+4QYxAAmT/yBB1jD1WXX4gRgxFQ4u33Ub3tEExOHep+yVc0aX7TJtWCeFbDYl7qw/tXHWMFx5 /IcOu3GnmIDTkgMA9TwPlArJw1z2vut/qWZD1vt4E8m7YyA2PwzllDyun6/R2e7MBWALRUvKbeA7 /ebBDcsNA5zKcty5os16PLTxbSFcxGfodr5ACigY6eIEyVaOBPZaQxSHNjpoE4KDDQGeWDXJ5w+U xP6zm2OszQKUuYbnOIEu8vCAGVgT98KCOKZq0kF3EYAWDyy4DT4ko7AHRgrLE1HA6AUkhr2p/4Lj EwheUrRHibZDTrphzE1e24dbeEetfQ+fwpVw4sYPeAwkEWJgFJccUlP4ZOUkPdD6b0WuGPohVP/o L3GoRXLXdm82KIhZtNREh+q8f6SH4TQGgJzaEk0XK571/Iq8YHU7BXxwyp4e1THyj4c01rqvWwPH /RctgcP6u5xvp5Bq68muqKNJzZtSuS0mCM4w+bBXwaFLBOP67OE2J7Qnc33xUrBzqvnhTGAp+uTS o/6+MtosL+vI/GRSMm0W6rSUdcRKRMp4ZbgV+dgUL2cjHQ9a5lREpwJAd4ucf4KJEckfmrcBjcCI ArnmgKEH2/+cWEQOKMfrpNU/7auT4qTvy/K22AHgsKDCSa0lPzYC4dF8/ueu90JoUENnWMeGERZx kQSYXrT4RwNFkXZB16hD2yeGrBHdtfy8re9INZfIexCSaSggAmnaAR/iOBhi+1D9s/Y/B9gUmyY5 aVm/X9UYLoVNV+lWgvSTJ+mCBZfkAJSFcNKNk3jS0sXTdGqj1126ygT6JP4mz3mRkfl6IRM37bvP /ww3HCMwMKlaGXJCgwtKPoskyS4oW71p+HSQCLHQx7IM2ynpXZgm9spCdtOfDs3pFfVuPzzEgaJT 4qtT9XQZ5DBqfnZeoOeqHLCNBJDmgzf1nxQiXBhfca/TuLALctqWtDaK2xkMgpo5WiqL4uhAbpI1 EferqSNyYTNhoyd/j9zmvg1a5B4W5WpLQgAvLk8e9+R/cMubw/cPHfXiIPC0OxAqbK8WXgHUYqVj 8VGnxej1rFqTSn60PSfn/G30uSWGxCoUYSpaxSOcR7W3JTfgJrLQeTsMJpRbInsE+tG+l7lf/neu sj014Mnc7nx6gKWQ0SlbbK98slFQmrTrJU5DpjmStYO6q40f1g9UqU5wxZzV0ErelzcckRRWhwxi OlWpQ1jSFp4Yk3Mzka9kSRlPXxmS0b97OyyuEwLOaBvTr9sKbF43KmuMqhIcj+nGfKIUjiCO7O9n ue8Az/uWi05dO4QHzN/DqpdExjVB0wLWO2Alodzk4EIMqGec7nXa15NCmKdiC6bvxNYhuj9ePi6I +c6L8z0nbiZ76Hx/45YpD/Ub01mtm3PE4IMaRnZYTuLgHqmkXSn7jDywS+6Gzqj16LE5yWLrfsXZ 07TWCtsKG1pWaY3YKcH8hyFpQnMNbuiXFDbW0mL2cbdZAUPz8ofU/dtC8YMCJh321lk3ZJzRNy0c c90mhlDySw5rUWx99ndQF3TrGtIw4OZlVza9P8wHylF3rPJ0wK+KjChY0g56CaFda/xixbCjJhZi syjgrfys3cp3uECbaTVTTlxUd6NiJUCAqHIl8Zu9yaeQubG+J8yKJQSTnhDX6u6HDg0MLhCvX+NM fp1iDKpw3r7sfuNTnS0TY6Svf6l+JpKd0t0q4zBU1qGUvKejhaLrPeG5txCpCBNFAJZx8e+8N8Nl 3wu0Wukq5WA6aus8KO79yiNSGSWQiYcD+BlaoRrEKHO97zmOldvvl9bJfL5FlzP1b7NoFkb4OxVs 6tJSkXIc8IgeKEpLCMWh+Kh/X0cpc2FF7u/5v9Eg0xCDBhhCffj75+3W3LdnnP8imDcs2Xu/6MqV o2Qt/Om8IG5FG4nc9mK5AUkQyPK0M2Mv4duYpDfUZAsxmQm3+KoXc5p40VHBDqr7SGjThFfTLtCX 5XZYrP6Lg2vzmwoI0SCLlhX0GWOL0y+OBSA2g3egc4RMwZbxwswEokOljjbdIjURcY7KFVKeNK8m Lqao6Q8rhiZUTZ5ASrJIw8QmHE+aTjDIRisBaX4VbMeIcbAH740nIMaIPT3GvpXq8LuJrEOR7ttn bki6MERQIAvYQZXfZne85kA6cDlBngMl3DYA/dHzcbmPj7G0BF6h1AOKRCWo4H3yBbLnAk4St8i0 Vz2Jv4qPWaqMIbw3om/PXp5CdgwRvGJ5XMSg4+QNNR4mAVgLCCdLTOz+uS8DHOdJeWJXpBPTzSYg AZFDkiGjTHoFqImrUCSsMYVpCGcj6Sc48aMkBIk5j7xegrcmyW6X3g5B7oUqpj0amtDXQECnZWQ7 PrLxRkXIF/7EmwaMauAck+XLL5B6HiHL3ZpO56WHKXmYAdGR8VQFfJo8Dt4c0G9CFUa0dAA4Jcq3 hUYyG5+lalz3EyLCNU7QjOgCb1/WRNcrRSyVBIPeGFsXm362UKEHYg6pUxE+JpBNW7/+2GLEqbTj xDe8tkRPTYZv+rIN+sDfNCDyQHMInG2sZIGESJdiGIiPL4/jSwlLKebFzreKQzBn+GCprvsUUnkw qqXgs7KsAhdFjsJ1nvq6lmK5aqHLCI/+nkHB9Oc8GWSbhyzh+mbu9X/JGyr+c9OZr8SXGaCZfURB X4DQnh57VvOUItfDvRU9+YtA2LOUSnnHchnuD6j/CmwDIlO0zuWTg9TnBW7x8A1fWzJgfifkXMhT OSZP4gnFBhbmwKR4Td9bQHGFvNUFTvvEkRNG+Yw1xq5treUmoRiF9QS2PwUDr/2OAQ2O8Z5ul9Dh h/hxOtHSvkoc2Oj4tTsGuR5zg3yYwDH7+AmZGZzTkqqMODDKIZe+4AkLR+ag0bFooXRlD6QnsgKr oudsRP7uaLBQiMZ0/p1rA4+SVWCYuRGr1+fYgNF6w7ycGK7R0Whgv4njScFSFGBYy0SV1iOCjn7o fpBJBYnUpzdbsBDteVgPw0uqLp/7iGshlW8J4l+dU+ALvyMTNiQATsKQFTWJgbbyOcsNFfmYPZdX AcHig0Q+3sipm0qgarxs5ZTYydWSVJPXnd/wzNGNwXuiDymGNQ2FiaFIkN/b3flZkLp34RWjfj7k cqIGmecszqVdtvQPmDOMzq8Jp44jAKCflYYcUUSzTGrjSI4C/hTz6HNxzi8KDZQ/tGaWg3dEtrsP sG+PNpKyda+sCcYV+eb1wZd5Px9zV7Ub9Q94AV3qi4UY7LRks42WBkFLMsb2fPXM3dU9wEM9/W1V utkq4o6iy0X1s324sSe5lBfGpk9KNjErgGnf59hqIku833IV+GKV3d7i4cjneRcVvRbjeUARmj5j O0TiEn0oaqvKf8rK+ZVyOah24gVHMELCIZuZOgDLfgqyl7Pt9GTGKfPwAqaSJFvOF8Y3RHT8bGJB X8UdNfDEHkGlyn7q0uyB/aRJzJ+4UMopzsOEwaZ4XyfFgGfy+d4VnLMkJ+47n4BvRLVn1rKMQlWv GjvUyqx0rLkdyQrbZ3coW1vREHck3xdTQPa0ccq6OigOVxFDVd0rBbBiMKDQj5oDbXF2wmcj/d87 UndIIIgE4TtM3/JIaL7e/IwgNp1VKOETE3oPHr1UQ7siZqEWKI07yN42ftDfXiRdUBtxNAP1y5VK YU8CdENu1tZcyFIZUOukh/eCUu+iun5VzxsUS50lgr+wvimCAQwuXcyW0Hdfes7EW5CM+kJdosSa 9Zm2xx0GI1raxhCMZirT7s0JMXAxngXxn9HoxZqs1YrzVPzeOP1TnZHMqimCG1TJRtxx/X2OrPT1 lbUZbI4/o7lUKzJJSRRqXyPFYe4yuNYFqFzuGxKGhU+K2e6WEtBWcneYc5TNWIROcTfV04W2z9E1 A1al3cz2tqtfoTg0leF0f0uzHxA5RubOFd4h6WVnxDplD2dgacLpMa5ZU3bz4T8HcrWvukdqugwm qj7+aTO6P8z8uzuuMLv5v0kR8P37ILH89qrUf/EcUVA5X37T8X+dIxPfS0uhKVc2V7TVDh+queKo HvoX9uuE681/ffWReNg+PAMzcDYa7Zz1/JBet1ck3irS+H7CPDbnG9yHUAa48MCSFqRipMj8+TwM /nZ2Kt1ThQVqyPxQjptWZCWkG0w9tn0U1cUBdmIjOa1hkpaPt0+1QRxA7TkglkRyDixdzAw1dVvA sP7vWX9CwB08+0YkYaN7K/GrjfBaXjbkyKoyC7G+3JYRF55EPIUvB/fS31GIeS2aNJR92KqNFJci M+sp/jrlE/TWXJf6HK5Uc5aQR1yMkNbnZpWAbd5RvgT/QtN2SQffSAg3Q5ReRHHtiu46LdOZYCLN o4EFoulWM0salH3wDvJ7Rdjh46nvLUM2tDwX3ywEv5EdZO/l4Lg5Utnps4/w3Sek/YlJrGKNDpOf oTJTRrpk6vrl2t0gVIEqIty+eqrZ4PFeZGUanGQQgerdyPJinXNx7y4um2Ik6okf7ojvY8dPPrmn wYAIyoyblO6GT4fBwJVrnOzYqBK1XZPv5B6P+qDC3Innu2WgnJcaK8E9rZgUSl4lwtY4LIXEVAs3 BalWBpBjbA1T03/VRK3luvPdZFcuVm5uQ//nEjiYogc5TXtXeBuqgVymf9rbQyuC1iFWyrUrky8p f8pPZjs79dcqu/vs0rRJgzcKs2HwaHyT9d05qyTf7e5QJC7XhYHAD9BgWEg3S0zt/APWo8FiuAkF 9W6q4nT3MaKbDb0v14ukRBn92AD0RwsR9CdQ2hbNezTbv16Nl7eFj9jAEVlYTmu547wjQpflUdKo HpPCB8/Ql8QpVkvECwuCjjH+7FZ0QEn6h1Pi639/vsAT7I1cmXgNpNN7xboPc4REMdvE9ggtp75x 5N/QwS51sjgC7TjwHl33BqfssbekdlJPC++ehA2zZje41bd41YRFwhLUbPyJePRVjRhVxx6jJWzh TRZDZtepbuR6HXlEezviGc7MY6Mdo03DPBx1WMZ7hzB1xVhU2b9KWycDHkBemex6OPoTP22WLzPC fMxRuoewzAyzVSJIXSt4ECOM8mGKKd7EHRqX+/gMAeq2j69d4zdrS9eb3x+zS3QIEf8Qo4EIiY4d p6XFjDVCQf88iLH+81FDGXzZkA4bMBs3kbBidUOMRKxyxBam1DzQoV+zgy7txjkeiofldJif2hz3 iEoNCl9GPoJCknqCHR+DotLAD3Kkgaw0KXY0q+PuQbPHShOMmmmMXKGTC5rvi5stGZvtu/a4DrMp ERDodP4Edq082FAgdgDoN00MvXSLC0igGKJS/rAnfL7ftZSMqc1sqrN1SPMCLF/idLJjtLaeKGVf ciFsrTMPPlIfxb9CunEuYDgsYtG7zBKiWjJrq42zs8jzm87MMX4voIdCOmev7OprUiOyBZU12g+F TSu+BSqPpdFNhYctyqGZSXqqkPgT/EHTTFj34VI7it8yYi2maBgFyuemlrBx2YZiIJ5HzA6prY/J UtFD8QuopVWR3IgyNBzXMYQvG37mZU3LyU8gOiD6BmpLjwztOgRF+mmlVmH2/PVoWZ4jQnYfaSAo ScDWNxOC2xg394G1Q57QsbAhs/tVfYndQtcQiAK0GKSlgvACZ26MgrDhcCP/2u/eQoav1hSSmEsB W7Dy2NeWek6u23P5SAWmkcwgNck9Ilfn3X8Y5kkXm/PvkKE/n7CYO2q1bvrmA9nrIsQ0rUX9Y3DD 3BayfBL9FabuQbsxX4webKlmY9SOB0S+GlfvoEMV0DLd9TlWEFv0N795LQOJSyz7h4A6+2V5YT4w vuTVoR0K45/r+YuE5jKKT/IurFcKiH1in3oqEQml/+7sgvs2/cIRhUkLMiEyUFFZmaemc07b5xle SH0wczC9QW1C620YAAFsCqDB1oQBKr3QsK/aGmt4BHVP7FBi3fLkjvKRBYYy/CPjoKSwNa38OZbH Y1pSR1oJ/P24JNAC1woGAkGLBng7qCHCixLmmzl7rjwLWshoF/qiZjP2w37h+u4rjiamMDnAScFT vR1Ec0pxbSFpB4k7ol7aBh7j79U+Xh5Zxq+O/Z/cZHuCNCOxhvnhkjOZknO9VzC72ZGQh4LWJqeL RtMdqngHbnLqQio07+kKq67vSgRdr3vka9lgX2Br5qTJ+eKSrnArfkI0i+k6ntWHeGj9Wcq2y8tW cquWRaBpjYSsrs6/LYzoBwvBsSGT90ZTiUodz04gU4UdgaiXKpjW1rc2JD9xeItqVWz9SUImjM96 9NCybyth9AK4r58XCeMd1toDteEHaLzenkI84GLGNvUg/nE8NivuS+TAk/XyGuEgTLrfHb1e5uuw QuZIrSljOBNHuH9X3WkbpxH49z9v666c3o5ncJJKjj9NoxgWtU6fVhkOznejOb98i120dvxGl3BM lb9oCItKQfHiVChRLsATED+hdt1uwM/yLnPmwbc4edEkhCqgd/Bq77LC+Qd3pumAsuXw4H/TD2Ru FgpOyNdAjq/2P/zAsgVXcrOb8sBicfYGHEvIocv6ivE0NWjJ3ugkPNU/tgwZI4rjxn3W9QRBFBgA 1ovFI9fxzKy1QqP4kCGYrbhmPnViovx1ubZaaAeErDxUL7+F9ePa3ojIyj+G2npTuv1ic69WFqV2 HSkQpHE7WiWvNhkFQ3TVFGcP6aaDJIW8Ld6kBjMMiCdW4Wtm7v5Ugi3s7ZOo2Ro+pchPmwTui6cx fy+dnFvaOHw1YFA157jn3zC05xRgL0ReQ5PmOtoiU6ZoLo+pcnApy9PK36Pavi0RPfVY8PZMF+ho vv+LbFTHjK8nECcGgluciGepDZHujY2XwicVVb8Um/28ANPv83mamY9CKPxkaq3UQ7N9lOuhtBDm EKIbxm/gJ1r+Btp6X2NzCnvcREhwTjWlq8hwgVBYCX94CYrWgLQgARPgoF/+2Wc94d97H8Cb8Ld5 x8u1PnWOuEHP+TDXGRq6u3j5KNoRdZHT88OdA2vw+VdvTtrvyr4VhnLaJ6ilnejtb38hUMRH61jI qt9Og7PgeFbrLR4NoDub2to2RaZRAxB3er0hbyTtyM0/h0v1imc6XscrJ7okP5cUoQqJ8HB4jKHl d77fRM0W6eIWkB4zlSFvLvV1wSgduFLvjEpZTAuXmKNqraZHDelZoiw49wsq3Q/6yUKKYGPOm1Cf u1kDnYsy6mGoBePpXAQtFXybVnHmha5ovFo98WjuKTTj0monnJD/o9ztNghFSuXrFpui9h23J+zp vXl78Oq013FMXQ6y9SQSduvB51kkcGwroFseKHkzDkq38ZHv44e9mH6ip4f8A8VcTvKcUWgwbZRB XwRq03bo756rZMjtBE4wEew5dZn9DW9/8EQhO94VsnHrRLV5fR0fClbd/p1rgNQ/eNgIFKbsQos9 Oj3nrVam7TArUbnGVIaGTC5OrWn31CYvnBDoV/47w78B4flwX78SlG1q70ePG2zoLCB9Tf/UEFxR j3cusY8UGzFKI25K3iGM7BzsT6bPEIrnIMSDXNgoYroJbvt2NSVdWh9GCa+6k7L73Mt4mb8PR1oD YRkC7W/+bT4T0UUaEZ9k6hLe2L5dy91O/6a44dtKrpkIdLbNgZ81Y6+NU9gvvi4YbZaIfn8Db4oz pydvvjhJ2eNKggRt7OcjySLvA2FceXXzau4y7GP32ZVSbWKNAOqEOloRijjBLxAJcvgfidEYyjBQ bwB6E/wUx+voyUi0nvcTIYlmH5nycRJmyBDcVGbDt07lzSamirt4L6uraLmErsZOVHcj+J1m+zei EXaO81YRsyjBlA68sV/3sV/c9za1kluzuAtRrimEKVm8o2TW9xeJxV9D9UldZFi1/Rxz+6gWvm63 2BAeInuTDWE1rIrm+lgxoDL8Wv2deW0bfmlGjeL1xt+ecjZ3IwZaTs2W/TNMGh/2+oJ9BJfKUmYx hKvf/x0Zwrx59XP9dHYWzq3y67HUaACk9SAQPPT0X+2WytHQAVmkfGXCfqxvGgOi7/Ap0MzN9EGf zN1cGrHge96kWwW8rb9b18H5tZ6h17Fz++9mUOY3FA6BAdZhd0gtD7hj5T56X1h54e0ZxZSQqvlN EiB8vwelvvEBto4EoQyeGRDPQQ1TwitNE+zM2xHSPeYgONL5AhbWI1xWtbm9GoImyiWriJcQrVsK 9Lqxv3tsNCLOQnbqizRDF52eBwLg2FWweaZjy32dWd2Zs8JkcJi5icdpfkWz/YbbWIMPhrOomXbd hufJwm6p/61NcoWlqCQZIS2D1dHqyKOrHOwYg19j7amceWTYsachroaB2ZD0kX7/6FDBpwpMGtLJ 4sfDeosTZ5PeLHwjCC5WTrdW19OHd64alBMeI+F7F5hiWaop/xbZJz0IcE0/vNcXHA51tubrNyKB ixOxrRKJjsrr0T+Hi6BQje/OjeEj6PejZ5mn256i7930n7lPDcC0N9W5+JQ8EiQO9tysS8D/HQe3 DrAUbWalmxq6A0kmU3JkiW70j5g90a6joQwgYgpwTRmbbME6Fm7E4GApDLjs5PzYmznuzZq5vLQv 6ibcB1ZtFhpR0r/rHYKWRAX4+8OJ5Uk4TakEbHO7NnIBLt9syuwaETReaj4sn2cDcM7V2erWI8xp 31aXBdswP1Gpfs42DoMP4MJUAsOnlEyQxaXdMloal65l3KZgp73hNqyIP6FEAdZh/Abnc/uR880X vZc0zKgg09gu48KsqRJeraXvxVsK/1dLByVLCDH38J996aTPYO3k2e50H0Me7vJkwR5zgpjrYDc3 ik69J4kzUieWpIIB8eRcZWcyELpbzRZxQyhxaoeq1uTUzOqUvD692C4lhd2BALuCK8QW4uN1fsY6 VccLZJgWcdNGH55IQMIasxnWMninHlJHLpxxdG3ouWxqmxc4MOmbQD7Kqf6ySA5Y0n3wMrceVI6D TCHGwbtHhxCpgtRDACwPiuZ4KAlFvbP5cWSMKJIr/q9+5bPIl2S9isynjc56JUelArmBSa+GwFjA NN4eQ+uPL6sAWF+YMCDD4keMGPtlBhGf9phtlho9tEbBmoxDL/0Q0NQcADJS9WFU3/x3g5FtMPUW ZD0JzgOOy8zRA5Af14qoeYms2yHT62SzqCHMgo7RG2BHvJlJbD7kYSBnuM33M/UFN21WdG88Am9N Cif7a6bINSzhvdXCPmSUjJwHxtuZa2RTqA0j8yxGwkhLH/RPIrNe8Ue1x6uhQufeJc6zf+w8zU2q VimEbGPDxnQcRaPzkcry7Icdu/zTddR77a12kJCUhPMeyhwEUetx+mAmlyatI0T+rQ+E6BZxOyg4 E1B/lyue3liBDPAlkum9hbyIGpgW94wlSpixKliafPPUtZJNK6BnylHV/ZtvCu2ChXy8mR9u9RqD NVHPcxSD0o4z1g8+CnlEJTI01vlUIzpSyhKZlvbleyP/PU07BmItBtue0NCRF2/GVr+EDFV1eJwD sUH+8GlhQlu4dftg2x0WKLXaCqzm/GQvZhfqTCpSUcP4yK5BiLxWnpcjdhKPhAHwvlIVWl4D8g1J yCUibvxRhWP2cLqoGexKlHgO+zewLeS0Wuopo3gW3b6KnUZHWbS8OgJeDRUqg33zUxs6edmgpPUC zjvNfALRP5dwNW7YrGgrBrjZxeyxfkYzljyzoxfooMPGZgO0FJx5xS0g7WCUnixa/vAVaP7n+z9m Bc7WxOXGKt0ZKt8nleAy3uMenuxUKoM0xIkkUltsXycU8m9jNw6RDBjvlnGVaffm/dd8PfBZs+2H 6X8xG8BTzWDy0JthL/sGcyNkmKaCdKrFPY1DOeZ4o1oLxP8awvofSeVS78hjEPdW/8ThIIfCZR8j h7rsAqiV3Z40GvvLRKL4ZqqxnyuuQhz6PCMMmo4k9qKp4qKv4mQ0o/Tdix7zBr9Ex6oJ/Lr54OyK Rs0jACdUEU4GUPxjxg7cuJIgLeWu3lrTOjv9d5yLpsswr8grgT3zld2ETsV9RA96sMcD5isPcJPb QIJeHNvGHOcDwylQViqJSpJhWkCIcHadFL5ZlUBQlOSJ0fGsgRiVJMF53Rqo8j+FqSEp6PaUXqMT EKQu3Bhb7fyL4PgcpoGrTT7XrviTWzex+kRZbsMNSs0KW6Cq+ecmoT8y232F9oHgatBX/E+zX3Fd yooqwiQrigg4FomepO6U2v5uV+uBq0K8s5bDx/tcUKUvsKkdE1tgA221PBDwCEfS4O15gCdmZOfy auDdr9j9j3wUdvotwZzgvDWDryUNuN8MFr2WNm3CFQKstl+a01KuIqvL1FUeN1f401igxn+oLE5i bKgAO89BIipgHpOhh9tLcUh/olNYeQpqE99L8HdnmLkAvjG+6pZLd9XoYXLCbB4eMTLVeB6x4JBL /BDaENqpnLOUV9xvMpZjxr5j/RVlfP+jA6KpY5aiSHcggAmL/lfFuERkakTkg7I/U7f5bLbBg7GT 6XdzIHLkcceQhf70dmLLRdY4bXihVkRDONYUvOgYCVgeiV7OSDdw/QjCnwu/obkxP7qqiJNSmmhI Z1aP2mDLZyfflVTihKC/4npDJ9gZ6zUrbNBowkgRBZkfUzC5NECNG4tmROLmF2josx78Ulj6h/Y0 NntLMzqYDzA0TmGgdPW+4aHUbqXt7RTaPXSulJPTJTN+sQU7XH94NA2ot+aur7IY4C/M40MTzL4H v1+7fLn30Uto25iqtebY8Es5NqPQR7Pp8R6GBNWm7YtI+++MoxZmjxhr+7vrSn3sDjGiuECNy3bk fngJWN1lBm2oAnth88ZhGQOtntVqlvaPGppPxLPMnJgVNwCqm20UmCKpkVci8cguIeDbteUTcNB0 THVlH/CfUKAiaV07Ic/v6hW6jxAB5CX6NFToCtLE6/As1GIpqt3ViX7n23GNdxiKt2UUa0E2WCHP B70y+Dv2qoeLtYLRyOxQkD3LMj2yXzhPvgAuGq/lUd9bC90v2KSpgfVl1JrQrWBxFTnLOuDi5iT/ lG83o+Q3mAAzJSoXRJtWaOifQ5aDhFY1ripO0qJ80qQ4Dbip3pYmYZhY6nQq1qd0rUjFYNEl2bpx +YpKa3vjGk6LjFjrmjCCpDrgoD5zWhdCE4ryTmds5REmZM/RaOLqHxi+Q1bISdJccaKWrhpUu2PZ rlt9jbteHU8kTvsde2eZ5zBP7dwY1Euff39o7VVQ12ggXnwr3AmQrl8QEzYbtF5ErCTVkErHoL/V /kpKRUzoQ+sv6RVe2nDh7Zj0AF/6yild8pDu+bTc/T1lXpib+ULoOySskCSRF0afdNIOnO1UVDdF 06tg3FFEPlaXHVRreY+vdkNvyPrASd+4NodRmvCift/+nHNInOEFsAJ+W0SJ6pRFlvM6RISPiTmp HqaRnAzwaB+5hSxugCn81F06CDcxxCnrOdgpgEJ/rfb5J6+7mZN46u8r9tKLHvHg2wKdxveqV+vW 8NRHaaaPm6VIiH0sIiKyKqbirhHdTp0CoiHxj2nL1DTmBnoRrhooyupYp+s6SA95s4C28REZdEHU NQwitI+Pi15Auzyyl+4w/c40JWr+JDD8mRwG0IpKK3eaTvuhuK5IECX4TUlMh88xMKXUBulQB1nc y82HYGYM0qAFC7p7YRTGP6cu2za1/XTCooANIUEothJQ1bIHyKJp8zfwk+3yyulVi0BPxa4OEYYV fWB3vV/irMgd1TlvNdk1Qho/EFG38+XhmewrRro9smi2ABjazTKfYZxDYu8ScCFQE9Gg6vNLYhzP wtw31rCgLyB7lgHHw2iej8XYZEO6ZZB4JVIX3aRQ86ZNYa26CzJRP4kHizIseOMZ+r5oqPN5pdDH dgTiUpDze0tiYCM7qbDpgxtKrPZ8UbHcmjoYTbWpJqcgCZJNXpoXTyxRqI+GXFCADcfJoj0Qd6cp K7OJN1xzdys310Vxgm1bZT7Vk3dn5XAhv7pKB00G/DgWTyUDJakQ8xTSPaDxVEFHDsPHLqJdLN/h z2Sc+m6JkLuG8d1MWTgr3P2wZLEYmwg2UIqfSfIqypAlcEt+hTMvWwOTCUuqHYecE0rd2Qt1p/qt 1vcwGsEVhuc3jKDAytP1afiPw7BN0iHrc5ip3bMidHOl9P2OEzi0a024tzT47xhJTdldA8rhgSE1 RFKTWQn1GgKBZP7C9++Vt6/YVU2iDeiLuNNAzKCdKhB7Q7BO+oUoleJ9Y58u1BBnjShU0FJK7Q8S Frbm4Lx9I3OEML0TjP62ow79/RbASG1oSS4HgqXiIVZ0BMnaX0DEyEM/Feh2b/skHg72lDf7250y 59Ywg8c51RAODiz8MpNog+BduYmen26yl8L1caAVhLimZbxkDgPlFrpQL045fNWuP9MwAHwChaIN 6kbsHCuoBWE/6Z4+HikMIOITs36pBc3FRXMw34veek49QjfiCuz6Adtuxx4sRGyVBz0N0s6rV7sE c6ZKvqTya/9UaUj3MCHKBw+VOuKDWmduK27kxNUVCeYKVQ9kRL3dj0iXst5rQ9LwnRun9OZn9pJ4 eLI4olkxOjxhFOCH+UR4kBrIV9lsM6v0rcBcFkY+9YeOD3xs9MVE0T05vokpSI/+PjpTFoLPOKwf MCXPMDCbjRhw8yNPD0F2/aX5kIvOHMl5A546ow/TpglYrbQa2h3P7ZB94RHmyq2hZlywZLweJtb0 gupH5Q3zdN/ERthMOCz64Mptmd17PZcg5z2zXbMfQYqEBnVEb6rZc1pVGNULVzDyKI/fj3kYIVv/ y9tltFmy7+p36W60oH10hNjEBfdx7Qg1LJt8hKGIbsAkGAFinwyB3BdhkgcM/4FmNGvagUxc3ziO jfFBWZCSqbxUEkEN5FJ0YTcLkG4hLNWKqvdGKcXlkyMvOLwBEOd1Mu9027L4yZRDEaYA5xUih4VM Bbd+PIAuMEI5ghqtGLFidArB0n+GRLHs85GI/jOoA7KJZkgtZvpH5Lq9t/FvPWTvka8UAr2x+j85 jUwx9Z3RHqxc0OxpAON3+CA0114GHHrQkE+U9uDgDEXqygoipYJIGqFsXL7ewrg43EBCPmjO+wca zW9OxIifN8jcwUPvkJEH39p3Un5q6CP7NPbyf9qm8HBcjO/zUanSqqtxtWqlpONkSDL0FlUfZC0X MvBH5mrBgNTHlhjnlwEr1ocevS3Fsz9VLorOuGS5g2lrjGTD7IJIOcgpUcHuQNpqH5XL3TPrxzqA DM/B3fFlQPOXoT9fVZtqUsBW18Hjq8W7JZOZSLC/u05TM9BY/jUUTHA1o+3/DFY3QEUsuILxnYLI P9sYrpZwKG8Xj2ebK52ndQkkqPlPzqyOrzTi827J02TGxL71oUg4TVNYLitrfIzfD8z77xXBVwPr KQ6BisDOKDHEYeliPUGR7/gy9SCGU5VJWD8oe4qtHC2kDS8rIrmqspTTuUYjBSSfShZ63p3Qsjzm xUz5zG4NPpjlYjb1zxStP1hX7RHG3cgaU8V7R1VG7Q7w3PyPWpsBYbdEPo977ze+M4zSO1lc0Fld g5wCL1fesI1d11buzzmC5O1hW7LJG9ACTB50zSwqRqk+T+OnS695GE7jUougpAekfr0bHTeZ7cCl +swX/d4QVsVjcLZ/pbory35bEYPwmXtJBQbHIe2Jta3MH+2Lt9gi44fyYyaNjgYlj7rQ9x3TQ6zS YQwDD2n5AigtOxnUQpDs3v/UUqYWh7KAR2Xwt1xCRUmaoYjBGCKmL0GlqSKx8VSQ+Ysv1I5RcYY2 9JrNG0aBGo1YMQkQo0rnU+hfZOHJCg3vu5ULBCTL4HzYy1t/jBkTXpo8olu8wHvJocBFsuMDvV0n Y04HHHicO7ALIPSzwsU83UO3sS9KJHdRX9ENYeY9KAve9MBI9ReGvPBZ5FnTWt370sx2QH2aXg8s q9kN79rldtgj1SFzCgevLI6dNFEMgI7gbv1QgjyHuSAdohDOQZGbj+EOOFHhXOWwOZvYEJy1oo/u JDSeUKSDKW1ZJn8uUfszsa1dRxPfPiNVjTDZN0YtvaHQ6qtSaJbBb+I7330K68hXcBPT4MHObji9 Etkj8ZC4Nq61tEiP9xLGomWlrPTndgUjNksz4tW/rFqNasJKBrXcdTd18Z3Iy4fbYu6l0HvQqhKE sGQ8xRo3mhAl2sFd2mVyc5Jr0ZD1NB7bAiclyrmkDJhgs5fr8EW5MFVB7VzJ5RYt0GFgtflxtmBf LYuIFDPPKgrrKfCeeo2omqf35TZ5GQ4s+BNi1EdcyNPZw5VKlkGMC0HID6YlhwuHekzT5UoPZJZe RG/8mlFhZERYEoXjRmBM3rnNMEQ6wiltTxo8iPAfFzc0WdjsokacvFBmjhHYQm/CjiUnfRrp4lhk iBlC3JV2PVFHNgSYSi4lpPZqoH+IMB1r3kbxje/PUfz0i1hLRYr5TfiQ1OisNqqc9Uyyv6txgG// iuk+azLkw0GbNGpid78swYN7XFsONZnDAVlEDNc0tbVXb19AaYiWIIEOTyC3q+nuJPZYwg9DK3ka 6GBEIsgkPoMr+8i43wfG/+SO07ZGsYEiGvocW2IRLWrQGGbPhIn1OcDhy8lAmrNEJrVbtOt/Wrm6 53s1PXNiPet66iL5zt5nTQDkKERcxtIpnX39//kO3OzPEk2ZqPy+9e7qnuZrMIyPKzJFVQcPt4m7 HfdA0iE+9+hOIiKK0WlAb4Z04MfK8vXasKiCw2uMpUZW6goCDlh/DnsgndoptHMgvMeJZnUBiY/U j+dstImniW6OtBc1Su6uTYLC8aZqvG/DI2I7pO3PveExSs4z2jnuCNfE2hzLtrUM2uOMY2I9amdw /eBhwtZABMyGL+dRWnPtEC0MV3n4GCDfo6cloOvv4hLaM6BYzVzFUYljB2SF6+5DChf1afZ5Y32R ChA2X7WyzRKzogkabQH886x6aR9BYfPh+6wkBN41dzdPQyIq8Yg2MnTIyQRUeO8luqjqbob0J6E+ wdJ+WfX1dvCcxL4rTptQ/qZW0NHm6qxHfcG+Or2UV+aO8uUmk99akxeF+HT844OO+B/x/SPHLy2E cXs+FzYTZBeGQEWZbXQSsecBhzfeOL1a1v2fa23pdtMVVtuel+F6Lw2Vj7luoUCAFXrn//RN7A+u W66xSfipbm0+P70FOiSuYJJD6AkN4EkpPwv7Oo8/N1TKzJDyK04JAla7xl9SqpnV7S3vOb+kv+qJ UI5/hrT3AxUK0XtFBK9eNY+MscYDX4Tp9IEUR0tLzE9qJZtYisIXyNu8lDe3gRbZG+D65KxpaKzk ntOXs3eWl60184Z6pO3QXis1BYU2da85iqcKl0Lv9Q1MpM8iH8kMvKaMlGslANeUSMp6aTu5kfP2 w78T7eu9embV86u09xHaKWkKqCey7zA5H8CNj0gP0rhWH6ftpwQz2iK1cE6yHGo7UkvVseyAtgp5 1Iy0Q9A1JBYxGrISmoHG3mfErxd3ktyTY4wewCdtEKmhwVZ11UwnqXfe2ESvis+wUw1pNUgvJpSM TfpNdIOzbVWkdh0I3iOoVR0MS7LghOvN6Ya9z3/GIztpGdxxPH4l7KjpsPv/bSvtxELPRy7ukwZ8 rTyAQSst7lpyBW0q1p8URZ2CoybIG3S5X0o1ufZJr4CH0zFy+Ulx1qMXXEenoR1E+CBe0KA4mVxc b7UQlWHS6fwkIn9FXv6tPIaGvHM3R9dBH+FmWcHcMlvVqhEhp8gf+wKUqOde2tFpMGUfu0yIwsHi QjLk1qB/Cak+Kcul5tGF2NFQWm18VpAkclDD4Yl2Dc2FxZqYgkhPSf/2Ib1quEhWMBSXKSml4S8K A4XINGTFy69wxePSar5d+S3/M7xPSBcUtzYDUC3GDyLDJA3q3Z2qmmWPVG30FbdThfrTakpnYEsb zIj6HWxPL5XU1d9huaxCstgRngwEfgTbSxb7BXd3rJPpstEQ+JDjtTsyC3w2Kej71dq1pVPHCyoN oz76BjgJZ9JrGz+/PPzQOaoAZbaVsT7yMW8yaZT5BbPbJaE/ZFRW2/3nvtCXzRn1gEgI9YCxRHUZ 1yZwL7a1UvPfA4RRnXqmhxiOG2JoECtGZt4YRF5UVJZ6EE6u2JFVC7CJ2o7JERz6KOCIdCJp7BJ6 qg6v35zSqehk6cvKhlFTsTawSC6nFr3O6x3SfFxVbjjfKW+6BO6MHR1xUFarkuIZI6jtCnsxnbsl oudeM+RoNyYSRXCTxHsQgmTLrnkwfhM8qDVSSA3iXM8F2hHOYjYJydkfETGIPrlSL/nD3OsrRZLI uPtLUTSqbytqlPHgBjN8Rykzo5EPxkVZcLUI5RdkribI3LuKWsJoaurgqoTO6oQy+Ie8wL8uwyw+ xavFtWAQCM45JNeJyHEdgLROLLI8j5IOXS8sr+BHFfU3DrhJCwPsCm0kmkLVaSx+rFRV6dZ1hNoR eXPG5FhtIu7fDZC7m51yN/bY1muCe43fHOqfPp73Q4j3DoVQa0x9zz0V4h07weeZqGDM1SMz7145 9GSxaoq49zZtCeGZbFNYYt34k4v3Fg4L1XjJc3c7u5i3FJdQfrweu/K3FalN6WCRqqO/lvGJPMLo 2wNjIsxJUayIep8vpQUtp+hyUaMRDDlkWZo3mTsvW5HbWTE1GPzDtLHKyuW2S3g4EqfpHPunKEkg OmO+l+A4qKQmPmZzzpRaI0u+QPPVJaRv98aChdNPtRFoPDJauCERfzDsPA/sWnmbWMIF9gg21vAA RrXFPoKUT4M6SJx1HZoUXbADr2stahAOgDeGPRtWzU3U4mnogLkwL5Az5ftmjqH752Qgg2Ouxmf3 l6mSjp8dOLBDLa36ZfpQW+zUcyjZmxPqSHQ3JPqTXlgSvg0dc/ch5qO+d4oX/aV2Q/9+po5VTmGk cbmZrtfVxgWjGtwOcsY8Gy0YCD6oyxgUCZUccOR6z4cRVb4gC/kgB7dPY+gN+UJ5ESGpoiHlo2rR z1iHhhgdSQx4slzxGVhqB+rEGEK67RuQG2eCCSY/KL0A1DWA5dg5yMdCth0Tpu53jr7ebVy30Kbu 6T5N0R/Z0X6Ssjcw2z3nTq+pdiyeRQXBqb3oTf0/0FnyMN1h8AZmkxi2XbvzAI6vaLSBbd/3V+I9 Grw0W93nv9xhqFh5Qsn2TxlbhD9oKDlNkDsQugXgbwu4s1PkRqx++VpphN6aexq/B9ghdKIZmufI vgjxBsmHctfJQVt+L7l0puHL/vahbviSZdVoe0sd67g2sWKewczgzOTN/ul4siXra6VmDAAd2Apn XwfMtiBCHFju44PDpCjjGifRWKc93VqJO4rTHaydh5l0Gx4y9bfIg0X8Hq3Vz9pmHn5o5FIBe5Cw HaXVhxuq6SW6c96X23iYCKoRw9uBOTseaXXCPjpQwWSgY252cNQEQ/xdYjGPjf72RIMeMUXJAIWR z3eYUKJGvler/uwo5KQLrVFjQCPmH9dDqLVAuifHmYEsaSBAfZG7C8N6c0ciRL/Hyep2+zi40NO4 KQcYix7/mxKZsOSLqnF/cGYHS8vFD7VwvJ8LXEqF58WmXD4YnyHwa9S6N4LVoHn7KG0+yRRrXYdV wRKtHfvWsJlCZNaShWgnl6OJDlWgPse82ON6gOrHbO09Wl89Gok7KWLUd7Ja1Ukw5vjqen2IKE/K 0hvwQX17KDvn1w8PP6rzcOz45sHndfNIQnfdXoo2tJuMS5mrDI/9XJi5spryaSP1nS95qm9E2QXF juE+Bz+6RKZRZHMeEyaTYu5jmdG4jAONJnB82O0FajLNN9f81+mlBvLEP4l8fwiyc9VmPJecIZWy UJByQ+vBZYfiJdRgm6nYmgq0kY/EVk6FA5zJKnGYYMIRKGnRKzFnJg27otTJfXvmrurlJQ1BTod6 i2+3gkVaLivEPPoEx51WJ1/P1g3c9HPd5DQ6+zSAd2AJd/BGvAA2NfTr5/GpLYYB58z5dCrw2uoc S2J4LO8plzx9Wke9K0JKHLOK8gW/PJkemeNHyixJEdIvhnVbvSwDzOh8E1CThSaatDnDuXnXwECH 1yyGAxh4/H28nZIJpHUUDG6c3pzp19EUyPacTY3+xqJCn72vAfTw4JJwcwq3JehZ8b8Y5SOBqr4F pzMSm9+cqAnLzOd3x43uRhMOmKCxyDe7cjV1/rO+yp3rWd6EABkwq3ywyPeSvpywlwa1PAL+/Ca+ 5NZ6UOD015UR/AvRY+wGg81oqJQdwL24FfRDyvSMUCMsu5kxXYED2jGEWLGgzU7qUWTF3l5/MKoR /gcNozzr9n1/0NyMA5/J7lw3HGFJAuuDVc2bG/3lMMwmDoeZJJM18N8YAXu0GDaSXrqpa5CeUI/5 5D0wmNtNxq8UqLKA0193jv9mMgT++GHKMwqpp1e+izL1MQZNp6nqWNfbb2nZPGOshPDmDUWbh6tJ mKcbYAp1fTDvI/1LFo2Iqr3VfD1Iqg74NGOQkQxrdwJjO+2Y8wA87dqhwyUGaNlEDByKunedtUPm 4cQvnQb4kXQysOE/W3PaNXAR4xl1s729325gC9/4uump9V4bJpxyp1aVnJncbw5PYHRtQxSTehMZ xnpLZuKzWHLUivqInH6tpA9S0vl9I2sCAgNEO1lct8To8Z8QZdaMBbi01wGyM922stbspLy0GdXT Ut2FaWuRyBvGQudiawYzXSkGcQJHOwUzholKFR+B91Zi88ZxafzTxv0MQsHSXZWBwjQUSTNJTVCN DWFRWy1N2MKBL/hXeQEPGV8MvZPOIFHHCsJHdnp1V72DWgJd2Eyat1DX0JA9cIbQnOvOj8sEbIpj mxYTEQDyKrQ0t0Udw3Leu7waYus6ECm2TNhIEqBMnYRVWWGmnQBGx+sPl+NKq38VUOG9QPs86Coq m719jBfTlBjt/mL1jO9ZkY1pNd9nOk19j18hXYBmI3qY6DprjyXcZBURCBrC3prSyjNIPvIyXjnC oCHqItmd8B9CP18Qu3sYoYlxjm/faJK8HGH/lBZJGb+aBYtDZJfLrmO812gQHwBuCFvnPnTez0nI i9LTgwl4NsTXuafYXLMq1WMA2oOtm0Y8r2ofRKjUuPq82A5voVJ68Yky1egGkK4UVSaU3piQ4+x4 fNh6m4DhV2hQNJ1Rylj35VVz0FGcJ6WZlh4GFlwUXBwJahX3M+twBsS4vze7yuZ8uk87vWQBfuRY mAH/QP8Yq14ENgRSYiU/PGSFSp7gZU7cBjYN7P+Vn4j3SYA86tKBetTUxfm5KOxmmx25dr/Sq5/n GbNKem2/5QvHKcPxelk4wiZuM4x8Opwf7HTgVLGFta2z8MYF5GHVY7w4XZ4EM60MhiKTQrjUeLp3 hbsLbYmuD84xFPQU/LVL4i3zmu752qHOxDxQWPTfmxxbVPMyfKZmg0r7C7wWz/ZfMwAYNOU49zPQ MdMelxbWkXwXXX6YekCPHjF5wnaZTDxo6SLJM4BrWtP8plqMVWKdDCKnWrWcxThjVsPr9VQfNSXi N6ywohuz1a6m71yj+g/tXbEF79cYRmyatI3ghRP2G847CxstMOxkQuq1uD8AznlXg3smZocySL+2 UphuAtO9ea4QrjN+XZxx+x/O7rx4Q1hGept0VbI71zMfx1cEn3QbHz1FsuXX8II85eCV6kDmfRjH ICg87z7mZhFETp8coa3euwsqIpY19ljx2xUlQiSWq6bt5pPTN7Kt70/TNDQOQIv4FAqsHhJZJr1f e2oXkNYGv3VcACv2JNwAIJgD8FAbcEeOUkFT1O4N9HiBzlrMW0AmtTjQRDfn+UW4pve8npgO+w8E DhKN7nvqu570399d8LZYWFI1Hq6XPBoNxJ9roNOKJXlgRKkUppOviyhNrbg5t3BWfi3BB/cSjVyg WiQ4cKQPjkoXCUbTySpdc4xPA+oN0AhZy8zd/G0nQBrKl3aJDlQwn7bN3kTyD+JfM/nS6Bkb36Xy GZUq6pkFzcvAyNovmoFwmoL/94kc8XIv/6Eyq/rhOXJruaY2srwHTukOrtT4Dq2hbpDb1DDkY+gM y5GWWd2+B2VBKjqtbSEZspnQZqK2f8DAtsGHdfC78jmdFJva1sbwWU4O4jRvc9Cc1Ehe6imQUymF KncBjEzUUTSCYmOQoXVsIJEmiARC4ERPZ5SJv6nFIXTbwFskoUeDv7/Wa5vTf6v+bLsokolDZBU2 XkFSEZXx6/UQg+E0fVwcq2XDiYbxAW243mf3Ij/LJDIeNj73KzD5tVIP+zoVXic3hDAvcd/OGkEn NBzKeKrWyFxbvtEZ0QhiIHvOST0azwM23+rkOy2xFOlPRDwK/pGypX6HuhyWiGovD+jJ/rf8an/8 vytTNM+sG3mDFsewArdFqU/Q1SdMeVxnh69IUnUYpgHuYF9XkeZWje8v5w3DFm97tRPyMqyAfd4L lzi4LGTb1gybXFcRq6jUUsIO+hNX6V6bDala84Wt3QbtT3/bjGq1KyeXvIElBMWNigyf46HnRT/I JdJ2B2omVVjW92JxtktvFPNsp33YWPaZ6XcMry3jok8auN6IlnXdqK9e+mtbfj4Meg9HOQn2zrnX w898CWyhAvFt9ga40OK17xD51Mau1oONiBoFciCcnd/QMxUA1gDgJIARmThE9TNnzzoy9Nw4gt7+ FKcnZ2M9g2SBSSIPglMNX4/RPaknp0gBZdmbVKfpxvRqxxogAumWWITScKSRotJ41ClXCNd1ZcHv Uq+1nYbl5mDYwsakL7DeVJbsGxwTEGErbB44S09bh9CYDKCyVPwP6GN19hC3AX188JY6UnMbBR1K qvZLo1YSZxvmzULgQ9J5MWk1hAMH9qtqaB4n7NGo8nplxwZkUCp3J5ttfMO2JPiHE/C+CxYQubqN 5rlEoSc5PhpsdoKzVNwsVqWtlFNGJXuGCFSuv1jo3raOl67Jo3VHnqY8cQwM3sC2fBIz//mHZcnJ eRCZ7TdP8IQCI2PvuU+WkT59+BSUB0U2z5shIBOffe+BrSsgf+e9pX10363uyxkaoYr02TWyDMbj CxKHlinsGpNOuCO/rbyo8Go5EaNUd5xzk2tLTgZi7YNV2+kWolBP5LTf+ZcCnIdB45SnzO9ZGAVb xpLPLM3KQ98Ar4F6WxqCFVHRCYMktR2sWjAP1QHE3DiCNWMw3LJgayNTyt+dC/oQ98bDEUuFjsSP Q+d1ExlM/mGyX24pg3htV0XalW0UgLwc58UKbeYbfd1bLj48gWfDHd0wfY58S2yyhATdMfbNMuxC 5kUAAMQN8uAkhzBlPeOYD9HizIyu2hX2JUA0qFrtrupu4fn8+Fs8BNrkp1PWn3R1RHy6VBaWXbwW 6knz8TXaRUr9BFJ0cYYLoP2cvsg0ESXn/IO8pZa7y8CN2g6wqczy09uirwPwvfuCnK/z4oZ4GvbK evtRPWHqR13TKB6+wyDS68cn1ZfFpkw3Q5pL6HSZVv4+Glmg0I3kx02FznAmckEr+Fr5tBM3B0HN QCWYXfr3esZ+JqGU6C3jEa2DKAQsG5e8PkKsS166fu9LIviAnKfV1IAWW8cJjyPI+yUxYCpLqe5g AJOsE+r/5h76kjqDM2kd2B9f+7IAivDlhPdnnCR//E1QaSL+q7UHb54B1xJj2qbqphdsUoekt+Np LpjJBIZkotKIOfJwB8upc3MKFXDqbo8ehn6DkaKcZ8GDs4IiOvgayozFaYKfsXD2Z4IHNdFYkhd0 yz+ccN4i/o1jyDILZ0I+9EIcufb9rDWIpgth/4YIiBsc6P91ieKXvS2n7RqW0qvrExJt5bTnrrye mHM6X1z16kJyRE/BZh9r1wzbhPnnl9IAkiuo32xpvDvC2HF/b0+PEZ//2uEdt3Hln5a2I79K6Ltz KnvQe4AKkEB+0GmJp+tAjgfk5e0rslOyRRurQC7vKRs73xC3lrqUNEAVNdeoWJXy71NXTh1JYRnh Vflf+hE5SPbUa1+lKdcuXdo11vaCexdfktrbujxmKS2J3wE8JeVv1EfIhGp9d0bwErQEOWwBncmv MHmL6G5TyqhJywvNPZGdv52FEgGloOfTXBphN2zgJEH5e2mTtL9Mlln2Vc0WGZpDOfdesxiRnCAv xAItP2a1p+ehINxfEK4U6aX2fJNr8AmYXuSsJHXnevlHt9MM6PFDi0CXrl6kuvaveBzAfj60XPHM DtTvd3oEfhR1tREbPN07ttUwYUhNtsCh7niisNFl30ki1R0tmVmyeCl3UhN59ap2wSJQrwcVPLt+ /CLGhxkBPnzBjsg2H6D9M9WPBQFNJfFPOaa1dsl/9WjOvmvAoULGhuZEYVZESVgzu2MFSBybUWt/ y3igdu/2bQhej+wcLxMh2rV6Fq+qOap34z2LDkNo84Hg2mEv8y2tmV11PdArtzfd4W8IDrEqe1C0 R1RtuYeAA7I16nEAtDUVWSQhkjOsV24+RZ7tpQUoRt26haq6k8TcWNQMLKpG83JwYTaX0sN6XlX7 5PhmRFklskX3XgmgD+5inqCSLpLKpFsIVw50o1CAQ6PI/4FvNOUX5WDo0RtnHoJqYaLOJ9WIWKys b8CJxKuJh/K9cAHdynsBKH2+Tvy5tCtXbRIsbFvNPBvxbhlAer1w8ovAelmfHQFeYDwejOMUTGFJ h64j0A3LqBXiWizxvRcsXhaXhkcxbt0d37gEaKHm7ZSlTbdg8XVQFQriQkQoD6PTJEgytD31GNew SXrr4gawT0bClP6Xm814CLuCiEis4jGU1EG54KRGsFFLq2UmBA7c5Fhd41MFjbdmnyRvu+MlviM3 eFFYBAGB82/LkyA+d5EvCvp3kp3TZKdEK0CqN+f4qr+IzNxL7R8U5X3QWuy7pA19W4bSa5sPICMT 7JHW5PB8o7cZUDOezk16VKwpMR16VfDXZ7vWNluKKm7BaPaxa5hOxPioDD0hkzbV0ZjaCpRGqahO oZ/sPrpPeTl5gkW5DQx5+nFC5iz5iX3cJSPj/j0IMcHlAnGpQjM31RlYMGEiDkuuJqtb57mzhBvn qeWtZM4W14ifUWNdxozjR5CSrkOsgqscxCj1FCB7sUKP2cbx6G5PyHsBfMDxppdAKQYhwMZBA1kP 5UcnVPFcHTu/8DJbHjQ6ZTDV5T01zelE3tcV29/sSFpKnTBjfHd5lT8uBd+s4ngVLCbG2EdIz53s BRjCdzunSkZ8pLJzHcplb3Bjoi6Fgh6/MLyZowtPeISlNYV2kJbJa7pE0UCkibiS4Pf1iAivXHYY 6Nzz/etZAsWsInLoVxyrB61HHwBYoVq86Kb5SK9DUc5NUWHGm7kBki5AD2e9nFrqDa5jSwhhNp1s bXJ5qFDnBHnCPfe6adpkCkKOj5k/eu3MfMO4lJ2K4WGqBttsH5Y+ce0H1TQFu75IDkgJe7Ue0wm9 C+FILmYB1I0vHpi5wMdPzr+L54ozdl2iRnjiwApwikRZoDl4xWPpBO+mVJeSR3CNmfw8xLvHPCJS jVa9b3xxkGkgTARX/fb9LNKI5WNgbrNX7BrQpkuN+ini+BhVdaDeODac9kpsUWbCXQj8hgq8BhN6 xHy3bIQiX78shGM947cbVmIUOI2Y5StO+NXMRkxGPhlGBs7wCSa9/6LmqKr7G2xQ2+hUnDa0/NYV 5DFdsWZdfrba/PcBtVi/hJYsnlYnNv9mfW/0Lf35qDiURf1cCtCS58bRB0eHtuhEzpT7vuyj1K/S ZILqwF82hgjbmTf/a17ae8HF8rzZN+P+Yyyg9mVvqK8fQNFhNdhQPHMSt2/y0KqQ7n6jFBUaCdGD RQ1fiTaVpFcjqEpmWdkyIdJTK+nKyEpLlhBOI8aWXpBD5PnJB/2KXgwX3De2KWaArxuCQ5je8qv7 DkUru4/64ZewQYo0j45fW3IliiIHgdv4vTkm7tvqgx2iypL0TcUD6MVs88kMKQSKWv4QNiG7eHkI ogCYwCgdx567XplyPpVVzJj2Wl0Ir/kXW8MaZHriEPiX7n970D0QIcLasRLylp0Amsfd1A7FjCrN u2UIbYS999gbPq0y8Pv90+21hpJ5u7xGkCdxotq0BK0MPS0p4xdJm6VA7/wEj8Y+ts2qVSoVSfW2 yx3scMlWiWPDTs28dBPyyA0UEqvo9gi5H/cg3yzRdC/wzXQEhzL93KHguxxFdR+W6TSlsSDPxZjq d+Il7tKiLYSQenjQUrH3iupUe5z0SkGt+ULHlw8fp8SdQ3XhTZ6JYgh72JbHsstJTLSHxTG+45vf ktzP+FqGYEH64t1UkzUBnLy48twBhbSejwYIW/JkneEaRgTRNv4eksKKPh31oLcNnamuxEEWHVqQ LtKXLMccrmQZwkY7EIWPYaGPyVFQlvlGGFuyhsNEfPaeJlIASKmsGNB5RS0CSU59rQvL/N64venk oJu2/OZdTDe3N1ByteFNq9uC4cQErGc8J7ToUhMakaOnX/v2xh9sbLVfvsXLcwrUaWFpC+LakX7n 7t96CHUmPG46plFD1gyxiWPzJoMejNopmxXsCB7uHJ6M09jgKZnZVsq6KnRIA8ghU9GIO6/3sjLq Jw3+tVw9th1aR0VJgdgXfAqX4QFTzbmQRaHWjc8YV9/N7Vn8Fh4ngIU7tZ2q4TVXK3MKYbUAW1CV CQDhpXMY3d+ZCo1fOI4nIrppH+c/jEyXbLtib2AAfRzI1qW56HAEKQlFekfjTL/m0FqdItxUuBgw v5M62c4JRT27x6Wn/x7sqPsDcvFSvK8z/puE3BpIFO2JY3++KQI2j4zpY/IUUL+yra0c29MGwF2w E7EA+R4pEbcYeiZLcUfq5ZUgMHWnoyzBbhvydczPRclZXbE2+6Q5AyFI4ptIVrikb0PvLh0Ibwst H9De3ZuT7F3CEbCdV5WUsh2LTV3r0RFewS1UPq1svZjbEhTgGY3MGZNRXNlj9Zsd0wPCs1RrwZWz 57bfOhOmODPqFoxbM3JR6+Ep6WAw2PMBTBFJ8LsFRCB9vS33z7NkGXThq8jSk6O63nKebh4z8RFC NluzUevlmNhKK0riQtUOZgdo7k/RC4ch4Xjvfulvvu/Er0CIgZk1USfsIFZ6Ukz6d40zb56S+nbB lAsxfMlya8ODDBAMbJo4KGHy3F2DdYTwSQUT8eKiCm3QOEBrwUpDDrbNifmRE0YoMIKd8emTkfnr i91Kptoulo8vdKU8iS6uNZsyF2StoLVAk1KbRQ61ThtUjCHmgRm5wQAyFcN+w2U6TrldxYMK1hLB Wqfs8s/McG2O+LDmZ04HhnSqmmvUxyVvBUNHv2YZjbD9obvrcUKB7UZelw00HPjRUSLpXsKHA4fQ lNm1qmmgq/YWiUgsM5XXxXT8yGhjgoEbJaY/0wMlMaqNSL7oM78Va0fsJhiIHih5mAwF6PeW5+Lf O6/jOhWyNeTK8wwwyiTEFmFJnEl/Dz9ctVOCZnv1fbrha+g6Sje1wrmh6QJ8PE/+9I7Qf1+tAwVK QBYKcqV1jKBg71audZpDGuqj2jh8mVDd8Qx1CQEMJgZtYvIkOagBba3cOL1Xwg7+eCYnTIIg6xF+ 8r/NMAFzDd9mQ7SCgjLVq96HfwPCVM63rNNwAK9J+N5sSUq/ZaBsWm3qHQJ/ot2rM01bjwYDOD4x A1WhzXca0dMwSmZHARAE2H7zVko4l0Z/owhdwMsz+WWdAK/8zjeVVE+tfk/NgAG+Dd2HzajPvr8L 7UDXZuqIY7xKMBIFLpL3rzWM80vvRNKCfZ2+feLk8YdiNSF04v21RB5ipS8mAwlNIRmCRVCNvk8G GlZqJZNwVL71HsIvWeSyMtP9N5/qRMggIqTfnGhNrxq/el+mpO9DcwFRSjjq+GeLLvzb7GzEsl95 T+bgatckowQXs7H85xRpLLEeYsiHjEfxZYKCHFTq/VifQLnYWC6sxlYYVOLa4Iy/iEWa3a5gJbsE X21L0DqqYeV38ffNPhyPyBQ2tagFqGvQvtpniVJTHxfkEWXiC+vb66+Ik1dX4cf0GarkZRAIpKQH 0nZaurC/QyU4WFbq+cwKz7+m1IHKFmpftZTQ3PcWnF3M5CJzLMorcIRVVHEzc4+r2bG9jmFF6yfL WAAPxzB4HdKq7iG1uAt16eAUVHuqOZJeqYD6DpcUR7CnQcmCQjR0etzZcYS9LTr+HlYOwOhmDU4o PTjocOUyOppXJZAab6xA1kGFsHyvfR7tCrGuZW3su0lNtSr81OtegfcTm6fMixgQOXZm8S+6ZGj1 xjjVYmswYLL2RYDsbB4YGMjfsvv54O3/lYMdQwtc6QEnWUZlC//iFzlCD/4m/Xslrf1YGK7Y3ByE hFR/9gBrvvDkym+zFOFItHK1Mum07lNpCOMrDhhH6NNvqLXod+xS1vDKKvT7X0/D3l24l7Bw96bL pQOIkNLbsHFZW+YlU7XHEaWB/w5TDu/TFaPg0OK8Nx+7SMfOLsKYVgYLipPevO0dpxCp3HAi6sbd +s8wcvad7UiKGANpjqybiGV88shGUAmiQJT2f3JFflt5yzk9kGsBhBjxyD9+Ch6B0yX6a7rOW4it zm2vQLmAPB1Zs4SA815tKYQ2GqIn0mZBTf5N87ithbcI9JIqLS/PxX3HAos3mzrPIoYsCPIybRr4 w3J652b3kdr1d5tUo54cC+Tin5/ALEtgwOfwyMd/OnV8lQCiSHNmfkYANaRIA+dySQWpH3TJCGXe /DooTj6t8aC8N8BOolFMFYdsPZd4Q2JsGAaAjODN7db11zgKSbz5zAQFA5pLLKKBP/wJZQHJwq3v rc4N5qkXQ/8CEpHy+S4tk3mQhpw7eBU6Se+m+TZmZPMoUsWuWmR/y3ioPrN23c5h4GfEA5x5gE2S 9Od0JJRPiyLOI7yE4ffto2CjSACHwNd717DsrN3eRy7TQMN0MJQp7k0VLQY2x+ORVygjZWaZV/o7 mmXeH2Azra3wba9pvY7h0y8BSlTDe5KhKe+SxDdyBPLYmSbcXoiRb9cU5NWy64sSlxHXuu9TcNwi wQtEAk6hyHjkgmu1A3Va0p88/8lwo7KkgrmzYdMMG8o7TT3FYs7tkzArbgSaf0JloJxI0YDQA0J7 8HMyR++LE3gFPXPqqBHYZzMGkCQSxZJCxX+1CExuuKIgHU+BvSkcuCxehrU5GWtiErT00OpCjPc+ dZG4VzMpDHuAT8rsaM/I5pE+4Kq21s3n4/AkPancZ2+Ju8HOYZXRCR/EZ+dLXHsKm8kTu9CT/ZT5 l9cYy3gGU5AGk/j4+NuiuiS5h1YAlzeH0bNde0534eZK2QL3MEZDTSSnuS/j4YM2pVv1dn/KEg5R eo3f8oBFaNvF3xUFRzIjo/u0T45Dd51OVdtjdRIYK+xmHlCOEbGun4EIwgbNniQyvmJiTaIz+rrC 5FCESLrRlYl3ccEZ6DXKc73OfsAqDQPL7laqroBuJ3YZReFaPFcqtqamaABwbyGUVcgFYETnHsch StH8QZa4E7GtFWWAellppnhSoSsmnIWv7fYUkf6CQkvivS7j3QRbrvwn9nONC2gkIt7nA5OhoVee diBnd4EEEJop5FXaWOP6Fm47iYQq0xtqBfFB36vI/o/Qsd9QcRzYTCRFFX512mA6xoLDPydzJyBH FiWEd8b+hEH2DBniDGo4lcuCxfQQ5A8K7MEqPYt+MfsVJmTJmeIL1/w2OoSyuaW9kevnn0D8kYsL Xrps0iiL//LPai2Cn038W0WvaQ3hcejIOo1rCHceUejbsIR10xsdh2O/E4q9Wff08kXfGqdXJJF3 P2fe4pgQiHctxZWezMGb2VS2TD2SNgR3RU0pg/BYQf/w1Y5fQEWwqDQvtR07CqMM4E/jT6zUUA8X aQY8UC05PQYSzGEn4EflvQ2b8AUJXAoV02gG3R34U/Dv0g5vcGjxm8BvN78IoFcnTeD1bbZONHQ+ Dgm6k42c3hce/1Hd/xIkjeWrikq+PywftNZCFxAW1pCO9toFhXt94LntD27t0OE7UOTXVQTGvE+w NlZAjugiVQvYYg0K9ZKgkRWq3zPJtcVBr6SHmNOyy8GB6AJhBDF7r0VwIY8lkhjLXKR4xWxpXcow UvBI1+wLzEvmPUiXcKLB3oQ7WZNOwOgwNDrTZEmAc0RSSZfYiz744dMX/k43BaWWMtWyZesbZc4X TPMSPv9hc09LDtFC6dQqWqqkibavki9IE83cDhLjG3451GjjSnX6WB0baB54CwD49OsJUMO9YhIO wa10lgLnIQXZldeH2fyJHUci/f+P9p1EjA7UJHBGndZEVNvIO1oouJm6sq6tvMTbz4MelttJp3AS zfvxpyUUqPSod/4lACI0aE7TSumi2geIvI94nNjx9e+H3EV/Rb9iFEENB75C+cRhy6rl+NSQLoal XW1XKj6MtEzXqJEkkp8mu8OnrIr9LbpbtARZioPZ7ppFsWIi/69aJw7GPZx5pfinO9CVB3dDnSyZ ffARVAm78mZSYuO5a1FXycWo1p2JNAnE+Xx6iVGArNw5xLgGNDWoDV3/ODS7pmyCTzPADhprwJCo 3yXlhvKcWGv43IufO8n0H+1niuQ4loOdqoyz0BkDjrrdQN8t0EV7DPSY49BbMlmhkuUYzA1hSeUZ FK6NearefO8T3zBr+kadBAD+Zpz9DeQZOxNdHkGYyGlimD9GMGPnN/2OZgY2zYgF1nEvoxMJQleb wsf2GC9omeB7xquNjphJMIw4BKqP9p4KTmizGxmIgwx9Q03bLTjdLk7t4ewmAZJ1XWgEXmrWXoQm GjM/ns6LfM3mrKsusYZA0KEYwK/0GqaCKMRvRJMO3n66UweXj6J7PZwAwadHVK0jydvDUEzbZkae lRO7GHpgduYD//OHXUuic8dMYgnDvXE3aRsL8e6kVDL3zdcc02V3NWxkdvYp4F7s+Hun1TPrOndk KTyQVxUKL00jrd3od3Mpcd2piy8/rPw758U4bGUPQtUnSAm6IPhQYBhePM2TeXnJlk/tZMsE/Ive 4HUhvoIVmwBBTP97XUHW3mvWUWsqAIf2Q+Et1EjT0FB6+FAxd1xCIw53aAQ7X+yCz5r6aGRxQDBn f/y8WX6RtqrpL/INo0mDm0Ctklty2C1mrZaenXsTqNV8VABOGAycsofAbJP0Egy3BuJgZJSUj5jB BPBFvSrZdvCRTCZvuUud+uidpT8prAszFIHnkuvEQ79lv2JIBc+rAkDtjzaxaNPjCoTOQW+UVSDy LlvuVQSxeZOEzzE4Kr4HcgC+UADxVtyDwBvSYmqdlfRm1HaTXpRxS5l3L3gYDGUwf/VUvbCrMHV1 MPoJF3vYqQ5veDgiZGZ7Vw7FWuvZeF941ClVrB4MbcuhQZg7n9GDy5lnXid4/EtXwc7iqtKvKe+7 xYx9hlfshagGFZFYI4gUMV9N5geq3SMgyo5D4gcLYZQC7xSlCLZzfBhOsmzO+jnbkF8LleSYjXr4 w5Fpn+BpJ9bVP926WLRjC6iiJrdTs3udjNWVtffWJ0VMCEoleqUG+Zq8y1Z2FQmhNTDWYmBSaOCw 6ytUWVHxxyvA69fBOC48b1PMY8eDCsQtRYuyI+8j/V6LQobf6KJOY8tBVkutx86ZOhH7n2nkLX3u T1ESF9V7FPe99eL+aNxdCjW/1tVsvvksN8aUXdmo60vnXgOHCXdmqGakrdxGuAPASlwjLA8/r6rz +j04T7Q8ODwXg7vPDwOUdkTv5EJIxqwLOnXFzBjOGbEk6+os51q/3gmvXBBEAl3sJXjmpoPidwjR DHb57mQqApDNGwAezceMAit9+KiiwhGvkWU6bdK5gaoHTFPCoZOTS9bDB9ryJicbLf7Xxn2CXTzJ FYViZLzlbsyC/hMAqQ+pX/Ps8NhcUVfcPpPn5lGw3q6E84bWGpsPc627c7jiFGTZX7r7c4inK41a SSuf7FQIKN9R+rkfgHVANwgDwx8uEw57TqyG2e4f3X3VCFatp03Kk8Vwq1ZN3s2CWVhJ0ydlq8mY O/1EK8rmOGOs2Mni/+/M/MVAk+F1co+D6vZrtp1yfPoQyxqjcmXsJtPFue5l1y3vaWOPl93tu1Hg EGBOzFqO3yzw/LSY3AyKPVOxUTwNLp9dY6uvZsiCQOGgW502IsNpUrGXTdPIaE9gcF7awZulhRH/ wBLsWX0dJPijyRKp8z30RThZ16/JEGqwJU8YzS0u5si6qdx5w6G1iUKt/QpiLViTYQYsWqB3p2uQ tVpwTvnuQ39ceooK5pkfciApjG6hDD/7AG8dzjfTTO98kjRnaHst3y6L/+Ud6BApi5fGSi9+4BGo VPGa4uEexk4edJkz3y4qyWTTDDXEJ41VvktUW1dTIgJoWVCrkyKewhY9s1aN1EA6ZZSZ23Hh0fy1 vrKBSAErvNb5H0M24QEnu2uaX4rve/uUp5c3uU9IKcGQTOh0EEptUeQ/RXqTzI2DoskHVDFCGY9y MZSoI3l6KqsdETyeLDUVFp0/8XKMK6gsVv60B/O2AguYhVw3U9rQn8DmIQ8/ce5NkUiWACu85ZtL mhgipNMqX4QDCScn4Fq5xKaLbjlpdIfJTsXVpWbrNuB7zbStVswHvkeACNvJSuf7N1gTwNEWKebO 4ZMmrQXQRoswCFpPLQ37NRJYj+D6xm6RlVlgKAfbg/Rpg0FlhBru/U3d58FjSURTgU2Qpcewfcyl wmXpo4JQuOCK8xM6dOMZuLu+KV2HrqDrfN9HzxTT+oHW0/fM1s5sEqgzNHpnsEzS7/Wlz2mGxh/s c97R/qxAn6fSVWyOIxtl9wRwJT5Gab6zryFDofT6GGwsPG3k4lU5OiTEVl1Q2dug/AUBzlkMDsfL YF7Jx5ttmTUIvnpJeTM0RoTpmLsVS66mJl84IAyLbfQ4eQN0RQKJxk6NpSed+j9Fea/SBFCyz08x U1YAS7/rLUn6zARouDMw3MaBxapv8hNU60vFL0XKrxHkujsKLSgUD34zsaKJnuQiR0JZIvu667QB M+kfQQ1z5+pPYuZwxKIc6CLBN8YFPaOxA0dwugPONn0D0HN2i7vCaSkuXX+AU4+TXn/x/0WHNXku h8tfy0aPIGGEQBcDhiL5PHXgVfTTBICOD8cTx3cP1/6mh8zV/6MmYPI1lhWGt8z2ZLVu6ICGa7iz WEYOv4eO1wGzkIm/cQbyq+Cviv8PExR2YH41Yw05Rx/J1r0CeKfQ6gmfYhAtCQPVbtg51ejVYUw9 JMojBGNFxOYk/z3ujN5+id6b2Q52N5cVLplDTmqaUYmfubziWzPgLt/+k1IUQGXtEg1QeXlPa9rd a8JoYJOwoMsh865fOuoDr9Cd4fooVay/Ap18/w1F32YnXp3qdYYke5DKsYHAFCb2R/Merl6Gwv07 e9DGHD1VYuxvg9jZRelmTjOQa8Qjg2x4FNIq3Ge35527DV1/1uhSrQ9CWW9hLgqDNsgB8TR0dGt9 QAJJvmnf/ic1QdapVzok9/XjwQqfp1KB7fZW7ocdBC1ZYUX0YU6XkK++Zq9OcBoXq2Ac7mSYfF6P 7yJPnhCdRt+MM+IOXxpTnaKYjwBNxtpw6k5+M7lQGasvoUINVwhw2HZoUfmu4CPv+wEYyn8tpZ0L M+j8/OjsIlUZKJVJYkSMWMhavU4u+CnTNeUBj7/K7BFP7mAcJCpgRl/k3HJY1EyIIs0pHcR4PmRZ NVswKpRwnRfHQtl6Kb1vkqYLNMoAScPmSTQ9Y50Xr8CMp30UBYw5BWnz1cgm+qPkxpoFiN9ux4YA PXDUqfwM9tEBG50y/uq/fhBiKlOou2GOWAaRUbuQ9rzbC+J5FoJ6MAJGenaQY/GHqpB8uGyDSdgU LuIm/OYaKpKUP2bYlUgTkhloqM7ibm8/fk2iR0xuxrKoH6Rg4gSbl9FLycgJZa+Uu7d7gPKvQNJm lY/6ignlddyQFv0vt1cZqjvB5BlOO3Dw0fkelKFvqsSccz5w5cxknCGNZCuIyTCC0BzIfqacNJe7 bsQxnCfssGxy22pO2JJrzg0NOjycrmNU2kdjVavtrhyv+3j/G+jKcGmEdL8GAArOJ9wFdUwJ6EEE 0+uMTtLhtELvZysH08tQPTKC2VtL58uCo4zaLMfk+iTnA8fEpjV4nNIlNCX8+7WwIIsaa51GWSpw JqlDHa9INg17ZjVvvdEhVxRyFvfMECmBUyBD1eKwSSJuGobuEwhyC7n9rV+GiWELSyb9E3D7ZP7X lse+LAF98fqSCV99wUlnUgp9NOjRqcNdAQIogEv7eEjPPqs0KvnXdOoJHG77HO31uHTCRUTtdwZS WxFnkby1ZF95ne9CjWAUI3xRSKJvs7IwSP8JU8jrCMJssnnxUAJTA5YzLkvCfhe/lQz24gi8meXH 94U1WBJ/50kompswqt+iUe/FEteZSJzrkXDsDUUVx6Z6YAuABGwwA68AzISstJDTtShwHMGGvZqW FsqfJgd90UCq8AoFkJnQE7NiuQjwFPzOGo4EJTotQoZmHGZv7lLMYOgEFeDUYiI2tpJ8ZvDLPI9v HNoF62lzVYyZ+ozTbmwfkg9VIo+w6s+7oJLq087UsLymeQlCJHFOLm/67gwENNRJEA5yYMsUYhvo kispSlb4CZxBycLLP/ZJMbKJkGBWQcuCe7DLA19B7hNHdxYRnRCi5Srabo6ukKGrxOGPctqxt5qC kW+CAbIQSJ62Jk9HCO/Gbu+2903d+OeyiGfbExMLZf6tuBP0sz6AFIxZwTLvhlknRqzUCZiCHkXN V05VFPrCHSSCzuVhh6UeP+z806NtGoTQqfQWuYDkPQIVHxk30djBLKLsuL6gQzd7YAybdx//mLVv caJ3OfiNpZEfIpQVug24f5VvIeYs0j5py20HPkQPj4KQroHUJgPwHLq3jBv6PRhcV1ze3BfPgafF Ack9V7pgtqydDWVqNI9wTtf60UXgF2D2Mk4yAGjK7mbwqmO+uUTkKg6TNUfz8QhJZkbTzCZsrZnz JsgbD5j+b4QFP2z1dEI1tw0Neci9qbGaFom8do6mCsZGHlK8SGmRh9m5cWskyQ3EP9CiDAzYwXCj rEK9i8N0mwB4VM4D29n1mSZF8+O1dA8gcesdAEaNYLV+UGbKx7yZrvWrlzTnDbaz3kfPFXptt8uE EnlZfsPxL4MaJYkuYR5tM7I1KiaelWaKdD3A1OA2g3duDat5NG2DSbzG6cohERqlizy3GEfvas3O qIlbdEiBAyMB7kVKRj1qt6xSJJWT0U5tQ7tQC8xZvZYxtcOvpnnuBNeQ3K0COS9lN9VOT7bfKMmQ 3OEF/CC1JOpgSJccEVdd+e3pzwMKWXhb8MEfBmnzLdblL2xdK78WmiNypT3J9ot33mo3UK52Us1E +np1aMpuMTbhdeLA9bCY6URPrULYya8MKQj6w2nwe99fieO8KvBq0v5qK5RISR+FZI5RAij4OWvt CQYGOhGo1SXYdRnMLYPyxJqm+WX89naavCc1ttS9AvlEKYCRrfdZO/Kyfd1P9xOVzWhqutkm/6IX RE6D+1bMmwDt+XMZPvD5CCHxU35nmT4Z58rNlZMknxfN9UOUgSWJP8C6CEAASuwPEyDnjfeWkBN+ 097pIZQJBgJjmIfKAw03PR2ZLAVTiIYCymN+T8fOOhpUqzjPYCOEGVnWn8LEpGmI9RZ7cahHXkP2 4wqQQiFLABZHr8bPYviQIQstbWUG/kqjyUTEASp7JCC/JGOoJMzIF5tDXcgQ0kUhlpJePsI7v/5j L8WiLzGc1aTMnN3AnmIyHNUvW1jAhE1AHQi06ZyUHD9dIG0RfI1F5Gf0NTZatkdglNA48HN+wceq gt6KZa8b8P6ZI9eyJtyAg2g39Ua9InUaMrz31x6IA5VuYp+0q2JGo/be7R4RrAhTl6/fXAN6ckor 63cceFPV0wAaI5Qu6hjugCy/6dKRBPeWM/EGdUYYzOoK5Z+I1jYRnZ/exVUHRyq6VnAk+EoF+O/p NWSXkkPw1XKiZHcA216Ys2qK6u5FXglwYQkCPeNEnEjpBeY8lHU8YxvakELsDR109+d+ECMhGQ/J 2nhzBSRVbcbglGrT3xMSICoUn9l+wSiOO5UzitvsXTKLVJHWZgp0IBP0Zj5TwS/4ZYBux9c37EE5 eE2uBBF2caek4R5HR+/HwZOklTY3CYv9xVs6+CiWmNG03P+JfGQMgEbpdCw+VEbhu37/2Q7tLv4w x04K6myDSnGRH6jNnHO46zIAhYfQTjA9dgpJBXAi28VaXr3ELOJwY3W+Mb0oOt6VPcncwttNNmS0 Hf9hfu/7uoAxvqNMtM3TATZdggjXzVQmc8LWdmtv8SqnYeEWq6Hwkdvd/SLrjSCfYjz7aD2wPLLq eNXI7fecpve+Ftx2yGRtqXBPUaBB+nuZIQDsknK0Gj/kosu84WoS5D0lISzgcOm1XO0VloWkeisH MwjTRd2p1tASeDvwoQ7m8UB9nRKAeRqCF0r+gme9tOwVfxa5+9vdrdpmMmohcyfhoVTUHRtNzV0N TPT3/UiuFbTMu8BA/jtqOADIxgBAF2YQZDLTJ2xbHF5zAO0MJvSN+fy719HmDDhR1hTzCctFNMuc KevA/OsO4yR/666BCufviRNGiO7XGgzfTKpc4PV2irHp1cS3kPyFCfKG/cCWcp+nkzF0fi8Vw2iv XX9B5HDKjH5XxTFY0M0Oqohf1h7NHhlRrcSl0We5xSZ0tOVyRq/yDGaA7DwXFYwpU0VclJMfic1y 1nxF8XHNCmtNSlQc0FRNHMf9Pi9ip01wGQ4zcpixg4PAd08/9JLKMXKp/mrK0qsq6teaDb3l1Hea oyNkgYUlaK/nbJziLbXMopUW/TBC9dYkVy7A90f/0SqIxrBct9aSAmMLikRA1hfBM+yCTRlvE5vu Evf/29Pt7WWmKC/Rkly/7+fZrNgB3IpCWhKWzYkRSnwZEH1lnAday8vtUPAkL8hUrfZw6achYEjX n8Agrc+WzepYhDb2EqLgs1lL3k7rBMKRC3m0mloW7HUd3FWPTsJnnXTxl2TTzmYBdm9FOMLyCfGZ AmHpMrVvB3BcPM80pBdEZsgKqWWsZBUOpY5vGq3FlInicE1DVloAIlRszXmBX18X6vWX9epfE9uT 6JzHiZDFkDcDmJIlMbQgepg950hzYI835RuyOKpldYDM7IO4Iaga/Df5d1TDvCbl4uiSF3mTnrH2 OBbNFsT+v3EIJtsa3uAelcD+2wUavyZEjM0CQ/pca8Qvv5sGYyNLMhN8U3H2EY4zExgNfF6wDrA4 QaS6LNIsVVEosWl2U19f6Ve0APXfnsNtqZhh/zp3AV43aN0SLHG1tfJK319dG4yimG3JlU+kSxCI nKo8oPgi4LWhP0GUgxRT6HSoWUyr5m5+8XWxyRRhSGlg0B3jPcsfFQis8qvfRBdOtuSMEEWBSS/2 fpZUeD/wyEnu2oEMuf7hN2Y3Hx84LUks+fk7ALDIsuwlf89RlBANXJMHytso1Pkr+KPw7XsNxA4I P17eHddya0vqz630Jal8ZqdHjHUFYTpQdfT1W3YnhYjD9ENyz7tlQeMk1ORDTDRFzsYvJO/H9WeC 7HWHX8nuMxlnV/g9Hi22xOf0nXbYInsdFP8pKfMlDd5nTT6ppJQjFr7rGEdPq9sSvcZlUvXu8jrA ykoXfXP2HVQirzYkFje021qg+jMnQzUjr33KdYXWOFvXc3jV9T8qN9S3k0XiMmk3Xcf1TrCpqLts i2qD+uG97BU1oWJ+EwisHcBI5gItPopGuyabVVMY6938fukokonXFH4Lqym6g6tByhQ6qyXTPqZv TtI0uP+LYmGswNgJGij2LKiBAvtKVB5CCUCVg9YFo7V2F8S2Q6e2g2GyCM/YxtH0j7zU1PUp3Tpu 9mUGYLor8DpZJaD3qD4Rd1BAeNtQpeP614mUN1TTfyDOmzRj5MZzynhxiu7OcZ0KwDRk0/oew0Sq h2SBfJg0vE6Y/iUZD7fDNBgq7Z5gKX8fd8FHXTxpSlMLRs0WjRLtYNJAoSjzx1WNdquGz7pWc7YJ qB/X3RtceECCoLuAeKyBHyMPlBZenIk6LPbGMStGy+usK+nuBa9ehp1DFHd8zS4x5KurLQhAguGm cIJgWTWEUoerCH9381UHA34TPM5cwf23lIZuVwjcAFt9qjmX/tcIJg/rZcfjs3+GueCbU27konD/ y5KjpLuQvW2kwFTrwdhcAJtbA9pgJBuMRrmkTJ4dCcavSTw2LyaOmcyP5PBNsypPS99g2kkIOk8G f3OcSr/D17ZWjmTJOW4KFUgZE37/iXv9gtM+R1O8nR/oluNtBU6MFJaZPfpPjhSSwFHCxlu6RnJo I/4+Ma+zv65uG98Bh46wp/fllhkrc9t5SyhKKE3fSmHN9/rjogb/bWHhBa48JaOpkDxwniLe5YFJ l1kUXB032IiReN8HVdhtxha81lCsEqQC/GLxTdY+sspNuiyAMY2S0uaFzsygPjfgoyifoJgbgcpu /7xiy8AS/e56jWr9v9jWhlhkAZAKEzlxck+5S3qMTrOL5eOyYNZU+CNnSQ5adsJFPGmM0xOa3a8a mNtHestJRiRQDiiZS6IIekA2Ahwj4uw6o9vsQY4e7iJZgpxXGt+xKI2S+Tm1yqs/XsIyK7Elhhlz vzczf8guC5CEeq0xa33JaBnpdI5mU9bqyCM7GxT+BwhbpHXq3oAqAZKFfxlVCXM/YybpCWypKe40 zp8I7wE5GtWF7+LLpStGhJw9wjFAY7sH1wSWH7pYEfvPsH3DQazhXzgXsQKNJiRvStio97XwTkfm VsOQgfkU4mudY6/CMs3u9D0yw54Te90GjKHKGFKVEUrr7BbZ9BWVhx8l6Ydg260Mcxmigu+sco+H bY1T3ISrhzGsVpTYpGXPfuAZTVIH5LO/o0dlFZnOQqanoWrPioG6agBkHu2UjC2lZCCoxaXILax+ LGnr/NuXfkYv0QKsd3RTmFBpG/c9fIycuF7MNG+GcM/rckmTiNizrmbzuffSuCnAFeHS8iH/peBQ voaz01H9AAzxQUctQM7hmieFqitspC8FVpDfzO/8Pl5O20cTfJXP9/e8f/LU9T/nXRGjLyO4eZCc TFUMiufykF20KIUssNolvGWExr3NjlyOi7KHUFhQgYSs91eOiDO9E4oYL3jOtS0S3ijKJVWH4vfC z+RzED+m9INV7qxh0a7ZxJpZZDCht1131WuSRZRMhjF+0bUg0Tb+Bll47xMcdQ2TX7Er5Lt67er7 /0fPBP5zqdfi8L/raFSk0ivY5VqCH/AOjZHI8pdvbD3uE9JjIwWb5B9HMkSCJpa4VZNqHJCm68Yx CcPwEwzITHqUWjVDOhX/6U413Y/KgO3WmFPIdj4vuDgzlNzW4P6vCQlEWbZRl0Ff2o7+DdeByv2V /Rog8lojuxDDo205do6xw+IPhvBx3zqTkcLDY7Yri+EeGSjOIBgW8EghlCb103+ewnA30R0TqM5t miC9eo49jMQ/r8Sk0Hvyc+I86cle9oOJqkG7hPH1I57FZlO9BZ0Op7Hd6SUEfd6cCaW2LrWw4wmo ekoPfJceFHDn92ezoiYhZOqtS2sFDyHDsL+HRoUTU0TzGhBWsRHBCNxj22hn/crk2L9SQX3fKDKQ gVv9Sp1ZGCjwo+e/zjq5yqHWtix3hEa6uK38y9s88rprnKd2UabvOQCAZ8uzo6OO1x/P4kF/wRIn ffWxLDeZ8w5fyKFATxEvG/CwjQak5c2BmVW/89/3trIG6YIT6JJJhuzjjGm7BmMk5wmZyRSqwoB3 y+OthJeKVO5w1LRaYmfHVYkPN0vh5+JyUetv9FalyK6SKPiQ7+ASNtsFQPTZplGzDdAZvcnGno5/ hQxeBMZdn6qhNi3iTjsV9Dnb82+44xu/SsNt8mzFSFYsG2qQVxwKWLpSPy/u/B7u5GY3Ik+kl80B 32gmgg4Taf3TbaSvxhVqWLnSDiJDshaAuC5mME84LlLkLDx6UsjeykkVaYKewNegGlsly3ReVkNL JJf4/HtUIPzS3nxptLbUDy/i9bY1OamXNy3lRqMs+wm+CDoNDiwsaOO+LsToFEREyjoZaKOzJTPm +PRAseBu/vFNtraafLujnfX8bdAsmLXXDQ/rDilXw6WhPjoU1bP9L6GifwLdCw7/UTQcyEobe8dP SwJjNVHi38o4XWMmTngpyZg+h4QKfS4bnqL8x1JNuwSDIJG2mrtvR64EFFY8lx+nyW7ONane+ANe TZTpeXDp+04heyp0FjUtaHbgkaCJnp5qTyCC9TkJPTTYA39CbNkGUn6I7l9TuNgXEXJql0pqu93K BNj+vDp5lsTIN6JNnAmXkjnMdhX1+AxZNY7+PklkqakkeG3pbgOkw3oPOoHsYO4vvLcee3Cjbjuw 9BFikZY96iQZt0ORH7AbBd5K01OhbnKWfkUtAqNyXXfHNo3Hgzxjw2C+izcyVTqeIw8FiSIOoZCj yQmFjn25bKCUi67TLv1P86vI+aYTW5qv6jT3X4nIsl0QiBlkJipsbvF/mZjrIKv6qFDaE7Y8u3kc edfGM8ZYX/h8ej3hHzvixEzdWtUeoPozPhszN13pdEaXVSe0pa3UsN9yj939Bm+l60Dblmq4eOL+ V7SwI09EwsI2652pnKPHa8IMq4Z+856wSo0GQHngZ/oSSLxnn0LgoS0Ibvshqa2BBq+bQPOzRUYY PCwMIAdgBiq/D9ie4eiWZg+MKEukdheapl/pUc4HZllHG8q+qegSRQDaPWJXEtBDi63N50EpsFc6 LPc0f89ICU2UbOs7Rl4HdP4WBGd8bGNp3+l0v5BplpcZIhoxzTCcpwmWe7hR59vhn4+7fhffcIk8 ZqTkeKXCZ9dYly/2SbCPb2JzwckZrkeRiE1a2scm8iaD/rqtFImsQ+ZueYj8dDuLC4hqR6UMInB8 LR4DbUNZHJXq5zjGaOvCLWqZ3DUr/kY+ANEywvBxCXIztUEwuiuUp+kS909oPBmL0Z+f7n5ww6gX lAE00UN0PhrKrp2lC/S699Y+NBqMgZrITYImjYVt2KliJTNkuE1E+PG8TSZ7DdDSd2oAeEc1ZSGL dEToz3vDdaxZA4ogeAjFghU+9p6Y3s/8irDeKYrOc3osHQ5OZuATS3x1qGhfC7b19CYuv+uBI53Y yk5t5LjIiQBnufZJMPB4KtWexjIEdBJQGMmlIE/hqyeyr2aOHGLfbEDIi00Gmy5GG30V2OH0B/+Z W7Y/265k55QAeVJxxwukb+wim0b3hdK8+S1+hIe1QQpTjv6cd6iPSQ0yEu193UU4XWITNitkTA0y gcgBzYbwjcLZkzLb0MI2nn7cu+Lmev7bIxoZb/usgY4a8L9aO296NFanBr93WQV5bmUa/kOg3/gV G1PP4KNa6FNnFJarA5If4EaLsOGN7waUJ3wfONeAF7Dseyd/y9aopsQj7tqBbBfOfp6v30kaDjrg V+P5UJ9ear3FlfQ2GEzgQYivqHb5WmonuMmGgHluxlrovlLdo+iCry9bcwCdvJtfP6NhUTJx4pOx g6rsNT8jjuvdFpmEokWseURJzRfw152WklXSYXntbEX9lUdtgTtcoJHuby9nYB+hHBEv9utJNR3W RXoBDnkk8Cb8hlWQuelx7cSIUCTJDBqmOF/BonzY9JmuQxKvUAHSIl/rTT8LlMExRObJVGT+XPz1 CLBpi9J0NAaYT3Aewh6BErxELPJgtwkqpM05InuOG5Lvsl7DfNp98+E4PIWSuEoN/Y+Zc7Flkf/D GkpApL7VBiSDbw5GpkbM6XgWO+hFnJvJBPAih77g+et5GMswUG4T+bIVWZqSxKrwXmwigwuE9vLH n0Kc4jFsMiDxinyBByXEQjc/XbbLIv8QXvnfmZUYEDornuiJ05/zWrAub2Pj95JhSAuxGnDBSlmw jtK1ZcKTeIm9gXu0mx9uaiHHq39krzaSc4vM4qhn/NerJVRF9rHs4EOhL1mDOd1TPY9D6jkwm4i4 vXYAm1qV9C1xBt6WBoeiysIaF+V1i1KVnZr0TOOpJt2a1GTk3wTEzPgqhaMhVxJqgo7beuVbO3ZU OSG3+e4EtXKIPHkpbGTBKobWoRL5xJa8kUBweVa4eiYlkhJQzEBAjkzSECmGoeV49ziSoJkCFHjn 1lTf6AkBbY+isBvjxoXzknK0/pFU7JA7NLi/xsFqw73SU5JxA+Ko5S+scEbSpTP31ae+w+zRglPD A9bI+AAsrDWUzAKLFXZYyoMFq+iVXfccG5jvU8mCR23mi4Y9QRvMacw+2KoLEIYPP50BoVGczxL4 29GoJgekHaZmDbWVvYyviT7UL5UoPCFspy33SmIUIxbmUhn4hSz9JcGSMbTCKP2YeShOYTIDbaQy qnBxfrQ50BXMcoTaC+lHqexX5AbwmEY9VzwTOrucYWK1AqwGJ8MP4zJOD2fU12+C4Fqa3+YjNflf z26Ug09bn2smHEMbEYA9x39PEwvptyOope5nlVO3gpxom/th6Sakd6zjhJe+74/DRL0X3geICA1g VpZ/GX1U2fLr/hOJwL0Dj047uSCg4L2EoJ66vYaAkoOm2FgfOijB+6HKnjZmqPaDK04E47cQiDiN dXYq6SxkRsNlxIkyI+ij4AhYlJuLZ/VtUi0gDzdPebd6HUFgLpSNnQSz995+XaAfBe+g5EXj/X3u jE0AJ7pk9UxDwgpPEmvd23BNO1J1T/8g2G60zoAcJI1+II44aRjzOq6kci6JsLdrd5zY2AKeMuFy xUchHv5NUh40UEpAeGY6AqUXPN4FnsNG1xkB0AHRSLTuxnvUiZd/YIGNaHI05hWJg8qLCqi8l37M 28Uuf+xzReOnvJrV2EqIbQf4LjWCmQ5IrK5VoDsZv7i1zIaT7ISlzq3x3pjYMDWEBvZPiRDiFMmT 8M674/ZmrtviKqOmV9hVsXDwRcGIqQBDC1OGTOy1RJCf+ZpErIgIslsptDhzT7TzxLjeVGpuFQPT c2seplVdjy7Sex2hWpJjU7I/2Rjm/3yz2jxvS6dL3pbSmsWWRQVKKImdo2XVZTuGxbifQAANqm/J +cp2+OqUUtjN7IUt0DYJdB+cup/QGbT4BEakvkR4KzqpSepXeswAirFKoR/f4aOsAJP9wDRMcUJU u4Q6UBtA/9m4hBtisf58Ee0BENXJJSB5efz5Lr1tRc89z+He9R7p52K6cgLpL9bAyjCSXSnQjrgi 4FKPNmRRIPU+gXwZmZs89scnDE7MYVlODzDosqSeQDMscBbpWEArwgO8hGnCEbnxs3+yJ0v/QPQ3 otQ7YcRVQ6U4PkajpBvGuo7ikyXca0xY1zlbCVcd/EzhOTzwOAKDEyxEvcjdtyo8un2HcNQq0hts EQZudYiVWURNFbupoyPijAF3fBeAnwDfsDrCK3AJGKSHnaqOIeLkREFLE12ku3SIPiIpcCUEDP4N YF6ZgUPbc3zsFH6ePkNofcIeTUL14XBVKP6ykmhzXac+jgrnzJvkUTNOm1qLR6AGq5cSxI0d7rtC OIhzky2gWBlw7T1jFdEB7BkGvOoOah37m8TUdDWqRr/OzPor1r8vQjQY0S2muJAeE9AP1825Et8Q vPkXkhre/QA7/4XyHQt5eOBexr+rR17iOLDoaTW6g2ph/Pygck193H3QI1a7uJZUJyMm6yBn2egB mwghDLPs3S4GGmZzwXneWHWCc4KkQ+Zclirp1sTXt5HusS7mDF0om1eEL6k9p2cQg8xqOsFasMDL eJv8Sr9mw/cV2WAs+qaO+EduGdvHy9TX9D/WcjDI3IhFXxIoDM/CfW7z813OfQG1GlLlzyEcp9Ub 4FjarbY0Oag/+si+Jvr0eHxrpefBQHJOJ8Sxe6vcoppGfVgtn3SINcdmIO7icN+I/KHnw/kxhDZH ooamXmRA6o1WfBiFSCB29a5hO5RZrAgUuL7xNjXm3rO+ovwL6ADIycA8s32fVtZmCrCne+c+GARq R8v+6okFA6JkIBQ2dUCny9D582PQ2ZIX4fKbKcA4wENPfT/gF115yHf66Bxo66kT/jhCKEHmphBo BqhiXABkhk8/1VH2oOYoHjAitIsqjMRlMbDgYy4Au8tX4s3eUSKWIUGruvjAzVOlOTHshjfzP8kv K1IRloa2xj1Zg1DBj4wUyh6lG6pfjZ4So1PhgCE3fbtdMU4k/mv4qxCuYwR+Qh6WduaoKRVALElw 89bIrNmd5u+HutjlnIVTmCCW/zTZ7A508WFCiudySQQVtdewBBCRQeHlPThJgv/ljTDPLR7o+7Zw Y3+boXhIrdRhkW2+uhwuhdjLnn+a//RjzTWdT197pmCCi3xtoNoDCOUyshottVfeOar0uurUcjsw MdcljiInmCOXw8uodNMRTiEyD3LO7tJCsqYm5ldLQbzzOdvRtqN0nu7devo3Cie875cJjbjjKIS3 iUwjgyZeGW67zTHFQGYydyX/55Uc80hOdJrNDuGCk8miJ6CfqwpWpFN0w3+3/1y8EE3CaS/nO+Qp 5v/0syycMD8fO3VbUnYkm327cB1IcB0n0CDXU/LS3YhfijTU0nWYQ3kp4LKJXWvGWqyZUUWc3Ljv VYljRJvk9SRi/e7dp3gOKVLsiXILOI6/ekVxsevXlcA+kuk70/e1vWyMCiNzee70ADYXGtHbhBFd ewjemwJtEsKrp7me8vQjvnomYXF5cno5SfMumaWFZZ1VagkGJoGzL6YJhmxVNMivpWjc8ara5lBf MzNlPic4fwnEGRGsewZqWrM/cZFR1uNWkxkvcUsTCg9RbmBaypIhWkhpHiWEtwtoTGBP6+GkjRiz UwWdhDFnvvFgsOPCGPnGyQdfMehh1PDd4+ue96qWk4+nUm9EBPQkraASHrNrx2sRm0JtAbLMmEpQ NmXH0cyuHopiHoBR6+GP6sP27YpF7wmVuQbKNsTnN8sYDGktEtbde/4TXHoJu5Pl/sM9Y8rnJNPQ Pa9Cx/GZpDMFoFESpkdYFIYHdlAMel5wODYzrpHwBqUr3kruGzIt+K+Tbu+yrVwhgr3U2FuWRz5y 9Fp9HqIvoxWYugh/f0F9mRm3WhQYTEG56v094TzRRpeE2KQC7Om2F6Fkd2JFhCSiyX/mdMaLiaPu RMVg/pAsMbfl89y+1+1SDngtpU28AJNtAkIKAaMeb21hNJi6Wx0JUxhc1K4oLBvHh8nZDjCPlZVB N+DLi4EswCQWBcPm9s0eYRwfgZPjMQkri2SArrKsDz+UsPakiX4J1R21p5TYp6iJG4uIvgLV25eU iCq2nLhsgTvY0m9V12OakePopZG2oUH+d67HBZDvsmuOLwU4WnLucD6QoCqj9DP1yheGMUNVVVfU 3zo9l43QHpi43naOL9iBa8S9GVMWq50kSJt1Rv+Kzwe8OZj/1CuVI6ZpEMjT4Xuy9wo/zPr2oX7i cu26/qB2kuRLz+5tEpvS5d3aVNyVdL14eOg6yhI+s8JM/GcMUqO6UC/qysK4wPhnLVOtIMoo6K17 mj0CHKENDTqJcjK0/rvFEE8Ud/voCDGvNefR63KCJQJ2ayW+fPEMZfp17ZvaXNXUVNIIigcyVMPx zCfDB7FSTEJgb9FUoozCEqz49oRjbsCR0Qyq+PfmOK2lVYXgUEDpOsTDDKe2Fr0lneh/IJ8xErXR y1+ah3dGXPmGYl+N6fTPrDhbMZF9b1ibg2zpiIgRXfBPMH1ZUQsOeEO39dJfATu+toOnMwZcOa12 lC2/6mdQ4XAV6OMDJHFUbelaKunRqRZufEv7M97PlY3DeGy2j7vDL54jXEJojkbEHkthWIAn+IUT Wup/lqNogjdfUKDiSojo5TY09JX2FFrHw6X7KMRz6TDouFAb5txsfX3jR5WYjcYuPwVQtvitT2J2 ZlcE8Iu8BvBHu0h0FCBmPwe9PAcr/gEgn1eXBCmW3CDmIZtpQspjUFSEV/v9JPY5+a6mrLoqDQau JYJYg0D7V2Hr/GXdQ1k1JfBkIy/fmUjZqrmMsTwlsLJCwp1h7zSFTgTGZpjLzmA4ix1xstkqm099 92K4KilUE0dqqOBM+OTFSA0QiFwDcLi+psImAk1buNcS5hmrHDqq6lksHOYCX8MIQg7VBwf6p1bK 8fx49+Jiy2cOIMOjJ3dem8VQ0YcfRO6/AV7msOkUyNYVDhWkMVNt/vum8rMe8REwNLI/wMhuIwkZ HQUq9C+Munczc2qF5R6fBaUx/6WCS9U7l69715tLvx52qPs8ZX83EZLyUqwZPKO3nLipA2Ciuh30 xYcpvnzSjio5pUxZpWtqg47m5W5JVgn4EDeyjOpwQ7G5gjDOYd++bX9KXmMeWP7+RcJeve9o36O0 VHvIzBhlvjaw3+1xwLIcraMAA1GgZoBY8YppT0myXl9McpBywLTJ6+vat5zKmhBMJXyffugT7Drw CyqqVZ59eIvTd5GtGZPTyznS1D4gtjedwdyg/AQvgqpgaszxlgVblt+o+4dFCjoJQNHr9aVvjCo5 bC3pQfNijqoRixoWBWSnMYKwkVc7Tb4WD4o2+ZHfVXpQyXDoRBjiWxjTlZapuI+TljibBxBBdMg0 a6PJtf2odhC6TovFXTim83JIMTll9ZEf8DpQel/5VdWPfZ+SiUzdDB3lJJ8AhuQieQPyCw+V9YDY BUk0wC28ZVHRhV/Yuw6t00qZMcPcWIckVJUv8Gm2vqvEodENzrv5RvRg9AO8RUq3RJyH+CJFs5v6 ekk3CxvO/VJnEWVQzmbrNW6pXMGWiMJeVhQQasCjN2LEL0b5WRAZNArJ1cmWWSMLDKAYK9EhnUJd resBzs3HW2uuH8YNbsKihRLVJBC4QRYUcWnqq4fdXKhKgqm3lVBlX05frbJ8aHKoQe0cbc9eku9X lhQqFIZcKKfrLfWo3C6FWzv8VQTOpdmM+s0Vm8XcSM1bOuqGN4r4W3AN6cEbFijuIbhVzPni5LYq a+sx608uM4gl7KS+gv3l2ciet/tfEspGk6VRGW75XHE8RLJW0NuMRkk51v8ne9gV6Jb8gmSaXggK 4q8Z+IS9isagTiYiGw7Dc+gf5c+mDlxZTmqZAVmYbFsR6gswfFV8jICVRM3KRAl22vqDQqXPo+2J IPZuVe+jtwRrRdFeUb3FuXwBM0d7ISbyi1Hish2LqCkfFGSpATTbT/qQHXd+PbIswpVY9ovQ1UVV MHb1hYAhkWDprVy7H+/6A6S0mWXz/yLdi3NMmK1XtrevAZIlyVMXrGepmL94Zs56uZb9xTlMeAWe +5P01UyvLQJzYMm+fu9kjb/wSJMvCyWOX7CYYR7Kty7Zvmi+nGvpvmyruLtGld80oVcrJINhgSZU QUI91J1pptbxtY4EUEWvZsR8/75Ge/EaNBfrpA+T5oC53onJ7xLQbKrn4Q23gUh8aAZ0eURdPT+P /TtuQBk6GU2+NQG7Sc0Wqr5ex8xSmxQBfUIb9GSiAsdFeG7C2ewXweGUNB6mW+vgAeFpJCZfbWze SDzJjqvaijcxe1vgQvwBII8LHBy43mgtVI7F9rfMQkX4CAbn7k3gd4fFXx/n3I3KlT1zWzEEt+AL H7gXI7m/7GZCZdOMr1aWs55jG3eKwc7vlq8ApGRZPj/MFXr9rArgeoSrft6m/nijTrLpnAPZskAu yHiH1nls4dLYRMpdUy1MFjoOI8omwe747b9YOCW9BAKRO3MUemkExjHlktpZmt4uN+xi0o26aZkT wr9LMAtJ8FqHTmtAwguKqSsZUWurN4VfmtJ7JOsu/hpCsRbIFz+POlg7+8IaJxhSzWUqrTs/VNkv JEo+WHsrOVojkYBbSj/W7+ACCs11/VlLlSuhvCl2dK+bi2kY6AZHMWpcMP9B8ceXuNns3J1Fcu8t fufkyi78oiLEqdHDvngob58TWxGFmPbUcoW5k2shA0tiVDGaCh7mXgsKPrhVMLgGukMEgF4AyHec yrBqRMPcQGWdg9HdvsHSiJ3sWC5BIMZPgJaGnbKCLVZwmAavgyPyVDoCfzpBlj+p7j4SkbTwq5d5 BfRbq7XgF1O8GDxmMifqPv+68l1KnIsAc9uNEuyc5W6B+gTbkfxVlMBccMS8qkUNruzrP8jSPF/t J7s0i2QlBjrbZ6sHBTigqal2XSBg/MfLsFut/k39ioF/lAGTSJpbqWDLYwA+wCuogMVepCWXfDgi wUTbP9nVwOJLvsIRy86n0icCWxqnpFHsiEshJFD2PSBfIhMwAv50u/qgFl1YA0isHVCEXGfHS+i+ FaNhvkMs0g4PF3tIqYsBoolcATaEzyuBvQ6P8NdorMFmdltBALI1CV3087OZhxN3XBvNDG79MXQT M4um/Zo208kQmX3AGRXKFjdpRFCn7Ko0fcKqbLLiUqzqvi2ne0RDgpU24GwDkzQSOJX7GTWuY/2R M4OYtHciBeHMcrMk4sIZ4XUjMmEEIw43HOMUu3RJp8FaQqpkgWJ+MhLr85pZ3lEPSdcEdFlAW9ob CzMFydyeqJQE+6Yxx+82H5zg3nz2YG8r92kQUX3gn1dep2npqocClNsl0NTJElgYQT8+eOHibUDG PRs0OCrhWSVPQg636zJMn2P9qWmBiHqJVp364m4BDTpPoqQpXj/zJ5K+xEGKaPaDYmfnU9GSPCWo dxsNstF0Ab365uCS5K7KBo4qyqrh0h0KyRMaF2XJbqgBQSmCkhr1aXuvsUqd7f0DNV0jHlHHGeS+ SsWtfWHcEgZaCKnYjCly3wnJ70EoW5Kz7ozjyMCNM0MSZ83jH+PAFpP0pF8Tdpytdh565FuLNLNm OeOdQiSNKzJGAcZd+Z1TbIbhm+rCPdJSKsjZrmLSQD0GhpwIbTxAGw82psU+QgjLIzlJY6A8sGVW y2ilQqmc+KCgptQDE+NvfhWPivegjgueowYBmyiVrFh+AafbZlP3egrFaTNfa0HLLeu2JBjNfX0b E7/Os2+zsQbiXFBtCoR4QCleoY6bDrX66eJ4IgVvyJ9/+qObd/wVVnOQLV8JyN9og5640ZSTFxhy uhZXZP5w4CNvALBHf3XT3GIgz2+qqChoUpbMOVIISaXTYFLd2JJPntoiT378H8Tj3Qp4pAP6mYEQ 6f6nH2pK5/NfddevZaZQiRpyQ78fMv59jRf8PwVmwhEucQx7E+YeiPDF+3Q+qoD+jDl47LRaEA41 CceMFVAaZ8i3FVBXjA7nvS4016h6o9zLTu6jH5vSx4y4dxJt56vf89ZjpciSOrFqEgpBKC031fkT QRtC+9Nb3jPhy8jHX1XwC8SaSnoNHXiH4flyFFew/ovYgNcbA0PZ7pTmLPHjUVC4AQlhoWZ7q8Gv zSJKTfWsssVHa9B+3UX6OETNWy/hEKJC88u7niytW2xaT1BbAYhimjjychpL79XItFwI/ny87WRV +zICQ2+sdUQw9ZvwI1Ijt3ucYFKuFGGcy7pudZheY0BTZMVtOxYOT3Zzi5uK5Sm8lUdu5kN1fBxw 4fyOQVYZZSzOpDz7TKKE7MZvGJSYmQmgwxR75HH9BHWW0lSdsLsvrq1jAWKRZsaA8C57JqLb5LsY VmFY6B3J39EtmBI5W3tWvhUd5R1Zq9pxvY4thDNQFZSEstatHjSFT6ZEJSOv6Lt9KyG1WRlsFr2E g5/WCbkRu1mi80AayNr8JZO02M4+LI66Ku0wsZo34KbCWppxyIwspZNOqc4jTLK5LhismPobRBW0 MEd4a5sqYoBBCAu8AluhDy0qaMvnMrgogzvLrjOp9gFVmpzydf4FLYiTI6JRLKsa25B/27hk92x/ HUarMaoEpdABDTBSgj2T+t5rMP+H9tiX67JYU2uKeE8bJalg94xHVjALhvOz3HeRSme3Slh93+A7 3d06fp7gDhobECnnzIeqw974UDT3p+3cMtknvhNz1LQx76H4Kbbre9y1iUbKT6hYADpA2o+n0Ife 1rx4bgn0zh8RgDr4x+HC2QQdZyle0y5MkxNfBR0pznM0HbPsVnC7YYQZC+Takt6hbYzjQXl/j1yB CgkBZOZyfSKNQXDMN+F+SlOn8OKEslmYtd1dJzb8TSao8SYhfzBnI8PAeqv3MMJimJSopzTowI21 MmotOvCz4LtHT+3zWBzVM3/9dV1vE23xM30UB9Or9TlTRJ5gQvn6OQDV+V8x9PuznjLkFYM2zHaN ECf4bvH5i4W/H5qXlbYdlfwSU3SQEs86nIpdgUAEew2/Jcn9mdRODJoerLD8r4t3EdqTmoYOqWAv i4Zl6w8HU6bMNZS4DPx3qqbSpTvd8pNhdPJR34ls5zOjwh3GtFTcrfqCtNeEuT/xeByQmcAUpDP5 IqgvALPr83mxy7ucvyByig0Iw1ykFASeXf08h1igep/3d4G7+o0e93pfOaf4+AqarlAZWLL3KfEY wy8WiWDvzKXrjol22X/Z14VT3NBzqldZCR8/WRfqNOedddVbC3t4j18qLHT2H3TuQw/5+qXuGTxS 2rePeKCFgdfMsRgiDN2jrSo3cVCRi04duKElH13bqI5LreKzxGd7zMRUA21HjdvPcL1dccQm8sUL QgP+73e4OkkI6oU9Qvqlal3WTmjtuLC6YSeUm13d7t0GdghahwUHzDqiBxHW+87b8w7A/MJJwsEh ehRqVpoPllqWytajfB6WhD6worhtDjFwJ5W3gVHF3yppw7jio64M479WfQ/XjfCS47z5reIiU88X 8QXw8bMgqQcYuX+EC5//wfF4F1KutB56CJc8tfqfYVFDyDByaMDBDYnbd9o4X8ZCngDKGazFXj3O Mgs+P7mu/zs/8k2WW9B32tnPLPxRdMCZ2gTOKXNDVjZa3IskETWvtF0LlGPXmqXwx1vl0VpXt6ge F40eWPJjhBVne6VL0ISuZFKC2eE28iSkZzLXoTZt2bbBk7FZL2XGIduFu9VMhZO9QjOEcw/cOxM3 nLlbB7JQXau+XI8leyEDFiKscaWRpuJ5EUwOdtZ1nriflbG4odyz7Xa19sja89zEbPIDPo5GB38s OEMB+kSV74Yvv9XHvm9f/66LDFLHYw/61pJa5m64aifAIlQsTI1tz3hoDICJcR4dLX9pdsMEKoWu 70zt9MvZdoqh0zIFLHNjzYCxcIGWhWM/lZ35k01MpBHM+JcAEl8UmbInBuFZQ0qflZ2SgxvRQPMv Pq6UWB14jxWXnyZRi7RygB2zrCkfRQAU0qPHGB9YkfFI46Xx0MlJrJVOuJQ2mXybcYSCatIq76E3 32sxu/YRFie7oXnfQJqdCs4Xw+ABwvjtNua5f+VN0TeFGtCIXMe89OgIOTJY4KuQv5q9uwe4HZU0 ARpkTmcbGy0MbvE9HyJxPRqHzrKJYoQLvzcJk3BWg7Xzbv80f55m3NYrMCZuYkgldo+fRIsj2YIv ijsLII0sjJYyEOK0znrp/SRvBkn5lsOiNLxm6Wk3l0tMloeoTqeqXiZCuB/AcOQQfdAAwv5gIdb/ liyaNZJ9vR8xohi28FKvfC97uirPx+9iGjTbzlL9jh4L2qk7vTJiiWmAP8XTQG7cKwqXS3A84Jlo ca9nS7nAGRyGL5Ez6GhfYehtaUePs951e4s23dCH00l+mJrF9VtU+GwNsFigaoScL4dzYdt1PXIU LA7xmqx8mGuhHxyrLo+ipteUNClzYOqOyAIlb6FE/OrmHSMI9pvrxjGhKXr2r6CfBY9gnXMDpfI+ IGyzHhbKyzcPjL9xLI2oT8zOZpGOIx/Kn5gQxCjMYTuBLcF2DikTGBNc9g07li0MUJMGhvu/BeD8 0lQnzTxAkB8DZiNrCLGM26lCk5RDkLo7sS/1sfQKCX6SLu+vKetoeL+d3/D0bLs1IppcYol886iL gR4Kp4aCqNQKZp6ZbL8CSc6hO//XZBjaOH8NY3+Bt55H8RdRuocYHxFYT3QZE9FojWXCdXux+g5J hptQPdyz7TwHBwfK+SsL9fbO1NJ5xTW/Pv6O2LrClLO2p4jKh5AAwKVaUPZW9q3yx3UFLKF2fUoa mqFUTLtDlWw8tGOljulMQRYpkFhS+wxS/+Yxk0SOlz4GkekNqwaway/RHg7CtC6hVUIcWaSvM4vp ljuJn5Vhwti1KMKRk8LWN3e4h6yE7U/umEjc/6onGC/59QDuGFXckDgo59YwU7kviOX5lZjfI0U6 3OozyJgE2f5/+wstKZ0H5Ny7A8y8U7abZm2/pumzcPqjunhoedzMAAwSNhJ0Swct/9qCQ7K3Awxi EAOiaH7sQYw3z/18ai5wx9uEFQ+dfkcvCRT1V4VNYmb9cQmkaVWqogF8soz7eweFDb1k/jIbZPus wuK4ffQ5e6NNYhxwRJ4m+khufyReI/uh4SHG3CZAkH20KWb0FVkrFuJDbJnsrmU2G5O4NXXHxwET h6WjLl3yJbbpBR1uPg0f9PWh3dmiuKyrgnnB/1Qs7xwkk1Jr1A1IDNezjt2tDwsxK3pRqRDC6ZQs fVZ/nzzo9heybWpLgbm5aXb7obvYj4LAXAp1MMWrFtWoYCURCT24JImPtbXG6bcfhYjBuIiHvD/x bDPvjRs0MYqDmwrpGu+oqhqmoqWSrAJQoFWl98qOfo+ReYRXH0V21Ep5awClUUPhmwR1RFwkbzRm x5GFK05UhKEngPBAi4AGeNoKyh01G5WsxD0fLzfDnbMgidSrJONphV/xE0ra3XKsrjBGxts6HdNl QzDHFFEA/yItSZJ1LJKempb++IwjM+ZBvJdE4kDqb1oIynSEi43Vr9AM2vcukJzAKktRPAfJtsRG RGsAoXwbGW/K9zc0xt608b5n5M+FTkpfzqcbWX5ikrvboZhUEhHtwB9WH+9z1i1LxJR/G53DjYXr PmUav2zw6V5fXgfxRVfKYXjVP0aB6TQJTAc0InITXJTCwUYHvhHBWQOBAgbMrDBtVQXlBb4g+1A2 /CaW4jpnUcc3NdPNJrtY18HRasm5baPHOCRv6BmPTvr1YKoTG737QSIO311zW40+Qq7FJ7XBWwxL 9zEpS78LVjWFjPBov7ljH9RdgBDADRhEgPFemXQUKONm7jEnU+WzpRQnJdxv4TpNpXR7lGYExYX7 LC8lw8PVeNi1QsngItwLZOX1xFuVZEXeo2rd0jxZHoblextjkwzzVNFliwiXNUfx8LEv+Ff7om4s hLqMxyiCSKKiIkZfyb3U6lBFrogdbIRgE4S3szsj/JpKlwKTxtuA87960OX7AKQLdI+cetbmmS2d zm4cd11fAXoAL+el5QgWcG5Nghukn9xNtvrtnajUcPiqJlJfE69SSUdIVlxG3xmGWtOshj8yIIsd rYvj/Ic+Ra3uMvP0gxfuQd9Pf7k+CO615gQ4K9CXNzOHcwLQbU8vvG6sZusIzEr1IEm73iPUYvYr zFcztmEi4itVphSi4fk9o76ebujozoZKOylAVzUzG70rCZw6l7qTEXcUiKAb0FvkEgxts2GM0lA1 i/qRJSNq1tuMaRJO2oJprRKxtleyJmi1xLAo9YquKP9Gem1iUNh3pnyxMwOP/sT+HVn+tcWlBHQS eCVIDbfw0ajfXBNFSgW13XSEiTgAmIX4MBVYuuF0GQ1eTALj1fRF96lTqqOmEub2aQHe/bi6Jzyt sjPa1Uz/l1zRdMIEazxnNIuGFdyxBdzmVKkH71TOZ2+WLMwEOwGrWJnGzM16gekCyIqomhWu71ga a2Li2w1GWeEnKgXLnKBubOAuTmiSOlmHXjxhAVAmPUHNDuVciAjPGHx17k7XHD+C479lrAtk8nQi LbOL1T+6m2dM7G1/gUnOsBAJ2vSYhH+LTFKqdoyE9GbLPdgqboBhnqPgJP7WCLCK46p5us7k3Rv8 PmKmm1Nu6rXcaHciT4dfdKfKWHgw+gnpiS16v2ZUG+qjWPRcPGB1mSzAQsxPa9iZJNW/Y1OW0adX ARKhUQgkkFL/J25VbBljc4W6Eb2X49m/bHHWrsqJ7tLLgmD/ORwexf4Q1p3e5jo4wWdTvGrO38W6 oGPuG6sZj7hCyTObMK0osOf+m8N+LEREQ3XULkwiJ4nxc1g7+5IQ+7Vv8/5c6mlEyZ3cAebJgZIH ov6QIr/oSPb4zIs6Bzyhmk6vLvGGSFsgRB8JTvsh0L5NXtIT8Hi2totfneASvV+Qq8Smd3zHYSY3 6FhxYbKK2Ws7JwmgSHWZ4FRRHVsmXZmiBsiIthyRXjeM4h9p5TGPnoVo0BDwDrlxPbnRyMKWQu60 G8hzGj3W5M73BwetLcFHXP1LQnl7cz70DUQB8GuEnC93slCLm7c3zJ4CXs7gMfihSYOb8TbFb8Dm 1fN8UUWxq/amw/ydskxdLukytp7Kb7Mvs1wLbxBkwiitqoaJKuBWyBn0TMkPlR4aY/pamMJidtiW LQ2j+XxM9e0LqrOz6UpE/AEh6Dzj5zLG5OejDWdaNJfKPTuMxBT/X3vbZGb5KxiyaGpB+uYNMCM/ AdoDNuEboEC1QNEshWv7FSKV05HOCh9meOJBtsAwJz9eyxrRSYfMeYlj7rmWWTQnnNBaUoo+8Ogy sR9hIK/zRuaJrn/6tbjE3TeKVWAonNHRvHp0rvC0CinWfCiUyKaSF6Ld2xZOn+tWl2yInYfYT1NC 4mqG52sx7RwAF6C0P99+b8IBh4D6kG1jPDYVuQjPfMLC7bZ9yIwL/+AmwwIDEdpeuDPrP7N/nNB3 Yv6JwEadWc38K3Wz3Up9bb1wZo8BwyanGXhQfxgRhkQsDr8hEs130xZlQHyYC5iwpsbg5bAVHQqL 57rnBrDRKxNhJJLFD6PzqEZQhYH++rme+YeYR/A6BLkepfCBM7eMgafwSiL0Z09GwFL3P6SLzEe3 8bJcIeqFYyPW6gIWaBuLXNguxW/sv4GddWfl6+H8m/5Wcr2BYwm2JKoEMxwgjSufPaZKsNBFAstC MTskCBWY+C18k/Y2Y2ORYsHOjDf6lJ9tv6JicB6oz9/2/hd9tgAu5ImXUq0h33uS8n6glcwwHP2e 2pfDd6uiuaU2QcQa/Gtfn1uvNZUvnLQQn9Qvejl56iSj+oz+1NpR3BsYRrIna5QZ3ePEQtJpd7X2 uGBMpRdKgSIlrirjLcu9nnZ/DKKDVtotISdq5oB7FVWykAkQdFuLwOLZ06Xblx5mA4nz1Q2nU7ns xoYV9acvkC6qN3LZT+2dNvs3Q0ICcm/R8wku2dQsqLHTl7OHW46wH1YzzKxydzDWTr0MTboupbr5 jebm7h1XAd4cVaUVl5GiiHyq+eRw6dRVHQhFH//pxTohRKseu8s1DqOPrfBceSUHuPP4VNfO8dzT nyRDefVt8zeMoqEEDOoNoG/ePsf1YfI0AWH6qhP0zaJOqKGo9hZ7QgEFPivn/8NYphD8BN7SzPVs qsITPHNh1tJoOTIYM61UtL6rV1pP6Jm8TpwqqGyzBvvm+opeX76/KwMgKfw/0ZjLTRlYY+C0kXEX DrdZCQKtzmNlEMU+iPZLShsUyKPUIJEzSdRErcsKkqw50YIgnROvHWkEQkWTPckcm6iVK46gJnrC kQalSCBGN7pVyIwgJpFMms8uttABQb3dUc1iw40xlu5X8VkMSES8dMd8y7sShvO2XgMLiLOAxprP xy6TNmPvxUu51HsH2TSX4FFAvChlDsQ964iCQaQtwYXSz2TX0A0NnzxHM/MTQNfyH92avsR/TuPP 9WIcvaxivNufu425HEC20Fid+mCz08zEujktBevpq+BLtvQpy8U2SllPoikYyfj+kEO2+l+iEfiX xifGUo/o+FDN1qGwFfxoS5jrRVNTN4srkOc8fTL7BGh/0Fuu0IDPGTA9i6X4jINKZwiyXt/iKZQg kN35DSvKV5CFuFDtf8II39OZNWz7RgfHan/HC7hs15Vqr3ZhWGA0uAiNufyB2MGnNvgmkCjddvC8 +Is9tQOvj6IMyKTTDphQsy+nOKcJ/q/9V2vNJLdEobd9Sl55GpYdhPPJlIO71AQvVDZurp0GbvyJ QGfkP2C4stFPiOQtBh0vLLuDWr5bZPtp/0fMByBEYnxt7wTI988NOwT2ArR77zYmPHDk3Gw/HJWR +romPjUmnw+SMM54TA1xkFA9BgTez4UAvKITbQ5QOakKfeF6G25eMYKwpgIJ72oo3FTg6jvC5qRH 4jxm7SA5fUogarTdYVR/jbtVo6mHAsmqlBMGq7yX6yuGEaC3yEpdU0BUVboLO5Bzf/MBkyVBpu7X w2OksZJFIvBMa7UIP+eTKVEOezQElbJ1ME6p/PxHLFVfaPUNZNMU3ODgTrKzu5YKVohlmnzb9Zwn R0CDx4DyH3cb9oZZfn5yWJYrn93MDXl+dax74Ft1AsjCYHOdx3DeXY4rps7QRiLGxwX29Rs+TlIT Ly6r5zKSKxellyonozE6TW4dYjw+yXm8eseWBuve3A87k2EZqt5E2+0Susv7NCQ4dTIBb+738AHh R6xG4/aCawjMXeGiLIKT/3UJoSACQ0JItkOo7EE5VCxVQt+RiDnZLTjIYKd/oqaxXWE/6EJnAkRV T9tTo/6V+6dYP274TreIXAjyZUpX2dgJxGQkhcjFNZ4XEUWvpbArFz4MInfTVAz5FQU82NjDdZMw 9JuVu0Z6fbp2bwMWw3oMt1bKBBUUn79BjcJemTAE3yKF0FRtoO2nBQsqNJ/162VbGoNlqjS+FgsF zebjiewginm0YvKxuIjjIl6VjGQ0YoAakykDJD2U+1ikE7tCA83UywR+7wbsOBfKY8mGB6lbcVaZ 4TftDrfqiOyYvlTtCOroncnx8gIMW/BMRFHDFVzsTnzqeA8fv1ivg8mcq33SV6vhRqzQQNP4Y0eh dkKVGYAUQFzV3KzxY9vYbIHuMvlJh3plDS4e8sFLKwMfpn9w6Ot6UFFMTutA546yKnQDUL8FGjdl FnG8YjOMZwxXDCJ7eRuMuzKbKdy9teaJL3DvCp5rqZR0w1Ouhb6i53MTCZUTzO7G+MGJahSJ1CJD qIMB2HuA2zrnkAT++jgeIct4hGH3dFs95kkXOs8zZJitpVNoDdCpkDrP7DUO+Yc+wPBex8eX947Z ZlmqHgdvhVd7ixyR/w0Gj/cUkJ7S/CCOc3IOgHQTrzklYUXlYMCrEfbikAhEb8XWNcrB/+o/2AyA bi7dkEx1xpi0aJQzXZH5FDFNUEwZDednHwLbjiLVSjJ5c0C6zxeK+xS/HDQGxbdPXV/XxNFhD94r EleN+abWKoWbKt6D8UI+bz1kzDo2M4lvbCgN2JYHrLnDPP5DDoCCcB10fEjA/kMkNdbKKiEks4L/ FXqPHxgHfwldxi16dKPl1l8PTAo4oZ9DoTfswtEFojdrrPyE4TQqObi+i2IFVYcT6COOB6JbKkPS bZzlhSmfwEgG3JEvXlMB+DwC6X5Tdm413xixS4u71TpnsQVcOxhRtXEHUelVAaSiUFscWb7te9Qa CbksKZqwNuIsSSQpxwoWAVI8VQyjvfryjZeOdSV4uNxZrMjLdsQ+M6DKHg1cpo9Eysf6zOVjAEai eZCcOpnDYUW3aBWMYBAb3W7v7diVlZ2eyZlpsiEVijI22fHx94TAEAD3mqokZJbXUUf2Xvp0mEIC rzIe4cjBgKfWUrDVvozgV6+ZeFqRUOSoPe9KmC9cIHIVURUxYTpdW5Aad5DLfucY5wcDRSahZVJC kukvwjDpFWnl9vI8Qcmv8ldoefPdQU3RO/LeEdVMymYdxZMy3Md5sTi2J9RSsKWNCKsV34FRG1C3 aVLcpoMCOK4yJ0aRRI90D9Wmor6X+tNgx7/PllvQXW6Osb8PPRDei8lclG/ZwmSCTrgiPBLiJnjU 3U8L5IK9hyHfhzqdqU4xQUGln9a9eo8TeFfnicq6HBx5jzXAVBuzSAPgiN3/1B0SiFIYZMqBKKmx Nq2sojqQcTjvc9AlBZnGPPYCYfAQ5eyS+y+M06gItQJ21I/EPzbu/BHohZYHf5jBOtY7+FdLZAD/ i12g//liEzyngtmLvazZdRZ1vlYGBz4QpiCMi32IRuI1D/VV9AMoOD9MmucbcJyQq3j89RchoL8I ld3qWdtc9bWmWMIMtd8DcubOberEEnzbI1IUO8R0fS+7DL8E5FVy8+1LBBgSqqVs28VDhG3Tfvv3 Bd9c9k+qI6Vuq7JxKuDQZYWQ8QwbYbzXJ6B3aNARVbP0MIzMZNAeKn5pXHjo+ykI3dlAQH9D2iqe lT+6DQJTMfSYTUEUsDdbkG4FjOUitDrqt2q71BOZwrJyyTjmybIOtTV0o3P5yHPPTgwJQCqEeKvi Wx/fQWdDw+ozjiNy8eBhwIObCnTWCcuQv5hheynGOvlKrMAfkXVQxwWzWtrNmcR8EJP9WQ+WYVGC 5O3oiVhlUl6tHwULqs+QxP/6QwxZ8xdgw0KdlZ3IPP0QQ6TFFBT+LCTn6ManxnvNIlNDUnZX4xkZ r7JHL45TJ21nb1Oz4vcKFEoMGJah3WAByDO9NoqrI5rOxJ7+XHiT+T/tdk7zNHu52hw2lDWi/HLV T8daDMxVBmBncCvKpXbevvuk1xd0xEl3/RU3wFjCKc8uKBu3sHfrueQKUs2TBcN7BbSRkHLGG/vG M6ePnMb8u0dOpUtmsqsQwXC4lRd/kXFbMUpa9UG7cnwvXrFYo04YActv//cNGqY7ItjVb4X6lOdm dnT9AwRfSocMvNE1KgypYyD9EzRThh5O7qtVuBc0qzeDQB3IYCJwFMzoaIc9AacnkS9UXE5N25WY N6FeLmSIh+k19z8oGaTwk3mKywjvddZ/1Ew/w0XBU80mTGL4Ag+JmaPNsWOybZeVjWfOUfQLb1KU Ge0iFfAjxRfqsda5DQl4K7Lglho+yQclVs8hPlP3kMUgmhjSzah0ACzbqgfwuC9Bsy8qyeD3kzc8 3oaJIAb2pZNEyNZTdcib3H/LeuNz59iTYNRGpenHIoUiNt6jbhXNO3dfO0e8ycq6RcVdsT2+bIGi VC6ERq9XJIhWvPNlOCdmCKEPqBKTdUIfgkBc2YQUMHjKw8+w3/ctkMDA+ufyRjpmXL0lT33YCsjF sTyXudM3iT8riLqP6eF/6Pljy33sXM/h1jwaJFWFDnbSm4tipdu6UOKFQXrEA0TpAJVdI4FEqIUI sqNNkrI5ALj35XRRVaRYHnneu3Qg5ihdmR0c2Bh4PZ/vfbFdn5DJFC7cMDsLYuV8AY4VNFyn5iY5 wH5txRzVm+Ar+uVNHOnY7mqFdFejwuu1/alisRDBAFzNoh3igUF2adOE/2Du0jBYIdZBh48rZVin GsoeCuenHAU54h6nFI17eJg5ZMsEmgPL0supsmvuhAREBLPCRKCnMNM0qSntNxu3YdX0p6BnfM3v IJgXRSV1MFXdOL6otmuPqTFcCB6Mak4tVclEVPihY8WyRYWDWSWYd1jn3nvQefYf7IVCaqVMfmIJ S1brV7GQ1B21I/CDL49XhnhaJmcb7NwM3rn8Vj3eVkskB1s3/nH5B7XHJG0zNXzjxK99qOemkBbj lerbGNyOxyhvyU/KYsRifHLlK6FR1eCPNsz/KtpX0cTSRscgsMh0/OL8qzSIQEv86dy4Uv40wtvc pc5bMXwkPQ7tZtf+3HdPMT7x5aYnpOyqt+xmoN3BymbQyfRB7JMRwRaCY0bZkNgld/E7pbKiNBVA wlR0dMo025V8sXkdIdHo0Haj2jbHhsCQlc/+oanB3cVR93hM6qHVIUAhv0mXhaN0yJUrHqByS1YC X/lcAA8PdLFj34n/fZShqJw4PHstsQinXBFipkhFMp66o8G9M5g3yrgPlT+IgMgMx1Olh1quHPCK 9WH5vXUUaERMDXFUb/lBXTfu5teIWjcRuHM9yChTgwu74beo1nrPOqYX3F6a8mNr7nlBzRn6XfAO HbU0WVZWqaS/pqTJE0rwbqephjtmw6c3Yj5PvLG7lqojmhY1xF/t8FEOBkaBPpYRbhjqJ5dHBirL JZTsWf9JQvJ59nRAjNPPcLdstbwFjvBUg2DRwiR51hXBHLEsJXwyJaXROcyNbUwCZZqL2J2Jc4jd 4iVXHS1AW3Lw9lCjlqB0g7m69MGuEi1thnEV9qyAJ7d8emxxUI0lA4zoWvITvffAW70P/CC7brtK 8e108J6zGN4BepZHjTK1COCAWvhzDmYh/DNarim9Qd+xvuHqtsWQii7OBxKm095SyFT1rOwe5lsd zWW1ApYhBRuAFNuemlnUXlMX8DvHfZD1lf7yqJMipCk8b5k9JM11Ehz2eZhmCIHFU6MkqJbR01XP 8tablIrNxwILC5+2HzPCtT4lYyYybblVpGOm7NmFfgQtlIQV3AszlPsrMXnL2lY71SLhWd+ps00/ jOgP3TNY1wXR9s1l+e7mUkyUfumtEhd4UEzow2nhY5Z9LBTucmbWedA3EJY0IDaIXvcfaUlD8lXQ 8322bQBaWn5a+g71JZOXMBJvBsKDkAhaXqGz94AzVN9gJyDujwVTbny1zTamotfWtWKrr+aptwFv P4daDyhPI6OdqzlanSrmGWE+BsPyPE8HP9sh5YgtVZhl/TtbFa+tbTzQQXQxAvXYWElqF0zXobop dQa6eEtHR7rdg73tmQmD4O6WrXL6HBL8AfOok27fIZi2hyL2zcn5mch5gFn8b3M8Rf1RqQl5M1lX 0GfrwbnMsQKRSH0EJqcvb/b8uiIBLRwJ70dPNKd1ajUWRPJwDZnRulE4KCIc1UDmldT8hIZ7hQJT 94DyTJ6AXZwvV/KvwA7ToSisx3W2IbfROzSlbgoWCvubpwDAZSGXYZgMVyoL/EnI7e+J5Ef7dXK1 xo7yetHrws+FjA2IMwPJH4lGiYiIhRi1KKVHcIcYk11k2040xuJJIeArwVVQhnW/Vo0po1EKwaAm 5xo5gf0QAMSfjl9BiXPLkh/GQV9un2BBLxoDBkVNpUnzbIts0FflmZu7XoyfXimfo92CE+hOFVZY /obNQ2mfeaBqMDACKtqMFO9qfE6e4rE5f/RCLD5MaZgJLFTR9N0CcN91guVh6Clb7GHq2mwaxhZI xLUcgyF6qY926yVTUYY3MFfPiQ7sVGvXNUBwQo13oFeFdgMG1um5VM/6b9TbAu/MVP3RkB2uL01w Xg68xFhF6I+6B8izNwtMYSlYhl9/UKCmX9m3pmjc30+g++hF6UIx3d+0FB5/w8rIreAyQEvvfXw3 Qd2HalrkKYNhL37WhZsNHLST9kKxw5DPeeONKmpKtzzWTlOpnidBvbg/Gv6mFOR7uzhb1fCCi1rL O7qaO7LGi+HovUWXw4IlNs9rSE7VWLPpxb3tKPtl86RzNCT1IML4Yu9D7I+iaw9Nz7k6BPKXIMFg AeWlGX8a7hkZidM5xy9eSCHcI65eGPXfdpOqZxfxMa8pXuVvneWpRw/f00w9VzEu41mCWXrmU8HG KSS5b64iSq0FItqO9PRaQJm1wOhIDT+O9LFnSZaMbW2h1Q0x9sMWWDbMFe5Ig+wmbkATwgBpmu1w ZzLbmsSE3FnG6pniUfpwcTYz66vI+3wD3qSpN6Xkft6KyCSKN47jVun72tv/Am7IkXlIrPi5ZTVy go2SR3pgk5RBdeSOwHuME1F043lVPo+sUGY9SYwQf5d5+vhRT+aHenV1Z9ufoBEoORhmxup047EM dnjsuVsBIQyVCENNW/u/qCYnddBcnoVfTP7AKFJkP/h+CUPtu15FLpJxEuAtjkwsbJXdUxcd4h9N ex6E+FKWdvY5JhfF7cVnq2c2zuHOyGtujOHCZTWRY9SG+Nc3OXDY9h9m+dnUTt1NfrHx+WXnY6SA tlSVIhiiTQ68LU+EUImVr8Dc7xiRH7QFI86aqco9cPCo1rSl1zbABIdN+R/YOxuo6TRhZ333Zuwr +sZm3cnrLgZ4nlx3Qgei390ww0zCNF6CvhiqF4jZOGOmgi2MfVRAWAnQfZBQXVhwx4CnxPZyjuSD Uxfl76jL/+DhVcLRVPtZQn7V6bzbazrhOtOsRHYlGLL79X4pQ94+Ib6qBhf/ZEBxXHtQIKgjVwnY CCHm+33baM1GYAHfb6rb1EXSWS/hTDTIulCmZiYdk8J59fh2UXmX2YHM5cGrAk+5dK55coFwyool cZLEyHtioZG6qJyAv+cKsyxlkZCrpmGHgmk797kJH8M/uC5ZHBhfebStM1nbEHE/dJCsTR0gkjRK RkTo71RynKTYhuzqj8EFpjhm33xIOm1VPeh56h7pQmV4Z0FlzztjAdwiFIlpE8CKxoQLKBPmmbuP gLEdTkxo0i2K8JbwHzbi9zVK5yVIJTyK8u0AMvbV6bQt1KyIghFwjiAanMRVyNtf17kaVyBLBkfv tBlK8mDnt18xS6tc/r90qkX7C4Jo1PhQZxXvsIgnBMXcZtQjCg2n+26rbKfOd7XN3E35xM4am9H7 oNPfJXwLKuRJPqv8WRe67aP604YC0RkZB/DQ0frFi/5zUbXDzoRM2ZhRp6ydwNU05txOlnYnnZKL aXTc6SUJcJmS8OEZp7405XYOtQoMa1rol1tHjS6et6n9icjKWfPdl67oaXuL5qmniYqrOUw5RJe2 y2tgsanbFMzFZx5O/2OayoGJQ4hYp7DLC4eWkXYr69GZJ0zveCzsQA+4Ah1PRB12oTq51VaP5SGV ggIT1T61UyQazqbpG5SsE5KUSrvAjH/Kxp/GAfZjAN7jTB23spjOLU4YV1CJ+Toe26nA28AYq1pM 2NgpxBKE0C+qsfZhVbJ7CjlhrAp8ZWG+slV0UJBmnfDBqEKoFG9QnAVTXL8XV1RI1Gxb1axNQG2O Q48j1x3RdwOuBNQtFOCi2pWxbowpEvmGibS40q+oSy9qY989sDpxx9THr0uvo2te7+iGRvhTkIp+ OB8U9vg5jrKU0Xpvl9AN1tbCgI3w0xR1ixhKitA8etGdcnYerNshYXf3ZH+DY1zdNMa5uhbR/jd/ /b55KTGbYmw/AOEcqvMz7jK3IaUmbj2Sv+exMk5LT9pJbFGb5mojQEyrdwEV44swik+4IZFfdBC1 euiT+yJjpHI1J52me4ZKYRrHWbG2LHI4/Ch7Lj5vLndfChABZAS0VbC9T3EOrBjYMB2x2iEGp42m X5LxRTo4D3NcKgEG2q7wgVlf+jJQj11RGNnOii5ergQ4zHgbekssN5U7DwLJEE99uDd5Hd0JdjVZ v7mm+Ece7xILY8lOERyLMZDS6ayg98oGFOaIySWM5h1cOM/YTi2vwIFgTNnpZc0Cfrb1D0+f/+t6 idSwBIqipmtkr2w+cyR0N9weIXoVlzcSioRn5pEqIN06y1yBkBGup1Oe140yC/LPb6YIrJ9QKd9R fUsKDbMAIZeHV16mJMoL+IczCrMzYhHf3kbxlgYMUyhzAN5zMcPc0un8OSPnba2KrbScjFQw4wI5 NDSFqIkxDIROYubyJnE7maTu+BAjqQ07s5W56Q0GOF1I2s0a0jd2VyN29XmDGjZttQnCM1le7S2D 84sHwRdIUa9JI5FumMLosWFNmsTIqZYFAUl0FgSgl2WBHXM2+inBPElSh2YLo6uLLbNjxxw0SO00 6rsWYlnqILmZPv8tcCmRfxmK7t1yad60KceiYUEnpXIJN6thiq6ga0N4qcIvpYrVfIbXGvEbYNur /kwTQ/fc1sw2+n8pzGoIlO3dysIXUjuqVQLCCSxpUufVOx9g4xB3ZywpoRmxJ7xU8mlSbzYFr3c5 9QTGDTQnmo2v8IdPD1U0I9vLho6kBELmRffGWOe1B4vhy5itkqEN6CKvdUYEkWxh7BYCVVgGyGoD /gZ1uY20kIXilEdflkMCJ6TFzDfOpYcHALHSDpuRLDpqe9n/vIWZUJKTYaIR8KarOOhKlc3ZMQVk 1vvhmgmwLoZMBgFLoL6xTXTma63CMZZLCxOiM8pAVqdcz7oMF+v/2Xm3iKMwG67riqQkv6zXgtdR oO+G2rUORXuBaDYD6929+b2eHwyDZ/fQ53iSWhbCg7ByTFYlhb7XpEtrSGRJK1y3YTDIcKligAm8 Vjr9GBmiuoZt8zS6GQUHrqgIlB5wvp3+oj7vFjmY1WtmfljgxWmCEW4p1MZ17K7AcDKH7TgmOmxm uyLtByqjxgAI3tqpSkQIHkrMzHEv4ZVdC/Fy2XP+RNi2TnL4JF52JuijaIXjxz4O45PuA986hWZ4 zC0YnbKlb2m48Zx+XYWxJdBrnHEl7bzf9PZgr+smfQKR09kXCzrjsuXDe2qAhi23nstEjHMtpG3i axXPIiaN7gcUS5qmUyyBuPtpcc5SNslOBQ6ZpDKbd/15jhuYqPDLWgdYWONDNGS77YBQrNAVQIy6 jrzqXR8xjJq4wd6k60LxNXRIpit9TjbtTR2/W3wRsK6zS8RS9Pmy68hYwBAdXqUP1/yTgfYDSoJk Aeox8DEoI4S/0kykTjNi5FPTCytvjOSH1uLCJfvwuqMm1vaisvJJPZH0jq2+EwCrxa9vXvyMHgic X4lLAYBzOc4LIz9XOCz5yFNtiqPqNGTDv27xnnWzRdyw32aoQSfDbcQBAFH2+8PSRykUDdh1BLn6 HSS12z7dEW4ysJ42HL3Ygk5xXt8Eaw5AbX+54GaVHy0p5304cDjtXlT4MH35KPVd+yk4IKz3vBfP +Uf0Wsz0y/05z+wxs8kQhIpaKLOUwn7x0jCjZahTIyzj9fwLCVl0WSOXyaImQxspFMmC4BPcJc8K cxfuT+4Pw3cnE0hTqRhGjfWhCcOe4pAlZcY/kRhpmKpi0ZxeCN3KqOv26HHSl5Rk1AVIVPmsdq8z DVMMazSfLz9LqWvt+Dq9oiqrBmGPq3nhHA10h1rqpWii9m4NT2BjFkYwlUyCcBSixcW7YiVkAQy6 44/y3GZS5KInn69Je4ra79zJCVufjiiraZQfdhWtkX03YA518QzvlYdsocmRJkUGwI0Td4pT2B0i FoUHpyJ9WNSL7fJXvjEdeGsIQND6O0zmqKCDzEvQ1mRmtG/IIFGwr46V3PXA0I/koB+G5LTgUzFp 2r/suOvT+cmsFg9s/nVYT8FU5u4s0YPShfDxNM7s+9vQ2a6UdkTxdzTokrBrMlwKzG+JPwv2IKLD E03u+/3VihJifamTlZ+TWHlFiOvfMxyWuK/eGVv0JlO3iyyKhOxO/tYWaRsO8YBcp4JRzCpqNcWJ t70K5dFhNGpuA1erD8dyKndEJxwRzwTakGRzjbs17o+yYsFhZ6o+XPd4GHfEJhE28ICNOsh1g+J0 L6n5Dhq79aqKbH65ULDTOdlDK/qmVSlKnYuD/GC5WQP9m3kpIUwnM/qzonPtzfX2YdtlB3UCwTia 0AcUCMw7umgE3KyUKexPrf+5gMwyafsbEbEvLrKEfoiVVZkRSfvmzRJymqumOwlKWROjvs5sQiVK e+9GupLqm4LnnhSLxigj4t7b4MuzhFilfSKumNRqCRDpJEQk0qbkkbN93NcMcTKhVi8GIbl0PTev bFaobOO3flyosMi736C+J70HkuyZsfKaPVSoOQjLehrQ8Q7AVALRyWapFx2kiSKuy9C1QdH/ca6g T80oC7vEZT7MYLoUAtHQdbkUZHeRgNFC/8YDCiTnl3xnYF7Y+ZnPqPhT8t7g50Sl76wVd1ZS28br OcZjJRa+6Y3NY4wdqtOYsv93w/fkBcse5HBjLWNg2IcDIa5RgGmNzlDeMHpdU38D3qC3N0bKOwFO jaHJ9D8yz7pBTk5F+sxn/nivBdm1K7Zc9OQNNTcTDfqXONIXSInnYJSEIJcmIcS0BjrvExisBHPG ihoG0Qum6srPrrbOhGyhVsxHTkbRVxtAEmeeXLHWs9reoKPex7Gd7q2CoAZt06Vinr1Hsun7d4so dTf6aDdrxAntxxJkTygJCJS1ahhcJ2aYuLG36/XsvSCy+grKgWvSkDuNCV9TT7Q6PlF0h0EKUAhV OxYDMuYNJCnAVTwD6N7c6D6ZlhBF4QRyMYPf9MMqqNfo+qSPlCdf8mK6Oc/5ulLqWg5VfL9eu9Ia 4XmePy3VGbqIC3n2QsjdME0BTMwpGy/CWaUnf7BRfcKL7DHGq5+9VjJXWf6R9N1uJxNgkfK3jXy6 U1cYSQR0L59TYLfPlzvsNU7kHOsrmegcxaOOhBBW1LppL38h6Unju31fM3Y2y/Q5vT0ieDbeaaZH cCKzVu+rcNrAPb/uf9ZR1A17UGxCufNAp5GW0pnGFpI4fEZEjg+MfZ6g1ts9iG2DdcbbiPts1nBR B1lkiwLQZeRMVMpPviJitbWCnwCGluCsBWryiKJh6pSum+NpplUf7U0wOr6T+x4t1MCucnpdDoZr oXYmENwx3QT8/fCODLOiLy0CqOUAagyTlP5OQnHWVWdnvdbzUSrFsunhhKTQImLj6uVcOVyTXB2w JfuAm/7xjIfClq6OqKKFvbM+ptfaYnOx9RLICTKzyi1NBPpkpdDjSC3Ml3zKqe2iKt3FBDk0zqWc aA+g7BN35EBD+cQDpEk46ZYSlCOYgYiQ2sYHRVHccGQmPjwlp+baekHZC0Sx7Wvl7Qw5bWs6Blcf JPOMnWFgpJ8/+pY3WlBtd6BiiIfAZzHHqD57gBx4+rUHDDBr2Lqn84AxzCkbKtIg8NTBZAKmMQYt PmCpD5RyAl/cPaV7zmUUbHssa5pJZv/dMSBfxxil4L1AZyOhIoRsVyvw6ETjo3QxMtGLqNoVLKjS CtH36+jztyvr4DiiQwSK5MtlogWw5YR3YfsD1XoAIk3zqKvNfc1iygeoTECSFBFm8qjymGbbMiKi 7NWsyt4XBI7z7PEsRtw7dpuAJCHe0gFCk5BRZGhuv56qtxhyayDf4KalXEuWjBCAIBpV0zh2hd6n 6PK8CER1Jpr6Q3co+K0+eiIfBjBHEeTJ67ZVIUHHHEYbAsAjd23rnkvmMaaM0od+IbbilLKCG+2i PYc5JRzuvC2pTCxuvnIs3l9W9j2Iw+HlQSF2/LnrgWvue+l+v3ByG5iyLnscIeecsa7/wFsjBPdl YH0RsoGHCybapeAsE6N5xcr0RW0Kna3wlLvwU+cuU8VdT4h06ou35uM+0XcWKQ8GOvb3utC7ICxO p2Ql6s+xtC53cAEwmdNUxpY1OLBalVTOPQvR/0uqLvdQyNY25wrYEoVQl120G4XxvUZ06IRneN7l rdCKLRunVkR7prSfN1+IRYuJKQsyIS3Dm3zaitE5DbaWwpdyYbh15uYQBPIJI8S7e15CTTYxGorZ xYSzlveQlzQDCriXPJssByvifuWLfvpIF+HXK8FprHAYwTsn1bVyUUjQtx7p4VWKeFz8kPZAmmzW Nnx5kLDxz/BgLULWUM1gi39EalOSVmmXSlUOVmK3uvLrOiyp1cBFkYV2OFeGmo5V5dFruJmIcXK9 sCDqhP9jvivpViBw+fNFf4JWme/hjyREV7MWUr3AkMPRK+ioqNIcr7j0LiGcMU4IegaIIksqNmU/ 2W67vp6dOZc7/Qfm8E1ho3if3QsOxgivktZPweuhw3+wtV2O/m0elZX/ua0yHrXWzMt50M7R6meG ZxWq5CbELFJPX4vGqT7ksG2DKZ7HVWjMslCbIzgfI/IpTNrTcYUjMdYhGqQWF8DNkkqAbbYVGyqA UuOlsuvtOaMEZmsYY1PgqcC27FeHxtDexd1Iqn2xBagQPK/9lMva8bc5md8BVw33hghqJD0GGwAv rg3DcO7TeWrH7IIOkwV0A5I+23KtLpKv18m/EP0IjEsKgsD9nlzvETnQaI3703Mm4Ia76942Qit3 SyVNWZx8DfXIAEtF89pnH5Nw761e6phgsDWgVjHBy0MsTjpG+RII5q8Muul7MqELPMDt18rkc/xZ K3gqd5YHFA/78UpEcgaGd1A7gyWweZfF27P+BBIdKwSpNm8rnmTNoq1NB37SDYaBdkDagaYssZJp CBmRtrNmjq0GlaIXj0zdE6CF4AgK7O0v4OdB3tN2J4i66sndxSqwDIHuakKw+PEWLDpqA7cv8vU+ xP3LGePehwQq6wAcUoFCNklBgWJZ83VpV9JAdAvb+Dad0goAINKZilxDtuZn7vu3lMbCxJlzl8EA h8bRGJD+0dzJ3S3j1kly+RwBHMKVa87Bw4pxU86+TKNb9KIwQUY49NaMYODA967gJJ0bL8PmGIKC libBhy4AOhgRX7PR7qBRDkMpuRVKoy2KJn2LpavwXc72Kk+pLiZB0VoZWkzDoArmW56gSsL9BLHL +gvG5oQeEsETyYaZTGsVk/a1UF6in5dQsWbDXyFkP1Pfy95POmD5PSczzc3GTSs9kLUZOyNMYW9Z ay4n31d4BWMoGfBQdsqgGFzLWGv8hwre/tjwgsD2cc/6jTXKAKv4O8G2qguE3l0+GwrMrVuEQIHT PQj3yzOTuTzxXLEnG1nkipWw509VXUVjV0qYN0P0+zOglEjhZ0VYms4jZz3Xl3AQX4i/DWUArNZF qwB3H98X7ZZUDbNIqGWsLyI+mT3gHkIiJJgm3oKmgb/SaRyC20LJ1/r2kQtkkG29vaAjRlv21mF7 xZDHpmvlwQ9zJo1O8+uRGhfYvfW+6soOlRl/bPB0EnNApYF9kL+aNUIhkhab81r+L/YPmsrtx6id 9vlKnr2GkWQNgXk14xQBrV5jer7e1XT5bracaIxbMwvTveV0KMmhguVPzQgRKFnDH/hO2nZiIH0g mg4a7Mry5Jc1DsmYney2qNPV0DPJGHu+JSpdOlEbJ2XEgMCXXTFdfd29lV8e+bc+a9LoDgwMZ+A6 +AwcjI7Us4kBo36Kv6cGbQXFgC0VLkHtbW7gKoYHI7iV0egi+gP+JNJfWBj7fKdYX/gWU8siy1TM K9vMC2rOVZvFXHhyB8Rt+EHra11F1So1+OIPmGKuFofNCpk/4/Rfy8vFVv7eIrlhPyBEMGydse2l XcEVrmlxcxTCWn1DztL8ioHhW9bocq1Yz+ZukGgxMcVA5oZJT55cZ4JVpeQVE8iWgshnf30pvt72 XCPNmuqo+quqNcIlwbsRtlWfPHDtVMXawQzueBn5YloT0llXymtxu3IV2/H1210s3HV4Bs7gbkuI Ua9sTRXT4MDqRjqPsUQPE+8z3qGR5OwRseVXQRplj++AcjlEdZqGbT1110plhtX7dbrW+a+sFNyI SBOn6ED7TGOAhQKIAgvSCujwdItbjrAsAQtgji/mMJ7ui/poyg6wUq5idyWIdl0gNZU5QWYxHRQP BOjUKre5mem3tzIEY1SUJuu/TFzguR32G7vT+Qm7LY2M+kPRO3N+owJzw42QoVyuZ5AGsA05h1WQ +4zvXuBJ/K80dr/YaQCJMIKPxH+jI6LgpMmaJKrmNXUTn8CsWPzs3A24tl0RFmk6lz8GXRFhj6yc FBbUdNKut7NmhrkYhQd2XNW0sQMyMX9dNNWCfaYScZxEq3srbHyPAbJ4S0HvsELebRAGCVhuetAo EZ6wBjOQKfsVCOEhVKByAlVQ1ytcflHKmnVax46IM2M0JmpnNmtjB81SdLIxG/yO7dpwo4toNLWN wmVB/G6P5zhLS2WjOS1c7rtiEqFxMJmzSpzsEAeTVRtDFsZk/G908+1ANMcaP9U/eY/EYsfuNnO0 IdSI6BwMAA+se2Prjrj1skQGQAVjplB1FS+HDJ+k2ydgnjPKnyBgpP1R4NM10+/cMuzYfVNi3MtK NeCfUn0SzMrYHWwCHUUIgIp6G2Ccd4RC2SkctTnPm/u/u1ocsTGHMpErPoxihz7W/brXEVTHl0jN RwaZjWS2tKBkBM8ESQZa5aOkT7NRlaMidkmKCyh8ocB/GE3FkELr+Rc7jwgnP5CBsITK4Xiqtuni Nhz+lK0VGMsZBq3wl78ineoyKM513ZSyxyS+Kj7Cq3dJWIYoSl8U9LLNQRe0N4ZBpXROY252us7g JPWaYYMv6UNMe91cuNHeG/oQS/Uv+5E7xxfZbOmeF2CGrOgpUTqVhfxLB5X+biE0Lo4ogAxiOT+5 28ivnS90JRISdE/ZUsJTocHYsI6Hy+i8ToWaovm2rSpSdhLUtpH7Y/1DnwQaBwyTY7eGau30MSdG IUfE/WOndBho0OYYjOij4IGtudxfCBcwpEX1Eq8w5yc/tOLzAoZ/dbf4SSIhWiJemLIE8+LoUCY2 HZujWTG5+glzOAhwFuBcTYCJIKDGOsQSOaiI8fMUfd0KZSO9wZGQB6bkhPunx8tMBAG6pJ6rYUuk qMRbUWea9zFJtJhpltOvmncPKVqnCalm9o9oPlAvtyeTYs1Lpr16iOYjB+OCwTiFv2H0IAYBSALZ 399G37ENGDHALlpGGMO7O6iP+fnY6UsL9X21cFZBemp1NlyNUulfmpHMPS3A82CigHJi0isBAvCE aV8q3d1kKUHehqbSIVvdscSnkgDJMbBH90O2e5YkQ5f+nPHq/lb3fWpakvCExspjexr4zhbBiMQc l1y7bZ1F+QpE/yvWp84Q4bWRKffz7uXaRdcu4kaVUGNmUh/pTJJ3xULx25A2FpyhaU1VBKGI5V7A WfJBVcHSC6bVXmYm45IDaL6GfFrH1p7o3tzVd3JhlQEAsI1m5vq4iBcu3COIHPB+3U149FFkQTXY lJ95ZlasgU1kyWOL4x+8X1jXrIUsnGbxhWdFpAOdBe4v42sLWPvi6wDv1I4cnY5rs67zIuks7CBR YadTIoC1HWEQQZyPJ6Sh0bJFT1k3CV45iY/5pv7f/0FbLlJOMrqvDaGSWivkv5P6xluTT1b2QQnA CMx3wU8GxNPR+cxxZI8Wd1asBRqKYW1w9OPb66mikGl4gjfd81XCvvhSDPdZmylLnVnCMgDutNtA nhzYFuPYdaj/vJx2hIYPD2TE4PH6ZHLDmFx74mekyK5tw8K8d9PTDLLSubI87GH7c+t6wN779BqN pEoAwA8dvy1cdEHtMtOJTJn3nyXMl3ZFQf/Zs/f0F60E7laGnJa6ntpEQ5bhAkjAbL/ojDiNCErw O1E0hcW4nkooGXU3DOnmFl5hHTdc5j3gtQen5Nh5YET4xIuHT8qa7mjcOt7dUEmmjAfmUUKGrL0m +XZyGd+DlKLZK3NtB1Lq6XXOCRLAIqdfWBUtCsqmpxtNf1BFdwHe3seCiHKSPExrnNwAIJa/67ft PO+36BeFvknIzrQMM5IVbZQzKWV3hqiChFecAJOps5q9omolYtmsKc9uXIL6zY+/n13bETEzifcN 68rNVSzEOxUbdAP7N4827whHwYLJfneEPgX5YZxzBBzrgUT+JJnr30abNi/qlv2Ok452nlx86e/U nu5YtdNn69iNXeAqLi8A0kzsAU8ezcXgCtTuzSHCzamnOzUGysYcJu99lhHU/Fn0Q9BXN35Mhz0W 5S326bjaEsnaXYOWcw+kLWZZmv1aUR0a4M8TRPDhRz7eOPEsey8ck/nIB5AfPh1i1vvaxMsKp57A MRsvLng55E2b9YNArTA50pTGFprEQ4Q4Uv9t9xXzfleXAHsilGfk6kanHEMhxH4gsA7up/J3mQuv lHv8+gnrvV+UYqmGpf5QV7N98/Po++6xeov7M36csOph/hRXyxChq8xCQKiNASxXZD/l5jjZIBDS lCudfTLQCkISC/d7GKJ9/8tdT7W9r9MPSFT2u6p68MnLGxjp4uybJyM/s1Vp5gg72oFmnoXiDW80 krDMeTCO7hQgBGyLxt8TwUBTD1WBjfAl6o/OLUl4ZNslwnK8SflP2St9XKfzUs9TLOD+otFmcV62 p7KO6TP2/fi6QhU88HhjvzDuuRuS1Ia8vC0b7e35SABLgraV1S13QbbtyO8yaFgZy/Fybb2ZJYfV si6QZjKotv4UcZIoTe1/ppSqVXx1EKu0JkPdnIWmUovvZ+osrPvSmtDzkz8r9qwrApgsMX+UCcL+ t/jnuhd6qQp6fC4a8tIXR+DDogzoFb/OWiQkj1Ju3k+e8SGd3X2zT/a8Gdr5nRmbiZFPx8KTZSP0 +WHpzTnBGEbT1nReUxklsNM5imsAp5p+vE5Y/IinEqOURFxb2+f/I2XMIrvP+N4pCmdQcQn4Lr1s R/4wyXrS+DUoDoVRpvgJyvrnmT6uLCE8Q9KDJqFzflNtlH8dF47tCmdpTjVeZV7rA27qEQetVeU9 d+zP+PzxaESisyUhbAfBpJr2QEbkMi/S4Yh7vNWg7a0H7HRQAPotmmV4KVxzsQqK49pENAmxMQPH IgTK2BLNdh+rDatFCFdXaGCY5zVklGHZXVG3qKPgI2liSh6/3lAebupiRI0CMGOmFGmteKB0Gsm0 V6ZXd07UYS7YOTxZkOjwdf5k0PawjF6P8+EERkg6IGrRIDXeAqD9xYRj02ztK76yOLdHQrM05S/E 7k8stvSFMrD9oagK2RGkJt+G2WjnA6mdZmBw4jETjwmyNqkAsAeamEJz0/g4ejCj/TOpS3otbBho zL5UJbQ5h2z8g1TowD8TZr+I7kVD2fUB1/X1145afDlaxL3uwTyU5M29meFzIcvmCjqDI2+fkuBV JfDPV5Xp1e+HTtyauROtsGkF4ZVMm4bH1jk3kDFZe0SwzP8DqL8RaiKdM04QQ3G4SfBfQO+dOpqM 4ojAy4H7JhL3G1hDhBdRc1Al3cmqicLtMtP0eGmgSMzqu4uwPRuiNQcek7MWNjtNRED3Ige5w+ul 3j5AQB3EnodnPzqeoB7ADT5O586E5SpHTPmiZaepaBxG3I6FMEezKuz6bcULgmV+I83ywqwcI6Ab DKiPgzfzBNDe3R4ysFeueKPJHtL681z+wHEYHDOscbXTBPCAFX9eqqS4P0y8oG29e9cx9yvSEOJF FG/O0P7bikZNhs0v54uhiNEOui91xyzwUs0qCkoYM9c6Q1/67nezlT+cRxRYqcK6V0GExfk+xBmP Vxg+RZfc0KhVMBi/GeuB2jvfQM7A/uSUZEGvr2/J3dn8prL4EfVESx3A/phsm9WZF8ropr12Of7I 6obyM97k4alXcU3TnwmLhvQqoRLGHtK8prMiD+EZKqeHE3LFrBcrI5QPhmdVQSwok3+vHM6hsFWC CWgJZax6AP9RpGnYX1clbTUthTjLRvhszT+AL9JR8MAO/tCb7zptFivoF1B9rdnNYcjijr2DPFoL RZT9ltFcoYL8DMQFkS0yIOMEWPogizBAOBHsC0S5WO77WBRdo5L2PM4V4svqzGf7uO1YqripWaOo 5pEwiT1sOBm2AejgY4fw82fbQV5IPXmVLt7rFheHiiO9SzjVz7GtvfAADBDd3pqZ+RcXU6XCLUMZ KraKKRJ7TD5y92UU07lwXiOoHY63opT9Kjmg8XrK+KqbCZyh3WcXHNMQGR0QFCGa0YItwykyliXp KmX6aCK9j9/+XWlbDTgw8/W/2bxyG3gtKZSkgqc7W5nDk101xbYf9cHZ+gFiaCepiBD4p/z/dboT BBEW7+zkq9P5OyjHqFHwB06SXxP3p7Aibr3DPUkDplVnXvXYpvHTVmBM61vJ+ydpU1Vo40inyiVG 5cSdFxC9eiDsxcxl3NuZ9Uqn3tT7roUC/bAv2uaK91I07BuCTP7IUxfKBKq9ygTPkJlBjjxceUFR vNBg1c0SIq6hjqSz8CoXWIPBcvKtK5FAcGEzm8s5GCorXcTgoxmHCu/5WQCKU6o+7g8692xKSeTD 7G0Vju8ubSCklM2DTlNxmz+z3XzuOwb2Q+osVcU7jQU2BgLXHsoio1McvIWsXQX12y3IaC+DP2xJ 1p6xUz7TdYm3WOZEI4GSwkVhQaVhq0806Vh84Z6G6RCGVO6/2UWsvLR5IAy2oymVOGcaaaZ4kPxE HG8K+xBb00KmQ6R94BOzzxyhXGA2G5WqxTDXg9cM9Zmz5m4kSxxSrHTZAFihGF+Pp3W8IuZ+3L5I iRWBoJ+NwPaWWQHWjnqlceJZyJw39NL8zANxoygJTq7/E2CAbx0ksIbjT/OPVG4aAT8zMvV/oqYE EkuK92gnbH2zxnDtuq16EVZ76z3Cji3qnWy/hu3wO+WczRKMYeNjfQFFq9GG0aGPd+F8+G7r/4ue o8uaUjtkWpbjZvc1AjHQ+s4mizRAOyQaqUfqV2TCELVWqS7/Qprcp6c9eYNVHRtKnP/yEN288KiN ieouEpu1Kq8agFijZH3zDBsuQjrew7GmxgORrOikA28m9wdqw00wRAmIFIccxlxQIqNgh4RNrmGc RcmHHEAkbuCxa63Jyq0sNQ53XVZ1qgCpsqMf4OU7zF9++RZ3H+wv3j5SsAUI7IKSE81BqO9uz/94 HbnJI0UdUovyOtomxIGfdGQFJzNgCjadJ31SIBz52OiN5O8FrcTpsW1KBS+rOEpu+vQOAB8ltTxr oi1Qe/LR0+kDV1GbjPbyT7SpictSF7NuOg9AZIa+1DF2/B3Fb6yQ/+lyezGbK7bFU9nup6Xsf4Yo GJao+uqosTHulkkTeOHzt4xZRJgTp/0dxcmks4dZFayGBzO5bZtFtn1rjrN3BHkZnmSHeO7jTdpJ prQYb5+A4pr7yOCkUtj/vhGZ1dkhAKCQJU54EwcBwHZ4y4Xvfh+IBlY5DPXXQMBiD0UOD1X/eGhR PSmeJy4/aW8xJh4yklMBdcjqr8d3zpTR3EXf2BwB8moQbQOVen4VIv/YkcrjDL1QdzDZrbg0Ohis r8Db0JxvSRdRA6ws1Tkvvf4zv67u9iFKUgia6XwmbMiDSs0vTca5MphHCPDuRPQ/H9nu3JtUsLra p7zl8L074MFz5n1ZfV4o9sv9DwjARI1nsJ4CWe4psMpvls6XsqlqmnjvswOEig6OeSjEPz/UNai8 rFRSL0L2mORrPDMdOewxcJnmQ/nsdMFnG+f5b2BRyhXYCkDjyLVNocY1ipc06qBsPzvNHdrGtbtR 6vToRH0r1tr0XCr0QEhYZffAChbKxFSDEzS/2x7fTk1AeU8t6vUV40SuBDKGfX+mciHIJkcnZ6eY Io1H9pZDpteZC0dWMmR8rjFrVQLTKl5BbwhsYJrekvqBYa+KOEBcG6gLda8WIeV7Y66juXEESnwp LjocaxLXbVn9DJ4E6X/48RHxu0Et75G2qUIczIEYmlallzTxy5SYxktr9L9IfTRW6a2Se8//Q56b S9rvCIEF/9NJ7mqmg7XQkJpH7627qyd+ylGaf/NwN7wobZV2FqZCQgumNh7P22WT6NvkBANhKs3b g23hQAXCvylRJw3I8raklWeRCZhH/HzHx8cbNl2y3YyHRfTPgzb5TooQezPft+afHmV7t+e4ojSC bxLEwIlEA0RdG6H2f90kibA+2d+mVtv2o4U3dfvLaOUQXflWCFcwUnMleJYRcn5lDycKhu9H8Xcp 56C1BtKJUU/0zOB9sdDJnib8r3YbWGL+mxalIlMEeAjQSr5t2uZAHQuqxtD1yOQcNbyXIcW9z/TT N5EqVAp1LAzEWauy5HTdpx8kD1peBJw/6YtfeNn9xVlxm/CH+FpadP8mcHH20jpgxstbHaHkv/kP XF462YT8Zs8f5O4HBzRoTQ8chcdhOaJpsGbwvdnVvwLIPQBbuXhIqaSjtkd61rc2XwYwVlU502Pz 5Pps+H3Cx2eNhlvlAgsOIAKBJlby+iwj0Dd+vz97VnKD+0b7Y+R5prNg1D7+gNHalRAphsO+ys4x K+hXbp6o2o9BO9klaDwHd1l3eCvWLF0vmkD7+2NGIRXANHOt3riV+HmF8oIAXZT/fLzl5ZmdHLY6 uHEuKTylBFCA2yGbAtKawmzymvpNUwEBGrpIjuFCvQUOKG21wvKWNucNc7+73LsEHeHIxe0paFkp HuWENb2HKbCnxh7tWS7SdThAEzY8lD6v6q8tPW9hInM3vgaB6qDrNPN55E4tHa1YzmXXueFsE+9A px9XKQqasFJQJ/ItaM9x0g1rq4+xG7/KjBBUA5i5OCC6t+ov7Fxg148VLBxrmuZrZ4MT9DI+lVGH oRLuiwarNrwRmffbb0w94KAJcszcO6ENa9I/zEhD2Z+BsXLrEu2+Yvx+26dPvY6aPmCZBKWuB0J8 h7/cuJq3dG+odsfdXTclgOtfDlGFgIWXDvr+rmZqt1mnYpgaiOcquRC4QnmfK6r1sX3P4nVW/dvj /C05gHd+Ze5oIujpSDFhma1XPrUXJqfr5NJFoXQrd+sgr8Mr7lc3GVzwt9WjAm1CCe5iG+X3aaHm 7VdTzGstI8Oc+Unr/cFxGpEo0Z7qs5inPmExTrMAfdyD2Vm84BrTTUr/WCJmx3+wcF2ojLhIWnL5 iqs1qXtFt0z32HOYa2dMZ4mk5hTSiD/jFn/rKE+39X3f+QUqBl2JBOyGQONLry1CJ2To2X1XAoTg KcKaFmPvuCzDZ3EXz+w1wvGIM9JWQPZVLqYt0MW0btN3nAL16YDEOikN0T6g0Vykha4cNHkjIA6o hPKvQpO53jOltuqqIECIKTiqV96okkdWenjDl0oGaHS2VgNm7MWqlQhCsB/DiPx4xXiCJkjj1bhE Y6eOP/zHVRd5FvgQB8nZRQJmefNfntfEJfswZiYOAdfprHxJhWqgk4dFLBT/4SZc+oII9vWifu1u bfHVrZ2gwodQIlgI55iRuI5FISoftQwYzYQXwgXP8C3pOxBnt8QLkSm6+MhIPR7gDOh0ffAwbzMu 5MIFxCBdyJy6BEEMP+72nML9f+t3Af2oFn3pnQ6WfRa8O8ivRuZR+2csdgr22IjREhx62ofI+s0r iqtMawgHvYddfh871E6t1bc6HVYP3ddJjCoK0cqnli6u5AV7qRXrN6dGHcPrV5r53PkQbvf6F16f 95DFrxLv7rjUZMnWkb0Ud8bJc5B1wHRHTAsXqGDdPGWQCevfwfFJX3b1TdAvFqA27RK/k6/u1IQ1 Qr5N8ZBZSw3txF/EgiZW4OAOf4PpK4VgeQJiuiJzrUcWnElwLdj0LEuAIPy2+omciJMCF4JmWn9J 1+YTBzgSFhJrSZXp8hgoFPT31Squu6RjbcJqBsxPTHmpK7+Ao9m9uoPjtibpJhXkF1crEwCQBEOo JFDrPVuL9QPds8FgJt4bJ2q8PrnvSl0ot3PysHH3jiiWqGWdmD7xTQlOfkelc/71QsfGzJfvDDif zxgysDQGL6UEtEu0ynPCxCNquNmIOU6E5z+dYAL+27S/B8DUY55klPZCr5RcxpAral0MLAzgbcwg rWBqW72mBxTdtgYHJV2cApHlkW6ORwlgrCnuMFjyAiCqbB8v4AB5Gzv3/QGif9iBe7Q7chK2mgOQ kUjlDod3CtU7El6A+vmjojn3pGQPSIOurb22XGXdxAdoL3KfCqFM53ZOrFTe0cD80NO3lmEV5Gyk 9uisL7wqcw760AxynWEwblAW4IEjXCj83AmxaMnUG5cLL8yAkRt8mkVlJa0HNCFstUKuvLF9Oyh9 NT6KcuCkl8BL8h+AX9lviiduNQPg9VigMEjZaRuP/QjGx9ID80BT85H/B8r6Yeb4ZqmQ3O3IYoH8 H+AUwXbIlb4pdb0j78uh6INv+GEK/ONkQskr6SyK2xXZ4/k8DkIVx2gisLbwJKo7Ujk/KUKvN7U5 yu7KbBKynh2ZS3xIPH8haLBMNmGMQRPt6hWKuRcd6QjqsdLZdkJHlAX65f7i4RUBLYUKzjekTktX 0c7gDkc3keMb+5MWrGLvcKmQI+2FzhSDPWdptOQWOrLWJx6UGYub2F7NKnufUurhd4Jni0TnhKRR HmpvfEBE3RsnMpIGXFj30h/yf3+sMt48jYfDyjOfMScpLGwBD9mMybDLlTGYId7XcP9klEu2mJF8 5TgM1tdnoLKm+iWHfFUZEiE17kluQKgTBINlWzUELEW/XqCGvbhXlXkDjDSxF2UyHz0IUtmbC5sD RatksshV6LpvMpAAQA0ElOl5JEGn9dVgMkTohioPh0pr6vb/hzwGTnl8SVkJyjKwEjKU31pgVxuo BX97LpAt0YcDx09gKXuZN7cIe0oX24qfEkCtmPtcA5Ch+IfT5elxNloSqVkTCw5MWQuA4F/2wGc2 vwC0tH72gH47tL9nh/MGRkLajgoZK/2WjDSaokeo38XCaKe3+TNs07p3OCOJ9zr8h3WyiFp4kCIT CVg//mhyI/HzJ0U3e8kEvA5RA40yVWNdp9+3EveYbqIR6ACf5flSD0mRz40CjqQQsl0XOceCjBRN Jk6WgIBpnJE7a4DssNiwRa+jXeJBrZ6MDwbodaGjYLWQbX2QMA4RFtISHru6MebwrT06KyPqug7I TWorxc0foSlkugUCBR66TkrVWfTgl0xOzEq8w9wfrXD2XaWS5ObUHSLzQg6lBeZ/oVt6GddrwORl 5URqF2tfZkTUvZNxQ56cDBsrhIlLFVLbKJldBxprteb8curJ4/VZMdAmrBk0NcuqFoIm3iTf/Ldf /7a+QgtVEEyBtee+tH5x98Oq5o3m2wYlA/bOzdSyfc9EDfebEoxgp4USGL9sfa8kOIg++oypQ9tX iFP3XDmRReZNcKzZyvEC7Kx15cR+47mhrlL4zGBLqluKPTI2rTxoVkOkkQ7L4aWQ6yEKG/ow/N7u MX9MLPAZNZx5TDC0/OZM7i7czBnOylSzGWFqWhuHh8eLL6sJYvOGfeFHtwuIanXyzkwSL3s6vh69 mkDyxUtNbvIBD39jMD4Q8pfD0oCgC+jXHmt5nsruyM0gbsnZic/AfVF1XzLZ8krg+Q7RZMe/20Xr NebzzkPo66VSORIk1ZWHfsOVM8lngY85p572AOHjPFhv/gzBs2GI/8ZRifo0tv1U5vRSr7Xt4wcS SdV+Ba+KsYKoDRfNPjtZoL24UieOqxo/eidRFU9TfRCssMUlJV/Ec4UkWiCJm1GfagXvteer6iOC JlbpkUVJW8VBsRTvoA+qJ91bbHdkIDtWT/7mQWNfUev2+JeYrxmvJxeAvixZpdQc75L5bGHeVTta vIFMERuLxZZrtkO4/SbG9wOjPrIHfi6UuF+fqLh/S2lT1Y9GPJVAplCA/V94XTyzlGDFTwA85mAK uickAOlfCV8LMK/a3++DtvAQX1G1lszMAkrOBX64FXdB9JXY5PeTj1/4SMpFGpN0WzlXp75/G4VW sdjIuDKeJyScslVXItkZlCfQs7rMd1fM9Fk8NPYJiTQgdCW77JS91baL7O72a9yiMRVqJGxajX1S 5uk1kJLhO5Hs3sDAs1Q+oqgrcUtL7cG72sSpllP+yj4vD/mbn+GFFJIl9gl0waVWJqQRsYuPccUo mwsPKb/4WIQntF8NoT/7rTTQoRw7seYLZDTXYvqqnSxj5PBIYqnxK0DVra58EOhOPPwu08wiqwkv du7quIOCq4aTs+yzVYktBCMfG1OVhOhkgzQR3/i+dOIhKYSCGVbtdlDm1aZIovmQsQxTJB6GYe8P edvk++9SK+9VAgQX99SBhgJVOy2zGRLQFHVIth0i8g1DgePjpEIEEF22ty9frCrFFTAHe2g90zih WVuV5unlTIbFiWLlDKu9Ozjsgsz9nZD1rxjdtnWVqZAiz5t4tK/fFm7zeoIH7A9Ej1ghaN+pr7o+ +Ltdv+YzH2JeJ9wwFy5r3/C8PWG3pmtjRa/JjCrLt4hWagQw+THeAKQQPOW2c/DuCgJs/6mGtipE uyj9u0XNSh+cqoDm7HQEY6piD9kfvj0H3YHXpcS5X5IMQphMBJRdRuP77BO8rY6LBf3sliuD9hb3 s+uijulmvwl4BZr8y9QzMU/INrYhhSQm9WzRYIgCav8ORR13vgIL8HmQCbJUPGnt3YbrXTw9xF9a xReW/fsqVDnT0A3iLFBBjl8jV1MTS2QOJ/l31HM++qxnBz1f3lpBEdrCD1uMnRA4L4mK8dj4gQwd 9sR/ocWdc0c2dg/VZzyjXcpGfhBx76EihIJnyuh9lxT23V8lote167+OuBA9MqbBQjJagqfLkzOn /vKhilGQCy8r8EPlCBnqDvq5AIbwie1uEOwdgpuft/pFm62ttPGgLTLVFQv8gORorjOi5flnBZJZ sGHKIB8mU+eWfrTEqnOPcrphrq1NW2OIPbbil8ij7zSM3I40g4K6PSpcCcy1K+O1GFgGFd4vtxg+ h9vjFcJFhVFk1/onYCqEe7oFrIhr4UqZYXqy1qd3XbjImsk4qrGkn+6gt1Z/kYzS8yFloilcaO8N eAMZbKrb33zih+EbOD0Mu+IuFivc02gejyeIbwZAqYItROyA1VXk29HfcD7KcdQ7UgvlY4DwR4hw kyeSBFHhay+1gKB169HE7XXaxJZC1mqb2HmbWNeRR7nzXjNI+CFhcx9PRWDLf0kVkWBqKAHYdN+x 9hA0nJOtRjis87/KfZZmi4V0/jGBxMTvctCBpBr48+zgHJsK+cj2yeRpCpXpkLgIvVRROMx0x1cw GU6v3Uf7eo6ptR8hBZeBB5lLfIpujawtE519tViez6sLZKqvE9q9e8v8c34fmR0S5+3yo/q6JDH7 sut/wGmyQjr2TPQBxtC8yUhG7RHuTzo5a+xiPTFmztmHhLunYuWz3sSELRIlymxAC+2sEmgzsu9O NMcdMjmwUisPd5GCnoiw78K1fWFVG9OUmXc812mGQo5v2zsKEs2R9bibmujXigpT6AD9VhIB6tKy grT1Q+TtMZF56y3ijey748+C1BKSKuxvjVaB2YS0JpYjN+SccDD0Wzj1Eyj+yLzbf5Xvgc6GAmy6 nzoClRPJ2UQ76fXdabcOwPB77470YmQV5czM+T1RyxX0+6PZYgEHh7n5F1ThNvb95Ls2UyPYstJu Sf/bQ+aa4tqXbQ/nGBpyS9bGld8evuzAUBW472Rt97TK/GBnLnH4ytJMevQ7Y4XM75XoHrNyyDuM ZdFMOvWLktDQUkKrrCOt0hCzeXmUG8kwS39nqY8mXtyXSiu0kUaoMtdtmqfY1RBC5EqIU/C6FrPG b85lI854jJwkvsClBce8DBCmcR4bwaVLsm4VlDuqbj1jCqRRhux+Cmxy+9+cWep/aAXXf5cqfiPa FKQyzMsNZYkKGcerxqZqJ2WVVhjXSo2+8CDiluA/dJEc1VoO8KgMoXtJzZm+r+fRfYRbW9EJjXas PEPwxcKBcZqJOkw316TRohotehbX+c1g3RvvSmSO83gEDvitCSgCHTDMKWYIqTlf52KvSq+5h57j qmLgC1BPFOVubhUl9FJshoSeUCVzjdU+YYE2aE5C3Cd+lCe3KcQz/Ps224kP+BOOAAtZ8muor4hO h6Ba5guYwxrP4/J2e1vHb6prQ5gi66i2WPbylPNDqDxVs3A7BIq/ogKgzWbG62e0eNcRgNo0qJF3 iZ/QibwFrQYVcHZ+ehFN3TqQdnO+5aU0plRY9TAvBPhXcNpMh/BY1q6428l5jIfUijfJyUQNfJ/C rNimIoQ5rQXbc8RXT263oz/Q41ERMDg0Y6AHKBF2EWC9ZgUirWTjoF97XRwjsdhgSiFWad0dUGp4 fwfMWwOccfGjIAzpIKcyt0ZALYmEhjDvLQ70A+F+uo5vy6Dudt6/ZOmO+NekSLSGBmMN+p3+RZ9v x762IXmmxJtPnOd2B8AwkbikUd/Z1Eh48hCIsCLT7dZ+G0Wqo3W3iEMujHj/7CPM+2C9RaGO/6g7 uAORp4IkESUvP827CrdW+h8RlJfFqDX6X9qLYWn8g3rC0LqNyfmySCwwCQtISwF0TAuE4+KJFiTh f/e+elSYpJxxXb9hDLnoIcNF75TxxRPQppUshnEUwHcdCdKDvdIVpTyh/vxMFP2g+r83mN0VMT8K LvyYy7SPDW+1baEnp5sFvylQRm9agr+HUMVNEbTPiWUETiIEP3Idk4eccb8/zcx3dm/r7f9bAbTF b9TjUIWFEfR8rsoLfOvgpLRoarVIVKbUSPrlhnePyqj1bgZtq2p61yTe1ffoWqI0uVPvfXkbosqO aCE93I9LZ0tb8e5Lb4FwTpvpgdFuPbaTv9LXAVYq8XQrNCLyjDdi/r1P55RINNGOeWzq+zKkecVH coUbf7KzcK/7nBlHODbGWWZBG4uUamQmCIAUDF2t++64Mx/L05e/a9s0KSBYE5z5MTVkhtSQqHgM 4xwg7uBbINIsOc5tgEc8eeQHd2emQaCdjlPXXxhsNEKuwNM/jsfMF1/a2THHT0NtX9fjQ8GWmetw DIu61OvHM3j7wMI4ShG7ve/rVqrtMgGGD5rjFkm6fu+s4xVwpCiEJA60UIEzfcCg2QOj6DHpsIfT ofIQ2567er/EoYAHBP/bR5b4QytJIrHmH+SJiD8cZYDhLyEkyuoXfBNV4tcK1WTsQMcHEJ3Ln8E0 eJ1/cS+NQt5iGW2kBW5l7gK/ybN8/Lp4/5Y82i9yj1WA2W6/6PtHM2SEbLGV07301Bro8Lkkk7Le YKfY+qzc5QMcSz3IRr54J7HrD1CTWT8DrBWgHYY6NH2mpCSCLhUY4Zri3ArBNLHNJSjyNHnBBsG+ 2u+uLTEtW/2MU/m2agEXF6zTOwH3t9JdKUPIvcKYQAc6YSh4NZQF2QN1t0B0Yhrs67dQ/QX9Nuiw RDl6y3tKQLZ6HbPXZXOWAEnvVzeg0xuiRD1bJvz2teRq72ybi4VydSPziSSx1R9zD2HkxOwFI7/w O3fFPjdcZRTEp5ntUdc6Mb1We64z25XcvUWhO1qpUvpjkBEmb/ErsaSlS+Q5Pt+n8wdG+pyyBVZQ XeJwcWoOWmqBBQAHXMAXOWbCex/WRYxsLhGIIvmTxEIU4QZ0ggUXPWbYMN1dvvDYWNbTos8CYx2r xhNR1PSMEohhCkGqQXvBYv25zrUM/fhu1WnOj3rBA6DW0p+H7Ssn3yjtvy8mzwtjmy+yOz5xfoyI wm5aSljo8JUh1xiRvgVyjPfsZsJIWldhlfOs1mA2sspIfZgXWSkjNP/ZL501mGeZ17lYolDg+X7e BKRN0PqAKiPwkhosreXdYfCkonr7z36JkeNgAPh43+9lQpzXRd1+Lq+jXVZPMwEYsRDV/zSEiexY x8DFTQFDCzM6piEWlOA3Kjboqcxz4V6pwpXRVSrZaHIPmyZwqNrPsP6PIuRFjiZ2IoG1LXJkx025 kdUCgNm9DgULl8UPBHGcrfZCd0xJfEgikDAiWQazNKLqJYHMt+ePPejaV2vI6HIWRcs96/xQCj6j ru2qmAABtO0EZKL6iEWuGrBhwR1c9g+KkbxAx5Ah9bZsMyccURDpmo65gr3bfN9L99wXWtqKnjju t7CXkKvVc88yU8y97vj3Ge/c18OgN9w39zMwYOv4zPyUn+ZebHsh5jaa52IJQMk4mGVooLsYR3di 1JSDhwCfZ8z348qPyM//a9f4NmeZoY4eeTseEqu6IzbJFTAitSVeK+6ZWH0F/KLPjEKWJVmjxCo1 5KMYbA+h7Vq5k4ZVz8jrWrAl69bvNJ61T+IS7EDNLxJvLH3uTz8md5CYMGSuQhQMoPQUxkbpXMlo n4fELf92MVFVC4IYOX95E3IZtJZjK7qIhS4oUhbugfuAcPv8bjCbHOeVAivJsY8xWXVq/JeX4gBb R+WW9aIdkf2Z0MK2wTazQ0LarCpVUJ6vQ713c3/seh8rmqVCauSMz1S2UfvlKzBrQvfaU0/Na27E jwvZonzpQcTO+DE/dQ8nqq5euvzukThb/Hf0cWlH2e6PO/WYLJYgNrVwh9U3qkv58NXZ4LgjC8y8 epB8NK1yNQfJlxfkKi4ONYVvxfqgKaXEn09TbWdA5PQH7DTn5YMX5D7iQZy1Wa7pPUAYJblcKln3 lsVJ3FRaWVHaocUIAVwdRjAy37Pc+nRARTy8BOM+b9BKCQup3pMKtWNSX8QCSAl0D8BuQ28NM6QX rkmN+jWoafm7ugL5cU4XCOrXS3kasxGvO7QOSa12Jx1PBi7PtzZuNbmpIPcWF2g6uGYCv9bAqyyn WzU4CFEqVXvEbzBtcJ8KqHCNZTuUl5/iZTbbH/ofOeZzV4RN06ANVPm/M/JpvChBqOc6sIN+DGtY v+EuSolIbpJr+f1a+zA7pFNSw6LH2pI3jiIa5/eRnoGDip7/v58m/5ZncqXLWG/9byxifx1mWIHC Dz6O9zcKtCPvSXPFLf+Mxunr/D7YsPzmIAZZznpwhEHO1wcB7ccFPryg4vZKyMC4Du7hIDCgyqxH zCNF5CkbthCRgp75XafCDnSwAfsfdoxj07P2ssWrL+4yaXTrDIh9/YmFm9KOAQ/Wh/uzSbNXhIS8 duQm3VdgRAH+7V0VamRsfpOwAD68rtjohS25aqkX/+delJ91wsfK3/w9/0ACQ8iX/vRKZKPlH0Eh cZFcAPwzdjdQY9D8DpU/rh9jSycU+PoxplRjbq6FlnDQP1iOCFNdhlI7N5WCRaD17xLQbfB7EceY iWZcwU4JJuj78Ia3DHVMO64/7MoBcWVTmZdpL4uRPIF3gsaNKrrq7scInLwqSyspJdxYV+pPHnJR uobRwRkI2izemfmB14GW+0ph9TbDyagdPobODxGTnXUtoYOhE6Rwyh9NmMEbophr75wD6vXMAx4m zH+PPtygMK82SHoizuO9G2DHbPDjklzj8Qi4bn05L2B2S4ZroiBmoN3lYMzL75fHqEagL8taZBjs aKUO41kPhfZIFQeojz1/iy3dz872dhOm29R2OwfHYwMgSDtIl2fZyoqCKH4G8/QxealugawGUziS c1IhNuMrpixhsOEmwQJdSCtYRcNTH3Seq9u9QmxIucetORn8KeBni+/qM9jbE2AubVNDjCyAYypb EsaA9TdsP+9uB1FeTvtLlxyzu1S8IF/d651Yxuee4BdsfcpOkQiDqyOQTNwztGGPx4Y0032Eojcd okGd92PbXMAg1/9AeM6Je4EFGyQXrGJ42V6vM9X2I0LFcnmFtDnG5QHTVudLV9vfl+OZ9O2d4yfi jDfs/K9nRp9qGMZk3ijIUNHjRrJao76bPBwIWoCdNkhqioFKJuS+o6Fme45p/cwS8BADD+F9L+V5 aWazFotEsatpyjEkW3/HUE4z8KWMHcBVefKoSZtCtUVWhPK4+I90brKg8DM4ImJY37OK/zB9EBSm nQRHkbkALAqVTdSIPSqLosAscGBFl+FAW15FQddUuh9lQhBWrGtmD6jqxP71rSl3eUZ5sV3HInpm irQRm/608KwYhIAgddoY/vKNaOX5+xBxt23qZOXpFBm1M+wJDrHARChCgX6+Rvj7y6e6e1Pt6Qrm ieYmKulFHvVOIP6O6bwix67x3prI88tZJAx3XtpvyfqNx/cajEgWfQ4zCG7J4i/W7T6aZbchOw/X 3pDbvTR5KIUlaY4vnFOJmP1DOVDi4jIUqEJOyIa0o+38Sq+Hcm6l6DS2HHfc1Zprp0Vj0HIh11VW pkYw5hww36LbNGMETiLDqf391hwMbdREwdvQ4mmmQE1P62iifQnZQheWYL1VEKY/VH5gqFReKoS7 n8lIV53mj7C89DMiAd4pfhGZQAOKbphOA+jdhbZ7XKAtXwTea6cfYQTJjjCh0G+G8nHXVxyJTBeC sH++fLWYpj41cU0SOIKkkiNSTUltS2TIQNNJtosdj/fUwzwUrlJUZZ2yE97xDuvvx1n4eQBZ7q4h nEiZfNZjXlrDFTl7y02UKDX4Cz+bLNf7PAOPjzRqIhbmJe7Yo7cXEAh7M7VWY2+7k5Ee17Pmaiqz Xci9AvcX1q6NILwsaSPiX8v6jBv0conI+3gFrIyD0Pc4XpTuL/RQbIQjkBcEIxe43b+WcP9yznBB bX4KCm03CuePW5DIoOxY21Hs8UGs151E4njtMcGROi800KYUxcBZMwoUq3fgKy45EbdymzwRPyw2 yx3JW0uXhMYF+f6C2EvxJ6Hdnf/unZ4TXrOYy9KuX2txWoeg+Fa6uDPm3QlUttowS7p7nf346a05 cyDZrNoyU0X9+ikGutvj/xxmI3ei/CFmR0R8LDakCpYtKyCh1uoN39RC4UH8fO+x6LD3Tr9cpgfg EQdiuLTkU+7bKYftJ/D8Y8Amg55gLSixsDfeDR+sdpOVrQae246EwBVNS/NC2QV1p5jSbSJzY0k8 mqnTqLHvg0kJ2WmlRGvoCJz4PZJRbpmljYsK1ssCTX04WHVYL4ZIN0hbpriDl+mFOljZ19DLwNth RKmNWmhwzWayW0ISsjDab5whn6Nm2+gZ0zCqR8Q3HEI6IzEir7Dn+LvxPWdw5rkCmSgDVXMk468D lRrelDykt0xnsEv5FwFeyHiBDow/qud8QLny/IH404yHXHFbSuweElg1eU207EGO+z0F/vQGmL1j lsXWSCbF4edy/WFz4/SObwvHEKdJ/BbeCYoC0nvtuxkxAFOkepSq3XU+VhsM8UouviLRHHCz4lv5 uKjCsuAwTB5Dy3ALa76tjepnpRGKwYjwT3ZZteUAwIHksm7nsirqn9DIdLGMBKZSuxRoG0f2dun9 QmUBsB66iY/z9ySnd8EUX+6FgQyMj6ZL+r428w3YGzoMugzpKVNCT7IzRHWrFeY1cZBunffBHVbZ RqtGY1lcy6DQv8kynj0lNBHYqsugqs9ONPefn/Hcesx2MPdJeKD2nOuNv5pYyC4nE5pT8NHjH4GI ZMBOWvWL6L7wkq8zmiHMSJAGTHRJogNiQByYABtAKw1gpEtR7UWik0Wo7uqPgGx7TjEj+wJNMIJC viS1qkDSG/661hBcuLbEtk4PxlOAZp8RWHcYJ5wKC/dgyTyIA+lXpAu7QCXpbVOS0fj6xheuUZfq 0BadNihtlPtgQucZgtgY/x0MiiCORbQT4k7TS0YFGsT5Xg16EVYrQHw7WXqunZB2WksjkTcOrYHb LpZkVDM9lrfM24k9cyS5Vrqg+Rd13UBq+QKxbl8jeG/BD2ydgNiiRFUHwzHfj0h6ydq3P1hewW54 Zbqny8luWyJ8JmyeYb8t/VJhM9Mxzqddc91+WaD8XwNf2zDLTVmqdSOswnwv0nwxsvOCgED7l3uv S93rMgQbwJMwLavWpyDb40baT0lWilakO0tYuIUGHjYu6MeMF8mCYlvFpkdN2ghhFPltwa30j/7F b2KTOSx3/MW2+/0l4cLhP0JXCXgElYeHvvLj2/C7NvGUbaXnSN9vvrO8inKrXrJk7l4Nda1sy8q/ LqhVw2J2/wxX1Hg/e1UjkKGS/Rgzlwfm8Hvfrc9D4lUzjchu0NSocqhT0N9fdJns3bpS5IaJ01Xp qnfJkVc++Oze+VSJsNiZTyZkeMuLZeg5lHuav3trYdkSs9XxMazIKyVOnICyChF6h2q/UjRJXin7 JdIidoA6Ndikp43w+J2vKmA5uV8TZyUKbjwlqvxyVZEMoVINZaLuxqU6VIH+FAywWt5cE1LPJB/Z rUD+A34z7o7dq0OW8N1JtAHHoaFbNeJutVRAEaxfnZ5gzdku6TwKO3KKp5QplO5YjllffMe3PaDj gwtC9zDtyjUXkUmvLT6I/l70kCUKLLG9/xvAQIuLu0LGxG/eOmpP58IvZFueYtiOlgGq2AmD+9Ao sgDfAa4N13Ww0e3WYBtH/+eAwp+pysM4599nmQ18AVoSzVCTcrThM+6jBTtYOp/neKKUq8YUuzyi MKeMvcrdYBrsfW6QUAAfB5eSKR+78J+RFsooVIC/tKZxNSuUWkcjhxb1wjT2WHeGqeXNxR6U1UST wge64ZNMtDoAdG+x48iHTxPwILWvsV8VSPoZkyRDrtcvRhL9Cqfve492kUzx5opn5N/+m7WXdo2m Y5SAAjFJIHq1IaLHWMU7bsLh/SuINmzLgaOPxzNXQ+nKbiGbr5KmgnCSZoOnahkMQ3NB40IMRxTI 8T77YSxdyAsmngElSYoWz8wc2ydUAWUIX7M0FVzisEXwX6aYujl7W/+HzWwyluGAnPk6I67KK5f2 /w3XfnA5L4T2qACeGUTAY6mjtCTDRzgDpQXGtBA4RTa1VeqXYW+fd/htoudmZ2Z0yJ+UsF9vHVqy zad8P/pK+nqMqiWfoSu/DEgUl03rSvSytPDgyegHZQVwLutWmjM7PmK1eu3tzPREctHH8wl/tx2O F2NY2SoboDuGZOFzCcm4O2bM4ek+aPo7HydZRdK3rGIhU1nne0ogJYkn4DcMGk1GSkpEkNTM4Ipb Znhb+6o3wloZksrH727K6b3OftOmE7seH+vJR8dksdAawVBqv04Lhbq1AfjAqNutoiBKpF+Ad/M+ 2Cfb3CTLv5TMTLAhtytLDKA/j5RIgLAgt95qehUwGqQ1WimOOfmPLU1Ofq7mfZpbxC+xYeOH1M0U uYJFA0jFUOUsE2cyV7zcEo11ctZ6CBIVB4IZR36KgrXfbsfelogGciH/1NybI2N12OsH59YfXWGT RpFBZe8LSL2UCXINWx2hHqfNy8KfSHpjiGmOT++zT0FbyndFbMb5VX4AP9IZ4srDBs+6H6tMLjsv GZuiTwosTq8NQRJRIrSy5x4Ft62CNMCWX/E4w7rVyul8C0cB7OSvgSqTI9VPf9lVpeshBdMEFiqr MK0WNeQ0cpfvdrBthJPUXRY62MIck7afoe/Y0PSMUpL67u8fcbTcw7Jp2DAwnHNhV3dwwM1wEJTJ +UsoKFsDJYi7zie1nHPlJABIz/WNsKR3qwJ0TQTGPM0ymZhK1CZeKEELXqyenm4HpxlAiR1GH2Cj huGC8AeFwnWUcbIbBcYJSDT6Th3cA44cezASh4uVKtevukf6TQKKPhINdCtIKrCWMVUUPSf8ty2K qHz4xhaI+9T+0tZVAtO/M6AqU6PWl90accEaTWUAE3PjlfyNmhjzpT9X/yeHx1XVEtbbeeQi+xwy jTaDCi/XtFpmgILUiVq/3b7x6ONgblERNlg9SCZiMdEIwKXiYO8prrzdDWe0RtpOYym+0ET7w2Kq slM5qr98oULN3jys4Uy1B0j09a3EJetTNFXuZd078i9VFEVxOVrJIlP1jdTNqg/cqSE9J1uI3NWM chWcyft5xTBJ/8JQWMzr7VYzL2gPVlQDun9gJ4WMMBV9I5cmeTG0N8QDvaK9yzZE9IwXivNUmN0u X03hVvNE5IW6Rk2h0rehunfwh/7RdhfiH5gtkpmoQn6tyDZ8NyGJSMLi7ubflCAeuU0+VjBCbaHU JukbCU4GO41crEESt3k+sfLfoHWPBGpZ7x5RIu+Qeh8pCaIeMrLIcJCP2FeYvQ3GKKbdTwjaLA28 9o3QFNNiTF20dhO4VbgbYfNFPOrG/zQWnxsli9+OxpGFmkjdtjJoGvEEOSZFgFg+5NBntYvAIUYQ HEgcZf5CrPIrCDWEds5xH3LNP4jEyptsGKdTdVhBdRFlCSL1IrZdRMQK5dBID2rvMaECE9qY0MpU dFUQtGygL4EycBo1IJak11E1DJMjgofJrlvJlG7pBHYuvh5tR4cjk0BoDy8WaqMBDIrwS/xREEkw OHztFaTKgyLomadylYG+O7q6114DTcidgGLn/7uB+nFmgTbCwU76qcDPB1000C9+C/JZw/ni4cum KGPvmhbRJqEx4IHmMlQvz1ocZcRkWQMhVse43jxlgZeuIiCn2+CU9vs5+ehJ+odVwSHA0XA9UNEt 4WfEl+9G4unE+oYpBNGjYAOyFyXs6uUWxBjyb/LIGFFFlr9ax/1I6/wLxHhYL0J2fwtLbjey8x67 CcGoWSSFF+2vgtqTRvEvt3TZHQBT0WGXzB+8TLHLjAQ2bqaQuNDgVSiwhgNv8cXl7wMr/EhTbCp8 JylWFQn8nE3b2iwc92C8Uj6ZJOrtvTNhvtMHbfHd/ntHP3beutDbMAyoijEXW8PArpxtJM2tS0bR LIyji3fLVrVeVb+WgSBOK0j0UGSfBJKdopIye3wE5sBDeixjOJZN6ecg+CWnzvnpE9AaqhnFsY1A kSIITMrQ7r5wB1u8CkqlqTLDlHrq3APPcr/Z3kMwBWdOxjEncR9cS8PBxVKr1irVHoJua8IviIst +mQQeuJh6XCIX2Q5oAZNjmlWUH7vJaFjd5ch5uYyCHEhCYRoVa0R+qUkbznQEhzjOVbwiFRsB9sd WPPvBR0SQ1L0zTHydSiQgJQr1rpseEzemjZDts3S1HranqFsWqn6NhF9sH5MWHGnN7Sty988r8QG ExOivvxFkZjbtykxi+XgujTVMxw/7A3CU1VBTS5L7SZBqcyRNx9uMowf6ApW1VPqr/6oqUvIe0Q3 eaPtmxR3PqkfpPVHNfvrDPWDbd6YE3CCOavNWAMtaURTfjQAztL1NMqChYXVUaKsu/lJK2x0jLWJ oA6M4n8UfHtGbJT8jnVBrodN07sDjo45evXBzCBPpKpQ+zEfyD5uZHMpvDi+PPf0NFXMlFOqo8Xr 7A6qTigxW1Fe/MG1SKLzmQQanwVj1v0o+rUTcq540egc9IbwRTaCU00VEtJjQ8lfuvKCRO9YPvk4 1s1PrTOq8fzflfIvmGrHpR4vt4Nw6SLzZvKH3KOtC0mwKnvJ6H/QMxmtZrpq7d7AiQxMDC3qJDoD Y5lZv5lOhOpCW5AqhUhpeDC46ooYVLmViEJIP7iV4GfDQ4LmfaQJ/HMOz7+dOAmXzUPNynKDxAS2 WPod9A9iHsm61ClpOOLgenApdROs+RH7m2AhHkwHMwa3+NVVMa0JDOPMTvpE0w/YmpRZuGBc/jDw 9vf2AdOWQ/2JPP49gf1NOt6Me9EHMKKl4elC8yakpzGrwbFAOJYb/bxj3SwbGVZYGK2qoUDKL3Yw aopiXig3H195+taJ9qvWb++Gfq0gHNwZMPWvCD8s8qgCOQXdfBiYlx7rWAC1bLeHqVEs1AsPU+z4 PRDTjLu/NJ21wItTwmsjC0sf4tWx/gDTR6Ed+N23DxuD3kNZmnvBEdyx+PjA/yQX513unftuvCH4 ryaE9uWAsyB4mdokb05O8h2EbPtQ2H+NH97F92dOZRSPg4Hs/8cd3i7JZX3Kf+pLoDqrnNgIQhZi CUHOWgNv4ttSBWzHdDioIPDyQJ8hsr/1mHzDRbSPJb9A+qV8zo/CE8oucmWxGuVKfwFbZ/omnGjo gqb/eIr2MJ3tQMqhu/qBTNlDWAKek33aDQwj31APeCXrc1Sk3I+aqYOZ84Ib7FFeSpqz2vgM+35q cRGNktaSLP+tY6N0DNsuNhihuqsRWsnzlvF81qhmyESHAbgBIgId+hj/LmGxzjOlS35AaJ3J8l0S TuZ5rup9IVRJkIHWuI3bPuPR/L62Yn9J4D6xZX7qPoLoJJONieAATrfnmTTg58q4HOBaQq793nEa JL6UsfHFD8kH5i1LzbQnyStIcniwjHWlUZKCR2YANHO2YWG/Uc4OJokuu9Jmz/dDCqF7kaJV6ikY XEzBN8zvGBjHdsze1U3EFMD4r3OqPc4Tyk7pO9gw08YjwnlwrypJqDD+/LvpjfqxXXi36u5+vmr4 OpK/m0jzsXv2e+amkBNXzYpafB4DqMuPJyKjSJKPTofPP4vhQj0KFSHu18A4ZpsLeEdEX8f6qyFi bnnSXBARpY7OzW77BBv/vBXr8RMER9rSESULSUCAW8AFxSkxcw+mrwhHuQMFa5p/b8lmrXXh/j+R lp6XAmEP2ouSKZPZe8kA41ApCeRCfampdXBV+EoFOpIe92h8LDfz0UZbGTkBJ2QraWXvbGDUu402 FGuwE5CiCwGADp3zusBa/Ft6via6EnEPoShq13qVBqu/S01drYtVr+liPu6E10co9rDXtvLA61Cv R8OZ9YpAujN4Ee8RnsV+vujcilNZVxIuBfRuAO4lT/6qNFAobldNvxGos2xFpz3+M5FlYn6kv2sK r88HzgBUg42H0AMrB9RDnrxpZSNb6A221XTYzd0m6YCedvlg9TL5wYavsVuVzrsKdvDdS6HCsOfW 7YT0NhmzjmwChQ+KX4Wgz1zA04mrJQhrNIBCK+m6i3wJjGyvl0CnW5zrj2Y32G+k34UeCMXtiRxq RzW4j2a1nwg7jEbgxQv/BD7J/VTtB+PKMhgfTXoake8QlRLwyppEo+IUXRnAtC2p31Y6zWqOyXl7 z9BXPd0EDD7Xzq0l/GDpzBwZIIJT2WkWgy6BiVAb4SaxbWZH9uLqFixSb/EswvoXePtCV7eRfRBX H1kGsJizQC2Szwo5igAwFWg1XobyS2HceboydGLlEAuc+qK2gubh5yMRLSrH0JZJ//9LxRIgpwQ5 jtFT7mZvo5199Im2aal3HZQV5qbsH4EN2WYdwByG/RZ9qZZ4Zui33oe+eRL0gmQvXnS07POA8rXX 8ZwuwZNMKK7dQNbO+bHHoxIq6E8WLV9wNFdoYRjlxIs8zd96P+ms+P1lcojSdIrB5o9eWNKmEeLE Of1GJw6QAXzBE4J0HR0njr+S8B5A1CJVbBU4UJ35SsZLxU8BZ0XeyWAlSBb8XIzJqJt2DU9nybVu LnM3gjJ+EfqnEcb4h0BtwrOAsFCTd3Vnw8TiiEelhoc7aRI/FTIiG4bRl9s6M2aenxfvNGqn+SWF cfUk1lioIO2817E2tfkbV69RlEhYqG9NkOSCGVNlty4sluh6WcICp21/lk79dZx5xJqS4ECYVnEn EMo3vJv6FRWeaj+xzsuSVuWJAHY1zdiQwFYSQarLLDUVuylP8DXdl6hvG06d6pIBJgze6XHmWLvc /rO1Kzac3W4HAirLKE7DEdBDFEIf9lsxQKizAbnamhR0pBssVPvpF8OUK0CfWS5fdw7iwDimXluu 0cSozL0IoTjZPOIFtpz/PVo6av4xrfwIgdqrmtIdOn1M/BJrr1p2MOGY6bH/A6h7GnPNf77W+d7J hBtvwlI8rnoZVwv+yz/RELmwOQTTlN7tYoxO1y1FS2RKFGRoLwpVTbXuVRBTX97IJ02zVveVN4N8 Ju/clp2uzi6trNUgLGkOr67Mzo64KaaCmu+NgWFO7vCMqry0HAih/ZPED/ScLKZrqVDBkAL9pwfn NMFg9JUQMC7FT6s6G/gzGX29mZps1Gd0GW+xWz2IOQjVHouZziGE0sh29/+AUp+LxrJLmdruZymS zpM1mN2NPeabOtgc8YYE3vj9igZFCIAh9Avj8B9H8nDPOcBTEhR+bOCcnJkz8mkPok5+FhASdjyu T914cxt5sXqGOsNuisxpVdzZZteaCTSHqMioVaMtczBPWgnceptIdYwzhM1yIkCXVsESzt2Qn3ym XKOi8nKSce3IWAwvtlD9PTHCXmKcHq0yjh0yvGtetF3r3bTLZNvMJtQFn2T83mAQu9iMSiLy09UY P/d7xjlx6bSveFNQbVHVGOiprBAJ1TLH+mTgvKGKrHPVWNPzR7TRYrSqaNXLudRlIbREHw8X1N83 3dQlbmwRTDBje41vwnLV7kWmqB2Mh0sxQFd7Ja0KPeKQNTpxWSmH835SYxG9DwE7gT9x9t8aKcY6 O5rN5RKczN9MCkrcHJZw9wR2VuQUwt9kMU9aqrUk+G8S52yE2Tvn1yYt95qn09rJAsnH1MmqVtlJ Cx4DgvPxaVtEYhO/pJO8UCyg/RyiHxc1H+b8xS7WWgF61Z5hUVHhPdoDBshCcfJkM8lmeSV7AVfy Bt8OK2y+zRKjcxYQIgShqAPYacPSjo0YCsY9PGHF113QHgtuOi4Icgslz7oLhxdndCkFVcv68FQv 5EXHa5HdSj3hxlEs3Upad5QRWk1KPyDzDlVBahAu+XGFUkVmuHvpkl5V7nwcnnowNmfO4GX4RvGZ eFpdXyc+caPiExBp4ftOQzlu82HD29KZn1KjVogZa90o1apMTV7YnBmdw3c4BARenJDqI60si0J9 U13kbEHXtFPJfLLpt4PmM8pmEQ9uGlnNy7N/Kzcn8iXzYJkP4mVCmZDqOpqajIbE0asGNqisfe75 XSvhHj7CXCKRNqQjoXKu3a3x9Bu3F5kZdrwq5C3kyXnfMtJKun6vjsKoMr2WNBJhQHDhaf0EauS8 A7jtwHXEokCvJWc5I10+oIiwPS12FLJ+SQGKvoKNYB2h0sHxoa2SVVMlsV/D8M6ozBKltGKI9i4C 02nELw1s3Fal6/VXzFLSw41dFIyW0/0Ck0c/SCkbsBQiRK9Dmh+UT9HSnE/fX5S1dRXx8JzPoe4S 7wtNh/TFSEfmR+uqyIHqhBSl0lFgUwYHWf66vsEKXqHthantC3QUKdm04FgGjaqYelK6VuL0CKba OVzU73LoLKzJhwUGE19KlSAmcoxPfFzglkwj2Vy07SRcbRmZKinVwZKklW2vbz9uakAuQisSx5qK rFUjDxV97ioGDQ35FFAd7HAJCoM9xbv8Yes9WP5ynV+JX+LxTj94eWipdkEn6M0Cdh4I+TAhduln TvXYkvkHD88SiHyYye4iTI7my77C3MGHPo86hguBVqlGsDk8b7XyQVJUQdKLABYCvdjQXry7eAKh llBlIJeE5YoKvwq1VQMezg8luPs1++ArCX9svlT9pJiBIHtl2T6qeWlAIjRw7//ueSRKPWK2IteN c7geRgGZt9WKXpzIxAfbxT4vr/WiY8i3xRsMnk2V22PbICTTze5gFjpzkil1RrZ2t2IMQkIvztMX 6pH77lPa20tLLgwplRxz4DX0QLWHqZBKs0pKL4+N8ZoiEuxxjRCeKJ9Zxw4ZEosQ+q9r0M7JwD2X 1ZEsYogIaePE9/QY4EiZbrroxMdPYWNNEwML3HTSql4yNBE2RdpRa8rZK8yO7fG8DCAuEpVJJEme LkxVBNhZP34CCsTrSdEppvZKLFZrcBzmX5jN6Y/KkWllNWmlnu2iJ0LPB1HnE5kPWFBQ6xNFJ6Qc ZNnjcF8leIDs835qIQUa/b5kixrMshX2Y0jvN5uKZeK4X2IfYgWd4u3nCxd/iCiGXK03WZShSEQO PfLq5Q5oJ9v1tU/K2BXqtHC9J9Q5SM/k2R2bbuQrmwI4VHMOnMFRzGM/bW4DTG3+TOlrq+jFtP5L mj2I/UkkM1qRTqyM2/aKrnjmpf6P0PTxDQtCjBNXrgBpa8qIroABrtgW5lEiOrLQjRGCBblPvaG9 IEZthvnAeLi8afHGtkQK8foQn/9p3umM18zPg0bMBhM+IYqoEHvHbdF9alRIypLoJbnQst4rq23L tCoqVFUPhuny+ns6m2Kk5oTgluP4p6csGICLF7N9oH8CT20/jrb5I6qGhIboPQbZZuUX2911yoB9 I3ygSgkibeDGjrKXiTwny7l+lg/TWhWv/adaYuMBD699Z+Jnd0BeFYCPzmwYwQ+vj42mP9VktTsm oOwsKomEw2bFLkTkDm7VTeL8OEIaWDMUu3/WpAnpNktxpE55HSO1/FWMbk3NnY3WWcCoHvhxj6L1 cMySxcmllBf4KqujcQQiHuu7S0Vxt7GtLaQtfzUA/SuOqn10gUyVI24Io2pDx7CA7i6KTObj8SwD Hh0mX4C67/shQgwhPCEEIIzT4QFCHHsIGzUcsOHDpyeUM5BFEsW74kMuXefTo4y0B7/yzz87k0wm aQtk1JGq4fP/QFO5gQo9yNFLSlAHmWUWHPGF8Sb286bFxiq9ClmurVwBoUCxicPv79KW7AXI8a05 hSOB7Mbqb3fb2X/I/B/bph7ZrJE9Oc2vpddl3OXYKC1kYQHUH+W0ToVqtbBPDilnKFR9vuGAQDSU jNx/aADnu4bTOfEY7caQ5/hMHufEbUoN20lpRCl05tn21VSlt9JleOQ7YXphl98gOOrrB2NWRU8g N366NfHLy5GXPqkFQxCMCjcV9KMMXZv+s4YTBjt9l0z5SYyN9wI4USyzNPfnv4xzcCii6Iv9JYik +bMI2eFz2ta6KKJ4lAPvugZXdyjw1UIcpdIPwthDdIwwailTByYLY8d71jF53iaDwvh6iIiF8zcy JnyvFviCTEXjXLJQpOWt/ZwGvJOzMZXFF5+H79Xsen/1H53K3UU2GPMRB9X1/TD6l0hii2arZLUM 35Yejx+6ZyFjY2PYOULROGUemE38mRHdcHdtgj5IarXJ7saGKpKbsDsK84hrYpZV2rwHRn19IK+V G6Vu4372f47px1vaW/vIKWHGZoT9964A5+K/c4QQe4xsH1w8pXkKRTDmkqkdwJ4wUXiY+4bqD1mP 2wC9V8X9pZnjGfrhHaL7UabAVAy6tp7BRwD1CtGfLcu2cnlZ2bJdykDWYVt9tV81+miioPfYlCCd tICD+CZigOP+6k+A+ZnElse6+tzIzwO1GgDST8hxEY29NuMK2axIH+q56oV2bQ2WPcbne+k28rKu 5msQQl0eOZeFICTSyWYZbuYQLqyiEGORxX9pYQpWCJTeJI3kfzfP6LZ5ulvVRDTr8JZpXwftRA9L 4wHVPHlPdTPFK03pg8Fx8GiwYEyTFnLts5tNUS6ICivbtKi/zHGT5OQy0CIJB46j9GWIcqvj62ca S/wwgd1PdH1Qb6ZpZWGcD2oJoxnM2yTne3+L+Eq7rQEHFtSTnLBo+3XP58NbCovayLxpwks5dsku EspeZKnLn5I9kN7ULJ60zba5LmaCExWVlOxX3ZHBz4aJUCOsgG8JTJA5dYJUkPjnmXMpPeedZY2z iZy7WXH+e90ips4o31Dt1WJTAPjpKtBPQbCB+S9fPVJ15L9ChlmD+NvsGKG7KOVLjcjB5Ip9tqKK pA/XEHfNoKwXA7Y7HUfRkMm8s3WY2+jul/gg/CxbsTghxXWgMcEgtPk8iqU5/w+79bPn4xmohOXm owhs+YnFig3e7s2NmeVbE8Gr9HMJSOnkqj1/QxMRA5Osp2dpLiLAQvLEBYOR2VCJPKzaFA44yl/H AHywbNvZLkKM/JnWH0l8+NpjMSxKAWdPMfs5hCrl3+haWypovh6R6IL9RxixSgbf8G/LylxV+qX1 rLeQzdamSqwG0LUzzHRvCBHTuAD6g2XpGrkZxiUmgPHPONkLtVaM8VY+xP8dbVFzcOqDDiRr/P7W bbSb2QSdEUBsYFSkCRlBhvH/XXrtymPaH01o4W5PqGmbnIrjz/7zWgVTxPDqfAZcASsLzfn1v72V db82+94OJXL/Llw4gf+siG9M52ZXCREQ3SHnLgbbwoJTcXFvp8r6hIkVjxEIc9h782wIKaY35PQ5 1okt2UiBqlc1I9qS+xTEwBdZc3Axw6sVCFIHBEWZZGUZGiKTY0WoQa/Wue5aSPI89sOMT3nm3PDZ BmRPibMrBwLaLTtFtTitwRCP2pgg7TBJR61mQmtGXMTj04XZmnwYqeOcduTf+NYPS5AcMhwXOErU x508+RBaow3rEuklA+so8Z6sRrbKUtGTL1gY6ag1UoN0fiU+dpTIn5LjshtHAU1CiI+ICAbRw3mm +EowO7Mm/F/VKwvKlZf+lty+qOE15pjgMDqtiIO+6D2cr4xmc2Wjlec1/ej8RrJgjGqdaixBk5W2 fx91pUYAlh15qLzejmNoFkAMcNCpU9IdybAJoTy+Obh+6nu2h660VJZnZoIOX71AWwrYN7xYDDok TEYbPL4AwadaNhIJTaxKhEy2uEbzHGeHN+XeG0XXn9Ky5BM+20iE2ojbnXuegwgaC6cYUTn0kpDR ci1UhCoHXumm2BiZo93LAsXicwCp+5ac3/jyOxCxk8MjJSmattq6lx376/Ac3cikxhM1NTEcmDlh 0lv0+Kir87HxxtRKWUx+v0dGdZEQHCuSW28oSKJwAMErO/c3dm6DyLAgilBEaVyzP8jXltcQbm7+ 9puWu3FENZDRETLWptBu8QATiIbgPk9KCdIQVlDm9DoLdnli+8lLr55PlZ99bl5UBQF4JLwEdPWP jXRNQ7M1ce6cx9aNYJSDAUEXQPAkenLcieQs/RWItIzFiKker/EYqe3rl/jwJNBzAxiU4s06MqzH 5155Ny1nEtKpReAwuNbP0rTaFqIv57mT6jvzu+1Ygw2PiP5y5zFW7EknWFz5ReEILI8Ffn+Nikwt PoXF5UvAkohlpKH6FDGsGLPbf5e4NCYVxZvvrGoKKbAS4muOytgWn1sX/N4YY6zQyg6j3j3rDoEA /VbUKIz3XOaJ2AU+shp1ltvcpx/d7qUz2L0LYDq/fXwpHrgfY+ZAPCO/FpjmpnkuhoPxKe/j0P8F GvHZeYWQ8bOe8EtdQRFh1cjoQOHOZRpuyQqLBD9KRlRH7Dgw3jj848a6i+9Wjy6UmmS++uF7+Q1M NMxnXDxDS6pvr7L6AIR/CKKHS/9hq7tf2KJ7wWTGiCd4xiu9Y7U6xkPMKfJ2YIJvJjv5XlOlYG8Z IW4sMLATRlwJ8W5IZY9dZsvB2rDN6BRu/RNhYylZKnOnCGAMhetUIDebH1Gy7ZkjCISqMbQINX1c RlVmedUgo+J57pW5dfW9/UZMDYI9yHRjMWEOZ6vYjMESLP/7zc+/ROPV8GvAjJIylrmBF1197POn fLXIH3Nc4e113aT4V4F4r6s+jdaBJrm+3cQFTT77nVYamNTXFRPg8BksdLPVxzziyK7umKuniqFc Gr1gvf0pt0LL9zf1CACIIqCWYl+BUTvFHq/SUt+KFLKFTYjqfytUeIE9Xa9t5pPbhRLItjHJB89W NMvs537G1MCOPvZaaFMivQ3tvnZuKT6HAt7Sm0g0E91nJJcomkn9+ln37rnVBh8b/d7xQAhH2i/Q uHSVE06FEdIuR5wamMmziTNmQu7jyNa8udNGX6ECovvZPltxYY0yrdgNRz4YTh71Y0ne2a3TJ3sX UjgXOr+DVUBB9yVO7GbU2/JnlZUusLFUN7ltLz7nPo5/6DIH4sPxf2RHVCX9edIqx8xXif6/E/Sj XEcDyqaxcvr0lSyx7hVbuR9LtZZpC/KFNP6+GxQxW1TdIwAwYTHmjvWoRi0WlSUy7d+DYj/QqYcO TCLKywdQqqpXVYTh3McUn0xvdQb3wgSiph5gsKMwwkcYqLTzGWnO7VvppOzXwEPlsq4l//MqMQUp drDOKsuMInWKuy9hAUrelyzwwXe/zKEA1yll61pBCEeRWy6IMBoCJzKXF5ITJ+ihKBO6+3XwnRT8 yOyYT0I2yjfyRFZcNV2wWZCBluIo6v0Yo4YXqB5QQGSzIweMPnrnhYLlt3OpwkuY42O6hqRtPObl UC0ccTXeMwtou5uGbOhL7Gg4kGdwEu2EkglBzI/8IOkbL1Q+8WilffeKvGMox0w0SKm7cEG1dSSO B7Gtc0Mhg3oA56VE8EyJ0uFFenFPdr7eAaDwRrcOFTrXcAQ0Or2xH69PacXE4UK3sAT78GRh/ESH p+KYZ2NYEFVVqIDrUNl3ZLCGScLhyEICFz0kDNSR0C1AtKGvzobCt/KNJavWKS8L4SZ5u2/KBqXg dx5wlMH6Oqfrfd9Z5XOwA6S0uiCufwltrd7WT6qdLCTn97DE8KQRItbh36X0YcbuzL+rLpv4Ji5a 2uG9UfveJjVzCWckqVBKcJxXWEXCQYW53s5Z5Ah1zloB/Vux4peGyfp/TEav4p80xvKf2WG6L2JA 6iMB8Wr5VRLpiOdMy51m6Vgyg1XyoWYuKIe9fVC91Sfq9lEnfohFDundg6Y9GyriAs2dq3Oe65Bi nlNWijap/WWZGBZk7p2BacKkHZaqc5a8OM8X2LJCxrcEpUiXh4+i8gRHv8N4iwKvVk5pzPy+28xB mPS9wFkO9Vo7zlpt+yk+434yK2oD/PBeBjOseLuYt5oLHvIdUW2ZvYEBcqpKpuoZcSakw9zpQLrJ xf55UdsCd2E6QWmCcwE8xE23UM9bENwEelnEBcglm+OhTtClzGx9YraTaoUFczprZdM5VoDJtPkX gCLOTmRjALfzPzY5Y+nkDlkqM3nPUBgBzvbCbTh5BTxUuB0G7qhrFeHOoBOz2FLPDB9XfgsRqC30 n6Gnz3uU+4aSg1gO/eEDZ/WX2hG5gXCcpFoXM8x5eTbi9c8OSzykrH4Px27nb2EnnSAc1KKhx4Hs DD9v2TmLRuKI8xOjsiDPibvQbi2Z9yQ32mFk0FqXXj+2NGSuBuOJ39qDjbk9Dl5R7m/HKb/F6HFQ 13Ky4N5lSR2Z9GqHoBs3ECS/dpu5VNdwKKa/Fd2wKl/HbcaN9FkWKBFfGj4TFry8ZoJXisci2XGU nY3G+JSzFSVwtauWi7cYjC3/DTRvrUM6CqZV/Gq3Ez/OzhW9W9Bk5FNHNcyxK3I1sXqABlJH/G4A 5adsVfbAQEh5QNFVVDSW1mL0tlye1qYEQuKeNib/SIYnzQx7Nmlueoft3koJOep2Ffc/gHdJnMLw C+3dQawBv/awasZ2QBEl5o/rq+tTh4K2WUGpX/U1reKef79xnTz+nEeaATBu+pQ1dp9O7TpbavYQ S0CBScZrHwkL/nCUQCNS3CL8BCJsbDjTXSy9tJVvoJrxSn0AjK6NUovCqA+8X2GvqLXi9R6ReAdW jKlnKa9JXuO9B3HmhS+MxStwC+3rWV6QqFNN6EB2biL8wqzvSEmgJX38hrQROM706WTY+nVXLPeE cn3m+n3Y/sz5D3tRu0P0KTMMK+egH72tUt2V4S93KlPh/bNtduTdnrZhn4N2EckBAEQ78jowb8M+ V5PHleyAGrMUJnch5fOapQ+O+xXCNXu36ZAIEEINYRgF+6FYjZoypvRy6BuZTy/RdAs2vUnCZPT1 FJvJ/xbBJdf36nkAc0sYLNB0Ku5TR9LjMBGhd9M9PSYOTjJgKnp7o4pa/9wL9zfS0Ymd4D8Z51nA WqSG1R0X6d5Js7VMpQ17GSJIHSvUsjVh9SfC8lrAjlxNOP/+BrZJTPcC1GEDsU63YhghAFkIMsww 4ZuLQcAyq+vHoqfvHsEmQDZzWVsviYTkSEX32YxtmHdyMDaVUPBDBtB1Uh+M1l0JyPcIdnaSWrDt giBup/O8jQWpokgE6jKxf2bvoJCAu/ZD7t1qtBiA2RczH/UFEZMiSsFe2PXxFHY2gz4nF5jqZxwE C/Pz7rw7yC5Uz8iiK1mhjfnYWeO9n6NA6QORoQX+Tmpn92MH8FmYVtE+7QNqo/PWOO2APqHkPbxb e2Nyf0EEtesU0GUgKAMUCfD8jmBPzSN2H/qIOeZqJk2JUtQN6Rvcx0qmIhBbIKlbUEhnH5oYaHQU nQv2LmTQJS9sjvU10slqsSquB5i9HLEMHgVC5pugKdvHKQP0Hc5ap+XovClG9XIfl0RddOrhn6mK 4svyoVP8/YEOyf2EX39foI9vCZhaAAxFfik97nF5biWQ3Ia9TR+ESv6CLkTlwI5mcdKpd+hn3JVE kV5lYRbBmKnaxZsTyYWoX/uFFR88s/CI6WLVARODw64rGi/QaGSwmfYsI9VlQ1K9tYJngUQzOotQ piSbPkmxwtekFg5gmeBlYf8uudzCEMeyspJ3ARIFkoeOJfEOi634pTXKMbAc20Sk3Ii2liV1t3Mc DFmqwNJSNqeXxiHot9Unf7I5mScO1YNz2fUo0hslNY0YiMbNqP8MkGzr/Z5aMGHbgzrybqziA1mc lRETYEv0evm90jwpHqbOO1gtNUMBJ+zdvpTv9Hyq8lCNQIkkEX8U4OWrgawkHcmz0yrOK8whV7dl oJwIejZwydlIL7meTnmTz7d6BhUKPoxiPiXXpp/c4N0C7FuBiKP0IUYvd6xVo2wJn98FHrk2cTOF 59SqXjuPEViwWWAQQpWKX4UUiQS7uKxYcJO1oPs0CAVxb6nOohXn9MsirGhfv/rMwSX8eJIBnzCy PrxwbDGGCTRj5Rw+m0U8s+ORxs5Uz2svlSD5/KrfpvSRb2QR/tlL1TTdo0Qgnu9UYymyK12tC2l6 Ss7+F62sjIVJT4OZzeg6Mh3S4AhTzYHBonObrRLFdYVqXQM7ZSpowU5AhpCP+DAW2vEqXe5dotw0 LWuDJRmfKbul0u/WXBTZX+ViJpgMRYsfEkULqWkpeoaBwxo1obS3xE1xO9Pwg0rGj8ul+1CtXBLU jcfhJeRiGitgiPMuI63dFEHRewU8ohXji1yTTYLK9CjpM1KW2bVvSOMLTrmF/u15BehM7A5zTYvD tpG62rigF8oXbWxVOsnknV29bcOprPG/MuyTum1iT3V2zSMl/01bXTESZ+iIg4Uq33+HuB3TRDb8 Qqd/zqLBrjqmj1WeStJhLsYM1rudxWtf7VuF6ysOkhGwo23GgxAF+VbwP8FIc2lZgpFxLxc8cDl6 KsU4lQzqL26IOMjh47HvL2NNP5QviRanW1D37jTB42lqd8vXF3BVnOcKcAkB7ON9P0E8Ny4c8IQP cQkZcnc63ZjW1wjZ8BqnyC0+RMgqqOoj4klasxruFeMM127CF5ZjckyLIGyBgYNluqEVDL8NpglF qtg5ncqYrTymnEXErmFOQqkNqB+o28ofdE1C0N52oLBXtsppjiPSwAoYF0PAEr4U6SCdqdqXH8Bl OvedSAZxnxpD52IvENBwntQURCcT9V9Qv9iFplHmcFdgmoeR1hEympJVXlDkCpFvj4l/9pUvJrxK TiujUEXaX6iKy8YB65757Ot/QXZxZfflsUfuNoSR861iLWVkp0dDRHKz5/CDoXO4E8HJielUKXAb Vi4N57xAzJusfnrn4yZGMKnk3w6Wmj2oGS4snSdBuS+zEXlkqALTM3uXdKo6t8fv/ghbuM5DXqAp na9u9LM9MQ9bQu3dulN/CV1QPiUEg2Kf2+f4FirXbJOlBJm2Ts8MfbQTIqAvfeAzwYZKdV9AHSpZ Ln5lTGWckt4K5jEZTT/lUygDVmKj/GhedYUFlBiI7+DZ42wWiQajDY/JArkn+VMOPt5ZogOe6Xh0 VG8yxiLVjWLOECSXLYBO7QiVCxEHUcYDznkVXHP0C1ZdB4UcSTL5o1R7rNn9C7WTg42QCQu/c95S WNzCTWpZB8mN4KGLaIx8P+6NMrI2rp8oSmsVyUEb5zony9l2pJ3gBaXZ0TR7ldVZ+p7CGiEyO8XN OK08soHoJa+wLsLIGiEIqLi3vl+vtiya3OsBJRkUiUdD2xbHPCoB3lUJNXF1t5b01z5iBOfrdFNH SocYR1QSBCXkX/d0Av3NzvQmWUGgTyzb5k6KTzgxwLuC4g2nLFW5sTQDmXcxfwt4TZ5hwE6EYyVN K/FpSbHLK2uWIV0KQFLYDryHilUmWCJei4d+2GWGBE1Uc3X+z3nValiVEoRXVGlI3P6Vd4QZoM34 wxl1eHWFcuqugrPcq7JRY8frCCPWdnz/cfxdIsCG4YItF4zbTgHyBWXDkBaa8NhB30JFv/EeNp4J CSeDM2THG1T+wIARxHx3hxs1WQjh+ncvXLLAI9YEYf2XO/FkJP1WZ9JbqShWeNKk1zD+PXqdMB3t GfHE71sjFk5mKS9Zv2HAGcg+0ykeqtD5cv+MmyHt5z2mKaxvEPbtNZLS4w0Rqz7cF5HNJgAbYpzO OBe389hmdIlvGZ+3lzP54x5t/MWLDGucyzxdSaJh0mH2soZOKJIHfphzy+N+WUdk8d5qlXXNMSSV wIQs+EXlXL8uzkB8naLql7gpEZJC14NjojmmtWDsj9L4xYPj0zMLK8AphZHH0XURu6JChIGkBESg PalB9p8I3b8gZXaha16em1BnAjG7Fzd+gnYLiXgawVvs7g18blb52gffeiCU9wgocVXeT8lSKXY5 f+fI2yWbu6Or7b0EUopRmKdkym1wMqPDehEa03m1befcSyWcV4OZj5Mt3PygjuOSCpz+6+f+QN45 kwxVLD/ClLMtaJ19/FUhbHTom7hTH9mW22spHBxSHGIeGn/HvyJrnLDY4mufmHsWve8CPhytLiwY 99vHV1RqoLowWDLfPY/4DbfFoRplVSYELs4BodgPgQJVfrQ/6QYhp6OmkOMuuItZLz/nfCF7onvT eooiSAByiWzJlGVJVpPyFz5NtXcgxya/IgfjNMq6Q65ntokHVG+MTNr9uLcYE9rYEQvRqepVvfqF H0AxsiftCIYv5oikEFHzuCfR4VHaIesLSH/rt2f/iI4NtCeQm9fKzubnoBq1wypiV5Ubgo6b7kAa smpMXlIeiT3M/7SRvZ9bZhaLp5umMpultMptbv05OAqSqb6WGc6MTlzRn+W2Sn0eaq/M9SYjkmzw 83FW/jMyvU1HMA7MIj/U0TU5mgCtK/qVrm2Qu2pf+ua7Z5xT9ylKzMMCWlv9utJAflHiI/NI4/0H vVhS5Q1y2OL9HrRgn9fr3Vp1A6iDymjWA8inAez9IvMrVnqmIPgiTQQlNqP68QCPj8dzM07yNZ7B UGEbtjbdWI21NeRcDlF2xilYNkmCI7dtmshypz3VQwY8fqYEvKmsRA//hfvOLnCtliEfsykAJ19s EGlVwpkReZnuFGQN+9spgVswftwiJotK0jGRlp9L8ExAScNiF5swNQ+qNMY73AxusREj4Eg+N5Ab 85PmIkJuQZn/dFh0M1R8eTdEoBwW1tE1uDZK1hjATXJAUweHgXlCmZO/i88bXn4pBpU5fY8HwB/J FWXa+BLfMuIrTlRyoUOXzRd14QloS0rc6H1jyakssCUchVUKDOY2uSbSxM3nyj9qEFDsCdFNEOCl GPJeOJoiFS+v9hZmij0r+Kyc/dKEqLgV+yOht1yx7fEAjGxDVwNSyO0dV60ateKunmPyW6gmbEa4 MaEJ2VvM8Szm5KLBqnUs8YzPpLJ7JMkdlgOcFJaQDDBKLqBxtvQQTcfsR09c/mRUvtNmFe471xfc rtxU1GgrmtGWrXVh1n7RXh5MUzFIMWs4nwvWG4mus7RNZHWe1VltO83ZfVD8mCaE4CGxHISyfYNQ +Bw6pzTj5o0mgtffoHjPTj7nwGxlmG3MwaZli5l6zJ42Pnp9YEo1yov1c+RAwtCguNstGrpxVsun t7//A+BR/KgMB90/KClKbj21q2IpStWKiPi1//g8ap9jF6msGqJqqUmgsp2+eJBFX5BU3wSHzMBt GBu4gBgHrB0JcQalD0Ct/To0hG+A0EHTfJRiacg4txpt1ku2nnr54m7JrHVbP7UNnaxViBGq+0nC 3cfwCk8APKEhXOuGa/lVmj7D+c+FYJN6jJWv6DyNsoe00TWKl+RtErT5uI3NBU2AEuKdON6TsB6W 0i0kAWIJfplnhX1GzRPQTjtWoVUVCNjhy8MMb9s8u5YypFSlkvxjYHEbI2V3uUVQMyO4XZRQ1Y0U wCpECNT0hppVzNB6Onj+FKVsiZsSVy3ehdGd5ClSgSM8ewTrNPE3bjhEx8TjWrSBHTOqda/ChPmO fGgWDouHcQC4zHpVghDv85uiMNu3B4gecmHMaRwUh9une4Fksigq7ownWxAwEWtotQiS72MBzpBd qs0JOYkETVAcnijgh6uTRyreyny6w3l+d1/p/nfMgfSQbbKoyLKJct9sMvTLWLYbMteWbbfAdmzG np43P97Bq9EiBdqqfZn9wVM4vLAyn8NeDZyeKtyJ0xHVkRwEBD4IBz7eceY8Xq8KryRTwh6bQZPh 4+NvDGezr1r+zSsxERnX8RVdpZzVSSOWuMgNwC0Hv1DmtdUeyk9oOFrUXWu0Do3CiilHYgKR0pRw 3d5ylUCpG1RpoWx0fj6OD/16S+yoy8/n+UoxZUOD2d1eadtejm7rlanFaEaZck/Rzsn7rw0gZv1i 9nMybTEpD5omaiebM9XII+q3bD4bb7XiBk+qJlRVawnhQx1yKeEXJx/UE62k/1/rQtvtrQL9MgXu KDRbjmf6uYh53iDOGjXWbdFkDcerlxgejEPVnos0vadt9k275wlLuGesC6u0wP/nhKGT9h5zsSrl muULAKQOz5E1mqHV4XfQ/RrZ3vOWhj4rBVBmm1YIW4oZ1By8ZUgYOdHcsLGRiS+hjx4nKvdmOFEk DRh/ksust3ayU78GmFTEgP9xrHY8qf6BwJ2wWEpws4sgkSdZb6ZI9pwa7+2FXXSwx4Ni5y6V42dy db20xUYhqu44Z/jkmMp7iUF+ejiIygy8dXRSJkw21sNr/Cdho6HuHOqHMziPsvCSgQNNQCtmw30T 78io9gHFWJjaz56R3+hqbcYHZEgbzyHxWNPq+CIMRSxdKBw3PBu0OIr3ab4gEi92LHuwImayqGb9 mAwkWp0rlDOaHPymAy2YkLp31FhNY9v1cQHr7RkJRc0xgosLoWHtaTrPMzeexGnfcIxnO1uVa3q8 DW8/Gxc1s1qhmimiTLF6Pj4UtpyuT7bT3598uiQnsfwW3OVv6zUoa+VpHMo+F4p+kAmLpD0vcukK gmfMGlXMX1AsnVUvxcYnwJtlhm5378Unlu5bDiCrFZG2sDJrvF5CZ1kro0ViJTTS9syzgub6AaS8 WrIItBdYWDO/ApocnbwmDuEgvzMX4sO8QT/81vAsWmV0sOxYu+H6Ut9DhxZCb2LjwusRtbrFXblM 8msmCtU6SUtytulBC9yZXyNlcgdpQE0iEgvs9fgKu8v8kPzeZwJdPNeK9ZHam0E6Z5OnoDaDJPby fNfAM+T5VfgbnCl+ZLhcd+NVbtTPoVYUrDskaU6U6JUyl7SrM5krAfv1f6lomNOc4IVtX6nckgUj ldsVO2rJLoiL8i5L+/zySvhMLwxqU/IFcmUzkHGMq0Ie6I/gaWX4Rpqmr1p2QOd45v0YlcTsxMT+ 8LxHR0x7cLq+4zEga1CxPeXjE3FKfjr+DqIlZ3GPPayeqSqQE+5muzY2K+MG4AuUsC/VQ0ptfsf2 R0zLp16dYhcnHhhyue1hP5wfr02w5Ij76yy5xHdFHxZoQyvItG/clvagRwbTdS7cjRtBoZDNcvxg o9c708iUFKvbm4ZnW2MH0Am2qeoLiD7Q3Ngsk0WFDDyDGO6Vu4FT1BxtLBAUVRticlpRRe6w1E2F z1VZvp/88E/x+xyW0D2cloBv9NB6kDtFc7jWRMkVSaTludlFJQBQettgAccVghu6TB30O90LL4L6 v2TlmDZT4zNd0lQ1FCItG8hvks1/95+IiLZvhbB2zkGArXvEbeR3wSuG+8O0YyLdOhEM+EBkcdt5 z+rCExSfphHDp4QJ/+L6KN+KLOdNkWLd+A5ugsB8x9Z2UAPTFA9wTex9cBJ1ix2+uMMVifRXzU5Y O37lnbSpzvzsGskAxKSkwUM2slK3eKZJDeFehlCCXvzQVTHomGf0MiHjwYpPS/0y0wYk85d49dZz T4E+vYtL60kZXORGmLoHsrZDGBYI0HZ+Wje61pEQ2cV8EVLdh2t5IIsfuepR0ySB9WRfHChGITri +bKGbEEHOYoU+DGDa24Ra/L7NH19kNi6S57xol/X/ROpvc87XDXZ9rAx7NC194b3zXUVwKYMEMGU ZZlBxIp4sfu1KxV+MO2A9ECfGweOt31JR/WXe+JHqmA+RxuDSq0aIE3C4SNOCvsEeVe/oofrWRZS zfY3rKKTWYj7OLE746hNHTte1vYQ+xoGwpvI7fGSfMS5mUrq3iBllytU3wiI1OhaAUpCMuXQ+uiD s8Kvp3qp3aNM9+Rf2wCAMqOvAs/KkvjCUTizHpiXE4IES+DWWMllUqNHmZczp0v0SBQkO1IZaQia dK49/jUl0Q/oih6DhjFy48ZF2Ht+AnjjDnB2AHkPxmFhY+KaY91Fl0qFaiZiW161J45H6sW1qs2Y O3TrNR8j8gelvqJsB/5yY7l63907vgH78VeNq1n4xeYNnmlp58LdiknsiIycZjwRc4stx7o7t90z jjO1f689fN96WzMOkLO/3bO8ppZmW6WTdQw/IfxgWPNtmT8SVEGTRn+JCDXohk56lJbBqNsxlRcg +od6uFzrU8ofrrBZW0PPKGK4Xui6L6fS6VDAC6XjXru2saA66TNGEyGBE4s+rMCU2RqsQpaIJW2z M7FMPBChtcM9qWFOBnuoZcKoLSVzVtkjf+2+AAqqrpeyrvcFzIwExZj9Z56wQCV6rKJp6zgL5VQb GkwHbSZ6lCHzS4cUmG+02MhOzrs2XDI5G6Pu6DdgPTBismS83UE9bRWZqRfUCz+Fzc3P9ce1QCGm PQhgVNAE0BOrnMFovqWsdcbl7FJfYqOc7iZA0/BjsthTzvwE7RFR1ktouTacbme6p7GJ/Bjbo4ko sqdGMsG0pZkm0tT7e8Q9XNjqHTJ0XhuHYRwpVmw+/LeVL2g9cCtWze6XkV5Yvm7jRllvfAoInMny gBGKhWSTtHyQU31F+ZowP9eDJYh/gaFt/Eg2PAW9LRpbwwsFuDiXWDsYklXQmimzifyZjz+IEPQb xfzKArRkcfYEwBSw/SNf0qJF/prqn6eO7fDqKvpCJLDkHF+YnRUYm3lzpzAHgAVRpEAbTNrWouTt 9WgCanvkDUyBat0OhXAc6UrH8yGJvbgDCzwDMzKs58Gjm6WGEAcuUo6b5RHrhEI4tmB6oOCGqlAl c1pvrPhXqnXFTmRV+M3wyK0b6OkJ+d0xhXkMJaNfTpyYDC3eqBKD317pZnHqza88xbpLphTnxSBy FvgGmef/zYw5sYelKLeczFzyanK6WZXze1kexWEWBHVe8zelA9K0zLGlP27X+4Mj9WEWHQDQu1Tb sEs2LnOI2PTiSa8v/MJlJ65R4rZPfTC9sP82l2/Ong8LJdFp9OYpKMQIW/AkAMRFySrl0ZWA2Fvq OKi2s8p2MMwK8PI4TZVIhLPzsVjPb+c8VztXiEFRaCzWaF/jJ/1lV1cjd/Xf04Ol+uGMLDWf5qd3 ngrU6+eN9BnP5g2mcg1rv2jR4w0BeKOIG4AFea4T9yHcG5dOLYE+36DlBqJd5/xYv27mxacytGrU hXKOWe5yrEvnXOETO3HMz5B5k3Qu3fK6V5LtCl8AoaqgNaqn0VI3EkPOsXxOQFcIvG1A93lDmaTR vmkon60DLqglW8dLEI9adsO4VeMEHeWzGS9nQPJlV/IDwvhMCQLJvJvdbpkI3XSni7/kkp9mDjs+ Z7tYyPjfxvzxQDsl9KLu9rK1uptLS1WsxXTVKrRbyvLGIL+eNGxTlcYSe8ZSMPAVUQHyyAJv/Zad ysqyEymWrURTWYd3RkOzFqwfFwDPI5v3JmCfvmG3o6LOwQIM9hVyoOENOI+dPfJdYxH50jpSluMH a++8DhJsd885zqOVvyjRQHBv31SB8TlwVkhEIAm5ScLsNRe1yUfkL2oygEisa/c/9Sbd2G6RoZnd VY0+b+Fx7BS5dZPW1gr1tmerXnYeWfBnBXyO5IJlJ5Gy8P4ZD8+HGS7XDYMHqRF6zT+41aFxywJ+ tZS0Csh7sulwsnZg6PMY6CAqo+k9Bs3ENyapw2XwfCtj4+IiDaw4X4czIUVmDIbgmjUWXZtM9V7G gfdL1GjYgBpWhY5/aN7V7q1pTjOMKr09UPogDOOwFec7EKXvjEg62QzWbG9WZXI4i1LiX088sVTb Vc8oepdqpzLruUw2oLvI6SJVL1PQcsAHYw8fnMVO6gpJbUJ+0gh7nzI/HHdkDLcy9XaOKx3Yg7aC 6VGOoD2EjzeiEVxSbESkWka7CUCLE00cCgRVuYh9aj4I5DryOg3hQVwuIrw9hbpKi5Di2LsBxQQA AUTzdpM3G+b85g7vjUnWMhjQQ6zDeTlOgkN+c2AXXIqFd0Qp+KYKHmBYVhL2tpjzB5SQc/cKwFJn MC6HiCrhmp96lgVa5aiS/vzKAfwtyOj8Y9147jn2R/FQ2Y19XvFGfJdyS9rOpEIC3x8IzhEAYXYu LY8o+fAhi6gchlNxHMpdEjAt+/RpCWybkEjOzvEzD6qbG9vqiEaJFuiBLuKzMspaRN5f9QBFodp0 Tir/zRZoNBZCgbnIROKUqTeUrzLLJKiO+Zrf8lYulKdc+Za2JmUXXOfSi685/sXX4ag/ABPF5l/a KlM1utOC3o3bdVvRitYMm1cdXrHs6PUT/irkWZJTYMyUlpA2kn8sL6S1TrV7ehTTL+jpsWWtIc1d ZccWzYvfWFNprnQWY3gu0mLiszGBZp3owHy55HfNfj9eCVp8Jpa4kmeRmf0lHrAKERa/PRwtZct+ JqeH4SlttLZ7n10Odxgn7k/G3GTxW9Rb2rMIJ08lavH+M/T1Cs6fWfAfQVn0Ywnblnw/x5mI3Wbx 4zmmuSfW1CkmlEZP8VUT7TDH3jKENpYlp+r3RURVLRHVhfKlGRdvrgfj/nconmstBa/8Js5Az82o lF05zuUbHuddtn3g5MY22vWacP4fy+f7AzYWLN0qcpOTnJNYWlbJdDbcVuLL5MyG0AdalwxWpAr6 wghH3lr2tuSnxI0HiJ7Bu6WqYpl1pQUe/H9EDpeiDXbRqP5Nj5f3EzeYXauFFT+HfW4T7RGHo184 XDoj7f8SEp6AP1fxBbNDUb6VdgkPQYZY6TNrOIj6rMYJuWeVeOzTBQluadeq8ZYZTDcmFKdM/P2R 0HCQ177Ex7BzvWZF/mHQCR2k/6YLKbvNfhTXIWIAvmxKwuNhrwy4g5CzAYiZel1CRVeyj8S9VM1y VP6BUTLJgP/RkaQ9hvqOiz+jAhSJr+ztP2eXWU2SCdh6bcGdnVUluNMBYKApqOSHJtGm0NuR7ou/ KL0jMkaiACbE+Z/I9UF4TtRif8O3S73F7KB9zRDT5vaGPSrxBmZJKGTVZ8QpENyiLPFX1wGL3frS Fw4GLdpRZgwjo3TiBq7+l6pLYVqqNhXgk1AkrwXctjVOhB3hTz9/XZ3C8uXce5Bb1rAgyZ9AtU3X QQ8Ps7IJF8pABKKz1Kk32yGB/pWFgnnOYWBk4YitieUTvCMzP4iVlMWVB5+T0lAWbpJJhfXVhEd+ h+5/qKkKu16edQaRIjCsJp3lgerkgMm7VVS5OOedwxAo7v4sD13KIV9utHDUb/5SPCue3rLzAQ46 5twMzIwcPRhf3trFSPpb3fgWE7WP0BLaN1ZGgEc9DC2qH/o4lTaowykA+HhDGVj5GyatUvdeE2CK +ItHj0Ee3x10fyJabsDRxIpAPQdaxMefT/OnwndrYyleI9cXTDu1Z3/5a7PVAg4j1GHNBqzXIiPl jcDo58qeqr2I8QOXcBJDgEBWOcu9v+U36wiFZr6PPhhn1amHhCEviCCKCasSsppiq6XDLPC3G6kr FqjCnsABANahkAtmOWEmviqS6tcskVE2mZc7vlsZTyUF3MxEXgH87e2xOeM5Ihz5AM7olGJFDJ/m D9dQZckj5EQuYA4L1kvSdAccDV6ja1OW0NOGMiKaKEjwx9sIn0N9/FqvRe49KX/moenGg79aJtLg YrNc6RH26zNNJYaaI7VSpE1zJyi0oK58b9kYtRIzcIMswSePfn3/y2OXHemYv3AuPyTk9TGwJlI4 qZkzB6geyEA2QeC2MFQL2JA6Dl3YBJyT8uiMeYFE6fWVvBNNXUfVfDfqUKC3PYocYI6ObFrU4fCg Nnwiz0HYbwUSKFjOR7Agp0ln+O2uFjFwMq98Q8cxWANX6TgrKVxmYjZ8bEmzT+yVt2TTLgg18WcX IdHn3qHUCwd12nUuClzFGM1YqHcTcDpNqeQviNepaaseoZoPxNeJ8yXgI0vnnID7sF1g0f1LlP+S fu1c/oJyHkTe9i0+j/Yn9de/9z+7wjfOiYB1vk5hGHQhXxeHtIxQMBSwMQBLpp1cGtQWOX5Q23PQ RKWG/zrXqr5LkLTR5YUlZE/m7cIS8VDlX9OYlbQcFRFoSDqS0AM/Zbgyphi0L00FH8ezQ05/0MgF +KcHVYZzxDBeV9OmDXs672tRL/38Yu8dc6AmThPG06TXfv03aznYKXoDHMBnt9+SeTgA36r+k4ht qjV07yytjg9vBN6kgjTsk2Zb91tE0jpXCNvjLBlpYncs96lkX1hJWOsySRov32NWFBJ5tOQWjICW 2Nr3IJVJfrC4x6ZnKNWT3cYm1oyjaV3HGGq190EiKdPGmFpgwV7pb/k6vX5Gq9iTQsc9+Zjs5iji X0Q48xaVJP9yHFrwRaoy7+0XpsLXOfMvY06TT+y38VzqPALwk0YujDwPSPvSF/ui1xVqKI0B0XVz GqBK0mYyyqCkGHNRX+ndAVIwFQpIkpk3A4yKsS7rI0w+yhjC8cWOL2SKzHJJ7jn3ca+kUZTDa3IX OfHklbSundQVpDo4UVdbTRL1IsORW0RsU3q74bMMlHUuAEXzSvg6Dr5VdTVZcgmqJx9NgOhrNdfM 9AfY+UEG+PkdxEIBJfBj+Wu3CK+x4dTbSBWEAQfmBFf+aVV0EVAT5MNhal/SrlHQmR57CxKLQ7YU lYpv3U7DpwkgcgnoxyhHYHerhcKDoaJfXGwa02zwellwZV/NfiUELOkmW1lyGMGYBvsJdk6Z9veL 651uAKia8yf1o+R9dksP309Lp/JWoI2/wbvgk1t38oTgrP7NE2xXx4V9z5QbzXQgUGgX/6SfVJk+ wkXhVdGkVZ3UQJjwBOV4pa2WK3t2hJngGtZ4uYcmfJMdPUTxb5n+DDfgD1uvimExbP364xmeo/db 7sBf56zpue8vEVgGfBwQNnSKrtQQ7w5e0iQAk3dThQ8JW5NBNcfm00N7uaImQQoRm2uxwrrEFiRq d5BfD5dR25eyPVPapLMc2PIGxgGTcCRXVvIjNvRDCgDhmME4LRNhkELt/iC4ETtL9vulq5/scBJ1 IX8uCny/7QOGa/SOJQ3EWXoWQws30PpH1oGStv2ZCNgBlCL0swpVIDQAmYyj1S83oRo/YgeRBJ3e 3sIFRPb8d2XettaMID1yBh0Q3DAlaWp5UWxYdtkdPBCUd3L3HlevgsCcmBEIWjmM47VsY2irx5/4 pASvrQ5H8ZdpOBNJm3CO7U/uM8Ni364ypkUIL4eXNcTYon98s305THBnIsXl0MZs9sICPy8rS44S /r8OUOmlUeAvcdPuKnvBavibtJpAEAQxwrgUyjLtbzgM5neV3fo/KF6TdAsPWsjjpVzq0ZQG5XVw zeHCzFWsZw05l15uYzan4Vr3OuT3OJljvVuaxJ1QC0dtmBiooPoqwQcfUnV0QnPJJQ/+nDPQuQs0 2GxNnBqAjlGqDkD9XIto8tw1ZeM67ETZJ41UbISM98pcZr40JiBk7vgnt8NAi/VfYsioD2QBB8tn 09xZ4ic+wq1KDNOqXPfMzl3PWFFyZAcuewcuigz5t/RC/jyiSAySrJRdEhXndPpVtxpFPk8lf8fz 1r2sT4wo93tZYYSwwd+w782BKmYeCHqMNH11nOH8KNOYpt1eiKYSI4PbR4pUEkfk5BWSr8JzaAzJ MxkV9hUg4N3+TmPk0iauvvha9nZbvPw892/UYC9eL9V0QVwakQUL8nP7lHlqaASs/DyYoM6BbBJh h8x+pRFFsX6PhB9/38/OoVdtJLYYnDm5i6sY69TRb+QXCYp0bgaVDTJ4P8oP6qXHwYrpLqg0J5kI U9eaJZpg1xaDxbLnh+AIos0AI6AZ3xPVbDqXM+VteRjuFbB9GVTHHD6Tqz3ocNZJCYkFzdOkQ2Hg GiJ4ADfsE8cfndMe/79AJZob2fvh0swly2eQZ0+vjGPjaAx0Xf1rEtkwRT1VHUScbjQkMR1kSSH4 SgPhtfoWi81L/XFs0faDk8/v3iW3I3r/wVQGjcHXQ2yTA0BfucZpThu1YDtztpvQjSwUR6BNgW0F ni0rWCOaeai9Mt/12rGcUNn7f5abxCJRY5VhU8aVmVgOPSWT1InlNkDjKnIiA8UvmDYe0MCKikms p/sarLQoRyEKN/IyyYSIpcgpL3G3gl5NIW/66cbLL0kckGi9x0mCJmwUib7KiXaKDQ42uUEj+AGK hDhpCvvfkhfBloPS2qPuO4Gd7TUmwcRfBQT2n+FBQCdIdrKhEO+9TaEZf8ZWBhnzvsmJtWfbDu5G Es1UPlkLAqdnYT8BFvC+axESPj1S9BHgPkd9vcf9mINvE47ygEMjt2697IoxwepZdNo/0I97t+7I 7+GHDKDpDahjQcu5ZwOpg6gC7ReSTHkZjO81OS8zDKaw+NISE2mEFYL+gBBjLNmri9B0g6CsWO9Z YFw2oqiDCMNmAf+ZlL8dIB5Z0X4HJjEsTzX7ytFppjiKWIV6s7Y3xPNNr0BsKWOjeV6BzoCH1Us5 VF1qnhPaB+EyecSE+iqzu9kZKzzROD61elMbix/aD8bgk+MbS0vyog1rqZBZtC6/3rroVKvAxG/3 gJtJ4pH9l9jbJiIIcZCUo74gRXJFYw1U/c2RgAXNEWHqbzVjFL/OeWY1I0xdr5WbjhO4Z/8HvX49 887CuZi3iRqJ7vAhPqXiUzIIm+iHlNY4SY/pBwTDYb3ghK/aHUYvRbJieyQsmqnCYtavQQGVWrK7 K4AypNWz7XCXwOFt+eRQfYMzO5Nwn3ZiwxfrODHFNDGG2tTZzcEhFlNykh7b8gLd72svu5Enlo8U Gki+xi2e/6fZ9IWoSAYJxMJ/s8eIbHKQoxrU/konVfThamIx3zEnHmPZk42ylMAlz8HsCy4XX/+W M1wVV6SbqiIPNbmbJT5fAOgG9k44tz5MvoKBDSlkSOxmvYipmDcoKG3Nczl+IsXefz2rrY/+n9ej 0rqhMNStdqHQR8C/syReyZLSTZ8MgIK6prpjn+4C5aObj9MfipQM+7I5mIeHfAmmSdUMTTQ6XJAT m1rz5QkonZWCgv/wmizT2x+dZOpRas4kNnt02tdpvfSRe4lfwDA7arnJduQooSc080Vakz46o9G5 ZjvQF4pg1S+zIL+aY/hwRuH5eM4W1yzHjUXPYja7fQLMAOsLFuzceZcdMZn3w+jbgtQeSqXK+FP3 GTSrvcEKuL1SbEtsNaubeMVASRg1wmiQRLnUUFSaRWrNxQ7tTP4Nj7FW1q6GjD3bKRVVqp0F1MqC fapKLxYFh+9m1RNh1L8v275EwurhT8YxhKy+7s/o2j+NrxEJWoGcVK2UlhgyA4SbwSYcHBNivWfH chnzDQGX8oYets6+B2BmfDwYcCL9eEz3jVznM2fZHq4Ip8wlAHCP7qUEjQ8bj5YUzP0lm3tczsj/ H46gVuofXXWgp0nfo0FHeSIQ5SC+T6AuzpXKMaQUXHkryVssvwNtZRCLd0aBA/m3sRHYIRRkQMwn OhRs7r2RMs+nLvCDQr5L00uv93C69vPsa8x9wuwtFtDnlZGdG7Xf6slkujvsGLX+Cbaqlg9mJlqp qGkKs7vxGv2+7B9TsZADhVPxc9Zpp5bxmLlRj6GFRr8j7r1WS00deGj97RtThbS9e7mVKoVgPBx7 WbqMI0ImuXLcDJ059AG0/8ZrW7/bWe0bKL6IeaczuHytjkw5w4qrA0LNvso49mT2HixHgnWbwzB2 0/g1IAV2sMkO6YI3vVH2DVU3NhzATMf0+FH3LWtfUZJ4hXV5UyoA3ON+RQYevRzU9SD9WUqGJQtQ Trq6Xz907E9hQ/RGZVBVlkIsh9voBNTBZjaJ/7yZbl51SzWU9yNf2iF7nuGXSbaRaFQ/LpyqqOme CxuvFyeCqud1xVliVY9UL3xgAO4Zpqq1O/Iz6PlD6AovPBt/LWIukCQp2Nb3+9A3BvyIjrKlRnES OW3jrjmK8MurqK0O9l+chmZ71+kR+PVPWKVlBZNhhcf2TbBxFiHlxKVChHjOzMrc+a9ayXYzNAlR QPFqn9H0k7ocLDpjtWVNPe4+mf7lggTENkvFpZIbCiBq3QYNVJjap1AReLQUC+98+Ts6mPBB7KkV dQcIeqkyLSsCbIcTMlsrSzomSluJOJBbW6Gze23ulDl1l6mKYq7yA7YxYO2O9j4LBgdpnzU7NSoA vtWUsdF7v67Q3Nkn/ghAIQawBJa17chfQzZitTlkjrknHp05LVWbcE4kQPyDGGfY7bfLFr7oRB8p X9koyKiQu8xz8F9XAmKyuCmIOopppD03hX2uJCf+vjdfD6RQDJewybcuqTw82/677MGcZOgRMiXk piIXZV9e+Q01VTwlEao+CeBGfMiQ+lE+aV/8z3kPOAUQHyLykSZ+ZU5Yihp7Jisn1N4C7anIZoDd 3Mc54fjw4pFGfqffHRYByqjdqV4NkggYxOd+45NNCFvFVi6D2opePySOu5I9uklETAXwWl5+vg0u KF7WzOsUgFZ9qxFYe9LZKlT6SLfnMoG7CBk0mKm8KlS/IYnyEuaYGGL6aWSIBKILzm9IicsaXg/t 8Ng2r5elKadWu9BosBlpDVJSgm2c5mC3SJKvJQe79Tjo0OEAcwAdmMxC97TXc83tfLoS1nJBaKu+ RA9Cqu2bILqlRu3f6UNPZNSy0wjzNckkRdF4WkfR6dXo1RIxd2iykRIEBmcw09GEntkqEWrL8UXQ b54RnefGIQ7cE9IRfMTs3Im+OVbDqguomtVcVvmsuydZpfBq5mIKbGcN7ZS9oUgnJryu+FZMoUp0 OSLjmlbGagc/vvHos8zdAJuEm4KC2cEKIN7g5P0L/YsJsC619ffo3BBDlPro7T4f0nca1DMOMTT5 2Z3WUefBoWK1ulXsrWjIRWRzZMC4nuDVg3VkIm1Qk8FxQx0ygN0d12mRvC0slF3qTgqRXdHx5Xss JbuHx75sRWFEAw4d2kYOABGfq9Li7Rds7h6I09FyByvJWRmShtkfaTVN095tZTEA958abzWncU7n hqkdk2/1fUNHvnMc7crrkbjr1df1qglWWc5Ejnlls92Bkwxvhef2w1oti5VNJiocUS3QyiLP0ZZ6 CWA5z2ZQ12ysgNh3V/q89TIJs+98RFUi4lAYujsjimpl35ruPdWT7S3+kDi6gQpKiwxCKFpZ4+B3 2hMyOmamVBrhhjQpaus7s5P7SWAeI2ZAAxvYAJ4nPwsKjBqXj4Eue4WXfELSF3g7uXVQ9zxmiD5y pz+4x2niuTBp/+E9DR0Xi9c9Bre0tP2mEvP5DApwPggOchTwQm+o7KLQ/seeWwsr2X8C5NZLUa9S LffyDmWmbrvOHCYQDu2P+fDRN6p7EeXAdY9S9XiKCfNQefIzGa1QWV3a4kwD+6br56xyzw6sgT1l oxf22BAO2UcDqqDkWvLWQKG+ryE7I+LSWsRIwLD40NpK69Nn5zxendtb+Df4b8sexRlrhJQsye67 vWAg+piz+1XpjICoVoJeCiXC1kdcWy74Y1sSRO9/7xhTjfXT8Q8dzKklJv0++CYff7h9NeA12UYH S/pO+vM3q3/8EyZgj6iOxIjRglL7YucoSeThzbWZ8rxw3GgZSXQ7v2IQArg1p0J+mkdyk+Petz+A jlg5QHMjTCzRj5GoCZZuQpgSUTJY4ZMZ+ndFF44v/UFzHOplUzlrDn3BvkglhWEf6Raq42x+KsLD eSCdeopotRHUCyZ+X62cFSw9r50gqNH2GHOow++Hr8l9iwVvaazZlHVfy7RkbrZob5gAND2qfMTK pjgMeNKBE+53n4G3YbWcyTP7MvAbMSAzGvOZEHxveJYJr8jqhcOPsmMOdRTfJIw0RoS51Q15KmP+ ld7MgCVZPtFEPrhTNcM/tfxGgdlx2C/nBLYw0lP+j4jsS0TC96QkDodfM1p/3dcqY/fqrZXt1hfc gvz79DULG5vydzdcrLtubLtuV+iGryiij2C06wqhOzJxG7hLbUh8SMvFw/2X8Tun2YNwNcSg2Vnz w++VgAYrUbURwNSTp1VfACL+SoFiGxu00PLqKKFpv704rd5OuGtm8WxUefGRT6lLUfJsNd7HgzB9 IgT12V3iGhNnPXMU718qE4sIyZpfzGk089cUzZs6540HLSwl9YghfNv2vFjOrAAMwVCEdSoEbpQs L4OEmAB/sebuKjzFpKx7Oc91OEqT0aE8O54i34FLlvNUM+J4M0DkGWqroR6X3Sa2PBVAV0C/ISXD zGRmc1bFg3UtGW9VgtBoSSotBfzpqaBVcLIvOUmEmZlGdwUdYECbpUzPo7hFKUrn9gZyMyzclI41 QdPB9ua2vmyH8cLzJTmFDFX9vMv08FwrAiIRB7doTwugp9c1y/a0xjWB6tvhVXSiCm9RCkqPzMGJ bvPbto0sqecJltrzVuDzC62eC6JPy9An32hO4dAR+4pD5IRTW2TCGmzcgg6Xu0vuWl8/+Br4P7aI wPaqwiX69qM5b4fghEvDQ1GAGjby1FUIS+jOV80QoLCj+4ligQBa5ks2DgmVlIQ49jVHu2conLea Dn8kBzxJxdHjzqEerrSFFwEmtg0Gi1e8CpE1AfijOwOKrJdbFner7Gg7uaFRLUD0lme9wQ88wQ3F JgflxlzeCoPp5CBpENAojGmMEHKPlL6ykv8eoYlJ/2WlW0QJyo8zDkCTtroHkGIyio3hEm9Okcg5 orMQQRf+lOMOzDJVzGVL0ApCxYWQUoni9gfH1gipcXuqxXBY4+0cto8nv3nSZyht1POqLhgLVRff x6KJ47wM88Dfz6JBvJz8RKPX9dGMd9ygs9+62Pbor5xhgzaisPOjjJz+N0+ro3QQQSprJlcxNlDt iUJ1/AptKk3Uf2EHdnGGCih3+auVGz9qS1VgFHtAxXeO4P14RHtXqHteXhwzZwMSrAhu/fMm2azK iK9ZFu/HJkDvOpmQFwQxpiFtwGF+eDouFVriW0aeRu1m8OOKaZfJFi9TDLYxnXKWhXxyweDYtHWH Ik3Gv1u95PMVaFafcDRSJtYAYI/5kP5meDcr0fFaYAwvQXhtx0MhnGl5t54C9TEX2Ofpc3QXiGoY 6o90V6FiHl/NZm5IcoGNQnvF9VcZwdMuE0cEJHWggKeVxO73o2x7n7oZCKuzN4c0FuC4GbrP6mOf ndI6/cuJ2sLEc41Jaszvt5053xTfvfqBaD/eNtic6eLZdMKzPdsi79MHEtb0YaWiz7Z5nLjFZNLH J18qd8wm9VfQTyW4l+b/c76/o5n41pSQ2n4OvMTv/NceOp0DFHmN7XrB+0peL37j9Ri0J1/TrGMW XZ+1DtO+MsjWb7cqBLxi1JjQ/dbQzHhv8SmmMOp+uNt7W1+IRkE0Iyh9E7FSpnPi4TpX5IzwJwRW aiPkXBgiPDZu6hNsAJA03btOjMy51ylJEEVK5CO+7W/IAzYQbZ30FwA0bUEOgkuo+l/yHbpyMbnV jAukSgzDUNLigTJlYIQbSQzahyLd4in716reM2RKhEIHMDRZoLFm2v1Fo2Xw2Dtnv7qkj5osg/Qj /6H46Ovkl72PwluW8HvEdqlLezjGv/589bK4f6XZD+Vbud0GlKO5cO8ckD+qKlH+Fzax+ya+UWU1 8fNIWgIOeTcm3GAjA4it+Vk6oLgNsAFvjVOWbEacGZgdyl6dYIVsU3w5klONaGCI+yR+N+LPLoMt JIKjHSlwidoTUFUEDcAXMvQTtpVeJgKDiMUs9iA3TcfQFSuoPridf8NOfr4V7BfnmmkC0KjZohYG zA2VY7B3K8iVEJwYBNfWIIik9LAtY9rlIn1vE0z1lZXIWeHO3oVFqUM11fSrYp5uxhqbKOgWvW7b IVZWZaJjaG74Y3HJJCyiLatGv5Kxt8AUyTPhqHIsxEPdENHq1WLZUrCfcX8SrayaKZ+IH/Jsg30o JfQYObP9WLsqyfrqq4KfTPa9NeL24SvGD7Vl1hyK211BsNcKmQwA2BC25jY74UVQOFIl+75tDPvg Mni5DSD6sfb14BabDJXr3QE/WUAS99x+7pbVATqkVF4/S09u/XkweWCPz+fwu+SVCCNA5uDHo/Km 2okrxh4ZiE4jPvtHnInRnpiStGYX/lT2zzgQOeYEjDfjBhVCi2MMimk5Uj7gkS44A/bUPUZzSmCu tDCb8tcZfztrBn9/TL4rUAWn2F3scIFsan9fK/7BYrBD0AcaaFZhwBANS3WTvj+0evwzZ4V5+a1d MJWnM5uTEaNTbXZWlYV2xyXM8vhnjBGou69f5rhSa2gBz3Gqwb2zS8PrRoqOzNCFCuKb68CyhCta N7+04ZhbCbmz6jvWXamKHhrQlKGrxqimSbsNzfUoZu5/0Zxo4/NhlY++t4vKmWeayKCPB+lOWq+N ASnV2PNEN90NgfDDqJerdhkvsBehtLgFKHf/kV0EmqDHyidf7OzvBlfHrL7BQCzeS8oNfheSJ48D bx2slQ9d0RmNRg+5rKdWoRFTafIvqgCdaFQSOvC/oUwo9KKuSXzxtsV6v971hO/HDtmJbHw/2w3s anz2Rj/HCHf7hlh1rBwr0dZd+hiRoRE0jaZ0HAz9MuKPaDek2iFyvfycVQhDtS2WIekuCEGcWJkF EfkB/pC1Uu5Yc7JnvllLZpcUkHHqiXjMCIi7vQuFhQr/3MvQ5ZF3cbD0wHz9gm5UNqkJlz3e9sd0 ZEomRYEeOuTIXwedOXxQJh/aE/vD/q70jltcNZgrOZLWZhXWmAS7zwpBOI7bym/dPAopRjLZWOSA Ovw77xXvrx9ysaOjAh2GdtNcAaYI0evfwtg6DYgNwkvMTKOZlPQbHprD6BRz+WnRm/DE7SQGTRVZ UEMLKd6408w95PTap9y2T1EO5vGBj/boyMQXpsGs7pH+xeCOGqeuvnFrCAX6skFF/AUlwNOQI5s5 a74XXTotX9Euh0YcMX4IKEZjaLqZobcw9gPFa3EHNQuDOAKtx5E/YQpNWPnetzmJZtBnAdgBO1eO 1cRn6U7U/DjwizRmGCZ7sx4oWvjk98/drCQxf8SNRU2NL855orIeA59rW9nu7CIv6UyHbWAcsxWP wIPFpJz5NFeL8I3gW/e1HepkB0BDlIZTZ9tmIYLlnHMjoCfMKL9KoWKon9RWGjRD+tFfWDTyzkeN GcB6xKrQq9ny9lVeSV1Lzq2MgFdUt3Z1UHum19lHi2FH5CdZNlMybMEK+QCKXbugFG78RY33vk6Z yEGsehKaDIwxzXVBpGLNiuW0vwXOqyIdFsax57DuCuMQJ5jH5BMvUjD0vSyGdUTpuVQ9eLo/tImC ihuJyOMe+5CVY+0+N7O3Tb/cm90lfnJWuPedZk0aU4zO9Sp72Rkn5tCwJtt7h5cg68xWmEnwEPBr 4ZDIHyAONXM4b6onRbdHNMWrMRLBH86q4RXXT9RFK7GuV0sB6zCeTTwnjgkH3pqC6jNfGriiRhln dTRm0amUZYf3kFgP/ymfxANOHcCnNd+F6oER8ylIPq8ZCrBt58PlVbujiSqB04SFTarw0CtRKWLL /Lb5HQq9y/Ndxou1xjcYLjzU0JUNsgT0VfeegKct536bbwWX5diyxOdibXAcYucGu9axBHipgbPM GE+CTXKV0+MIii4kE9kgVDuRmvSYJTtr+808r7csj1yBXqfifQbeLb5JAcg86ZMNtH2WhBJ7Q6/6 9WsNS2OlSMtOv/KBe2cfPnXUH8iifep0Yppg07rShT9ohyrG+nAcCaUGFVNx0XvUOhQ5eNMl06ah QRC3UG5N0Ux4ju25rIpdlAfKHe7M9CVM9FsSgkiZ0MmouRfwSx0LQeZFcowU64YZfBvW79XaTBNi hjlUzdp50Z7Y3ahDTCL2wXKPnjiwksqYsp1EDuRML3U4BkLEldN3p4jT0snU/xPyLn64NIaXZ00L icTBhiPrUpPn+RxCTRMJ2qX9lR7up0MzsFzelHtqHfY2r6zw69bF1Oi8j9l5VSP63UU33W180sRq FDb5sUdmTyqdgIcCoJrW1GiSh0quDx80xVH1NPiKYtae+8IO8SaFpet3tQz+3NyNF88P/ZYREzAZ QILD7fXWEnhImnMDdY2HCi+9DyDljQcj8H2B+fZx72e9JiB0ngJS5nYA9RUW3wVfbgroS1H5vNWT ARD4RNJ9edkahkpbd0BBls72pRUIKwEVedKWMPq8DzSixJicnlu9RBc8x56Hh2QZgXVNcBmj7KKz gqarCBCYrqg6WpDSseu+qWadTjFOqvL/RACIt08+gkARo9S6/lsE8Hz4Dbb6M5MKJt6GgnzSYH8x 3ah4JZHW52xdLAA4budW+bYpQR8BzCWsO/A6CdYGO6T13KE1bg3b4JuSlf0OGTTMD9I8GFNJY8+i 2EGh5AvuKF/TE5btqsVUTi6+03XchiqHz49OI0StP5S/nznKC4u3fZ4MXTKdzQ9LDMQNmsjxjYFg QEmU7UDhMaywFvvWq/vLRUfW6R8cmWPh5rPXqCe/y95gSoxrP8L6g/r1hMob2tllniEGNDzutzA9 omEydO/vlxE7kNxQDqkbI/sFyiInJLYqIyh/nkNiVb4+CtpCHCXR5m4saLHBoBeYN+PRH7DAri02 91ShBAM90sAV5TxxaT3mwGx1pOD+Vpj7CsJhGMiHS3bB0YGXVeHpb3eV6dFoL1WLL+5hVBqeQbHt BigzcdzO0xs20LCrtDzaHyOc7pcZpWYUmeaS72W3BVxHMnhzrZlBPQVhcF9cgKCq5nscLG6HWgS9 jj1ykfkoItdbg05Xpi7/OtVRqM4p011dry7J+EGLoQZyGu8tXq2omuPIMpLuLnfXBY+AvjKAmpiW zRREg4S1xgrLIkmKyY+g7htqVmf7jLlh3T5XI9jW+dsbaVCOJtvHF7n2bnx5fx1tOhDjeC9cqEkm QmY/KfiTLhV5QdA1EE8NN66l/BYjoi3p/ay4pX8lhETvNTKoYwdQf8K1Vdz89dnwA86ekjzPtRUC x16t5mfrNZDI/rzY0UR6PelyQVWz21TTKVAzqQtv3gkZrkmDT1QUyIjfjm8lZ6pgI6ESc99Yi9hF hDtjL054Al+zg6ebicIKftJDDWUMaaqJHBhgoPCdHJilffBstHSYdP8CCoHb/Xs/z518a50k4pU1 w3Y9Ig18YgMYhpCDg9TQTUrdVH6TC96NJAsc68HMRMvX/Q+0gkx6uo/pGkUD208qo5MozxpdQGSK X0YgN0ZzyyJo8MImw4m9si3ij+SrlmHWjEq3hT9i5lijShH1oxDFTM+irGyecYf/hI+7JtIDSSL4 6D89d/x+NngD69+3oE0Kvt9yhd9Ekg+xjqGS2RMumKwz1R82gmb5iSpBUt/M/WBUTlIYT8uuY93j L8YkdHNIc51QXjy1WEWbpbkKLdP0UN+OxO0z8guEfXOKs2Ip0g2XuA7UXo/9MY0canIeVx0zUVGM h2r/Iv2lRt4+1qRBJRSzG6ugNujO81A2Jj5zIPMnBxvrhN3j/+Pm+Ahj4GPuRdXjkcdEaZFj0Vck HURGdkxykq+195Q7QzpzqvrNWBjA2hEGxTz0dYWfMiDjTL90llyFeF+IelYiqJaLI4QkT0h6UqPF pycuNAP2cC/w1SurfY4ZhOs6+aEfPobsH8l+ARrMhNYHOvaav1x5C3ufuRCgTzJIC52czldX6FvK z4p6m0XT9VBiaCaPstvWXU9y519nIWLjra3ALapV1VFJx9TGG96iSLjKkq70adwgGq7R41F4zhUW PW+bXsBfViXj2AWpV2j5QubgbKb5zUhAkBpwxKa7pEsSaTf3wYZSRJwltQMqj8tk7B4htGYAQwFe ahA4E5u+dF5DsFRahKDmGMoQjFD3r3UaCuNgVTH4GxnfwOb2XuzvHy4Hwt6GS0SRkqQtpuLyrbGn YPAc3QqYYzn5vKO7HINEuHOLKp6WZIi4AAo80+iMyM5jMTH3AOIPRBvY9C2+lXk/FeZONmj9Hobh v0BOfso/hbgT5LHplxAZNG2hn/whQ+44i+YK0EINg6Iys/UpL+RSqNJVYw/3N8+zhRJZ1lOtc7RN RRQOeuu8N8ecmn68Oe7WaaG3LQomttRzPPOF4f8nD6Hxky2NkH3NhQ+mx+sMDLPAoldJMNtSsCwX QryIzrMNlkhGsI99NacKRu7z3Dmi2PJ0uP0cQdrVjze3V2qvW6V9i4PLmpT7ktDPDMS0nkQTra2N +vBY3Vsaf04nGyVCUmDxuPzpQYOp1746sAyhJwV0/loUD9sSiRM2vSGQiVg3ZrIdpszeMxNf5SsT QoEcYOU3MQnTyCuwmnN9UsOPyiXibQpwCptbTgopECGPwYQFc0hZl7ufR/8P5BbuPeyHi+mh37Mf a9E5Sm0K0kK0mVAhkOuB9be1mDIIxImW+b47zHNuHcPTcuexff+0MCZq9XGcSXl3MIrvGcys8jb8 n7vtH6nFF7zDnd+7uYDC6TCemxgJpWT/LEbEkg8qbxPSfbmhr7UA+aCOjp/1tze7Hh34RDP0bfDf GBxkSAjoGC2CUO3AF2XE609CKXkqueMV8lpuQvj3RpjkHkhYzfsJ6rjynu68n9/QMKqfBHZs7VcE IrqV2Hrw4qCYnhUmecwVniTFJgqdb0Yq6xKT3YA6NR3BdxC41DTORO2R4AUna5fSIqDSOMaSZb3T smdk+MDsNP3fWHr79rme87fYhTNsYQSPLwLj5XwTeINM9OlzM6XhKN8i/HYhSAkkKWtjP7+oxYhc 7DXwH/JS2i3fLcbwSbI3sZs/xxdHVLALE4QgVEJFstykirIrGjEob2A0fkVloTvjMbDbmVLClOEB fsM+N2AkJ5S9XYZtDcFUNsVg4Bhv6QL6NVMv/WBBdCNQAltKNsiahfAm8H6/oUXlGwJaloA1WIfa PCMpH2kpUDiClydXxMRbZCGxfXLvTBrSTl7+ebWuGJQu0Ga4leoiI9CYOrdaf8c1sVXRcj1Ilzl0 nNOQud9nH1cQkeEB/TzZ42h7d1A+MsNgH0j0/ukxx1LedXzHFNoiTkisBT1z6xsSJIpDhBh+ZNOi tmGCGZYO1k/Uz1L6Yc5uWYgSzmaX1fbf0wvAvkU1PAWNYvb5tEJegXxKKxQUk82O3XfoXcCSwuGz phTyz1aBugJfLLWj1fphC/Suihc9/0OjaHHfdr7Ymayvzn8dIIZqkh+hqlcmpM3dTZ9KslqQCdly i4UBB89jjJ++k3q7UepKv3r/qWuvSBMb8XlHqC5oyAnTcb+r7GBx54gH5EaPkJJ74LcBkKFSjyuZ 8lDM1h1LSydYszpwm/gH5F9qbz0NqBPHdS0K3GNSbC67Ycei0dFMpd9Z+Vq4UJQZwzoUs2Je+auu /Vxkb1HzTIzSIG/XrnKgy3Rul5/tRI/bfBwn4F7lqHqRr3BNuuCsd5z5qD0gGbZHnz3utYshAIqe 4kHJfTlBP6zL4if6lOE9RiKYx32JdMF5OXM63YiJtU/CVbJXIYrg51r18AywjBl9aFEUkH3TsDUT xg4GTm+Xz7EIz5nHt4ZGZf+Ww3C88HRR19zWum0T74MXZydXDoXU6Rjg6Ub4AKHXWTgCJvpAwNJl ivq4FxA4HKsfCFEOFrn9Ew/X//l7ArlKlles7Zsmw8lP/2DnDVjAeKbXwOAkfbQYYZq6EOhU1kt/ rlZjGAiwqr5FYM+W16ksu3B86F21RsqvxCwMUsQbpalb3IqV0k5ST/rJi6BFgRlWy40RxzJXpWnj jtCG1y7bRBqyNnA89BVK8x/9DOgCy8Q9z9QgH2iM1uYGXtykaovBhPVQ6tvE79XP8VI8fN+88z7Y oy9S+Ks5nLqVFItpTn+6fN6xlXRNAOFycQ3X1kqF4JaLOr7CB2LFdjIc6WXIpn1kd2jbF1ElO8Eh Nlcbwb2+Dc9JbiuN0+ZupEmEQQ7vU4LGck3tNryHxH30y0y/9EJWB5fUBkkXkYJpMomMqbPDCj7f WrOAbX/E7gAACknPDkjsSHJ44Fu2lGf0SgTy6xQCVg+uAR5bi2fPbBb66QkuFAAHvMx7Nkwxg1o1 QO3G+V3OJ3uByz+dfGScjpLeDSJl4k70hrnhFeviQAYs9+WU3KPjoGFw5LwbQhScO1GC9Gpe37Ow XPqW66lPdJoej4Fd4CRr5+8Ub8DNY65Cc13FR0LgAoqSjsXCGek+9Ud9BqYjAfLQjFGnexq94a9C ikE/G3V4CXpVVpE3voOo297tgJ/RTjW2WovE2X0IAc9agY7nSgnn2j3gDvkKx/w+IWNTrHGa6IFQ WwEpwaWuTIfqz+na0uy0vcb08+bhRkGBHVzejFc9xtBiXIbNmnNQSr7UQVjadVfMM9YYWtnSGZIZ BUpgJW3m/pkFBDYrtykJ454+0EKc3Y2Vq9MVpzZQvaBc2IINLTTwKLv+1ZqJhYzggc0SZuP87Qaj d6yQQzbJEY/6OTcS1LAKPCWi1gnyj2A3pA/syRs50Lt4T60Emfh2ZXpT8uLfyflUrTlssj0OgsVy vl7QlkUaTQ2EajUAfF+xHR07Nv5FR3wiDpVGaaeN21ITZDZilC9KlwSBMPDN2lzcWz6eGKTccs+Z HhVhaAfFDrfptrKi05ctV0Kl3q8aWFQjq6Fj8FlNn0e1LqKK3VSD7PGqxEPf9BYoG9zG81RtNrAw 3D0i8x4nh9euAlCkVtouffGkhVRXre0gOiE9vTdy4faJp8rkYcksfrJP93p/mXWCK0SlWUObTh0j /ZzzsP9mDzy8xbtfhKCELZ8rtdu3l0tB3SCvVZYoyYaaKOnehDvZDiVOssb3pI4YCB2JWzUPOWoL ncXCjLPV4kKo3JHMEDyY5A2cu6hgC2bvt1EAwJpbmvvivf9GlVlw5dWJNcCdw9XkSXFqy+SKUubD hIeClzGsZ6Jtn4t+aqEx4Wbz2uN1Oe59VTaBvh458sh2FMsfUb37EQ/uW7WtLoAb0yKe1pkH8Kre dZ466tNsH34lpxHEDYALk5ljAnOOCsTYkcDtoxcjwNbLm7rx0BY43q5JH07Ul7+KWzCq0iQ5zN19 VPrz5mo2bWH3k3oiTLkCz3N4lNQjNG4FVJQez6Ertg3ChsbgkcFc1YLPobxx8iOgaGIqmbwBjt4Q RD7MLnJfRn65G9PdrHWF7Igbd76JFeXCJ7hiGBCmv/NeQjXClanSXiA7Kj5T5n7LMhNdyxas00Pb SHHjfbV+FWXqvlPcwhAFJmJInIVPM0157pOWj9en8qq4+MIyOXJe98beqhhp39uulvQhhRJ5X04g RZm/VHPfMWzv+k16WJ8P5eNXOzP7/OaJ8keP6NSTiWyahBKOCo2hbjnhm7Rfi7haS8HV8rVZYBPT Er8PHOt8QksdOHigNtl763NWya77DpFerkVjy9T5U0nS4cp4AatZzJ1+i7i15J5WQpBklujZtSUM oO4EzdP2vDZLLRoSB+VuQOTZoathcKJAfjd7C2srbScTnMDyhK6CTzwCaFtQZYniLRVX70xyyXgg KaqK5BQw3YsZ/9PIdP/YiCwUHq5M8uQP//V2GB8Cmgah2knyDERZAiv/ER59MC3RO+28XRSTE6nY iJeApV5BIAtJovMq8gt51aHillih7o9R8n52ysSolk3eKYDfFcDXTaPfrdQ0/bRjtPpzKfPKt1Zy A1XhTTWdlyvIseThHTrGqVr+WYq7950bEdbq+GaeJ9+aaMdPqdsRkUqJWKcyOdeiAenxKLFeS+wd BV2sLWwP/Lw58xeWXwAanD80pdJ2GKECJrZc5dDxL3iwrB/znXvqie1ha28AmAXyFJlLv7jlAVO6 x2/O3y7zc9knV5IaonWkeZfp8riKwxvS+2eB9327ay/inn1+F2bRTtLL8LjnGbsL1CnB3IoA0v49 knUmAL9KmS4p36+5kxXvw9fTzCWehwcn3cR4mg7Hg0uPgh+vIlpai8f1iGfsfE8j5pHxKzC92jkQ CmsnxwYWkd1iLl2h7/LPM8Kp7J6g3NqFh5ZCYXmJbTqfxWK9Mp263sDw0j3bAp5/SAggowcBK1zD Xehq++Ntuw/5m6WyZiHzUR23NMp9sWgrSTa7s25AXdEeQmAodFC8Fe5KHG6DIImLbewOFUOvXw8/ AuIX5BjpVIFUIfBRMnCzC+bPwnUj0bJ6F9XNohcEoZfBfAclv+t7DBNHX43ZW871I6udLv5AJax4 5xEr024HpXT/1iBXvzl8n0EDVueyp2Yu6vWp0Q0AzA0FO5CGZck5P1aH+QP4VJ/zhUp/4bGAaEbr 5BzcpMU+yfu2azGO3smAQm7+SD5j8ij9hKhL7kHkTRrJd0iqrRja4LsIvqb8KIYIyUa9jxKaozq1 McTZUOss8wORAw0QvQgB+HTVciXQgrOTR4Y2TkQwRY/A9MRBnMGqyyvMfl9ZdNMI/CkLYRZuS36/ oOxaRvkQy25kSYtzi5IyUDoi/a/iIvmJPZjtlpUa16X366QDLYpGVxwbIBpfV8w4390ztup9Dyhi a6g38ui/9ppycBgFO//DztSo1zYz4BOgqHcjOI1XxxsEpvlSGYBAvEHVdP7I9X3DDORB1MJldXGR Dcnm2+A/rnhIrtKKhCqpy1MqvDDZFqJuoa8PF77RbcIZkq9yRStu+dJ5VG/NHxoGpfEbWKJEDwbl xHQH+3zoNz8Wc/URzW0f3OHF+iSNAH9/jZuhJOVFVuDndoTilWq2DPysPzyk5zB1i9fk2g1Qgkb/ Vr+0TfMRT89GIak3F8HVyGLJspjDAYE13DhYpaQ8oAYZRFkXpoKKW+QDNgGfsmDOSXDPEFFexsb4 r3ucdhjjsfGBYDKou2WNGU9Sf2jPIVYFPjAuADVf88ToyQyzEYt6IxOqlW+96nvzSP3xs7guxoY4 wdkdGAvDpGIFydrc+qNgMsueK4F3sfIDq9+hoiljLLwk8PUik9FepTSND0WcMbD3yvkHAFvDzh/B vQsFgVKKb4y8Cb1E8nQ11JQxkNeG7uQCAGTdznLrphbzf28YkKVD0w8MXrnnNT/Auh6Qc7mkbx52 A1KsDmIYf9OHIumNgsZ172LgPFdj6JAQuRGhoehgUPKFfzmGo5BfhF6EdEWihwKHawsepdJV87D8 TNxIxh52uOE72mFSvZ48ICvHTqkaHFeugbGon8ZLr4RTAR7hJ+vGGe9OjHAxD5M7HB9S6zNckwTP opGdanIQl7X4yE1AwrnAltSWn7R2OkvXuZwaaEKtkPg/vgPnIPXW5lDnMcxa1kVpsPu3Wmu6XdTF PLZDlTYIF21lnNkz0jzXoA/dDA81aXAu/wWG88BgLMirF27e6aWSPjnDZPqWVn9xz3HPUaMnUt7m iWqjzfKd2P3FRjpnk8d8KZHvxRohvwe7x5J5tsVSFSIy7a1hef6BWNXtBL5lNVT4C6sVVhRYWLEu mxt19lt6vVWMf9e9Jqca8KkGzzXQy1rOhTg755eOpWH6gprbstfDQgfplFWIUJk+U2oWlSMSAXaf K3ziLLjxyYFSfSJdGPBljvQMcPTEcqEmJ6EXv7ZwaZnOSJGCwcJIcbVCM6Lvi7kBOXUi0n1k5jUi zPUMNXHQOrqz2YFn0gb5/fRruka1wD6cq8XJRD5oPfrqmBeYcxpkKqzQidyzOo91g4Y9To44N4oE rtHzTwGFeeI3Y+RnBi1i5cw5SWVgH0LEdN6+TDfHajtN9A1ByKjo5D1iAM/9p3loCUEEQk3LaDun bM/w1SpJUqWBFL/Zwv1APF8XiewdePIKbwxgLn5jMiuUegCPwl2z4tdXYQXHyvWpWtvwWc+6EIkj TNj7/fyKJyfwTTgrqCf+lFhWCrHneV0+TEo6CWanVXKiGMcJgilnupcODOlbTo7qFlY+JNvG72vn TzX5wYNdCZnFRfOr4BHH+aR6LGscPsXWiRdJcesbVz+CH7vXZJwwG03b5Q6diNdj8DIbESmLqmyN fN3P8pDYfOssgBXTp+YQ26XWignwYBz8N0KREkGwmRbrGE3NL/Q6nm8pFrDjmjJX3R9VDfn1syL1 n2NQtGBCk0SAevD+JmI1e7hVMWj4fKXa2pH8U/pY6KYSyaFWxqEQggdrHnwAa638d9Nl2RiZ9nN5 q9aew9VIb/5OxAboSv7xwgnGsBK3Txd1tCe+LzVEQnvP6HOvI0yuuW7pCgpBX4ZJYmlPwLjDhHXi vbAgt9lZAiImjl7JCRYhUvqyZQNf52doTFMNP030DYhDWMAAwlw3TW1j/ylF/WkcFVklV4ilxsj9 C3zjRXDbugKfkWTwff3KEfj58249zmIXghgmTDdyRSOl91b3zKrQ97YIdkIxMjysYn/BDP+sD0wj tWVWHI51tlIKUMvWUArOVO//QnUdzHuCHu1j/mVqeFJ3KUAGCbfW5uz4e5ynLED6nnGzREb3d5xr G8YCtxaE4a9bLwdaSXDqLpD5GGMPlk/YFyBSEnrl0ogjcknieKm8LXgo2hSmwWa7ef4+taX8GJfX DbyGuladcmC66uNMLQ0A9E+xKyF4Uol4VhXzT/UA7VfZXcyNQCYnvjDAEJ/oKfY8zAOYBzzjUwec 17TM/bSMYGFKaf1hBeDkIBczNvF2DFTH6lqOFJQwHU24KYzUCnqpfsxWdNigc2MrEkvdek9wzjjR KadVYVVOL1tzPgz4mxMnBViV86wjb5TRt1MNNV1DmsMtcQDHDo9bBSLm1cyPG3C21sGEOG+tE+Rd Sh5cvJNxy+CPjNKrmrN8E+TtJBD+StzWObsRCdZFwjpH5n2//y22f5Kr5nT6KF8lxn5H4/+PrckE 2Okgi9czDwkp5GsebS33QuCjXVNO5dXWOzQQujOM9gn9B8Ztd7Ba8cgwGw0y8l0/D6+57odt9HHu DfPJ9BqIro4VtDZh2bsrmfYHyt/uj2nKHwnxNvmbvQ6XyC20XkMx6uKRTKXaNWLgCX8cchRaSuho r3xgqyo5hSknDxc/l5ldWR7mlLPIxQ9J/HflCdeFLlJQyjP1huYwEbkhV6mcBRghmnR9wmgJRTeu AKZIB9AqMF6uzr9/CLF+VkZt0t2pua4wWxDptA2OMe8WiBfI2vyK3nBetXvY2Yp0haA0/QdHeCqZ el9ZACO7W92jWacCVhOxU1vKAKGSYxwpxcM23niWqFti++oaZhP8g+/CR+0ivGUQOltAQGHqujIg r+P/+NXiDGVpMArw3sa01bLpzX5BEvflUyEejFlqSGoWzD/wOAZJCVr6zRUiZlDQUyWPyD6l4nhx +tzyWjKPMZdwp0nnqYkCWn4MR7JLzs5Oghy98cXLWEoH1Gq3fTsUwYfRaVIza9j5x92ooP8jdeqk Y5ehG+mu8xrBP/de048lVMKoCKABrb+nZg8egqVBsonIj40iKQJQrQh/HGtks2IQia2FhTMHWasq LbGnwhVJ4WULFiPTwKGgI3kzvUb4m5a53GbRB1cvjaplz6ZhSJQs+iNXWt0Nbp2kj/L3sFYsv0fh JBYDMtKw5vKOZy9PUpPqipebCivKTjwlTA7A1QgiYd967kBrYKUHYqcSBYrogKq4DV/+HOr3Tz2B JHn06ZE9U3tzJlbvPBV6m4xWCTz3zsaqYXItdXSXZwUSUD36OmUvtjTqpmjPmfFJiDSoKQV037PE lfcvsMn9q2HeJSqdQdXLqhEeQYHU+OI1ETXj88iP2QCHR5a7EUeLsmh+mJz6shdE6cdbG6BRb5Bm ZXtLUJHXpao0ypjybRM47mGRwPsxqLwPtzMmXQdONYKa4VtrHUB/KMun0PEhOzAVC1GiAGurXN8/ M88CVc6XpVsU7tRqmn/9DLoFosVMVfXgrYlgN73s1qBVqk7A9X/oDuq5m7L7ogpv7bKQSaxduZPJ xIR5adgqGDGf4DTgXSUUS/JVEIlriafyxdmpAPkmL8PpWjUx+5Ra2KT4tfkn2WYI1HcYK6K9d6qr xjiT+33s9CCdLeoR6brkzDrwrqePuKQX5k0EB91wjz3i42Zrxouy7To2Jd7EE0yQ6vmlmjGF5/tq HSSnCV7mZB/PpnduQGnJgVbp5beCEbkbs6BPb0WrEaoP5YCKEEPzkFJF/jBx4sKwcxuJoBmQKjGz +m9uwUUUnP45bsFKo3UauN/VCTHqDOHQhc/fSMHuWLirwcqbErgIXbhiM3BEMmU8i4Ed3Grs9VIe l1bOmOjJpCILO09JEXA5QzkoTSIzq1K+nWVnwmKEwYI7lHOMYdXeoS7tXWk1Ng1FxAPyurvk38hr 8n1Wbhrx4nmXJ5AHR9+OJ2mVXgL0+7GGAsN6AZffKAbxUQzWGfwv18Je4YcLvaEvHBnhTP6aZsT2 1dZhIdVHwimZqQ6lyHVBbD/8l0Bfn62Jn+gLaFQYTgG4RikNwGK7fPnuv44O7/23VAF2+ldpAx8M 3Vmxv8JsdVoconWsrEnm5qEeHp2i9wSh4Lyb+prBdK2rZpgf+EaSaZmDgyqJBCIwt/4gPVJt1ChN iMMGjVQ6IaNvGBW1UZ5UKmcSwVMe9D0IwoPkOg3ie7TCweXfEC7TCQgh2WKbKx6KyqM5hnhPwXfs pPms2G4qYuMMB0rT2UlwMk2Cb++yv+tA5/uJJ3qRSsqqzSqsA+vLoaKWOmsRL/jGz+lBWqwdQTNF EJrjkuWQcjhOObVjH7Z6DkpmbVeGfPhZhsMdbul96ELu3dam/5ytD2fs+r8h/BDXPHN3j6cJNwGo fN3LPNwCbf+4fCjABbGW3VvRNRlaqI8ypFD0XbqqUnNCQyjgj/n5wwbDyvd9O/A3ad4lMlr/Rm9S DyPXWiGdUgN98dC4Gx4YjxsIr47QJ3tP6fgU9M6frqJbAR/XNVGU8zMK2iKzSodSeAkhzJVZpP4P 9TGlDyW8A18DFNC3zIa80hdW+CUjTWPFvzl9bOfqr+GLSJ0iFpy31LjDKXNIVsgRGDaluaVVtE7W qGvSvCW7blV9fUR5yjVUk1xDq+LdpeFf3umt2pXc8fGKieGkpSQ/FxfckCh5YAFdQ9jqokigrbds NrhOSdATJVI1Zf+lxe17S4OEzHVyE59IMFTNIMAxyODvLJe04F5UnfUBG2DkgvD7RX0ZQ7fQT5JX NSGHC7WJHMm/8pu1LTfHSrd1CCv4OH6VJ57F1wEdtXgKtXJeDX2lt6f0B+FjoNdxwVqwC6ZjSbH0 PUSYPEkJje10m8hHhQ4ToEmMlEjpKtkxMasaGYg07eofbq6G3uHELdXFf4B52FhUbyHEznBg+rEz Vlkfpt5RQdVlm4JmM0vjRIjmV0mtFjaOQGGwnquAl1OFKIb76F1zRb51E0ctiLfNAp7ooErxRnoq aWvUG2/XewqLaeqmXUnhoEcoTTMcR2eaZ58Gv+1KTkNvIKciTQlVK0jYdUTsKJoVtwjl4dAZgiyK ydKNjtUADwrb18ytEKLyOyomPbfc0YRedJut2M726GbtBXR6QUM+q2m0PU8aam3TugSreN1+lbJc VVoxlIxC5KTyotqggDY5gPZuJxrWo7pcGc0u+ivEXF270NZuijB9Ge1yNRIR6gKFTO7AK/93Anyn l26UlkXSV6AEktX5MfxZPVBFVNLn/nUnlpkbnjWWDRvLizyucILeSs8yL2BozAXDpkvwqlCsGZmy FHX33iVnu6Z+3pB+wvQtRSfh1KUzPCiYgEcslD+GLK7Y8EY8usNPHmXNq91q3MbnNlr0dFaUu1lV cXj5O3S41WRWo6h4x14DMM0Ie8X5Rd7sGed1o8kIKAVsAxlypM4Nd8Ex59f6OaPhmMK2bys8C0NE EA2xT0n3AksKB5N8UujSsnCUdjRoKkrXQ9jYAPEz1G3SzulZwpksTwvnxkam7O/Zr+5tTsG873cj RgU7kO05C+wkPdcgPuDyEX1U7Fh2ovu/3s8AUwTkfEuJqCY73XXGrY2b0hFYTn4TyJnl+OSO+0Ci F6QZuzJqC1c8Vzl171HOL1GXQl+vlm97aAWpJkrZZQGT35QjS+OSf64mQUOl3fS0kP0o/bKpSLOj 3+89rmzfWstkoYd8hjQZ32mGTqPDxeRXliiEGSAfPR26gO/HDY+s3L+Gvg+qeNW2UX0fUPXJAfQA Y1RjKI4nXIABYBjmmQHrwEOFQqY4JoMVprZV8pT1PfJ3QiJIBKB1PzVG+Iac17fWBsE3+G6mUcC2 gIA+YUmN1YF2vBfJWvRxR1BUxcg3ep0hIydfIiBp/fc1uBYBQdH9HltwyzjYIDuHlrX1+LxvT7pl Y2f1Jlw61HJIm2E39ANvXKgJeO91SSNkbhIq94HVfUAqM79JXI3P4e4JtaiBNAowAm70OIeTs0Ca LOJ8qNxdLOILSHMQvwgx7jOH17KeAGAX+3j+kSPA8FaEA1CpoFAZK/t+FeN7YkOxsY0a+jKFZ+7v uaob5kjIlmF+D/pEgbLCT5GfWS2UiXV+Y90ZBRAKg3me0f8nMuW5WZ/sgCMVPyB8P8WH7svdupBA vvlI2Umdp6z1Am/twwSkO2wr2l/+xTagB6R/YIkdVBWNsUZ8Jg97qOCbeYXIYIsJJSZwPb26gaj/ PYA0iOxeJ//JAJip7xRHICuc4CBdk3CR5gBqyY5KVCMu/I54L/ls989/Y8T6YVc6/sL97LPFA8XD UIeuPA0gBIf+NtMS34ed9c//spp+nIA+7e4Ou1XGu4jOOrpEyXTiUdWOh4CKQnzG39VGy0lv9GdA zWMFfY6VEs7OiDF5dDrB3UQqw4IayDW36Ixyfk6W+lzNRrlS2kGkiP7+sU66MmTMxk2VSI6zL8Jf r+z9tsPU0JwfTZbC4HI7HYOXtzb5MoQNxTpoCqX1ZhD3thv8qwJDq5cOmxlyIS2cAeuIBI9NLsEA TBTJrkjM9qJtqddgyBojAtBiv4ECVGXpyaXVfnt+AUhV8DOKL5NgVQmQ7uH+kT/m3RNruPlwQcR7 tph3PjKgJkAZbnrIeNogmCzM02ped23W1ivUfqTNY9OeVrgsDX6KiWufaPbTI3d6MsYAAwCgDjhd 3TE9/5PEVXiUwYzKvLOSpEEH6B+8LxLQnM9JQM04R+3CAu7R7tsOPtT+cqPmKTUkppG4GOYuqINP kEc2acHyPYvVK+C+4aOudkWKNvsgeS7wk7raUXfPQaVpZOBuYs9pqGZLg7g4V0tB7Ffx9+BbRe8b RIndy5+x+3DUaXPAxi5kAmvNehi63gzSitaopIDVMUbibjorkBLS7jTQrID/jLl5p+rv+rwpMEKm wsQOUHld0EDTXr71lNn4wF2kt3qGSBOW3W2TEs6Jz4valUGYWS6clRAXnlKDjEuC0ATqIKEzg+mw zlG1F3q8akK16Mv2HNfohdEbDg4Hnp1Gan8vvOz/91//sqfYW9ZKCXchaqG7zH4oPx3ADP56sdwK K1+aPKO0/ijzz1kJJ/8MdKmjvLUuSRyofIUfH5H/EyCnql51LjBrck8p9G+wmUJ3MNiYZY0sRRND AaGuCsoyVqRqaf9t+UnkEzJBKLAuQGyBOyUlyU4VVrBAdfeG24qlnMUN0ISWoZQOOWej7vBNPoFM icwVl/+o4pt3S7v0wNkbmu5kPDivdhSSsMw2WJnvxw99rfBwMPhZ7T17odxBl+syU5e/Envi0lvA WJ+hkfjxNc4MKKbdSTha60nMbLyzPebXS/oMSRaylexq05EtUPcidBh+eNbOPVKjKheQAvM3KKma n8yaxZasdIvAMmKU+ApPGtB2EnHoS9sHyOTrNKfQM0LYSsi6+lKt2LqB2/uMvabtqC3C4fYXtNlT 2oj9BdBNlQ83lCSTlR6YUruM6mca6h2H0WokzMNVVJdAJC71q8K0r0mmsScismRmi5wyEQKH+dmQ Fg6TStE8meOTMACyTgjw2C/fP/OPpmuECYDLNBhhAEx9atKReYSaIRCv/qcGw5kqk9gB3jsmtcgm CwSSedPx2ghhTw4vGrVl1VdQHsBAjHL0uNLnmlad0XIvthyvZpTiixvm3+kOCGdoGpxa+gWDe9JZ QXcS+vFEbeF0FUFiAblLtFFhs8DB1ftAdOcZ9XMFwMkYxMo2DraPlH98nVuBdu/h6Ic5qnx0Uq8y QZmdNVBfAt/pZCs5xz1klXkUDULOLJJTIfyHXWqKs96L2NdApAOeIk09S0LkXb2aP+1MdhnHDx6s Ua0pjMd6F4I/KPVhnlQIqzE660APpb4YEWTW7VH/GwyZKCp4owizYG/TL8HijVvfYD/Dfm1fh9OX FwFNeS7ZxVJGKSlJHUb3mVU97wWEZRAEV7Asu462YogDBqzzhJhODSWT0Epv6o78s8jptxuKBZqa fmU4Y5KXMoboNoeekBLAmLqonfFY30VH3C0jDvtm2YiuPZpjZlhwlmQfuFA5qA/A4nardcYNsJ0G QwW5DXDDTb34JKXx7cU8pHotiqpoMrGiPv8KeHItasZsuW6uouu3K7xgF43M1EELgOzu0Q81jbRv 7VSZ6vl7aot/AHdPyNggZWrNaewnRWhi4+CP2RPC/eoDZK0whowtcOy1LL6DyO/ry2Fhn4vsl8b+ wSplTNGJo2Msom8NVc+ZAt4eMBu2RXZxoj9nZKNaJcBhzwu2UN3OKSb+fQ4JqOZoNnYzNt9CSuQS McefNOaAJ1hpz04nqnsnCisuEHSqfaf2Xbg3Vjze6UMBVTxsrLK9q6Cup8zVAQk7yy6tA/1klZ2f CXlo4TNL8zqIaHjFRDWFDW9n8lg3nCAzzGreid6gYcbqOgzwH+io+cLLhMTJwxNJusVZyRvtAwfc gRlhDbZgK5kIeFHxeD/OhCPCJgiXredUn1iQA78Iw/tpH1vN3GbYmSLQ3sRBRt1LsRIzsAcknEi0 kMIKraMFhhKxQQFNHDjR3tKmTk66qYt0fy/XQrrfaFz0LOH2dZi/hEN2D/WXPp8ZFyS1IcDX9lC3 hCIFibuzMHvXsTqYb1EAgwyNVrV59IZ3+35g2R8Lj9YpH5h7k0EXcu53xxMcsd37OWJta9Y/NPV+ kN79ub7nRNUWQVIiooE3bCL4q81w0gadc8tAtNYhJ0JQoKaavQCYBnuyLYs8NX4WV20cRYy/+P07 0nhF3x6QwdS8rWIJEjgZDyB3bvqxQF5WCTvXDy8LIXjYWOGMX8/CWiVE+I0rqEYfDH3ranUBRvhL Ih0qlfLxv6E+x9DKNWTqdUSmYkid/k2QzNL0HK0m/EGmG8avp9r6iZ2zz1Xic2VqLheiSlDDpf+2 HylRF+940LJX7lhycUFVmMSxmCvIf+lNLM1a5ILAFrL/DUhssK5FzB4GUE9dOU4b+giT9bXiFoQi D9SaaMzp8Ndg33V0JWB1oC92uHLrKmNaFFPMh6dOCcBTBpobE5RdIMRKBIB2TUwPjEYhbaCGTvBs UYmpbcIxNqjMtADhLTHMAgfA0KZBxnbbWeop+3MZCsG2AU71VD5u3FVHUyENtWwhSlvjlnnerng+ cIIPwULWGi4KLmzS1teAvwBKmEsQnmJoVR39+WDjQuEHJMCPkpOJEQqzAUPcS013EvEi+bjfGnLw wQZM5CP1w08nNUqhjTpqbTPpFUPKONt6JhXqKfAKIa461fyHyOAVUFKhn/oyXEOFGDnysFY88wOx 5D/X90QQMDthUR0d2pWXGGfmHGcdQgceGPkxx2EPMbFIWBHgKT4P6mrDum2g50ivos72wC8eXARj dHvBJAKOy7rHBxCdNfgfcMd0GLg/5SavgNyMpMbZeToXRX3J74wcwjH9e7wro0vUVkLPaeE5mlhR Ve9WwPOJ/a19lBb0qOLFkqtfqOQvkCaBpOZ1cy9CvhS0MacXdCjTnl6XEG6r5reB97gjphxzrYNl ClkqKfxws8orbM8ZKLmInxvknq23yvPygvCxIJkJL4Dx72050ktp0Oc9iUFjPKgwZEizGrlAWVMu 5g7vOt6vMqaGneJd9B4Q2oI7AvOUk2prZ5xu0SZVx2YTf6NOZc7D0jhCQpFKvAec1OiOlZB/KMtn m3Qgkn/CuyQJSG7k84Iwl2AMgKb4tWwQWWdwA+vVnxhZ3kvuOst5DOXCGK8NyxWS5fkXnpBthPwz 1xqrzk8FTGNljvTCNp6s0+Xni/5nmuUNHquy6p0hasUJ9XB/vGcQOWs92sBEqq/WUMYzKh5Q0nAK dL9ZNFh+KWYXLVjGLcWd68LhxrluUia6P3XgzHg1r+Qpw1GBhrvQxZpeL+7i4JrJudSAFcgPFPg8 e/PssliJFxMJvSSUugLKAnGDd9YLDeGJKfFt5avr7sBIz/4UrXDDvz88740dikNEvUymCexB6Foc dpJNqWZypgXCGt/4d42zIxEIkTBgdGaH+gdtKiBPn2YLw2uAUz0BoteYBBpYGifGwAuYsgdkf27D roaB118gG6L0L0+CQYGBBlXkAmdpGKdUepwjb4gcUT6QJ+D/Ydfir8uPppxejzrFcJGrcwrzNLTO BCS79jGtzuogxxuT3pEo1Qs/6Z8OOx2BbrEqYKz6loVqG/V91NUDgT7e0sSaE/ht12n6NX+6XQCV OeAv55MoS6J/lPp7GSFCnTxVeNqUizQWtNyTREmynMJ8LOygDR75c5dpEYFvWr8muVoIOZIBYJt8 OxYFf2qsXMfLP/Ov5dgnFfTcwHYQBszBA7BBfQBiNeUwWtazRzxcrHlFPmc6lL+2jqguO+Th/mxA MKMLtbE85Lpw15uA/d30e2Q32Csd/wF65xmwrgRfWtREhSHqhScsEThWBHZmMFU0fZXjp4G35KU/ xsG5qbs/wxnfVRnGu+0cc9wFuv2OxrmKxZnWDnuyTu0dWOKgk3EupzgIkfWlhTPx54qdKWJau0wd hUM31pXmg7SGdhf2Bsuu/EEE3iTm54cNIrlOMqcrHgONkf0CGnscAM8HulWMohHwnZBjEdLDBZQ4 A0pcicZvWU8h7aLnSqTT1oCkc6XSrj9b61/7xXx226AZpFGBl5N1281jC5UQqksudcmsS4HdgXz+ SFP6QJTN3/sQK1j9d/3TP9nMDmGTgAG5qw7R/ocQ0u9ivPG3DJMD2dJ4EAGXmDostDNY1Fz3lMj7 ZspLugENZvkwrWwOTNy1UBuZToER00ng0ufUSA25QP+gGXKUUEaoh56foSXGxaoM3ZydiFBpzM0s SaWs4BelIzAyU0dSt59emDU1rXjQPqIiw6+Lk4ltQWv7WI+HXhNk7SgTHoydboFJNFt5WTzQR23J dc+rNGiw16X0GDzbvmeIW2aEvOoKFk95xGsfonFHea3tZQ4NXtH2qLfMSBLNXpa2ABs3KD46C1N3 f5hyXOzShTM0jB97lpgSrEQLs1G4C898FjNk/TFfQfg7PpyHisKIg6p7U9ZgbhAml8VEXK3HQF6j uENyP5+vhpo9pHvDOVErLyetbQuwTH31p+3I65MB7Fg0YcCMm1yLoYafMF2YJDtp/kP7CGbCOSzw 3IFcZlcR6uPbTv9IqxvcMyJd7Y01a4ttHh60G7A2vn08ZLeMHNIX7+oki+JNx4Uy8XuaaLYuZZ0X TL+PtVnNcH3zSodry8V6KA5db35/ZAFdKxdgoyZZlHiEbAgJoLVjbFMelskminWr/eyv7mf3TS9G lhPduyRoPvgh5bKPZLyQTDyi61Q2Z2breviXGUZXYUYhfi9zdFFof26KzCYqYDzolmGHJBTUBKWh EusxDTLtopTxGeA8YfNr0uY6+Xl5svzq41Ooujv8n6BJTJsYev4d7/SZLno/2tw05A773hmb2zUU n3V0rFJD7+CDd7rhj7+qFqj68Hm616mn1svSH8Y1ioNMWxoX6FVZ3ATINrwWNp/kcHb0cKLpy+qd IU8KaOwVHweRcK8VjGTy6+U/va0oo9YfisrGIChZ+WVsITe2JDY4HEQphX4l6zk1VXR4pMCYFQZN VNP9duOFxePCh2XB/nHBiyD3nwtz7PDGhZU4sVf+sq9LYXd7frNmIpxXEsn1vHvIFYvLeyd/zbD1 py9wy5YQlZ1eTBUn/dW7CnbTQE+55QU5H7kF2Wp85gL1bZmPXEEKTSl8hq2vgaVbbjgXVl0d2GFT /F7QqVTfcds9PQsavsgarnme+j2MhhB/q8OiMAYXWJK+CjfuWaT7LP9TBdzm6ECTGXJvN2hRnpUq s+bBTDZvM/U5ZMqj7Y845ZZE8sTRQdVk7syKDuZAreawBf43GChhwISYbdbq+AzVG1N+LYll6jst ZMEvb++oJy50GHUF19y9hBiK9JQ2WDJaF5fZBGwbVtSasPiV9vNgILM+HwaxNGQsJcWkf/4GRmHy GCGNmxDqA2kAOUZPdPPW1erqo4Sc8mDJWWmwzcikhlYyFZzOJhEAFRyPHuPKkD1bkWA7/9Xti9nC 3g+FkAMIc4A/C6XJFdBRiGBDgMP0KQpy4RiWr3mN2JiZwK/db039kZhHJCBpO64+2eDWk7pX7UWv R0J26i58BI7nU/bmScLyTRRoT5gtjbp463OBHgmuJaMpKH/xMxvH5eUPGLwh5fawhvV5G7mKV9gk MCB5s5rtwnTT+xBwn+ejeH1wfxTXSTIu8RzzBaK9lUqmSs399fL85F9l87UkfU77IxTzT0xPpEMQ MTDYqo8XbyICQfcnpIVYeVpD9NsgA9AjSspuItamUQ8k98xPewgK2m9Vg1kqPQTMLpmGe/kjdN3p 3gCiebZCj1Qmnn0exEhUvVx+O/WFDbfckcDD0BEKdn+Tutt18uUHndjwpravUzoGWsjVcgcuuE4P TdMgYbvWFkJNlrjZqtCVdwIWUbXdmGME4XuGB+vAuhBd1DGtIUjDuCWJuN0VzAfjlBsyzvkr4ARU 6JdJHyXApCL4ciMuz9aCWRFaIEaXv6eYlBhD/MP/HfrhDZKm0iyYXWNyGgYCDxonsZlUUpKFkQ9T XKxn1egjo1aRtQ+DDjguoqnH+frWDIfVg95X3YrnnNDFruTDcvoY4leghDf0QAoHjKCGQlNYHJn1 i41GzAF49gzB1mOHgB/IBKpp6Pi9kAw5N/vXsNLi6hxJdc++w5kISnLWQL1nTvvdPRn/toIflFK3 xHT8VTFNA8gQsruxZax2+JyOitKZWWqbwZ+1XjpWu5Wlp+gTygiKfVqI2AFU0pUzQovrACy3AybU zqaWKVMqOjrkhJ2EluHjGYjU9EKveK0WYp8GnZ3kbXnkha/+UmzlWcEwtEzpJZnC+jCFbFo7CgJQ jqIHdJDQTuQxmpfqj/NnFWzLhDta3r9rL3njFNA8Kx9yutBGZQQGxfM4EWjFKqS+QTlE+o7Bpv9z fvEO6cxlUvuqqSmug3HOv78wiv3gvVtL9paHTrrlnIfCy1KCDppAZetnzA+bdGfQS79saKYE3jku V6Glfm7v3QpSbsIqgxvccElGh99VSGhSKvgvtXRVr+qVe2rIvdN8sUPIo15cTGkGbnBDbO9hOF6p wXSQkRw/ukQrnSXLSF+kPaH7HxxJxb5DWzmVR4xUrjgct/Jtot8HMgqfBBuCksKJIoZM/Pwdg812 1qyvFZvCP8acffRECKjDzWpDoextzwV/3D2LTJ4FJadJDPb8eB5CZ906kyAb9LlYGS2W9BNhIN6w X8QEz1KQhKOpmQlVFIaeWRclvfsBnqpkv5IJpD3xWEbafegNTQ7l5toKgYM/FjThkb+uQESbLdUk NvA8I2snD8xZfh0MSpk4BR/zHGnwIgSwbNj3n/QuqtWcDhjpSJIJDILon7jkA4Ky6bayDzo+EilV ApdD+sWkDukULz//+3R5JQMVxTtkwo0QzwXymPf9sPM5rrq5WMvLm2L08tB9Xixs6HMCsLoN1yCi 2DmktqSbWzJRSUNqUfBhPky7UBBJmNiSFCPSG7dBVSNwrl9eqnjNL8jLvNLlxMqNJ8XFJsCVfRwT 3AVQ/XiQvW+Cg7Bag3n/mkt0KlkOjuYkxnC/KbaYVozBA843FryzQREaQxcOwc8dPd5laUmQCatY F5HMKE5IbswJZY9JKSq8KAIkaApVVPaOlK1LMdo/f6QiBSPNulCsQPwPNLZCtzEEWKZ5NHLyxI74 uHraI6fuG0YCq0Bt8fqnA0Df8tmzBB5VFdu7iRqkaKrHHiIwh6zOV/DX+zqIgp3URWq9FfbU8UK6 IGrtccYpR1gJasjAjPUQVgseXfXz4xVA6QL/bf80NRs2Y3PldsMFu7utqTZ3FBBKdihkIfC0kZFH +grjRELyvDIngYhbsmIJ7waNmh/X7PWAHmMAA6Ql/IP7hu0kdnFmF/CYmHj2qW2QNx2iOPgJF1qk hS7F1NhIFo8gMqXon9QlP4jiV+bvua0SQFhNaewFOuUR4HKV6Ovk4Yy6Oczc7UmGAqCGqIed4TVV /lJAgJhcm2qebm0daLLxDKoG0xRyqGn32Yl/CFYbiK8uyTFzuGTZk97EL1JDCdc43VGxTM8JsxCs 1YejOrI4oriFDCkNMblr7jQThk3XU6iz2aOWpe4mQX5S5HvnUudptxV0QeT4nM/gAyZ3em0gkEfY nyQBkg6ha8DtuUCcikyFUUizI13FnhlFmv3quu8F86DSLivN/TaZvpf5G3IGg3iOxkc/1gw7bO00 XBlu3wv3KkctQQ9ymYUcSl0BvQlpuKIPR7ZJZafY929bxrYekqoCMQH65s3fsi4B5OGAjschSjOL YQZ8lXyqRtQKXq9ENa3t75pQYx7L5GL+CG38gimO/QM0+16gQ1KZvZl77251vY/G1DC8Zb42sIX9 5VxZvvl9n4szE1WqviTiQ0irLIGHGtq8Wnzr9ZNlhYrgloDMWqhkhqnBoEmUJEm2hSrr4kDCw/6D ecrx2XJOR4AjxIrZjBffRkv/R41vQEOrCe5s0hf6Xn39n7M1qjP4N9sPpQwp2Dn+wRW3PHHyi5Jy uIorPGO5DJ7sDJ0bxlKst78spuIKOTvPzVHjIHfdlOyzLwxJaZLjMj6kGaah7yCxxqZA7iVcWPML VSsxj2SWeKN6AZZSraIyRtKGV5qzWszlKqZ5DCmPorVgJuXEPOkm4BumC2+InNq/P+1Tar+CwPDX O424fpdK6pMh1lf5K6zkH6aqNWvGnTKJ33RaKBu9+QzFiO/gaxMNgksM3uidj941nlLurUVkh0LH YUvxmRRCoUfJRGj6pkOz6ZrsZWYi9uhnVd+T0vByqKA6f3DM5wYTj1mQDB6YRiA83yPBY0M66UT0 KvTX+bGQDubEdIBGzHW2TKJneRqMb+Y8m2mNGomKq0WMpNRBeY81KwBqrGSRqwKd4tfQYtX3NODn CDblajFnOYr8R7deikZpNHsp15ZWe74+ovVXd1BF8dDj1tOb7rCcAyxh+T+24UkQs2w0c8ahS8Wd 9gO0EfMWer/H1GSZIeHazc0F7WX4qKqAPVqMTVz0OH9ovfjsuvlT2LupO9AyeUWbzZJKLUZAIR/X 3ry848bfiCbvodbY0EjehNPp3nQ0m7nN8rmfp0C5oFqNrH+e9zQjRcw6KKklZK6msRUdsyX/VloB /yCbnPs6NEG8LPWiULTS5908OXPqn69kGalHwEjTNlw9zXSkvRKukUpAJgSjZJw9WUIoy19JYVwV LIlPwguNlvXMVdbwn1L19EuVes9muiPoHJ6YmAcadx7If6f5WXVRc7VYDvpCXHeJbsGmcUZ1LvGM 5PY/GmWLrlEY6Dot4/fEa+VZFi6Dpl652zt1OI/FhoBzS5dNVBOWMrszTWi4Lad91lPgg6raEfAe DBWgX2rDHlPAp81bcgIa/AAwbHiqTyAWUr0MnNUKBH46gQiTbVkV4y48qgE76P64RWO8m1P8xtRE PaKLw3X6b2ElZ0CWt0CvIMjg66TBzTxWRRXuSZgFu8cVd1WLqo95+EFOBWRvRkr7sZ2UXvN0RIct TCCRMdwOuLvSViygH0YvKlaNwQiCiVuFMSY8Mpi3iNsaPUlN7snHwh0g/b33fCUeJpydz0jlfEVT Hk7Ya0+bY86lqH5/LJDmxBbwaeSPgUxyhvpK9/TPhd+sRBIC//DqqqKwrXmaUH3f3OKnNPKJTsKF NGx1erdtwOgbONISOp+WqdPor3Lio3CHLKKpBbUX9QcTH1c17mxxjXjB84ySEQQU6eMr4tnx80u3 kyZqUCmVgD4m397a2tG5FMmXxiPMrC8AdCaCmDTYBTX9kcRg93puick6k7p3tRpz9lzPpBebkSTA AmAxSMpC7zwfog4e1IL++DWgaJ33VlLvz/9QVO+qGIkLWtoXCigvvXIUYJ5DLal9xvCqaNtjr7kk iyH4njNrK88Zg90cewpiNhcwraVmflYUPVGijSoD+IuxjZ3lRpNv+6mGBEHYcIQiboU/i7f+Zs6T lIhV+T2W9O59JkgSlqoYpHMzfWqdEnkEFhDvv4k3jp4I53sYXHJWlfC4dzGhtJL+q6IhrPZ3GeRw lh3C2xzMKTAzKjJ5rgQ15p5PgTkXo3XyzJ2DxEdxiY5yoaCli57LFRNAd3cb1cqVPiRzh4jhfg5Q gZafARqsENwHYFw4YluaTdmqB97nLKtFNP3hep0sW6dWjAiyV9i/mrTN8Kpe7BZkwy3dmch2WHLY FtC0vMEcilHvgPr78/CLnzgBKhnR97ocl1lP1irzbqKTjISk+2cc15lxAnQu7lJfVW9FaVcustnO ljg7bWKQLbpAP4wUPXGVuRBGMa0qSqnvwhU37BwbZAE1U6QrsUzkANLOE3jAc69Kabm3sYHUz7aC jOIDflFrOa7sdDCIypRL3m43/UzCI5y64VxD+dY1R1qw8YUNl0/KFyKbDm7RDcBaFsRXqCBDMEt7 DYyIYK17gZGmNr7e3k/TabjWAlta7td9cI7dM/zIQsP0BvhSvazXep6q8WFNdukg6MOQgM7punnt fNPLy/F7FYbGWBQexLrg8j0wGOMDHQXeEiLb/OCwzrUVMaHP1HnYwquc6tzE9TGxKP+tqUYnb+bD rQTV2M93LCQ9XyKhTP6Eo8DT7b4zcohzt3Ln5/mpS/9M5K/Je1/X3N/xPGMFEBjB74AzApeaAzdg TxcXhMQBvsHDX2RgLsQdLHBO7ROqaeNxH2l8Yh1D8MGXeBDvsbwQGQoqXBCqMVLBX28OUJ77GvUM QQNzzXoHDTOzKF6g8NlMchi2qOMcLABKBVkrgZrLjxyJ0LPnqKU5jCUCoEtgK8M4o07XD2zG226t eWCtZ0lp5I9BzhfVkTUUAMGgk2P609PutU8mGApG8MOHIQkZqfqDT2LBXWxxSkUVIbD2UrucSO9V HLzWHwIjpgnDdmcx6+IhmoRjaH0cKOlpFF10alACjdrgEYuTyttHHbXPMnLtZKJ2LSphDBkSPwtX kgs27PQ955Vi3YvXlqhxZS2FGr2VqFnEz/ZOZ1HBn0UpOYu+HvM/lyDoesa70Ap0w7CDRPEcJ3jF uCh9aPog+MH2AyQLuu3NQob3nxKYN3VJK1JDAvKY9O/u4AzD2iL3IoUB+K9AwnfKw/gSQ65SUM4G FDZ0G/uPb1+ON7jwphVtvw/Igz6Z/BeCtKZTuQkaR3POBRgT8cSEdDGWcCgvvzmV94S2oit/ZJe1 FV8UyPsC1pK1E1QAHJ1Z2AxJ1BDyGsFrY4NNfQoX6ZH8DfReyrRP8dKhrKxcbMqNRtdS16Dh5CQD Zan3e63CD5koUoqO5106K9DQEa+1eBhi/fG2gqgcKirnBxsQsAgA91XafspoqbvLt4KD66iTw7Tu rmXjzdMhU2RLc45S3MmRYYCRcdf3dKexdOPBK9BZw2etftNE1lPPDhcqpvc7lWtsVKq1rOezwqYA Sf4mGpSNm0z+vCdNE4sRJ96aQkR71//40TDDM3XrDVEdRenOdROl5lhvTtIs4gUaFUGtvQPsH7im tlqAh4DJVZmRXJKOnopMNR52p5lX5/ocJUaASP6myRmtNEuDYfu8kxldwtocNyJhtqJ1rqxoVNgw z2yA+jxx+GcZrAXRag4dFxcBzbd+KAgPTEo3Vv+0fuv3571N5ZKZeJhQ7EK+t3KUmiKdAXBCDhOJ vQTCW5Np7We6P+RuA+dPCo0I5D3scVOrcUm6VJtZYj9SLoXQOcviCNS2KjaPnHbfTw0B7LT/OtGz +D/EhzmY9R65pCL5Oef+lvQADtvnRJ5QkfC12VoEpNT/LW3OobBQ+ho+ugVdWzP4GOuxTXSjwRGS HuKVs+pVM7kcpmibbp5Cr2uMaaBwywFPx+XMvaoetFUg8mDHWOddmAYCgK9v2sbh+Z5CNvbut+bZ NVExhlnsz3CXuW3Rfj3kk0k82sxOT9Z1wDfBqEZWJqXB/3KWZ4hADZBxvICf3IA4AWy1b0CpQIaI oSAykCfLrsIj+GCvwb7YyDA3e6OIxKu6TnYsr+y+6FpoZ7WU4ld50nhan4NxeakDs9bITJBCRRfm PvLCiWYIZQ70YUUyNOIWxvEWOBOk+QNsuWQie4e2kJaf6qatiG/2tLbjy7NjTU6tdpDPWc4CBmtw 7IULlbPCq+3bey2sYR5EBmi2Cut1OyB61+oL9kjihVlnqNpeSpvihX/Fz8sclHT8RRDLQpcewrD2 T6wn+EmcDmLC3TCsDJeZdHvHdJgV7rTaL44T3U+zRYXGY1EayIbqLE0UmQnZLykpG8PKUuUkexfU VC/j3t59HlZH7BHABh4kOgTinW42Hhs3nDl+ZQn6fYf+q24F0PWVgDMa1ZHitpew5c9G7I6JPd81 QZ40TOpJZyNCf9PLXys9KwkyPkDyX/CmxMl7TzvGVfKaDvkP2bZJw5EB+hmpq8/UTwLOGsPHVfjx xHQd5YWX+X/2KnBFy1D7SRBeRqAatihGxbwTMiwR52S7NrFI/0L9yulydaGAGRIo/VGjw6XIsTTb iGKe0pOr+zd37LjcCqjT9DDVgGR+JtcnZ/Tflop4ey+Ya6URER0VSWdfhZUWffbom/CS29aQfHLP F8eDNc49QZ3wOXIYTzw8LAjMO1cWCn3TbB3let9DP3rhN8NwUKpl8kITWCgN7q24cj2C5rhYXazs dA791SVVnNB4Jd0vnadako0RdIg+6qM3t04vtVVtW6qU9Lg6jVUGgIIDELtBy9qoZOdJVkk2F9ka sLO09TH2yDpCoohFJb4hDSUnjU/8bkbgZxpgK27zgsbzMeZO9Y8l2AbCHnOtdTco2uK12Q1+KQYS OhNfcakqX7u0qcBTGTkSIJM8jPCDnyMqVHff567yxXpJVlcQjGhIv33lquyuphZbb4HFcalLQGHx MzEw75/DCpxfYZnIrtHQ4LAVDZQ/WvC1WASGoUGH1ylhgFRP84qsn7f8J+U6Q5S0AgmXJ0AW8b5Q M7F/lk2HPKG3UbvETPJiVGWOE6JmcSfvG9J2iE9Gs6KoqOrJFdZonLzmO88uc+LFM65GQP3odpJS 1lCAdtxaMrKhKe4oh6KeGFZ6FG3+4/sqfm6OSYeamzgw10tPUG+PCJ7GZRYr/laKs4x0WeEzJsW6 juPxPkqFobGUKz/0YDy0j5Ot2YeBLlkcWqBIlJgyljfPv+RvfBP6tGXN0M+4/Sg7xJWdpXrQ8SDR T9/I2qsAmw5tZWAsYtRpi7y7tLJLtGXqK/N9Upy8q2Pp/cMyDJTaMKFpqkQMqb4bs0LOzkc0aFBQ X56ssYVBSIYVAwExW4U2lc0pVfc9f2gojaOjaDeWeYKA6wG7jmOPyfjU/OKZRCCwuDIL0b0MJ0cC oLGRw6b0ABnY/ET+nMkj6ZHoo+4H4SsMyORKRLO64JoQRlZFoGb7D8Wz12eIsUyMwGXEIpJYNB15 pTbnqs+cdISM3PMC5mh7Sy/YDuoj/5NgCQ9R4d4GJVdGd5S39RqU02YvDHRZGE7Ekfn2kblh2txU B1IrE2He1li+Za/1ITOtUJ3T67KxRKeiPVtmcO804lBsygkc+vKZq+F5SsxT2/N+3B2ntH4u02u/ 9cR3VCwB8G3CsO82woAS4SREuLYe13br48514DQXO9ZB3X4NWUIrS5sl1N4KZb4pdl0uBu1J+6TS IvcfHjSj9Fgs0YQAjY2/lykEuIgUqK+ikSGHr/zVVF4FDiNnGx51jKxDHyZfbmBoKfZFT44eoIRP /ANDWGZPCKyMUxT+F4L+YeqWg/NYR287GdCJI8ohX/Grk04Tfio5DiGkKCml4UX4A43z0s0HvrtP IaCOzRKIRftiLXkGRn0sCvYx2NX44Gbd2BIk+9dFh6mrt114jCkTfnFZY01wwU4jvthG5pC6LEE9 60S804xWrGx63fVIlO5bLeV0aCwfT57+qxBRoDywBkGICQRlPCVGyr749o32YmP3dhKC6zV5USNq 6l0Ly1ZCGa+L92v/G3RshogUzXyu6XLgTihopigGNKaJUmW9Es8jXeKygfv2DpHvqWj9TYmTbA3A 20vBXGYt8xssVESycjc1Ojl9+du0+adAHqtEfZTBJU5V+w8Jr0aFT5BYAq+SfMkBHS+DuMoUnO7i Klp/rv7l/IRN7ZZATdeYSQeuaLLhxEikvFOQe7krgBRY6VsaWsd9G8fcZlsh+qj7kK35raDRRYtp VghcquJQhKU/tjps2R0zZneD9zYBl16eDLW3W3eaLR7dvux+0KYT4bbUsSWWf+vbUU1ikhMRpYZ+ Ebl0FPOelU21WbVKwryA2oB4rwe2iFhP0Bzz00d20tdFPd8lzoqXlBC+/6PoWxlOiHWxVjyRb1yy haGPB+rvSMO1ZjkzLWVfQ/nE6gTUhm/zYG1rb7gnEtgNo+TXx4uiHCzNWZuWVoiDuWu1eLiPxRF4 IDCR+6SuJb4TGDPsv9yf0IPRiGDeaWJXJgjAyAylf+bgoV7LuNPrdrdNvz95EanFIRWA5RPlkHbm mlP8XFEBFtnCZ26BaYGkfJTc5kjUHV6Uo5q5HeKPUHQChiqamKerqhG6IC5ghBN1maEvOelyB243 SQcVJ4Pt5Nyjjurg2VgUiX34X4pmP7QeMu5Zfzlyw77NjlSMFUiSpxinALdas7X3CYRlo/1pueO4 kspcQZmLm6oV8QkovMuTd8jV+FmjYqoEgsqGEORe3R1kpFI7GHHJSPCZ2fuALbRJuXG6aV0BnTCC A+RNV4voF3/4cHfdRM6K/bcftpmSrMmZLDSgtyGfdKM1P3ecJ1mVtUqMO09gCJ57Yv1TxWVpFjVX O3vX1e7KMOqRZsxSSx463svRACHkTKQA8y5JSFtA3X29Z19mUM5Y1hs8V2o44Af70RXApqPEVGvZ OTbQbDjlkoUrCvENw7OIbc29UNHj0LxhKkcoByi5BWktoWNOAsJvw26zj77X7Pkg4J6OWBDze9rv Vg1OIKj1JK0UohO+ktPHRZbQGUghgZRpuutPbNWjDSnmCdwCWqhjptHlwvELHRzhZRaH/4q0thCj NP9OywhRXlecYgZYFuGfXXcTDpvaLFA1eWaUaYmgyHFskcXdNM5nuJyB0MJXw8RCYU+3ibQ2WvUC jTSq/kax+/dSjoNFLzyPL1puLHC0ThWUQLStZHAFLxy3p6Ucp7+jSdB2thV6j5sZvx79dXJsReHe vl8qt1Bq0IWZK4aNJdnZ+WSnavpvE0yS4fqga6l7Zv2P6LIJlZUwZHdYx94nNKb5XmJJoMlaBa1l LUTOJmBEQQFXJsG2M1QxhCoZV/LeXYmZCPq1HqraFcK7nZaQu2alGTnGAyu6wGxJsU6tIHCBuHkv i5Z0THx7sT63GKGl+rhPIIJWhlGbZ8eYZffui+73CN35yu6bXCRgZOfOKLlCqmxvdIbUDFQ6JeCH xQ5b7uTMU/bOfrbHbAi4iXEzY6B31R6llYtaBssrFLpE23jfWT1wpw6qAmatUclRnm/Z4zeBla0p 86ra+ZfTC3A2/8N0+Qe8YJcfDu3QPoNba/r8bqgjlTxpmYE81aeG9x18TLX8bsmn8T9DPK7+28zI T3W3TJTWOcjwtccLFytsf2kqotoiOM62559loD7CykZgz7Vh9e+yTh9a0ZOOc5PpXHgIqXf5H874 0i7dd05tWsADkMNH6/zbZlaQCk1oexdNaX/qpZdRZEcVqFUHNqCdDGvs/Egtwgg69lUSxQnUPTT/ IX7Eg+LEmEuZ1K1klTBBgBYqWML9SheG69ceG9xdG4ZKoNuuUC86hYbZXY0/b2dRvkTtFQ3Ltf+u 5+KLWDTynCtUmWOHY+p6BVRmT4dAvvWIjH3MdLCWbrv58x0SMiVNVRfIO/qa/fTkorEOjWkhOxsr vOE+naPdwknjr25O3oReHL2a9UyZXlWHnhXlG5upLmVGucRw/XOV2af8nDvwLHdQMbT1BXSpFlqp 3EMzNmfty/le9Qo7gQUF+Jc+0woOkM0n3pYTWbyYrNo9FQFaTEShyG8Wlxyal3J0avKdYayRl+ME Ayq9LQDodh0dz0r5X/pKQG/hwnlhtGAuRzRbdPPipjU/TaAVj2AEvaEjjEWQ8xPYZ2kZvMQZAF3w GlWVfECSX03qnYDbNtsbTnxkg+L8RZfqOlGUtdWMOr3uLDnZ0KbHYeLbEyxdIiepSeshRRAbpMm/ e9ihcdrLEzGTOHiG2zEuu4siGpU6AHJw51z7SsBDdNX8QzIJV5yi5ug2CyMaZPVBCuEw0H+tg3nF 1jr3JxgDj/beHTbEetD8O4+itO2ih3TBgI3s3u6vkDj9ukzrdvZrgvfd4JnD5bVV538912ZWzOMR M5+npwOCykKHCL1mWf7/qN53Ndjjy/9y8OQzMmrJ37gqVhTxiMt7SnCB6SSvS9MtwuPREtArixv0 SgoAsKDilAYTPCMxJj8ok9UQIbjSVT87glwZ0ABSY9NoM7NLfoBCwy2N0xMnXIXOsql4YWLUVzPQ CEEFr1nqPjkEbkZmhmYpByLRNPOluq6CEB8gPLEynkEQwg6hjooMcUWjEUvDotdapQ4yPvcY1yEa pXAYfNKmxk6di+Bx4L8yDllKAO7eEzeRkLyx4ldzDnfAGfC8+lg1ci2ZkrOzdKkge2pHofKe7db4 N3WSjw/9L8L8rZcZmoMscOZX2tK/kGoFSjvBeXAC4NWXOGgKCN5GQauEcyIU4DVYIMJ/0l/W2K2j beIzpQ1FpY0RYgJnpqRdBpIXyjtqlyvypseY5OB5DUXiPnPqryyeDTUEcagL4oEmq3bhsIXqdOBZ Q8gRBtmb4gY2AvVCFanq3G1d2ycbCjP8X2l3e5G8MFx2AgPAYE2gfyaKf3Fou4OTaN5eLTgEZFbg rNZqUjf7jo0UaVIGugB6zoDlEONg4a/VjRKjUXLUupnzjTJgkiGmoRCN86lU1MpKdNlUWtyGWaYH 8fnhBudh+O8HJabp80LtBRR0UeHcuAchF734hRv6oUBm8jSAmk6G6EpQPlG1C8PUSfzGYXpJEdWn QjFei0JJNBxaF+mcJjCoRaK3kswasVy/eQXtB+TgJiImYoLHXpG/i6um6B/YBox4MvRq7kqiSqHu f7YMcxLzGbe6VgWVoDyx9l8S8CByt3JsFDH/gptilxo1ywosyYhuaQi/R2G3dqX6YYYJOUjD5khC 8GYwyt2SnsdpLHBQ0OvPRKAHAAugKYTZNTTQMscaH7iqsGR06hwX3qF6Sed6Ofpfs95nnvefcuce taVxXPDFPWXxB2j/QXVS2xsx1dN2xH+VBXx3QL5D3fhxsctGkZCJB8Hc37b/a5GF2sgzIReYxzbm zPS/qGU4f6hv94Xj0FnF3tpdvbCVyipJbkm4uZCUoZva9k4rGHavDZlbgBNsOtKIAmWVBk/x3xxW tljxI9AGMfCjydWWsCNvo5G8G5epL0I/QYgZmq2ae3dqlLQFCO0aOM8oavcC+ToY5LEwcMAHlb0e S/TdsCmmxmRjb7yt+ZvRToSye6KUpRCBDfiy9ol6uXkE7qF17MCrOdDrEq5bCMNWgvKk0DaoLqz7 qIQ2JTnFo4Vf7JRQ/yYWuG4ZlH79O3RuWBPWtyG1mez7PmfKoORKwGI2WOWNM4JbTEMvax0/rB9y 1lPpyFLdzEl9JvTMGZnWxUzara7e5kwKvjLU2fGfjj9vSct/coNKGvy4b2G+HQBgwdMGDC15bLno KkjYrO66PD9fRA0c5kApt97TH+V/PUec2TwA0HBSLVL20zd9U9b8RP3+MvqQlbxHRo4gaYLEhMa2 NM240qsroec+qMVw/vs2ACYUQP2Hla/a3qqgfJobi6J1+68ndhTEWV9we5+MwDq0wB2h8h6tiB0a H/aRa3SYlRFcorxUCRMXwseUh9D4iAvG3KI+2HCMIVF9h01/13+Y7IfXpHngeT+uSrhUGccaEB9/ 0+qK2pMuu/8qxil6hI7I8LQkU0gTbEAx/CMlsPv7Y/F1GVDpJapXmPyjW4C2DHl1ljK4WLQAnxJJ cBHAFMrCiiQl8Nz1oT29Nqop9wfRKMUmas8Ld2V9ZKayWsvxMEGg5U9MwS3/tq9He+6nor4D+Ayn SL4SbpBnILX9CgUgXG1UHG728w82pt6nVhG60mAYXuIDfxpeKXMRchC/8uEps6273Hn0MyRSkGZw Fc+KjSsLdeI95TwWRBIIecRH2+UwBFi5/cmajE453OKG6P36Wrt55GBdGcvw2a393np0bjtw7KbV TBWcM8UZfUEf1n3SyggfYRfHcpom3roS9W8Adva73Kou5aAvTY/FtdpzKofJ2uv3a9PN80vXtKjp 82oAcCZ5ANhiE7HFO4/RKWEniB8KYSJJokVNUmf4nL4YblHM0Tm5yIYpcFIU3+xF2Y7lujA40kFW etuFHiAomI8ROQiTLq0qHZK2+0cH+QKJnar+raex/jO7EI912G2hYMSVgJHdbTru5jwoGDBP4fb9 2/gSbrnpSONawVpobfwu+pNS48pa1/3lE64ZtfapqKzh09+u2d3XhhGRBUK9i6kGU4eS3l+ymFkU zD421fa9RBmwqemY808hBdAVhACi7HYYOoDVTiJuukK4VQ3AFH9tgu4eJ3Mueg+p1Co3Thyy5Egg oKz4oQVPUwQbx9RPKG1ueZ/Vz0Os4aFnAnLG1O2cT6Oy1ZIhu5pV1i8aiExleOLIgdX74UbHhk0O +UVKBNwKAgl6DL+tqpoIrXIUWTealI4106TV9EbyFZ29XRY+zQ2O3fu/xfnKRlcLc4Z0IgUFFU93 qCS29ZVEMKnA1jO1O9hRCxlZu61/nSXrt46dFwmnKTtRKhuDKaBY/Bz4BN4ypxyrif1RRCIokEzu ZHfTtvobj0O35wM+piaX2Rb3BRLx+nC5fWoopqBXgqXwRX7Tfa9IJhaT7a6bAiVdPuOfOEwwhBVm 26Cfhb88CNRJg3YVgwTmTsAKQAzGrL/nvVz52E0pxL7RLVuyR6LDuPdV0ExREAavaEEq0oEWtN5J HYNtu/eeAnYV/YWUuVL7qaoP6TAFk4bOYqXmq3MhudRZc7Q8EWFNPzCjTwhU8Q/bTFuK26Z118OI vwnTV3aG4LzgkcP7rFCmaORXjGhxHsXPbrmAWtfFhftIwBmGVoExc9rY1PyE83bB1FCn2EC68n5Z lmCB1UDaW/ntZSH7G7ip97WUoOWjfcBIsmiOKgMtZa2GvmRysXS2zULOcMJBrOD5uWnfTpCtzB2E DCotL2ufPuvUwMfuFhvVx4FjvrX7xJF5fPEff1o1DVOeigQyWOeJPnFVkFrdpR1SkLH712vkV/FT zMc/WGZ7dVXObEuYGZ67QUJCmmc0jGgEmxNbnhDFl4YcPPNHsp3EEEYUSKXl+Jem0gSoAoe9dAJf oKlxt4wvkEtCU4QSyc3UUk8K/u/cG8veAq0fVxDN1ujYegUSj8ImTOmgphhcTLTrrVyV6QDpB3KD R0CU946c/CG03rgPqQD4l4vs9Rn+iE0jZ3a7KlC2u8BhyvrotshovnT1ov94daksW7XggkLAcUQa 0qldOditlq8noyarN6Os/v6dA6lSRAQbLE0eb390lMNpzH/qu4weuipvtEVC8epehPk04T/YH5w5 EnD6BvA5sqfAPUIsow03dTZ0kXUwlaEvWAxmYS5eN5PBHAn/u/AGDYnEtyn5l0eLGNvWLojLKUNR U5uMqOAzSXFyjVOxiYuVPicSf63S2vmIjvrJHohEiy8qRoTWmQv/HDoSH8jyeTxSECPumcxZhPz5 zo/1XV0ykvpmpV0W7AcTf57q8/8ebqzz9bcc6IcegXcImRgo1NkcVZCVcMyH8VTW3m2bcoa9E/y0 a8Qvb3OJhUZIfDH7+Fwk38yXXVZGSk2eWJVv+JqToKXe/vS4tcKLu8NYYeqTRpeI14GjPd8sxDew 66fNKHAkSM4ecDqB+QkD1Con1vU74ahp+4VOOslhozS72xPkYo7hX0s807qIauK8RsmNUn2AR+V3 GwgutSPMGqMfJ8VgLQRi1x+sQDtE+Ja4PlYeo8XsLxzhSgN+MJ4l0kZMk8wy8AKoy/0CMRAeK26R i2Q7LtgyUsepKbInhRXw23VL8ATB0NPlQgBPrFYKzTPQifMaUQsi3GZzLywCKq/3lwXuEIDvmkL5 9AAGJ9s5uy/AoQUQnHQTnSacebmIt2tRTVk8Y66VL550Hsu/IyFWyeaG3Ezo1kmlzhdZDYkw1+Hd UYY7VnBij8h/Foi9sE3skq7QKe5J7QdCyk4ualEPMfVCMjJ5NLOLomqudmG/CsOf0sIpo93EvElS YX5wxOlJqw1fTXDvoMBrH6v36+ywrJaeRI1QBFsa/Xc6Gc/8tjcXTVDGQ5m6+oyUGEZcbRSMnZmz dZd6qX4GIzbY5o0Xs2BRbwdaiM4Hs+z9AyqnsyNowvNJspIaSFRKdmbdIaXC0ncd3cwn4sQDhrck KkKNN/JZ8GwyAoTPPsg7PFEBrwWfWh49dnYg/cv5BwbmjISwbittC+wpJJAFYYYDUlXOfSE2bnRD Uyh3RwaREZFF8h9cmdYaC9GOGfwN/UWhGaZDgFFjNConfAcex9a5gxuJ+Eh3RKJcSPjc46iuk8MB jbW0j0eXRJfDZ+CTiPEZibtGBuoYieR/Q/7r8pc8jmhVWxgdNbtnQKaIuVCR82UKLO8N0B2uZJ65 EyKs+7oEkwteJaUCxq6yPEqnHGzie1ukOLkgVQwvGY30fVkdcjbxxMjP/kT1y+8jRBuTgEPZPsjr IAGmWEFlqLXyW8R9KPGdO/DwBIq1Ub5N53+wwONQFEz+vkM0WG2dSWx0iL/68yIz/aPdWI3ux2LO Dt0/nZpl0DXFfDbo+g5ljUUoGW0aAB0Zp/y33udJ/pWG7kiruE+DdCVMJQqde4X+pay/Kidq1HgS S/oitAZzidsc4Bt9y0u2eMgi3bEdUgamKYVBSvvbTuRPbKcYuobzl5sPbGUCHh9FyDgQ0kduONpW L2e416FebfIKRdcfeToDepKpZ8Bwv/R1AppZPH1Jo0A/fkqS7KPkKrGUw9vlG7ACsFa8Sn9NeF23 sFjqB27pS4ePb2KYwHhypTVQ/QYknwZhYWKQrvfnpotwOd1A1V2zgAMjUPptBo9SEPR7ow8XYqb0 ZV/GQCYZ4lZN6SzZLxzAW5q2HtvqMAShVaE4XU9e70V1QzFz8fn26Mu8GITEJpB9z4k2IfYWtjeG u7OUzZsLO2rcYi89C3icGDLlusAk//ifRzZwH464ea1zZKIlXcSE/cbvjPBMiq8njfgUBxKqmUuw 01IAp3uu431wUpP/aVVnDii+zFVptnNczurBI/+qkZGe9hN81JwEWP40crM9R5xKshSZb3exiwFX YcFtoegmMUKclqel1fJNoAcaUhoi1Yq9Hf1JATDERYPsblzveTYT1lMhkgcwX35WhiaBlORWlr1g gophdIoxUQsf4L07FuBcqK8kAk2xBOkTJ9qCI3BAufYa+gPGrSid+eRFYoPrDWk/Oyo7urbPnyZu VXIOBtlsvSHzEuizGw5TxTvX7m2KjlyAWNWZrQ2dUtEp9vavIQ5ZkY+6z6VMLIhIWtAo5b3D3HMg rCMiaoSz8vCwlNLeouvIjSZ1t1pB8uqQS8AF+psx+4u0mWFl3a/a1mIhoF+iJHGqOniJ1L9KLdA5 gZTWl3uw0hnkbVTnZeog942cAaRr2VYHYP448txaenJq7tCH1txj4riaXkOxMowiF5S/T5Myepi6 caU+J75w28JNdvMTLtOxL4nXXEdhywY4rcefU+oqvnJjiYu2JvoSHeh0YviJXAJTARcXS8G9CybN lQmITwuqVqTlDcXAtm7uiqbg/3XLEzK0anWItyrDVgCxRLRDcwEHPJbhk7jKhxFIxei8CfUi7u9s 3TogbY8463RPatRL597WMFf0d6UxUulrygLMEeIqXZEmjr177vF/dmI/JQN9C5vzjcGCV0hK3XTb q23vcyN1r8HHID9zRGHPeQ+EYpHEr/kFMjUfYlDcMauNLrpGN8q9JRcRjMoaZkC4Rwlq/KLAcqr5 sFGxcCHtu2nAuKMQjgQMo5ZX6Lpko8+cUnwlFXyyeL+Q0za11nhOjaiahuk0Ov+rah1pVdzAa+49 iUq+WaXYORV6lpPUK/j3wnmlOSQvcZngGtG3B7UMLVJqEGLMiWYbpIJJBOmN3NZbesIovvLy/7PU d2NgMYUPPuXuAYeRNQwIrZkRHFrCzbVm7vzqESzaKiLG9IxMi+QdLSvep0Lkybm338b6wCDOcXPx gcNEJi+ZAb+razfN7WmUnofGhMvcc8egrcKtgZwC1rPDlsSckQTNveUmaWbG/UQyhCDIVkHhrBS2 bmToDLld6pqkAeqWWmz6ece4mr5H5HpwrLwXManHnI+eNJdiCFRluQdw4OuJ+goaQbSOkWsrd0pL QkjVZ2LTt6U2ppsekIEbkzaP4r9gn0buCi7hWBGE6Zxq5iWiS9upOOIsUsJz1Zow6E+dVr/II7xk BLsywh8kbwGBsSOnBFJc5v1xFGh2nBuKzjXOFp6NPq0QR2VVcjXnreooxrPu/6GaxAe7NtPq+jBA wTwBIqyAnvjoK0pqs2Q7pbEhL3PBN/APsIRAAfSvaq1vmR3xQOJFnxOYpZtxw4t+Vpp4b0FHjcSr HgKW9YjsjmZ0jtWVs3YvENVUsdW/f4KNxSip4T+fkiVxqp/cMWB8k+u48fY3Yd2G9m8mzNMCQnmo legkQAXjvqlmfAzPc0Q+xq7JtPT7g/rpv4u4GwQ9HC0POiFLZFvM31zBRN9s46kcRz6y7UPHZCP7 b3orULOBdmXCCzCc5tQUr7dXUA4cHdWpI3TzBGpLH1LKhpIpxYBsMDhtp3Ky1Vtf7CUckb8ARhiX oWWu7DoNqBIFr2Vtw0gur99W8HzYBOY9e9cSc1hDi/EYymbVgYreL9z8Y2+Ayr4WNM+UPt5T09Jj Awu86pf69fuCLkT/RdE7xjww6/25UFdcLciHoKMBQp5wxGNN67EAwjZArzjx0Hy6mKiEWU8M2mzR ix7n7Evzw474OD/21Dw/KTzvav8aE+BjNNofO1NvEIAt1WfKhZKHlnwm/+ORFDKVVlc5eLL7JfNb EORWPxnUNOwD4NLyb+yN47vMBArnROLk2lk7lXqjYYXeOQ0c7tisKk7STCaNX3eCrz3g11TlJJ5W laEorZGlTbuc0kORxLfR5ZJul8cbud1r4uqtX5TDVsC5TLvpeZJCOspUrLjfhFutCEA6aQczZq/q c7efOpcZLkEq9V6GnpGypf5Rqd/Ken91UuQ114wvbpAI6mV3yhHH5oNtmyA32M4dgNuQXwli8DEG x7CVdpALw7fEgygPm6JsJLSFOOZh1aQFPHdqYi2vW08HFpfYCYNtZizmDwTm+Snx1aVGMgJSX2vX ZRvuzPZVDNtWvDp7KUZox7jKFXUwh8fxs8i7I1G0MVXwERWPX9qIlR7sHk5lXviGnjtRwBhexsTK 0h54iwh/m6TqOumB5nBLKPDUspsUfAJcVevMgdom6KjVk6dpvkiDBGOFeTYp61qMW5CxlqUoOqIF 2ayb7FwgJkZGegAi33rvp09ZBDXROoRvxoTXSMKrAu76LDvGcb6EyLrFf/blP77A8ePowTFW1Dc7 Mo8VH5D+OE+0rHW/x4KX6yg9Ihb81RiWdyJuDW7sBcjr75bhk7R5LI7qTxX8wF6os6+CWZeeF1rL VTHiGyeSDUqj0Ez8w8hEslzOwjb+gHEpgRkZZph+ygCGDxqridlcGaXyXeILThJ5RIKTqLxnFdDv /tsCp90/KOSTbBYT3qJXSnPKXeuQYhbbv4LkbwlojHOradOHoVr7lPyUD4jgarK2Edux/LsXUsHo D211IaGNxee9J5rIJATAqUCHszLy8cYEne/HVGTI6D4QMsasohBzqTRAXkapL5FaC47YRmYuaHxT uJvJdx78Y4FFGmVaMMgckKPpx0rSrPeTisQiVKuYv4eqNuqMs7GFId5mC7m2d1B1dh6a9+tyt4PN vYr5sgalIs3bjhpXcxT4iw0OQJ5TuwA2A5Z5H/N9DjeE3hG/Vy8Q7k8Pg87nwGu9jAGqK5DrprOm OoiASyNxccIah8NxLWcsnJpH9VHBEdQmmizn+9zNuG+U2G+QMhpzInPqDQh9+gwb1TAhnf1pvQji Xw0L8MwV8JOwhyWvfGXI3sqX4BB0PPUjZrvqxRvheblDPyboO4OnZoIMVrp7OZv/h2OAgw79ftYV 062H+l0ez3M0BixUHix0KLkZzep8UWcBNdPRtBMx4zRysT15pzdIWIzjn0qTv7ncxg+olHviK/5o vXJVFVFcFJs9Vd82Jk2QkLW9jp/xquGe5t04sh/McV5q8sQVYcw+ZM6KFZCsi+pCJIS4zcpMdPLf Jn5jEhwVNe97NqXm/KnCFA917RQdhsm5BUh2mf7OSwnB5afib4uP2yUGwAllNCvDKZWLm/P1OD5F W/5mq7brcWpV1mXZB1tWnFSNnzU1N3l/ONprQOBzO7RhRWIJygcSA2TaLYvbrppcje+cTmuhCStl 93u3gjwrZUQTmsJCUKHNUmoqQz1GoFzkxj6xNLgZRS69SnNe2BTQ/LYj+lrkE6D+k3njS7Yj69K0 TR0jz994sUXKRcK8b//PdnRqrSP3z7ZwdRWciS2t/W0KNYIZlnA1JevN1HyWC2B7IA2jKVbPmuS1 5XmxOlEOgmzgX+dL2Cj6sUgj+gZX9zWAdMdPLK9akFIDUDkBYd8ot+TLV62cX49mCv5iN/qauOSi gNQ4GlkN5aiXd3NchHvsbGU7eGK1RzaCr7IZqoO9/RT/DmshjZ3nheGZnSrE83+Rl1ET3lIR/GQP DLgifzbFMMo7DJw62y+cDnSoz0yOHY0isDBYuVWE0ssnm4c597ME7C5qGNd4K+SUOWWA0FxDyRNM zxnOrl3fHeZjyomSS3nP7kDvLOesDCjUbyI5L5g1aE1ZcAFZBMfUkr4xH5AQKpiG6Gv43aOOIROP m8mqEW+R4JwC8GIBD0GVMc2kpPs2vX951JJL4Rh3Iy3k+wc37z2AlsaLnmfZToIf2d7/ED/P2EFA SLd/BgTWM6akupUXw/qq8IA964CP5kgQrh/Hbr3JRUpIrKib55RnLglPPv8/tyJrZbiy5CMw1fwv N9KjFU4q0a5uSMiMWdvCqf2Uv0KGJmjc6utB0NH1VYEq2eQ4yuUmLAMyHH8VPFO/G4BIe1iDhfoV Na+NYM/Bp3Rmc4ULkAtXIeasjkIqvirCFb3bdblv9PZCHp8rOsKU834PKh09zm1lmtHsygM6ZDsH 36gCxIA3Zsz8s1WyCAW1ijk0qn7xXbjFes/L1zqlxiuCAmmxVhMuYE+gmtQLYbVCm0Yl7hhHAeUj Ywt5BEmbfJsGVIGH3z205ud4KFoLXcXBmhy5kZ7NYB7Tld3wCpc2pfnl4hqyASjMl5fMBQIRMvzp Qo08XuJnhMs+CfsBGfIG0FrvLsYNOwJ8LZUH5WKPx8LSloMrdMkWOcuTaC3I1tJvzl7HOrCckFpK eyvkEMjK4xThxzCjuoP41lVd7gM8Xjm4U0H7qJAjB6tpja0MAQ0jvaQ3iQl+h8vWo7bR68WkM8Hu ydGPlqSj/FoAe0a9g0sjTWdrT5jeR7Mkqn4MISPGjz1Q+IdDWmGZeRAu1NOOFXO0C5vKzWKMI4e2 lbLUDX6m2EQ2tzUwm5uD+01QUf2rSHcwG94fqxPT5nxSr3ak+PIuXHiAjOhnQl8mUP9fesX5aW5Z LRkVQRoLWmdOsa4EP7TFer6SyqgwhfxPXPXQVK3nr5tnC200To6Ly8iTtFNQJs7RIQvSZ84Q2zjU lr8MkAEIGcALaqcLQKgGSGAZJdBe6HQMTarmMQsRYFbdrbkBGC7fJDWjuNyBuE4i5F/txXxXymPf XqvFWCVVz/UOvaN1Qnr+Wea9HQctMmA2b+6Zj4YrAOhfqs+bs7V5RZRd5ngjAWvSJu5PcpB3nEfI L1Gq7z/+EIog48DvCdiNdJsp3ACYbwXA0KqJk+e5OZUHtEmrjqUYYMjjxphOBgXznnkeOalF9CGU 02fDQlXfDvakb9YujUAPQjwB/5UkyQZIxFKlk5wJqvCubbRqfMlGD/Rb/IZU0+Ug3XhnyWeQWVGm ImXtmwB5duv7b1Y7pvpIOHYItrhxa+AcGOFwVY2VogCJQoFguGor+1W5vchzmAZNtev4dmNc+Wms b7zTURdxz5Cw8Di+YqLpqIPniVn7NCxqjBB5YfIOtOi5nQsIlQEDrijzhf9HYjjO74DpYPacZjqf CLdN9G9mJlBCCOqk4m+hW7NabLTkH/LiFVPYen7626Q2If0MaFIo/GOZvf4w6KsupezZoi5U7NQC Fvbek+abZS2N6eAYiLxOgw3dvE62U9y0HfeUtDrDgLepPx4BQusjCDyptj10Vo06ms7HeYN3ed0G ef+vPu3OvjNLVBiwyav2/VKuubjRwBaugMuAIuyaIvnbfgIVVIPRnTuZrxMHsSt+RmLsSNFctWZA HnMMBWDh1flN6dKocT2/ojZmwzk1qO1So6HhpT4GRV1f1m1lteWLtz/RWw+uG+bK71yxAWVJTjAW TTtWTA6PRUJmYa7m4TG8kVh62+kPZf8wil/ZAhzhaLJa0Qq2lE1671LuZ5H1mPiyz5KNMyDubBPz 0ISmOaaMHnomUu+TZ8uNOGG/8HYHDZs/q3KrxwlADJRV1TIFHs0264pANkyYv1133/lVvNe+FGaN Nl9vH0Dw/Nis7XJI8gwDd6Y35//Ja51cv6RuPoiPS1D7DTh9HQibS9ugyOBDXF7lkqJxE+7PTggv C1KsXAc2g6hcX4WDK8SIFyIn7RFerGqQv2FwH35ta0zNlJZYukmj3cqig1Zg7ELaGUWM+Xub0iFC pK1ErNt8bgyude199NxbezWMLHoq2FR05ttJhf0MynS33412p5aXYZcqdeWHbUMPV6dCFa0piH8/ bBLziIi4M2pM/Sf/YEu88VuLv5ssPpkJRfKtAxsUnxv4I6QyOhDNlEgwfJAC6RxUYT++wkFnXllj zPzCAmiBl0w8eYCYPUZbbIA/y00p4lUurgJx99mYWBqtJ3OoC+Xrp/qm+oACKvX2M3rjd6RZIFdy gVhtBOMlB3B6iryvZbN9wb77jbPxRmq7OFyE9efo9WXuCZDfURJIIXr4f/r5QPKhDkBtkRi8uI2E F0dS+uk4QzvZSGTGaGFpvAF+0RA+X+qSBZNL2Zlqa9uXVKcpYq+GH8ViXDVuXRt1jpSfHP9qt0+9 837ub9BvAD2A+V09caoeXZB39z5O0L924Y0LM/9DYfp7c79Kq1ACgNwN2bbSnsLT35VT8L+rgTnA 6xlgjT05C+VR8PKVmS8swtyQvYsmR8pEYh53KVrmOvpwwb4hRQ1RygpUxrZbdoqSuFf7DfaWGOjf tsycFvPxqMJKSqbshpivSCciigqCRJ+fp/4NRBrr8mZH0zvqek6x2FebuKxCXejXNGaPg238y1oJ 9JMWuSlOG/1azC7v+KJvLTmZL2qR/zz4P0yn1NNKCSzVntXAIM9HlwKaOaYQ4eKZrMRI26Xgcx+N ttrB8puDgz520amVjpvybfEze6boV2YZhoWtfSJzvEXjPcZqBwqbglZSRBuVOkso+wafcckmv67J Nv7YsCXyx6KBOCbmuqfPxkCTNChQlEU7zD486EzQJ5ZA+7n5lAUQXbgdUQ9m5Z+9uHm65pkSElOR MzxsILDiMFEjSVAzVsssTnZ9IfQ7WQlkYEHHY1SY01h9YaHT9TsTKJWQ7oBOKdYm1+uPVDvy0TEQ BdLEp/i/ryHxPdBsAPKNgJBkC1TsjKGxxuQd23FZYyiKC8nQAxlV6nHiUqQhHEUsGGPI2crmnRBX yZG2gk350twb5eNJr/EzBE5WHSvdxuxAnGjWn3Mxl9Jrn5Sibbx4hDCcs2PXsZLkWj8d3U7xfmX0 A7TJxQBrp+WbwUxQMDlD05NJHoG+NyXU9I7OCWIG5UecrS7dzu382iH5Gg2ggFNi/w+7Sytra/Iu qeQVtbe3FcIseyMCv0168q3su5Gp0mHqi5+rgySIDj8Ppy2SHaFRYQeBB+J2m6nTuDnmT4hndbWi RG14///flIIzzbQxCP1XzA3o6HhSDxM5ZyzRa7UfnkBjiVE1ZJmz47x7otbCW4zQmzLRDQEVubv/ /fzx++Ks55QlZOPQ03VRXMU2gvJf4EuTuJcF/IbrKXBgAE0xlViD0zhIRcVU7otOhyJ3RoZULkaM L25TRE3JSSp3QxWYaYg50d6oISdzt119497YBOjtpiR/iv8yW5BBfPhoubSRyHGfBDimoV2lIYlv 24/YDEUx0hBTs3yfIS0yew62mWMR3pSWUUiGL+EKa455T4tKbuFwjiLWEVh9sJ2pCeS05iOHi8Jf W4N8B+UuMg0Hq60aMe00kPpkyN5XjIIjwuIRcY1dKizBMhZw10vX4m1zskIOgV8hVooXLUizBA4Z 9gk78jf1f7xJF9458NZLtwGlFeNqfJ6k0uMWxxrYSFBb1/sOIQZWvX1X6gicz1INbG+ASRAUTI/x FN6C7lVnM/+FQSVbZtU0U0Yk3yFAl7bd8YGG1m+SGvAWqinatskYOleb5stFqY5w0aJ+sQrma9oE UJgLJro2DynoSBEKVP1IVWP4QiK3SrSoWT3giJ3IxfMmGOdO8ZzkUUWeNM6v6M4R5tT+pjnsB/mP kZgyZB48TG0JqE+sS88RpwgiXVR2fRgRHkYU7WWK1vtkgYgLvNX6vHmXC1c2zJuzkUqaXEXP3lO/ +ccp/Qftt3fynWxepPA8b+epAQ98WaQTC0dUStTelwHUlfPZvbyyplJir8iQWHx9a8xq2djXV0// afYjSBYUCJAJ4e0nOoN5qJOi9wOi+cmR7zVIsYpQakYBRUT/OZ8llV1ehKoVyXE1CH8BqsQd1USD txygDqEPyKsGGevA4xvWFbeRVGFmhT7cy/TKz91PfRmQqusbze6ts23sYkrJfQCQ2KXFIjp36mQ4 O+EufEZtxhk2wdTUDm8TiUpSFkSjV3bHNAM39pzKfxWrrCCT7rwrYwLIeGXYOsxR7aInT1denMZm Ezx6VeG8LU518fzkGXWU4zYy0KBRpj5F5k2bZlJcJlT5W/pKgHEFqMPQg6lPXXtDDPtl8nKCYGu6 cMcJBTdqfljApi/rmXubfh/E3EnSSviYaYTdM6Y3MqmibXNlnZoG1M6dYX1hQDaQrdhBhH8MDj1J wu9kcnXpuRMznHVbn6ExeDjdMM6yzJtJ7ACvQNP1N1MHCAPtpJwtV1D/vRuuzMdsd6TnWwgRtZXb awzsKaOU7ich/eYhGOAEN0Tj8MFIeutD++OO202ksmvYlTF3GIBaN6NaR30Y6Mwv5vlJwcn72bo9 cpUylI33T67OFarGZLEO9//qB3zKN/ELWqnuo3jfHUwN4D2Wa3YTKLWyCOa5Vq30jPRCLMLF5eiv YT8LGh/xDqUulg9GoNnptJYduCMySSJvJYgZd2Ejxii15jcO9UPky7K+OhiAmEo7EU3ir2kI7+0Y g1lOnRHfnQgw20nFLOzaSNtJo94QbvcZV9c1o1jXzuDZZHTItEX5pIKyrj+BoE12wkvJkfaVXU8M H9jOQCebPbshEnHhhUeLDrbO7uSDoptbYlIt5WRfwiAgcOUs4ath+JP06Q0pbMLANpuNlTZvhqNs Tf9W3xqsU/+KnAaL6MDncV3I13Xlsoqw1XROJjmxm5sQ739BWjlEAUUKmc4WZ26zNHP1Shac1oru h7fCGTx1llqMY4LOfqJ/U1/g3Gb6OQ+mRyyapfoH3V8Iyr51z3waWwbLtmzmj8ZskN0V0vziJ0M8 YnevJXrm3DQ1iUG45noL6+iNdeXxgLIk6A7PAz3WDuTO3PZkazFuU6Hr8jIUjcqz2aYWqrvplyzQ 5KvesP1oMTPzYyakZYSSSSSx8sVJLTiRtUGHNYylFlucRcegHgSB3d8IKFPGiY6VQ+l8SCqnKIav wWn5YlLCO0H2oZvCiR5ra3Z71xftGVdol5hV2xB9JrWzjuRumQxlphAukxP6TiinjktFkVCOWXxJ VRWjg/ltPR9n+z4bDjFMja+tunv3WJyTRvMuyd6aoR83tmtOnlczA6nfC5uGDPONBhMwxTeanQ1Z 3l1t+Hs7slUik3YUXuHD662vr/0MtlXkciqbWaLlz6fprPDq97S99QXEo7nxwkGqjJETGjhdWrAZ IeN7e+fIZAV3UPnclycnT5mg5pcEJ6AD2gvY913RxC5E/DfExnPUAlVB4oZFjxjA2FRWTwdkuRdj soCPjEUx5p4dmMyHsi4cdcB0W7qRJwq9z/NEZEDAj9QgK7TW2gH31+8EBflbXsJacb4fRIWR55gn fzqXWLF6lryeZSE8hfILGwEZjWXgqsibttBtbxQQ4l35w67nK1wFWdzavBJg0BONf+3QHck8vHJi phkOULWIHsVKDa/xxnSD2zdvIYqPMuoP4pFBJiaOX3kqmncEVAKERVpP8gaYzCz1AnDOrCvgcpQp +2JtRg540wPaOe4/4joIcMBcoxoBb10botN5qqFBEAoKc9mZDw56EUkPaJ0yRiTtkZDv2A9npEgX Vr3KpCjYyn5izZHcZjuYAGay/vMiXd80KZbYqTJ7TZelyjKSenUb+cgOPFQNVo4bpCZh28amvlOQ mhm2ghy24HNTUTVoNGoSS4Cc9mKUcsjD2y9LRufBYhnRo7dJMpK3leE4qOFdUGk9dNtONjMqLv9V zPjp8cXDqBoa3k9jTG/CRdXiYE2JYuJG8Pu5U/TEqk80jJnOG2mktIDq0Mztd+HmqICqMSN15mxc qtnFjbR69NDComDBF54VRJPAy5OuhfGmgxv0ApaLPJD2s55O3ZkpW2gxDg++GLOQigS5X/fgn/+p fubDtuA1tGWNYMQJy9HGQ4N2KWWZoS4oXrjy20lLHWIAG6yZinbfXtbo++LBRScLtJUOvcWvC0PZ oJXJbghoPET65oCQQE7uzGXwFrws5LTZBDXmcaMYEn5lUlfi4oPKHOMP/rq9CKFfQW9fmeWFVQq8 AbmNbSb6T6iXqChEopGxPs/W2RCNiP6c3E70hykvWAGCCjC+gsNEk5Ddu7cheoJGE79ez5wwic4Q VllhYgg3IN+J8Jl9D/pXCLzyyJKOnneuSXL9KbvUJDMUFafRQh6a51IJfUBBZmI7d79j6nnlNTUb isAAHSslAQU1u+cUiI5q280j4BwdVH+VQNVyRONTi1pFXpenHCE0mUwvsjfX00iY7XSNiNzDVwYn RuvhcNKFUSO3jfcRml0C7v2eQeT27Rf5cYhDedhn4AWCTTaJtBqpenhVtFID87mwMjco3nQegI5F 5h0hAw+89EsSwKYPt2NQDjSuKWtP9UwSXCnIA8mWEQ8iGEgFPash/gGir/EVwvbVdVtkZsFrxIMM /QMOYKVV0q8ttDblhGlluYbWMKtHAjAZXv1pBJADW7mnQISjWeUxJWWeWIE4XoWlJxBSzEaQW4dW ineZgpZkVJvhypAu2wzesS1HiWpufH28lWcdhJ0ACh3T9iPJb6AumxxUOLfQ46W1GFP7ONc0m4Ry xsVoKC9Z44Pmj1Du0Llb8JdPq1X42+PkpKR7kFWQ5kjYStqpSc8Um/2WT5aJ+MKAfr6nO41Iw/yA SsQnXCxWe1D2hf23xefg0MfIoA1WlcfficY/a4fmuIhmL62J68WuHukGaT3kcwoyo/tFgaxE23jP AmGzRk8j1/sCKaIYqSUsWFpZo7zlFE6r2Pbt+fOL01Nx4appr0EeScjaURw8L3FXdrDjIb0FQR7x XbI5/FgPyoW750zZPcPKGWeQyVZF9+M8tS61aeyDX8hMIIrrEnS7zFTvpRpQLeSW2SrCi8VRldot 0gJq6xc3+rVrnjh3IwkNUwNV8KsOFYrgpe6791oEnydn1ezlVVGJPd8f7msm5F7iqnPk9quoaPku f4LPzxJjoprk+IakY9uH5xSnIx8oBkrl1xlZZq3Mwg7v4nv1C7h8pvY5X1sVqX6hvXMIM+f8TPRt L9Ftc+y+M0k7Ux4szfbKkbE0iej1/HVz4cbw3pCegCJuQAEhjkG5FYSPComb6Sa2nMcic/1967Lk Jc2S5nZyj/htQ2OcywNJ6UseYgtX79cADJjGsqlSppaXOSdjc6zYe0a/KBhLJuL5zjgYddDWx0Nd Iv702IP6U0QCb/ncLt6PCQE4Vop9X8M9v92nYeIhFAc7biqWpm+hOWqF1LDAPAx3UhidpiRYD5Fs 6I8mqvpxJPq+hgEYagqve1XFk6ipzSomWXGubuQfQP5zOg7ytejb5Gi8ZYix+Vgaql9VDep/PmOq IELnzQA374Di47IDk1C96D0MTrMp3JPhD0QqncWyhbrzqmmU3OiG4jAXuX001XS/syRysVspMful IBTYTrZR6hCBFPnZ0QPdYESzivZPwK4ukc/fLaedc1lWHwZSJvWEe8+hsbmukOuCKqgcaKhbnjU2 +M4T+uRsvxo/8xUjCJwmpqJGkdypsMsdSX6C3s7Q5y0IFXxAdzFttWxpeBqY4naK6HLFuJ3ZOxJc aS6ZTw/M8YLypObwAHWIFeUfwvqXie6vpCCg1e8YErhsOgOkC4gjY7Dtv86rPm3bP9Pg6lenQXdc p6+I3o9pG4kJFKGz90yiaKtANSdeiv2WFPgu5Hva9hBsI/sumHXbzvMwIAg4I8K/EtgKRJbdaqxB BiFxmP67mTLsYUBzrv/EdXiK5OdC1ZQcfEUw45f58PCepp6kK0kEdpBdASf6kvMrid7mxOD51JO2 h5KTLmrDnBbeAJc22Rfa14EFTgvQz58adcvov2YRr9TRrXPWqMRHZtcWeRB/21Ve4mq354Y+mWxa +sdIdKKDsZcGfQluRorWTeaKaVAqs/BiPiQQM3cGkg1iP0SgZOJhFJdGp4pfirwR9feGDlp+s4mj 1ouDyGvFjCwaFEPlM0oBGXesOSw/Y95Ojk+52yBkTU9hQfvTBVG1WbFghpXnHj9Fn08d6zsYIFQq uEFyUkazioRqwKmcpyALlB9r97Gjw4GtM9So+WKPUIHekHjkqsgU5VEPKjPUYnMZzK9oXZ6sPxbc 4+xgOg4/C0JlpWSlJDTnLKsWeuLZX3iN7+pFP4iri/xZ5ovXPmx4/6iAU7s4f0AcyOMh+cBX1p4L Fneg+tNkcyCx4vSJe8NYCSEHrWBGrgLAxHheustK7hO4cZWTd7DaxG/mH1FMFW0GnRpvIaPVTy+s 82USsO8yylozOF5GFbU34oabRjDmQeo38Nx4MnTl2VJSykSDJje208+mN+UKgvh+PlvO96fyXCcZ ed6j+MpOx4Gvnhiqvrxr4e5PcKvHzzc7R5dcK/Zapobs85H6g44hM2NH/L6sKaUAOZhQUt6YdCiJ CULzg4mHYlY/xQ2kzKkOoJNm+15rV9cXlR8ApOWvWyQX6ZhpkHAUHxrkMVwr3PKorGAExA6YqC6H B3X1r1fFUtDRhsawZd0w7cwXaPBNG2DZ9BhE0WqnqCPF0U6f5JHagqkys54HxlGC+bKd5ancVw1X wlomOA2UDSqRvJL3Hnixo22HHq1CqkKzPtbyYblGx2rEcOBp3BGJxsB98MxvFPRe8GBEtGOE03OF f5OVNmU8pF1t8KekbpmtF/M12A8kRWfjaFmQ018sv6VN2yhhmUNzEw6H2J6Pkn9YzmDTGmgf/aAG Yj7kI007JVSjWhsQohSqDxnHV0k/29zcjTWgp0Mw18VUZi9c5yvdw3z1cTjA1TRu36Fb064ltgeH dCuqTLR4vXiqtA9Qh1jjVx26v7SV6AMCec//rYRwB5HoxpgD0932653/VBSYOI/7c4nRrm6ZTnGl Iy0caOjgPe9ewCUwQwZnfbETP7Jx+RoavbI1zGG+iXEi/rYR02tN1SAg50F6RKBx69SOgbPiGItP MsHNEuv/TWwWhWyWzv8WjX1W9W+RZgkHnfS8N0+7ezO6i7n0aMPHagYFwMx2I7sG9TCoVI5ppf1y YM7Q3IPZ5SsK0NWglkF5vJscNluMYlpYlh99iJOB7IiUu1NcvfNhRYodIpwJp3Px61Vr1+Y28awT I3yr91h9Ps7ofL4GCOKJ8jwTj6bZTg03vRWaSbaKcCiVthJWH6eIgEPkJMt52m7QLhaGk9Td8yyg TjRHfyZBjOrFIONIvp8EKrVtD1QDaiWqlEgLq92dYJShtBMRzHxzNklfkFJVzfTKv8K/0Fzvjcl4 bInptUACxMTDeSQNMLTZ0JmB2sEzZmFhzb3Houo5S0Wxru4Y90SlPhj4dDKQ7IWXCFMXTuFelUQi TL2M8XbHHzHYgvw0rqSuUDo7yQjAERzjDE7w5GJtV3Gl1vmtTn1yAgEyf8yF491AlaY4+Ny/PJ/Y 0mSqcIfJbluN+4ugycpg7PjadmNyF0+3fMlEVZ1uZnsQaJR+PcapoKHPsuCJSkzzIdxvn8kBeb9z Twbg+ujqZLl+lltCl8i+ZMh+ecFjK8Zhc6t2z6GCNq+Gxp+0YYXvDeTQ5g4x7ZadUhTHmI+AljTI ZH8lLuAxcCcJdAHPZZMDxcgMYwVcjjmViJzS3f7QfskBnjLz9u1zx6RJ7YUFR+wN0FF6p6UBVUM1 UmHadY9CIbo5Vlu2pjFBVhqb/WPJ9Dr7+VRiIbr8hHwdCtGujGJzdyRstIlSOqWhi77PUApPE9UP 4Q/yOa0q3Mh0uNa+AizZhXIyMM1AYsbwkLA2x9xJR5k5rl62pb5MWtv2MYGTIK4KRJsx8SUMomN+ NdpOi64M6YmGVDsALYZkbFr+Zw+1MRGGmIQlhImgJoxFdKPWI18YnqNSugS8zh5pw0TkqnMG3Kg+ oeiPV58EHjq+G6esI+zOR8ZWIbaGxK+OHF2w4Zue0wJPIdF8rZ6JKisQwjFFS/QfZb9OXvEap8Ko t1FaHUuOtMCHpCxDTmqE0NZ8rkP+ggrogCN1NnEQwKA1n/xhIG9Y5FfA541SnFogJJJn5lsa5nlA vdU473FjAuvi+1Z3+5UQ4GJjcF+I9Q67eQS/ZuEaVfaYBci9uiEvTdpm57v8eaJD3gKhtWyY9S4y iOP/p7uPnqmi2958qvIQ+AnT+zavqJ5fWK/4k3jtG88V9JnqNosS62ZVrUJsU9H3Af1gR/FQw1Ew 1YiM4mjlpL60SHipIuw2NHOYZUAENVBVwvzBECRigtByyWbTKUbQyeZas1xgJzje2KueupBblHhM PxRzOdLFliZyF8zdtsHJmA8Hu8zDckPCKoK+a/VzcUxllDXvu2IMQKPUaGwvAXle0X0MiU+fmDHV ONhuS45Kb2pWZWLsphQD8YIXkf8zcFVb865JpbA+AAUou1bMfbrHabSN36cacRDGYLdZ2c7ZCQxh qh0NApk9SsSFJvJpBp5ZvN/QeadBI4pE0wGe6FNrlUIZDi7WCZEG0O2qK9mNfIfGupB47UEkdfGG hlS5TdzKJTitbWNWIH+NpS0syFOXwg5poz/QcNLDav1VQe72U/hzI87GKn3qGZr58I1wvdJYx4W0 L6v/HE5ftzadjqu6I3tKzQV3+LVb3bk/d/i6skmZUWA15w6zgvG4oVXgzAhiuU2G0cdGsEOVd8y/ Di2/74oR4cKuJd7oazVyYk1XYJUjZqRPrXTL7x5Rm1tC+Xq1jAGsRgBnuhEqy+8jtK595Vth+oXk bf40jiOU7rnkah/7I4FF8Z+BfPBf3K1UiyKq5PkSDtIMKZC3boavCMjpjZhYJuU36N9lKn2nzEEl pXPZl+JcekZgyCQGr28+4rN9VNyb7dVTwD4vnhECQS5kNbLC8YQKNLm5TGA4mu1PuFhA46iFE7rg sQyBx754QEcDSIrFN9aJZAsayw0he6Cat3NzJp/vZc+SC6lDRnXFXbc2gFC7vhKe79r7KtHCDcDK eoyS5jlSwnuH1D+SKLK6OGOF4sbBS8b1g3uhKOnzadWhIDzdp+XPzixqr0CAlHZ/e5OAbQbcsJ85 FfmyaB7oesB+G2oOhRvhhr3seh1thGNgnFiAJmH0v1evpr3UmYlc2Ai8MWPX67NoGbhsvVwIg59k r7frxPaprmjrJEa8Fvjs/PBmXPZphqpWTH1+mg4RfG8GoF6TFWNbGLy9nD2Uwnz/b8L7mb2UguhW vfUqWgU6zrlgcCOO86k+imSB9XNjbGv+k84zxJg12TLK/0ohA3/thgufYaDg3GcgZkwJnEPNSKOm tQnsia4DfTI9aKDI8JJvKqIcfxdi17/2Xkizb0B1dAAhJOkHaFvl4cbRz4YXe2ywtHdX2NWMGSev auHaX9OA6nCNRQg03rCq1hqA0hrtVkhoypPquDVeAVdr51iHiEa1PYgzlQV6LBRfMkYTM5IEPUNj j0OGTK64gnGspwb2CrdMRuV++2+Fl3HkiqbKJka3avbfuPTRvLeBNCCsAE/49Czy/ETE7NBeXhC4 jWJt31rHvMv34DGurhnkQuilRsaEBtExsgDqkbvrqpA4JI9/YP4pI0HzGjVCzyhe/HW01wbUq4Fm Z4TXMMwR5z2fbHXs4jvUmjdNaXMNynmEwyzU9iZW1A0t6kxY9+xhkLU8LK5XIKa7RmqGpjlL3bUf C4dixDgCScGwEH5r93hNi2XkY8gvomw2hyuq0zwpM5TnC9XZy7cFvzcMjm/9J7a42Ze488CTUtkL d/io7OQN+hcrpZ9ih6qWe0DeVLke9EkzjMHFaZ0SG3Dvchp9UW0BWrEKmVxu9PCB9tQ2VKcocxmD J4t3OjCAy9rSmXIYkCLFnR6M2hqoOijD2hyDgBIHEOqlw4g0A2WlFC64YXixCVc9beMU1LoCl0rT 4/exYAw351Eh5oO0TE2XbHUMEsoMkSItqkEAGPG4flvpkLDp8Yv2aqibvuRHmVPUW6f5V+y0EP8G Ivv9DZ+kgA5IWwcT2E1RJAnCBxLKLwg4X6hYISWF9oVFtRqZLrUTQzjx/bkT60pnBetRr9q0zb9b M3kjG/XqENuy207S0zOICKc4BX6pE79JhdR/D4coI3eb5kBjHQF3l88mXFG86GH6ZRCFw1oBLmzi VdYjGjSOOe1sy5+9hzFhsXWQCOPQA4TMiJgPESIynEdNkyzciVI+hZk15SBqO16mrN+Heai80dyw Ja0qpYfIlvG3iNEBruUKbaNsEBmOokpA3muNI1JjSKb+AqaRTrcTg9eihuJqpxKp7u17wLLi+vCR Cd2GlUdtikc4OvkagY5qdX8pPlniDNzyc0+U629U01Qa1jDPKWzzxGuAFUuRhFAhh+n4VmUND4dW YwiE6XXDoSgdYOXhGUj/mN30+s03JzdaZlko/Cerk7a8Tp8T3gi+is6qjhz20LXvk62vdkoLanqg bRktWMxQtVe+PiPpcfLuqz388vFo0XAD6ROk/u3NAUTyAmXaMcROxgvIeoXfQW1+WsRMSBWZK6rN 1xAKETdh9i5r6dWQgTkuw+lSj/BjY5YUbAHkuE78mr1uzL1CNe1p3gsxwWITE/DK6LlXdpuctxwX PYZyejhzes6Ece1h+LC7TSicORGS/9hYUvoWD2TK2HJQH7jdTAAkAU8L7WU+mzHMUSmM91qix0sA SUM3+gvvLNOV69q4LFRRUDovENM504bO9HxK8bJPEIfM0bsGCAByhCc4Xlmq+nIaweYLgjQEgbTg C3yxBxuTTn4RLk0gU07tRkfvUx3IwLthOgiAj4nERDs/kC/O8h4+VqP4zNKc/51d9ZGgmRyqlR3Q 9VaB3wGuLOwQNn8UnLGGMjKeXrB0WgkJt3e8oQCiM2WZ0C5RUeZy7A6Kl3nr4xUBbRlCO/eWrZlx eSPQaFV3ErsYeevPHkNulHqJ6tuARgvnFVh4khdLjPxjm1JNrgjpGVNTAoK7PioiHl83AzKS1zfu 3u6opJg4i10aj5waVOGwDenTaBDBEuZlCCfr9FR99TEAWYQvcYr6gAHfI0AMtRo+eAJtIaTmG1Yv EznGyq9DhS9+ZY2GdIUJk4dO4GIcWxQwjk5BPamiFrNALlPVJoQEzP1hoR7hoIixpm87OK+xBecG WNxw68YKuFcn6pOOCH5avTZ2fo8T/Uv9LYev7Kb/aSxR//7nDE/p/5p8KrQNsSmQ+Fp15dAXnVpo tW/eyeTSzg1bfXUArWiTVb8RzIWAw89TdGdK3Yi010s6B+lD6ygp+qVRBkbB7VoR7OBfBJIYGyuO eyRc+v4ObyiInBkJlE1I73LIRK+rPYxjIf6mCfDj9W/3DEXiEofH4cBlTMOyK+50Axa/YivqwDol CKHXVVaTzufD664r8XrdBB/sA//m/NMQDCH4x33eCKqkdQhPmkaKv6zyMXD8i7KANFb8lKb/5TBS uQUdFfsKtaMAURfKJDwAXJaKBgO4K1MF8F83jUeHSdeJ0Mlpp12ZFlzAbqOIjiORKcXInYausedJ R1LAcL59xDK5mfM47cHZBozxKLshLcAd1/0LHmyvy/9aZvI+qbQ7Qz9igCClmAAiIRcXYZ0dgF7m +5tnPDjNcv8IQf3/n0za2IyxOsH96DVCuWDOxYBlbyhzHoiWjicVKJiL//zsdafPpWwhcFbXbGZr wFB+l/uLO8Fnuc0JyZo0dga3d0Sx+nkbxOmFHUf1CPdZjjiMvK0U3GANnziJy2YJIjusBDF/uaQS OxaCT50aLnqdTvCqaO/Y/iDFlplUOe2G26b4462xa0ujT8ohcX2V+rnlAvHlmJcCNi3CaEHeZR3H VEUAPJy+cwMmS6YmOYxhapgicQjpMVR7hx802GTDuQ3Ns6Tpb6LcyvrzpP1O0AyJKH7hf9EJtgP/ wBG1r5JrIc8RbyrQU0+QZPXG43VvLFo2AGD0PK5KKljfXpeL8onxaJrtgDUMj6r/GriYoa63UiKl VVAY9i+jiaQADqkM9XkOj4EiYzrMqgywcG6gQWl5WqkUeW1hpxDwoiTrfDCJTI+RdGcBzwHKflql Aj4NhpyI7k9rr/ece9KOs7c+UinXzPF2IA+wqXHYqjoxUnVqRX3nRQLCUAdJrXigeBTa1U0Eptbf n+uKD9upn4+qkuytLdn3cHoEKc1Zp5UEXOdLPPhbRJsTZmWBqjqf7+5flPJsHGP0VY3ytdZH9B1u qja6cWsPxqyoDWC2Y8PQipxkkKn2K11PxJVFIB6zZ83iMRWV+JPTdImTunyZVi1kcfDOVHv5e46O r2zpBnNfoUbvg9AIDl07F6NVJLcHIRhGBLj4Fu04lZRCnhWrQXSLqdxiXYPcGuITKwfLbfA6wP0T MWC664/WtktdYsiqlz1msDLknYYj6Y7Zr2vWP5z+tNqnFTgKvObHDrK/JsJpnQTBJwHtKlMJzIpL Ho5T8a2k56GedxNMPsXTbI1asBJ/eBMuVb/onR7ktbuDjOebHc6mmBZ4qOG6A4q4lwbujWHZQEG2 mebKjf4+9mx/Bd94yHzQwXyA5+tcdKaKE4z8hk+ueM1bk0mDFltT05JBPm+xmCW8s7lFoJuWcIVw YI2H7mrtSKlwnQncV94tR3bf5rr8qPLSgVfhQ0Bs5sVlBX2J545lmLLjqrIY45TavqR493SduE4A cJIwvLAg2yhhFE32G9GMyIm6zGpz4NYGCyJtrQuPjIJLWi3DYa2qkqiDjlxhXE5+iABYdB05JFmh 5D9uh3llF0WQkKQ+lLLCrtPCEWmL7WA/wOaW1OUFdNHgI79+cBH5muMZtEXx4gjtNYvd31cu9u24 jG2Jm3wVrJEEqiDnAjUVA01FpHS7W9maI1O3SJ8ZDcjLzFVbGFSimZWRcHVc355t3UHZVy3hBSws SfGhmL/tGwXXPB58bznCnLeOJL4aSPJNaK3CQQCQoUB4Lmi2q5x11AocYWygIDNAIFfesbq+R7uV UBghbUj5bk+bOWnJlKa2un1E6CeOuKTGaNlVsk9OIMEyAnYdhwqsFn+eWnV2QAqlwxGeMZsL1+hr wivYLouHL/i85EwdcIX67XKaM0uOtuoMrSGEsrb9HgQY8OenriGdONBhx3t3mCXY7584VEW3Wq55 YkFrmfdhWb0bE/syrUYHRDKRsZHYTEIPt2tKf9pQsGwmb/QK6e8MAz6u5qAKhWwErXfBB0pS90Gz ufgaaMfXV5zrRtRQoTidMMQxRrcBvRxet9EksMtJHNnK3Rt8h7P/lrUCdNTK37TlVAwuA7e6n7uJ iBbqJfTwY1D1oaiqyFQ5icQAql/wRBwWxG3guR+0Iui54G4Mbmf5XkNkFe7apvBTAYXlMXw7Ifka bF8CJkszoQL1FhNk9caMuy7kcP32Nqg7bmkQX6jY4fZkOYlfqtByoAsT4AGmYBBkMHsEZa/5gHAi 2bJbU/DUzI83bE94Q4+I2YmV56CpYfZuKnXOrrTk2ZgS4DUSSnzBSEnsftFG779fauRcwmnaTHLX tREJKyHWTaOZwAeeo6M7AjPVm4C1NyzXFWTEufzWU06KFA8KExgSRaegCw8zcb/zIDR58mVHYGt8 gNA1m4JCtGmqsTcVCP5t0Rck54Wx5v2UnWWeIjHMIhYV/Nqfya55c51dQ6j3jSCKRk2/rJeqICj+ cHEOrH84KAQHi4MABOLzhdPtBA5w812bgVwlzbYXfx62C1IV4GD1Iwb+0YkdrhG5qjHsQ0ccIRG8 9VKBuAEEp6o6e6L6KDnUG71DBxkVg9osemnAQDlhb6PAXsRcg2vm0/EMGBt1A+DWCunhAkIWDrw/ pVZOnOESisN6Sy1rQT8A6eVL5GlN8thdD/CkkfMwXC5ZCaAEOwSSjaamt9be6PHTP7kV6zxwnJ4b IrvnGT/LV+M3YVqmdAGL/Dh9A4olHBkAGbYRjaZ0PNIcwDrvzx07QdMdtG4RQ/TkyS600L4W4am1 n2SC8xpHrF+2werxj+8WIAWyapc5ofVlxFaQqo3MnpN/p7LSE8EqaMhNco5htotUMR+1s5KRVisj slrMkBtzNOZ4KJVhA/naYozs5r4cI2YPcfLHXNWke3dW6mcgFgnnHzk+rUU5ewWXXsFeVv8dqb7u ZKxe1mrYkaEd857AqeIelMnirG9qSBB4yfNINU4EKTwOXakR8M+HPQZNjYTVijkwK13QwZ0D3659 mZKQBIdveh4ZSCkr0vxEWFx9qXeKcJLXacJAXShIWYNisd2NjXi/idDhdVdIbhzfy6LrMUsuZG2D N722p2xM7y7Oc1ae2dA3O4ihZw2y35NcgVS2OSSw6yhPkehTiVH3P5kmxOWdc2y3LP3KQ9y0zH1C FrPulwfgbNfd7QXvoWj9UWY0JtVkQ7OZzP99bLAbw/RWBogxPdAheWVTUfXiEcEhGSOWjtc09Rry TcDBb+jbyTUFIXg/ZXHF2dv5I/pCoxOPiCFsLrCBip+g44JT2rL5JRgYYkXfX+XKhgmTGvNe3XqI 88Rdrg47Rzwbg5vR60Hq/zrATroDTT4a3qOhNMIjSOXmFsu5joAiyVyixD+4GmxdZp/Qt1rfGOJa IMiHSr0OceR77GDplwbSwE96hSmTrxUjlnX0WX8S0svhvdu5l7//mPfFUQgHu4kbVrCWIbklTor/ bp4EGB271pNxAD0upMBTXuIok7tcnQ7fm9TrZGwCR+TiDR3vkPx1zffM8EO+BY39OmRqsV0G90Gd vnI+X9CKqDPz+oBcyodIeEBgOdyMStGefwDa0mqxlFqLYIPPb5PEcID/7b27LypSh4J52I3v+RcE ZnQOVXBV7afuS/DVTO++cobJi6qHzDkNm5Hq+yNpL6O+W7XERJ2IVkNClikbH05/2OWX2ytnQL1v OKcZIxLyw2NlG6PM3RQCqpH2Q8SF8KXV0N61vmwA5GuB8yKKbXtJpAgupaL2CZMba4aV+lpP34zT oJ/BNxsyi7axmR6Img3XiC7WewypptiuJKxCRo7nIQICT94jNTBKIcYmX/9TpD7BqDrR1hZMmo36 FPp9zlXmPxL/b21FI2y1nZVBYcGV91KtvlJeg4HOpf2wFbi8MyHKzV7gw82efp84CDO2nwUQEY5q Ax7Pdd3BCiLk6on5cUWpXe0Jnf1SQ2k0puhpkOP1Nr4t9+ZEksASB014XegwCZQGl0rmMa+/eosV VuTLqm6eEEmWbOOcH9oKTUqBIbyosHV9NRS2bx9aZo+5Q12adARFOcmHImtcDOaWLEBVGNt68OQX Xi1VCCoHm3QKhWWoVmxmet8XO0Y/g9ToSbFsqufO/zyiqFOwYEmsAnKv7KSfkHrnQlHii5NZ2Kt8 9gplUEe2izaGY4+Tq0Bsd/5tYnEjPJkT+DRPagSBW/utoKwW2ttKwyl7SKsqFGo9R/WKcfv6dwU+ ObwaH7jXS55TdI0TCdapu5PIMt1Z/LnFQC3XE1n19bbXknDLhp+I3FjCF1o1xHkQHZZbzZpeLNTz EFmi+wsRcXsodzSuXbw/Y1/XelERRbBZWFCLFnSD7RaqkubIyeEoyBS8GAAvsORkT3sEpxdwRgbf B1PmombujEryvWEJoZeUs7efNfKJuMY1mofRhApn6p9qnMbInregeIXp5mnRdgomHr0BzdZIMh1S tbV7jT6KjU0YzFbOFG2meWmm4yH9XuF+idZmeVwaNoPqyq5zeHb0hTHokgH7R1ExKK3WGfUAGix4 EYHwyVlZuKn79nAHAJ6zQQyAjGHCI/HAlkmvH1q9pZ3dzsojNdG6tMyU7vJ6YzIUlRMAgH7hw9FR w/rvDXd8GaUfgDb0ccKJVSGvlVs9Z26Id9IBNueRbVr9byHnQmzDSLfYJcxgaTJjdkZJdITLrOeU /P2Pktj1D474Cbj7j97sjYXYD2S54auIMy61U9aSWwvKuOozKhVfCGpiHGrPsVWWQdH1pTFcBPcF koZKkO8iZJ4WB/WrQcgbFMv3EePVAe5l2toNS3d5+G45UIH5DZYYoxQqpX7mcecvtqEg7uvzIvp7 7kZG6MiAIwBk9vSCDw/r6bZc44ez/DX9jKS69/0i03+Cdb1eZoKD5ERi/3aKCcCIL/SwBAAWXpnG D2S60S59FjSniJDHXxF6DxWyMCrWOsvmevPHjA/AJpVGRoXYPrtwPuLx2CK9xo/nZ3f2hNX7nMGZ tU1kAS7zwwC36G2FTiH/A4KGrsVo1E5KUAoV7ngcBPmD0/ZcRFIWOXU5mE3LXxwkoy+DViFE6oQx NZmttKw+mPMMQ7kHvMBJ0RODQxjRIX0mOQj14IzFwvuUWbxye8nys1DB3QeWObGduk7L9Wun5l+A m4RYwFxEyP8jZNxv3ckyGwCWPKfEKKs7O45yVkDwQC42E5uyiWHfncBl23zLlKPczW62+L/X4hze VNp4bykWstPT2yPDYgqvQshZIiBJWMjf5oMRZjw9YoENObJJapKsuupoAz6cU8+j6GU/HI/160vs fGekM5W5priTbK0kQKEw2Yhc8LvwIXhNTGwqvFwIYdZaMJMT26Mn4HuogqwVando0mwzqrmbrPVR KVVd78baVqjcttAilmVU+nWLfnZ3un0I6TzuW+ZXZBUNgrJFIxw+mc+wtRP2dokTGDJ/BCKJF2t9 yDcIuXqzSpXUqkNRsnzQDsXwlp9AP8zFIkgdW+PiaPfpY6xWZus2tBGPTQiupJ2pBdJtsRcthDnj AepD1e4M1oZRSkoctPzKs7lQDCVJ5shyGhpggD/QeWFOqDO975u2OoiVUsjhArs1ryKMriK3cI6g FZetIRu24mztk0bMrkfiUI5Pps3411x9KtfAwu2i3UjVlbr+ThXOoMFvpRizw2F9tooC9ld5Bmwg XcwDUUcozH3qHom76O8hCcHC01JgFdY+43OD9hyg3X9to3MfIX183DwEgu88mnikqsvFoSV4asmP OJMHHfMjXlGIq2O3WbjUzSikZ6h2j236VT3rQV1kwyhWhsGmi9XQXuFiYMMsyPTWWp/pK9AHo0zJ dCWl3RuCBVoPyfiEpqSONvwguHgh2U52pnQkEICsqDrQgIvo6R8Uh/JvHYET9XjsrPz+KdtPpJ25 iMkrI+VA+bX5Y0R+swK8xvEpaqt5f1dP3IoarCpZDJDFmoQKT4Tlo/Fm+5T7DY7VJCVQy3QF5Pvd gBXsdJ6x+LeoBfo/IDtyjNZqcLZVRGdbsVshuMVEft/8IADf430JjZnOukvw4B58Q31kw5kUDydW 2ALLUD+X1XJFMEymvs09X57hMh60xJS+LMV3clSo0kVD5x4xE+Hi5ZEeYNP3pbr50VcgwT6KYkNh IUSYD4027Hl5rhn7RGVGMiankvP5TUAOOvDP45Tf8MxxWi7pOTMtZMhglHGQhApR3jqWHnvBrzkf q9QGEm2muBNWzP97xgAoAgkLQqLF3r1mftBEBz8TrOmZBkBwny7pOrzH08hS6ZRkEfu1T7H7SEdL M27/V6Romzv5RUNhQPYLbdwwaTGUC7E/QJOUSZZ/ZsuKvRZdVCw8tzKq+TD6uXjDIhcdp8Ryssyg xEnPBUjuBhGpa/qGEOklNHVNqIN72qooPg4n8E7Yq/m+G17QShvanH5Af/Ec3VQIWpz3MFVktBps FmJZ4hdBk+S+0NHwKwlTQ3mS1yW1daQ6qLKB64ZWdElg+8IF/JDHsC6u8hdGr619ru3r7Hgb2xKo lqt769iUzQpyS+4FdU2r16pWhdNt00CG5D+a8tiMcbwVulUnpFQW7fHhgTti6T+zttqcaXSaSFLW hUAYtlB9W35qiPGdTt9M75oRqtOUQlMYuk4hOddWgK3iO+fXYoW7ZLqMGHKaon1L1VC7jEtL6Rje CDDnDpOht4ZASY4tQaYayQmH24XxfCqQqnrPy8Orz2TZ8Zzs/saUTPPcDt48F/if4HZIE+gNx7WF qT4ZdZAtVYmbwdm+1Y3RIhOJPxzfZ3F5zc4wTxA6RO9gY9zoyX5gwigdEiNLvXR5e259VRPwWkev 0tCybS7WM5traqSuUeAWIcGfSaxJW04MZ+2b3snDBdpwYv1iUfeBAvvqn3x9lFTwMAVZdouluO9H 034z2eEX26QU7BeAfNsBY5j+IZq8ataFqsXQ4bOOFjUZKsl/4frLHZAAIR20+zMK6Bf4QpMJ00WG xdfXssZKStDg2aZiT5/8+5dArhGxWUWRMfwf2xR+iqfG/ZKAccBbHeZ1OCTKt7RJi9ty9xRhZtoh iX3k1yoYE/FSXW08B9LoqrEfAGqtSrmuXkgNEZviRwgnik0NwNkwi78Ljc/pxsBYU2hm7bVKr4bF KFxeCjQ/eo6c6otaoqzZFyToZ0Wucg4y/kijEXsUhJPJla4qubh5vtBMIXTmI565O54dBL2HwH8B lQvKjB8koFB94oExkcLDt5vOiyNqhJMQMC2uUyK9YymiYT89ghpawGCgFDNLME1PmDFYRQH2d/3h pWKm5VsWVYlZ6KvNBr04vidu9gkYOftmdhx80RbdCbEMYeqiTPAPqAo4nxjuUQ+y7jeqZ///Qh2y iK3f1gly5lEZc88S/kjyuRxsyyg7z7CAikPtxfPkW5Vv2OmAytVwuq0rJZgTJ47RgBnLe18Q6hp4 I4cRnG8ZIlCKpXIxrPyScRakCa/hVpAeDYU1jM4tbkEmqDxDMkJ32SJ8JIiWM+rWfpKTOKAUfvpL JrgjNlpiFDJo7lEbupKGidGtUfGNkxv/EP3OL/sd4CgUeZdCoDojNhwtTnH3W17TrgpqZOj+COOv UwptSZ4Cml9w+hrZfAVOuaCkg508dUn/gba87mI6klh7LHMOFAERkyHFzZSWv3PpZXjBlP2BTxhu 2i7qTYBLoZ1SyqPFbdAFKbja9VW09J6/wQfULTfcj/Wamy3uM9cbiU4bKkX3TiuHktqCPPgbWL3k KsA/3+TTvU3G+gTkLljdA/PpzjIpY0F7xNfgsmzou0D2IteIlCCORbTc7f4+h6kHNdBUqb0CwTJh 7LFGnUukeD6H9O2W9IoPLo3BIMyEb7wzyVWFJSwbTG3xdSYfSzSpVQjBxAGaXd1h8N5facppL0lO Jy1eW+kqf0EDX38EBAnCCpjnlow7qSdLd3adCMLi6jsGe1qvGeBdqEHCjRSvBzHtM9f27dvAyO1J ZvZFexLfjuEM8rkZHcpJ3vTIr6oLppNwgfbpg+7BFAScQDQ4D3pqtuThdb9DBqpX6ZY2hQl8TXXa qF9k/7+whGU6vB5B6yUEX2FnriY0isxgPH41USQX32Q6tEp4EaQIlk8kCHyH3dwv+zdMAHos9xN4 qtwnzgiP7yVXAjG26P7KzQRxWRuohvL/gRK0NbPwAHT5EC/9m+yGgmfLFDpny/qWtakISA10VruI nR6fI2dsOL44P6rRCB8Z7s7L5oh9xGIyCDtTj713/SqGxMJK5p7KleRo9hUuqanufnMEaRIxauzg EPRTq5rWRvRmIL2wgQYWmQyIcU/0WzT67RYZu0aBj2FGN24SzFZr33uJDksRTLOQkrvZ+Sliy77H gOZMJIvvVcEBtWlZX23gIDHLZ8zabzCtohvc+1mQK8z6tGo/xVMy65ZUziM6MPqZrelOPqgEj9LV dTBwS4IFUR1tWwcHClzjbkbL46I6mxVV1Yv8/DZPksq/TCTikvS6UNeoogyMcbZc+Hl7a5t1P+l+ +Ybe71tYy6vVxjEO3iqwTl6/ZZBosmfm52Dksy51gGgQhjrgaaeDmjHJJueGiLvy2odcQA/w64ZV CSfPQVZ8FaX7gAFFIH9sSHaKoKY0WbxSZ46prqT7vHkzx4J07fSGtcEPFSl0iH3/bR3wCGZiCKxt EUbCoQYXILJssnUI4QjAhurA360Nd23IC/uOxFxVFGKJD37MPgs/v9JYw8Ctv5adKETk5I92NAqj TTfyJTHVlwsRmUPlCRrMuCIHHY96tO68RUnH2BZC6qUMzhufrYB3Shc8wrWYvGh+WK5546UsJ6WW HQmKeVXSbFtETjCLTqu4YkFnrKXKFGDPyQpeKKwSkitKY9jws3v7NF07RN0P5yqkiU6JCemEyM+q 3y6KJesBdv1mociDNfEnpJReJ39Dqr4p7wKlVPisw8ln9ll1Qfdxvq3v9Y6N2Dj/CpK5ImHICWip MwoCxlzXrBtUe6WsF6g5Q3GOQEvRIMF7hk3jcLGK5haakmiiz4Uoccxf8VYyLGvh7CBoVUFv7fZq U9dVW/qtmv6UrH5KXpZBS+/nPyfwU+iTGLdxF0gG66T6k5JmG83YF8DClI5bSmOFh2kxSkB3JlRD O/w/yRo2N9ZqZhDB6dZ3sQI1IYvP1XHHo5YHXMuuSY9tq13+W04Z2mZ78PAaq9hG8AGjUkuhvaXI 8sPh77reZu3abL6b2GiFQznPkVXrYf0aeCtnl4LXhfJ0xABcTyo/5gJ0HlBPRusEORFVom838g8v l81JF1Xz2+nT8lAu8nrkLFiuIqvwZ+/he0VfDpLC7DxfuIyzpK5Z2Eke2d4oSWIh+XQ+GutLC7Z7 FZzX+yQdKxbpPHjAz6X1mJe2qWxjzQt8C19HEvMyU3hGDZqS1OUlkt55P7bUD21UhVIdOSUyqytI 03r7gnFXb9tWbjRPf092sf3u38rXwFMp61uawHh3mhbnehbu/WdaRyy+PhvBf2MAMbogjixCAt1C 15YHTyExgf9xnX4I5Yz5MdujlOJvfiao1VKQ4HTRfiXen/JMqLLyk1gbX5XnrpU/0CgittiGvo5s +F+1M+QQ3cx02cEqSp+8RNhbrMIcqtdbSSrOq0adQOB2yIu8nfHzKYAu8l0TQC08rzX9eGWSn21v ol4kV9jML2PISz77FOsE5YO5qBvj86ri+92NmwFV4W+8dCMlGYzwcwQpmRDn6d9fPdz2LflVej38 rBX/XScfs4QL4alTiqsVMTdRGhX+b6O/YWl3y7i0DjFbOHPdTB20kco55Oo+QzR/PduiFW+pLF9H WqefnIzXHZocLEaVdMJyHLItsddeqpfRXGIs3Y08SumuEUXGIOK3fc+aRXk5hmUt45ct9X2G0pRL 8/1fXqe+yo/htvsjmUl9rJ29nM7BBlRuMSTTI/N0zu3GMDGDH3T51OOW+9pD3rZ4Fv1UVhbEdwpq /YQFnFGMh2gSxuRRdY3RXPwhnY/yXq4fCpTqtkbAIXfdczr56qXazlhgptSMG2A6LtvFkcD3bUPK P5JyBy4O24uj1ZhpA0Ya3gls8lOT7fdUHXCqYC+CoZoeqjTNw7sN3cJuko69Rh9AhMN8VwiFGcVL yM7r49/6NZKwFuNxBa/vRsTrZwCFNOZfyHhNr2T8sOk1pdeb8zODQLdeiEBuqI+vxXMWfSs7zd/O XPi97WpXHqFh/qLXiDdYdtvjeLF6RrZOt/g/RrQS6qWc3xl6CcDDHruLfSkEfGSRDlb8N8oE7qjV P9H0pdKocLlrdfZmC/TlnG1KfhJMNeInM+kGN1DanWu7d+Tstjri+N71rCDyqFEa6oJInI8cPwMf kOo4fbZNEZRH58dqUbnJ2txIn0d87eaq9VhOa0w6hjFjzmgDMicezc427xJ60yPkTWEQZiVh40SU 22SokhKel2Kx+5yPelJzjFaHEpnCSYESpS4K3QS54H5j+w6ebWbRBkPz8E7g4t0H2BhQka6fYgbQ FKstMPTzM2DGhW5yifsYf/cPgvtS5kVCHU6CdioEBV4MMmOnJEwaFF5Zja6+vNQpQdqHOfjjzSMR UXTLvPe0O72+3Md00Gv5DJFI1/pmNH+A0aCnssX5AxK1oN4PQ7surv56vbRkdWOsgQBgU2/dUdJv kO89WDMduZxartnRxbYZogwkZuDORgj5B/AYPJjFYuHAy+zoPaDvnzdDAOVGLx3GUv3FT7oKSEAI b+Bu95TYEWgKkKfs9N42ek2wy35QoHTMEhpg0mgtsDC1+NsviGIoaCdvkZ3ztFdSqf0bi3tWv9yy gVW1gcNgzmdWWbtErUMz6ScevEtCn6e5gU30RtAFzURiIlogTzdK/7Y++QSv0gf+ly0+dMfmLQAQ kNGzfOAlV7Fu3olJdj8W7st+3OERn90YxkCtTsGkm+ZXHmwIPCvz2MwdXwJrQyps8j+xPjATierp RCWTrBkypXVY2FAPNYJersDqkvZMTQM1ZFl+r1V1kMU1h3TyqlV9qBqHWIq/YyUTVh6acZLGWTaO /0ChQHCMYkwCQu4d/DK4tTjR7mF/I6Rq4ElzNXjTkgig5audQO4KCnfrLUQYCMLwmFyKASDhZgWY KJCowYSY33PK4q1YR4Ctl9kXBoLkHLnnL24UhivrTfyGPp3AA9Z/eiRYtBSbe1a+CVfV/UDtD5SY dh3QDl6oAUfPXfC2+2sRjGz2csDnqa63CkvEoXQRW3vo1y6w4s0mCjXfLAwUCrOuLy+Q3STx02uZ dWo9c3mTkNQw2tfS7KOx9iAy/nfMcYO0/rN+1T/oZ6OYR6VUa3IjrF0HPEkJY38s00XKpyheg4Gg e6NcX0tXw+TJoQHASrYVRNiRA7QCMuojUCMnWW+K6Jf6abumMcY0MHZ9nCO1Q2QmH9MftMEc64yw 1aPjfNY8cnBw55Q6LJV7c3Ab0lC/1RgITKv6AryFd93d5N8YJa6xblUcMV2fsJQZIqDzb9T2s4Aq /fj10XWvzFCeCTULxqGqZ4r+bTLIgT04SBWHzEVJKxa/EZpDNv7Gl62ezzc+KZRzjdGT7iJpAEwc FQudA+WuzL+64AerC8GgnX9VaYpNMJoeE0mwXDxQ/GHVtKjgwuWzITUV9majGBCO0pcCQlHBEAC/ 8V8Rtbth/hhK4QcNNVv5Xq2yulQzvATB64eGMXjp/Rb2ztvXNGaV4VCsfd3QluP2lgbuhpLZme5/ mDmVrFqqsWQQpCJ4Ew5EL/xCrrPVOuCNi4hLKYWPI5vLE739BQgQnX9ZB6yRq91DAg9ZcLB1rL0z nn/oL4gTzewpGyS+Ik7YCzn8mXb7WQJcUUvXVDVU9+Dz4oFNzddT79p7aZgdZeyJh/6Orl5laB50 8PnRmcGo5/ouT05qPeymMunKN3fwRpJWImF/ssRz9UtcDQF5UDbUlCyCPUb8OlXiWBvPWN2pi3me t65pMvQTkVVEcH9BHPhpMGib7HUJhIpadgblmFDZYgaIbyaCmRgDMn5VrsbOc51jyEk9nM6ugkEn loz8ic6iYHF5peCpuSJkcpcN+rBOEMo7/NcesmllZRwpNlOK/yPNBPfDbqkkjUpE1FAsWV053o42 q9JLexjiB2wHNVrQd0szcRSS4tsoy2vCzAwVOJb3aPt3wtLi17OmjpMmvvFf7lG5dHfWS3GA7jip SVn4pW+vEgizjHtp++92rWN3Xa1FKvTjf4o43vj2iyhDgngqQxgsCc/MuihaSMgN/XBqDwJbdh/P tXYYC2Ydi+wf7qAXaRPTTLs2OcMYRfqsuJvFvbjI2Iq7ElFOzTnviY/sA4LzB1jfEY9J/n/uiV83 SnrbNO+MzTvg/jx1AwSIeHIdRV4QpnAzraTijrXhYCJZzhrtAoIyd0W7ASbAtQdWAqAO81GVcWom rYgVM+TSwEpJ5eNDxjBEeX6P0aBGQYT6wiVzvprf9sBvKc/S+gba1IiA8J+hODpUxgpPZHi9tMDz CbzrIX+j9tLH+rsnQQG2HV+lmny6nB1OrocrncSZadjYQGkWS7TxJaOO6ifpH/qOSzDekFQ/if0e D/+vjqz55RcFEG1TpuDPxAuKRi0gtSSdQqaEmzFIWVRiQempftPTQLlVj1Nd7kDb0BHX52rkTKP/ tJfeotX/ALLZ7QVC8MLvT0bX8fnVvx6T1LbYyYumBH0tdpA4aJPgcLjyjFFun8C0a03tlWubCaWD NeMdOCzDLI2aJyD/ISs2qdunD19t793o0UzceEKvjWAUPhT9g4pMbWXYmfwagaY3BGw7gOV09g9n Ko7GGRdvaeyD7BGUf85D8i5wNHXfsbkhaJjfQfzqfdjISvrozhYKWI52f2qjRvgsz0mD5Ngl7tsV hjIoWeqI/iarAdfBiJa2i+mDSqyq5iW/a6dBXVx2JlwfPBxJRZUj5+maP+8uou8l56DxcsopgWFh 3f8QJqslMzrTa3oAXIt2fNAg8EFY+FR8NSIHlsFiacp+1kkcNlpwZ2z9Wy5ivRY22sb46s3Jvang Ge/ZyQu1gwxMwbK6h39gq8L7xwln6Xjv+vEh/iierheuCtRZmJXlBaBdl9yoFhf0Q5/XgnCd/6Ap BAfuD4OCQY3/F+9YarpOOR3eEpm4xhKxeG6RURjYG1VRUzbV0GXxZjCta2YIdyuy8bIgCWxUNcTe jdz+HjilU/KiwGmOpJzpM/C2D3o6hkqbdw3i2NSbxUbudHBu5JlP5d7+Xq3LLYw9HCgFMz0U+Ghr s48peBPmJQe4ln4S3Wr533ONSVC6ahAnSDnLaocPhhw+wRebDCFDX7vspoFqtYsaEBz9S9paAlXj ST7Pso0ZaUMJ727Bq2MwHEz0/GbU9G14HmNp44Lq9yZQfEivHdPlaczCmMzc5WkTvowBxddq4c7r ceiKFfubpUlaLHrK2vVlEtlnpA5BafUJr0aThGzLjniFz+/o0CQBtd+9IP+5GeAUzY6dw89o1s7J iNLxmtZxK0nwVuKOGJq8HUtE9BU8CBB55gJx4EUjgO2BAGfAy0DraoIp3tk0Xq5oQOhE1ORlRsW5 +hWdWFQ7hs0QqGY8mw5UiMJBtANnSvfMd/PvnAssU32ml32sUb0vb+1mm07ijsusxRrEPNzVrMbL E5syGmJeCDEpS/zBht4ZeM8JLz2o8VJuTDfadKSQcpDBe46RwwDr3VUykhxfqn0xXly8cFW4T9+1 /jGcWbhX7FgrhljOvY9r15/1NAnIw+Xb0cGSGjykao3lCd5oganH+TC391u065OIkPaqBgSIb3TM MzDrvK0AC/yuBaGVSuiDPnDcGU+cD2SPgd64BTqFKhMQEOTk2ztFb+t+Tk4nK6P2JtW+edJ8whCs c0zc9qBmajLi2jf1Iw8rQWN91axfD7/FO6gHpA7rXoeuJ0LOU6pGZ9u2bTj+S9OIRvU+mhoKsIp+ wCZDyf+gvI62Wvqs2C7DfVPRWlvHecbaw4mOS4kYLciuM5V3fi7jBoe9X0JWzR86ZAGrRdMatLFY lePHZC913p57UBfh6KM+/DLEgsxC8Fbb2FbaxphXoYGSMN1sk1LH8kOdSh7gPESdH/2/i+vvOJCr 8U66BSlEJMkmDlgrALCaIfQe9ztCjUFGN63WZuNmFxk0AFES2KMAZUaXBMNNgkOKM/8oq37yHb0r KsKuQ/ZTNidokToqUA9JGZSpijmlyDunYwtiI8Qn580q/FV4Ktp7N73YupJ4Ozwz6O9BDPjJ037Y iKu+cX4KrKWbGkRqyUDs1sAeCW9Ms7OGWvZJ2a0Xk4WCl9VYkdT27FjWZ9k14e5fGQ1FlS0gBORc t+D/f25KZOEVrFE4poS168qpk22jwpKTPNcG1nU4BCTKR2WBIA5kBU3LFy9KjTl+i97agKfmUgZs UNskR+DbdY3lsnZN4G4fCz4rifNmOVcbsmd3T+M20dPX0U0vcXSyOywMW7mh1FZr9G0EjfL/VBjS d593eXZHddPSujjg9B3Fc8Zt8y/IaoVodMpijeunLxXh8HxW3CSaqYFfP7Ejfcd3777XMWJsNhJp 72FWaRU7mhb/EJG1q/Q35GDGQsaz9qHEy6pTJxSrJmhO+gPBIj/oANg7yHB4ifqEPYt0zOBVwo/U NBRXXxmkuv744JMzb/y1rAVFkl3A7Yvobd7qmVVCuZ3FApcGZFJuU0uuxhINEqAVCmSFhj5L8gkT 440HDllMQ1hGq5rLTpNhh6masm2dO5o1YpxK0qIdIIaS0yR3V7eILkuh2/d3sSTyDbXsNWNsFxrJ XBvZT5QZHHJsXSvWODJk9hhhHFJXSEl8X569xTC7qoWjWvmPbab1pF1CP7ot5NtqZBir/kIFw3yM FEWFEWDY8+gqaGIhpAXfCFux8sAakcoZ5Cj6Uk0Yx44EZNdVB1y0iQPiTqt3ool+cYhCdJF37eHE BSGxX5BefA9ApOF5U+QB+tAWUNbyNJEyKLr1xyHBCdZQ8z212wiHuDee49GXmx3HRGJsqeQQXjtH dKWs3BiIejN1r6BWXv7NlNp3mHUE/dJzwrTPgql7jbLi9Bbw91Ede3uAv4lxPY1v8r2Sg4MIZNis ANu7MfPBsQjhsh1zQuy7bQ/yLhh+8ytWiCbif4c34TXMAHrYLsNZHlkmRPyNgPANtUKB91fpGW8x Vg7QZypfEeeXVCk7h91QS9uGyk1qluJKp4RMKDS7709TwhgwKquM84ftQvRUDW4/g/FhqFvOavRq g8h8WlsdE5VnggEogrC7f9+3EVJZAXERt3ppc8xj71sYN7BY831V4BLd2UrHX8sqzBaS7oG0uXYT BQlEyuQN+JfVxdGyc+RQgJy5y5GM7O96aETUh76g585dKqtpWs4gh0RBi0fClwqAcVY7xRQnz/CF YFL8NGAAnMjOHgm0plso00HN8M6Yjhh0UFBMktwigXngJlslwxWZmIaSNY9HlqHklRSvu54Kolp4 lEO6T/fYcRonCvn2UaBNlugN29uJCL0In6Q2+nr1uEEvSWRqGa3e8Bi1OWJPo3JuMv1zx+oD5f7E hbNegyEoj1TMCgYI5vWlTUx4CvnDWDwN+1dM25oL7Q4wrzo3IvC1B0G66zutWbf+wzpURhabwtgQ SG2cpyC8IP2YVOAsnIXUw7G0HKQRKDXo5fs8bubBm/mjzgbn9iH2E76eokAOx++hYcmQ2gTj6M8i UV/umvANxIRiJqe86KPIUxwoxPawPOX0+qOjZp4VYlOWgNj9Ddu+rm4E4U+SWcWF6AJ9mXCNY7ba eu7tRHb5puXlEMRGnHKc2jjXdZ+bMAN0+QFDtPJaF/koRh9to6EeYu19vN346IruQ2+2Mr99ytao p4plXJIB0fEYgL2g6VpZ6gIMm24eVeMy7UB7mo6QyjqZYtE0z1rxYqM2yuE30h2JEthE5+PcHGxF zlYT7Hz6cWOO38PZNUoZKhhsjLAujFXsSqUALkeXKlFztFAjmiQ2OU2ddXUhXZG0GLLu0VwQIP9M gsW2n0ujAnZx01edQB+VQlzftvRfSqm9D+r0Od0QYT3ZKDhMkFSsr4CrGMNdE/mdIPZ4+Uikm9zv 8jUHZfn5oDo2+aSBLLDg7bueSsKjPskicjM0dz8JQkw1cxojQBNPPHSEKsdwcfynsjrtHLs6nK03 RWFermBaQ0WRunCIN5St5pNIyVkBGfnI30Xpv9hxUtZLKTH7RnwvJ5v6furLKq58ZS9+8+ZFsQuW XKFazf9am0HeVihZbUXnqpBrTiH/bCikgHYUQh2/ZfV7LNNKrEF4Mj5tjumUR4o3C7AGkzNNIJPT S361sG2BKgagRuq1SGvXQGrMHFQ8dUqg8NMxn5pg1c9ljo+KgkkzxcvTQtvEZ7JPXriDY6NuXAgU crsLGHFg9QlyyfVDyYK+3COJwxOVYiejEQg9oWXVDe6updQMfwguBDgcEOSU2zY4PRhNZs3sP17G 1MJD8zkJ5RwJlCyrQrlBrJb1Og7wDGtUT56Lh3K7Qt1z97PcvYUMgbii86wm10uXrEHI7t+zVwoh M1deGTzHd0LRWDoOvky/bxP/A2a2QqBYbhGjJ89D5y2WPl1KCBPyVEWPLhUEmDnl1KM7Nc7gxfeW aHNLki4mFNBL5r9ecVdT3A5uKFBlS5Onl067XjEJ5K8FoRtNQZCztbSAq+v8mQEyfAGA6cMtfcJG hWA9G5EUeTwCVidVnSC/thzf2TBqe+nZf1T+2pLewK/6SGWRCIOl6V5jPpOSNR6OGRRk70w2kX3S F5X0NlYPEzbMirlY6FpuH82mYBbQaSnP2tBCKfQt4Gs0f8XCnYlip/XV0JpfKfJgOWJgFUs+GQ6S QvH8NakcjtnG1+WimObZQkhFHbper9hQC7VzlBK9mpJ4ldGhWfwOPX2MksrSrt7c3xe7+vXeLL2F ifamRfWNawNjs5c69/+TBl25YdYiP9ZFdYXEKGVZYYCjkwv71jv1OkVHITyNimXwbBBVL3nlao/Y cF0TVlv941GMFRkIWzKARVBqJZNobliq8W6uCMe01cKB27FgRbHlSXaHPyi6EU/zvMiwKovPUu28 qXDazJNefrN0TfZ4/mztyoLLlNyJhGOvi30nu93x4HrgMNGRrZlHXP8LbC4dFpCMvg4a2ZYki5eS 1/qpa31olj1gjPG586DpPgXeG3op0HAekvpbr7mzcFkDT3sbLS56U23ugGs4V9LzfmSVsuLZ1giL UUTdtcVIAGHlMmdm004DAweGLfJOvgo008yM1wnOU6QUjEAp8uPcyTtGCFoQ5K3a1l6UpOTR5Y9F bc+Iq7HEoeXvTEZ0KRKQ4oHcNrVrdPwkCvEgRkvexuWm4AVtbfogZV9/6LziJaf3KQ7PB70AU+Dl g2TuMXjvObzCaJuY0AAq+Ryv68dJZQnxYQ5a7XMzymhyBcy/e/HnP4qZ6YznqRPvQRbvkqW5bm6a JO4L5HgLdJFlP0gLFGqZFzA2Ka4KcXj9zToLAJICBeAqZg5eB1RkxXynTjLhg1zEOHAAcvs5Ptld Wvp9bb6Uv+5Zu9XaJURoXTtyN+qcihVmvsXKYM2WBI/o26ZPDgEbzMHXA76ItGIRe/6e9JzWjjDY WswYysiSLdZsQoZ40rlcbhAYUUGHgqFOlaN7dWT2AkTse3SVEVHtO7mig+OEYZ59wHlgy/u4ciG3 xzYAQgaxAi8nqM6gK7vWWjEuJXv6yPYZTetRfCbjA8Li8K6yd84wsbsu9gLQ6GlUNgNJmC4C0iTl Jicn//YmxFea3XdDtlpZUW3HXae5iTFIk/t9+ojqgIRKlXnL0TGbCP0lBt/191iKgQRee2q8RdDQ LeginOleDv10LEvRS1NbdFAf/P+YWI4WDy++ArVyltQLaFHnt+7+otiNJF2YiZjKJTxlR6y4/oA5 bVxR9wJcZtjKz+lMsVbGNg8bYCVTyGcJzQF0MrmqWGw1+QKhX5suTMlGCrqFMWxWuXFkhawc8IGE RrOUFyxmO4QlG5puC+6/qu8HgsIzCmfj+68SCdNFtfgPs290U4S1bTDlA0Er0ox8Gd386ljFER6K Ugdw8YAqXJLZvlkExy4jDUwrbxZUHA0p1YPQqJ0d8OSJajKUUFN9DtpRaZEAIdZwpIxsr9BGTQIA irMlE6sP3qzmLT4GXn/AN6aYCo7WEdCHRjAzOgnprawcL40iMnqGWc2YO3Y3y4d+p5CbUsIiaAQm mMBgMS7cRgoIo4Eftfw9ep5L+rKQUc/LP2r5FUP5E8ONE810zniP7ya/I/v6QwLD0Zj1kBnEqzXM TFpcDBmAz5IboRY8PR14YuqG4a+tzcxbtvVoFncqeylGEgyFBkqFdQX9PiomNfXRxsJTTrYdbECh cqpOYKbSjIcUwmAw64or+xAYVz+YTYTnmP6gzhpbKaTH/7jQEfMpnUQTFU6R+z7Fp9J7snfz5YmT OeNnUm1IrwHSTMUYrLzMKd1hSS5PyLITC5/mLekboI4/Z3iUq89GBXs89eO/uDXMWMCybCm/gWbD nGmGZBd1K6kXZy5ddZ6LSUeH/qSCjaLlNLXKXtxK6mph9GMUrLwwdzClWW3G+Q1tup7CSG6zfRNP U6sU8PYw/Dy61wciMN5o21ZMzwWN/DPHKDdq8fUgCfjZt4Ttz02JWq1PUBWuuIG1yjGxRVfMrxX5 z16SuT1NDcVUUftq7NGMhpbA4FlTgmcurqHdKGWvEYkttL8GV+9uggIcd2C11yZJd62z1KbViQy0 0iXnCB6E9jBxGXmg4ylIoFADDMi95jNT/O6zbfM6p4yaGLP2CD+6jn5Ja9kkgylIfVb1ViBzqq0R z/K0iLseub+gK4yr8MBqHdoxv3eGdGq2ie8j7Yv9b9KHpPJsTLGRLkgHC+hV+hvDNv72Eg3wHDq0 WaKYqEPGe5ZF+JLxzsv5iN3AWGaA6cck2ERxiL0BZ4O81/e+zqHgK8gYeg3FoSCcDuBx4NvG5E/5 nUxYVUkNZlpWk1EvwT6tGof5+EVnr7ll3otDgKVALD6enwMOKuse07avNPA2y0/N3yT63gn3Ox2o xbgF9JgYYkDJ1g2+B11ClUZA3MwqZ1s0CISjfCfHi40QmhwNPucFg1SkGuMN+85B2+8my9TyW2yR iQgCZUwDQAD2hha3y0pH+q5CTqjDxYwVEuZZ70R87qN1DKWpcUjAswN+cjE/DwU6kcrZJ9yQgRro i0rKx+fMQ1m9eWkrPdMbve8KHhgiNTATUaqx9BYBu/029j0JErQVen3ROT4OoNEGN27SV/vK7hin m1etvpLu80I+7D6tQj9aSpyQ44J8WuyPJvIVV0/xltbUfRdX3hc7g12tDTwPRovqkSWQhNHjeR71 F5G2j8OdRWNfo9e/viUKyYkkzmsvXoyDUY0mmQ9/Ieqx7F0iId7iqJb7y+oa3XTJ/ayfw85wXwBU ExeG18xH8fntPSSkGfu/yqUpHVpB/91cy/QfHB3if5yZ2bwEiNZVWIMEnQHrHbGfpr/J+F1KgeMa lUeL4Q67134yaxra1IQmOeOoC3hxMWtUq8+l1a0lzA5s92q5EhMUJMXOBUwqunBftQg5o5Fd9iKe tVYWrjt9JLqgaHRdAo2fdl8K8YExt2blL6El03VZe6wOfmn2wAdhomzHdDACyUwVLVvOWt4Q8Lx+ FJK0dDF59yGnRxYLp0We/G3U6cjOIdTaLxspSGdm98spObFPdxrCgZJkUC64wnHXoo8fI+dFapZz xoCzdX5E2ri5VMrneztYVnjWyDczgs+eFE7bWLd0rZinLbDeNqVnrdJJ9BhIB/Ed+6is2igulEKJ 5ABtbRn5HeUb8cIt1z3Ilp2bHrvs0PBaqcqnowMN0UKPrEM4R8Nu/Z8+U7TadJs3YAYMMjFVMQS8 F72xpYhGwAStdvYyjEv7UHpUpUy273SsIg5Fdho/mkOaEjIhRt8X72OPTOcnykFmBXSICB7cidAR Tz0F/UbAGpANSFk9EjAoFErQuL6SpYg9YrWOtZI3XMLCsuFHEu/BQdMNoygwW6ort8Q1yiBTlrxz AbZdCOqsnBfBUOk5Pf28K9rGc1jua5Tip3EjKdFef297zYAlNot+DISxhV3GFaT5uappnMwW+fu2 3UZYt2GH7JIpcPtXAWEIdvWxGEXzzzX0z3eBTxE6QmkyPQFn+3lx998lgW3gDaHa32Kd2zXNEmv4 DwNBlbauTOzOT3rWFX1uJRgtu1GHQjw4WY1AnUJqeR7x4k9d4ejFigAvgKVQ98RFR9ao+fnjS50e gpFIv/w3CKZshITpPLnALrJu/F5aaHywRALgSRV5OG2o7z1CXMpVBVybeMlpL8bNDfkNPiagIBVS 8rMuo5JiLV7HoFR9p0SDOu4YnrcsAfvb1hjKJR/3erzXD773zlcvyPHsNGCXZ5dU/OlCc1t6w5uT q9vRfttF/gQ1PKzitYLgNJKsr64f7JTM4vPE0GdKfhzZY1J6fGBxEzazgQYtjAYpwdGSXd7fep1I cuL4OyYkdw2wETIxOUdEf0HDmw92y1MJPAKPywyhaX6w+SfE6R7A3DPKivCaSSa1oCBiH3RlapGQ Uk4T7aJdYL06O8xe0x3Fai+2hAeEcxN8clM8q0b3gG5WGeNH8QnblpGyokHeRSy5A+RdtJJCBVaE AURJG4YoeFaIcDDuYnGD0xnZ62N1i2+sQvBq26SWZ01hYJfTvoZCliDcze/nMGbeB+MERXDHrMM+ 9VoYcLT8zZB/xS0AVwJNK8ZFmIEIRWuWghwk3clOWej9JPDnubBbrtDOjeVRIviLmFq9SBttN1Je NhwE2bBsP5mEG8XgojMoRf0/ua+6+T7A7/MxYSpPPuaU/kDaag9hyZgOo/uCSHuKgmQjVtuTtrQA KKAWu6Gv8x3QIxYWF9bv6O2TjDcwO9vAgSQ9AeuGxNOBYj0rD7pd9WZ8vSjk2Sbk6aw1QAjszO8S dlTaofRgIPhRt99NKjDFA/Hbuqwqm9/MlHjHsBTqIjxv5XvFp3azLywcqH29MB4D2/Okk+KhAlaP MYf85nk4jFWFW5WBA4S+65CBd/xb5wmExrQlqZ4ekCxKrGZkA0+6AblXgJbdkQHZ1u0Wf14XGps1 lMYUs3yl1IyTmwFBdoFRgKvXeY3wafzUdCfxb/dSgEdbL8MlgJWvuO/cU0ocRlsDCzd9DK2DNlmJ P0aHp89DUeaSu0lAQWK0fkS6dt4tRsvvCP7TtAsnDjmiqiX+QHA7/sE4nnzuiqs3Bc4g2+IY7sfq wk0wpIoroIAPbOPSbYi0IS9Os/T8k+4DfrfS2CErtdVQZBdaNr9EhXM0qDvfTzCHrGGGauXBmHGA sDvM3jxrAx6SjYOjtFtNpJ7HZEtwSG+DQFVGFuaA+DN8hUCiwbQeJKb2iiWcvIufwRiNlKSg/BHS B6GG8Q57gVof0ei/J9mSvremw2Kpc8shfkft0CtVcka/PCzFhikcoeIUHOfZ/SOR5uINtiRxadID rMy2j3WK9yimSLxKnYxNdaGXLFaRnnlytFFq5io0Z3YApH33iHaYCUTyCU5toa968xQNkrnvf8Wg 5cxGAYY3sXBVKvVYTioSxRd3aZj9VY3mJQHFMbnu3OKM6XTBv1jB/sNbckjKTd2pIJPuNUJ255VR jo20O8DFv3QAgxxiilUUtod6hixoygASF8mK7GgMCv3f48S64BhKNtB5lKQHvmhvzywtxmwrHg/z XFOrtUZUcxT/Lobk5xf18Ww22AsNsNivvd4SEMJqiscB2b/yCt5fVLsraQ84XaRdVKox3IekbC6L cMEPtkYz3Rzq22O62gP/SwatGM5no6EbAF+WSm7DaDt5/tuFrkvAkQJgdpNM1JZ6dbFVxvWFX8w+ TCZwumXUjnPUEHwngvgDMLfEbFUobg/CVUkJEPxCJ6zwaZ/6a/00B+Sh0Nasi3cFnjfTZEj+3pvz u63EQsNxUdqOqaZ/Pob90Cpswz9TNS3tYO/5SKHSaWOP3xtsr7u/6bdJ0QqnlANFgEgK7Y4rLmyR 7+W7LP7URD6qgoJlMDyesaQyLY6uzPiB4Vt5bbZoo1uJNGUmYra91Rb5dgtBN91qHDZ9CO+EINLf aNuuLDJOEyNDE6VOG0Po9eNbXqm+/sqmcxMEVOx7wIV3ibDjxAP3lnpnrdik/QBUja45aREfP6Aa rAXhG9p1W/Zetllt5q+BBEKPOIi5yVnb7lsiEv5l4cVxnQ30zIA6zEtzA+bqT92h8UgaRHsemftX BzlQ5mm+iY4FRAEhsSanp0kuD+/8wlrVnJ8jQcL2/hOW+qZy0F3yyC0FJuTLVdXcPFkwbSDEQqeL LSsj5T50Z0RoMZIPop2hKScHtQ5MjPRfot6rWcQ8rPjlfEz53xBm6z+H2Mc5JKCvasN3JYeBJGT9 gHVn1du3+Sw/s8cTshpXdBtDYjwWvQVj/WZbYYmovBmFwAGJt2IaQnNpWaHisx5uJrk3a4wyzgai ++wP7WMsUmNvezjux4DH+nF3pAieq2XuwRgTN8tIysxqhzE3XkAhNrVWB6B498ffF6npPl4CWyng P75w/ZTMv/mIyTRXdQ7JoqZCshKMcD/jvbPkHtwK42jbiO+TtKWRmb1C8WMaXLCEociJa7L69MOy yo42j2Ypaw63H3WN5f05XLatq9hIv3vQyBT3tyywtYNTuK1OVip7SKInsmzCn/maDsenBRWmxWnP KKl4gy6fwCwvOmZjSYjRV0IGAT69/TXTahdfyc1gqd4LRytGPuqMnFuON/l6oyCk6m2oLto5yMZa qt+p2B/xxslzgRSVYBc4PRYvfykfE5VC4KNznGW+FS9dL5O1dJ6BXDRqL18XDN5Q1t71sM+4Lwr4 kZA0f7HhluQeTT7//Ptq71ybyUpxyN88f6Fa5ByKyype/cF8vIuEpLBBQFz50rAdo6qX7dkPrTLJ wKn9fegk+Go+zqsIIsa+ouzYgDS/hlBZ8zK5wM2OwtHDEm1JAxNt8YFkVDKQ6hIEAQZRQ4P7paKi BdFASTe/yteGyqTVgnADV1+dy2iYLTboRf6nBR8dmyvvJvQ/bRpJ1dypGoUEZiiZlOr+G9UeUuNQ Qh+z0wthaPMVTpEx8pcbkxmlyJah4MgrKlxAEW14rNZVwmiAUuW18SbyLWaevusHVZvKNi1pHhpv 6bYIu3JAjlr3I+4A3LsjoFGAcuBgxyvtlSLsVHaVQypHAkourbz8Nqx4VZz3ctHIwVyhf9MhZM2f uPVv4zbIBsyINuTkDGMKq2oLZyvGleB9GM2MDFZx6zOxaXucoNWNbbUVutuC03OFBFlP9HQbgltQ vVQZ6KRffWS8Ut1wgZyIA6Y4O2/v4oim4yJJTTyXzG0kAtgSjg4/U39lK4oc3NHMzzoAji0bzSR+ aCqJedOWyoOHLhXhaKZroRbT9Vedii2B1q/e/Vjn5G69/grEHOULAGXTlu3kjGH6lhwqtkvRzorC BOMWlrQGqiNBjaMGunHZmAyvU2/bOnV/ZlQ9rcdEKUGq+USCF+E8UBOUoy21EVZsGrHAZoojlK04 rdVu6UiH/aurIPCcLs4Wlt29nSz4wE/vnggL4BKeMMrkfPag7RAkVqOensMWorBy/QPvPVXRVMmv JCAvVo/ki2N0ybo+Qe6kALcVxkThW3iRnvcwBeixr9kPihdMsP7i/eZW+/AFXP2xNcpbh4NtQHrn sLLnlMV+WIFZXUdGJ9t+K2iwUY9X5YWdPNGxnNuUAxFfoiIcr03KAP6DCsnd8J9/EFX0owKa8gZr G3d0rs+d1wPtI+UXJ+uZb6rza1wxmUgFCVR0wUC8XLgKm/WUcQz4N10imYZtSRqL9YekVcDCndSk urapIyk/DkyAyyqyFVVOU5SKcZIrTAR2ZOdKA2VmFq4Q/raJ6PMn5/VVkEbIclEVldCFG3zT1KWl usz6gYB+Dh2mMZ2A7es0BWczVFQvOi06hy/XqZ/zt9bMP1SARL1lPSb9KVPIZHjMYa4HCDlvmiwc KwdUzHUzDKh/a5XSmX1NhhzQkKHuCgz8k/TqMswYA4riVqALT4FgwK4q/4uZm9+EqoGMbMorCrqE r57Kw1Bo7pIXAEfZ38VD9gqAbOZIUTUX0aXqKN2TeXyoax9oMya0NRkSclhTbKfGB7fO8K7cXsJE sghGvcYi78vNfrsmencNdssIIY6TIVDyarVARixRB/JnevHjgbad/oa2QAmahozPESAtKPpRO9gv TheRWHNhnAcbFaCeRPeIjknmi6X6la3cfkfGEE0hW6tadE1u6tTPQXm53/bsQbIj4EcsZzmd2WRP xFGNj3wEQFjINTj4GmrbtgSru87qiAWWjfs0IPLCwnWQFbKC5XlRGJJelEeNnClaBDmkroGonaLz DZG121OjhaT2y3YgB2NMT9vZWB87FJYsrhkBlZcgFVerQF7CiJkwqpEYXsSqWgFMqZzdobcF11Cz vXNwF9dOHpRyqsWuGQqTjBrEisRmLP3PwxU/kkknkji8ChPDrbP7qt6H3g1DqGZSaT2ZX3+tlWHi eypf4TEEDIwAXaWDSQOSDJJEVKCg1iET9jrTeavjQ71Q+NxtoImOsg5Kf3svLooUOb6E18Ed3PWM bQiVKBjMRJ4B8oLs12XB+v8bUe0IjhM5IGPvas+tc46tIuW/ClOf650jGGz8etUnx9JWAmA0M3lc dfePV2A6mNiR1AgHsEMVmfljdc3ZA8HDlHojAtA992I/cJfxROQxo3mOVkhwMWhGX2QkEvpkx85W 1a0Za5K8YcEX3TThqAsnmlZeCX/sSNunzZzuEVUeJAgGVv9SVIOzE8/dlbntiTViv4AozgM9LeE6 s0GYxpOb1LILBiKQeuYJMZze3SzUEB9ghy3Pmk3iVxpINMclBnxjCCWZM8nZI6qFDVVngSq1OxYz 5vQhOq03ckrrwY4Yc4XkOatSqLDJcHKbory4jLyfKUvsFH+aBtg0fZrVVPVvHdamyIVhHFRhEcBN pd/ria+o81ffG2v/PuV0SfoHsfD8xIBJLhDgM/kdUd8v1PHya2uNp/bAy48+25VEAyfbb9goHpQU GyTgoOy1WG4YgWRTMM2Hm8qG4eFeQRU+aE9Pk5pr0R1it6k/Rlm24upwxE+rKkBKNSsA1tQ4LfGX ZqgfADzJ278+xHCKxiHb5c2NwbAWwWDESl/jKz8kcSjP5vrUvsal1D2BGlepIGkZZ3vwPE7X4gg7 ysrGZ52DUCRmUV4bEgVDjx5s1wQCzKTE03GP9YC5ptU6A3gHsnASF/EZG2tUPLiC09I0pwm96hA3 6yXqVVm3+4vCodox0sIvlfGRACS3kFeJZuYQkB63SkEerm6SFRuFYCSOuDMhrwkG8XzoCxcX+c6+ qugUGL68XrPPkKZVG1e/tum2UiH99JGUrVaRXrpqw6zrPVNb4CNOm5pfuJfLWvJNYyz41Mj0QgvA XVpalOwPY4b66bynToEi8tFFYnrOm6wyBOF5K9fO+A6oa3XkXYKMvCdJXxHjE9kV1g3bg2KBEVfA z/qVM6Ha7vDkcpYEFxrTMo+WB22HVp+HgA40BEbAe5ThM1vyFpKQJIITwMEhPUh+nIGVYp+Exp6L IYxu/SGs/Cbjgms1NYreVdhsVwSb88Mg9DdRwK38tl5/MjtseSlWNQIKrFCYO9Ws2wwcOXjgy1rV PbhnNKJSGBz7oKQSf9vptGQR8YG2LSKpaxpolf+G7lTx3xUXId8XX/Tw1tPH4p5owkpONEZ1kgvH OzFG0pcgGz5VMZ2+ROF5lkTmzL6cKZowTGXY4sthE4PGFkV1sKBGJ3MY2MXJA84oP0HRN1PCVJyQ enw3caG0RyAxqXUKtpKfAG/NDDr9ooVQx3G/+FmcaX0zWCj7SBQO7pvl14RJA6i4L2aBGXsE7dtx 2mfc2nRosAI43A90emZ1xjlfC6u5FmucQgrnuj+Qs4BMbVA2At4G2qYLWIui6I1SKoLOUcRbX/2d uluwmMwbQdYGDHoB50nDB5lrbXOL7OHjuyxBtoHZDpKCpRt/zF24Shb6MEsarrft9T3utCSohcJS 5REsIwnPA+/q6euS+u7NHx9TuW5e6vcLmVdvdXwZTY9PXPgR4JVoDFjQIwkAZC4kwFdKRBw9UZSe AWonwrrTHCZBj8STsGeCvht3bXH9MaE22J5WqPmbELPsHHT8It7aiKJzP5x8vhvKnFJQT6bwUdwj VHbYRUmOXGLFiuwdfX1co1Il5gmcbxji+KGNSQnXLdMXdk23Xhe7DQ6rxKDadktUJpxBNMOSF8da dOy8bWuMmCY4fTzv8UZk8Vn3Z1/w7WcSNg8weUc+QVWI7W9y5IU5aVy8q++Ab4lDSI67zKiT/wZr a5SXOq2tIjgdXD8NlxOIO7DYdpKweT9ey/4vaNcEIRXS8FCZ6/NP6cplCadK+wk29Itvh2pvQaD+ 7jzMn5OEaaKNO64lnDgLOy948Rf7fkgkE/1J1NQkThIUjuKuANZ+3jGUjkTR+SCQsmGKkjgiLNzj CPVACIvBuybUM2w9ahVwbbebLw6Pzt5kI55diJi5KebcecBiHCCnbjnrM0Rnfsn+kS8qXQTSKpGt 1Gs2CtDP0WDgVb50hBPIfyrRL9hhiWWgtqLR21RvAp5oe6PXbEfw/vmw6HfZX4XUJU1yEyq6ciIf RCmuxdB4vfRqiCDJHLDlLzn5sChgruJK4IF1bXypgQ9NK+jro6I+MEdSlG7zcG0NNuYxVyYhfUjU mW8vlDq+f4Lgp3jdQ6DEPMHmhsJUUTYVlP0BqS6JT4pEJP8c6GIwaZ9MwpBxjUBbZKMM+zAReFMs usteix8t7SwjCTh6ZAYqiy/BZpuRxAMDf9cqL++c16GLppOWPo+ydHRnQkv691qc/5eu+kXSZrfy 7PZQIbFXRG0hevdtWCy6HGH2QRR3fUQqr1X4pr+zkB2oYkgTCdSOM+ugU75M7R0pt1NujLex/KHO TxqNtUUmv/OM/eiFqb0KZviJppJM09Azv2MiISTxSVZkkGuxmWuKm/x1d0vx/FY1sWL6gF7mYKan HJ9odfzbl/LntrAxfq5apduoHRg+CLBf4U5yUIFjNES+6Sf7WvwuxKM0uvMDlD/7wh1Cq4uXhONz ir2h00M7zA0vlZI1j4YIiBcsMeyWFxdbmKwoqLbqjb0SdhJo+0OvCPi8sXvwOfg12FC1Y5vZcOCh +OzuNIYQAQXwVVQJns5LKxAd1zEGhfiz85CE2TnCoGtk4bxkYRrOFXoCcVK9VX2cdDkv+Ctw93o/ YwTZVexOqEloXeIOamD71GZazwRcsJDJuimleLRC7vRfN42hApNzunvGsPaEXS6DtIoPDNiAIYv+ vkpfXYVczzykATIkp7ZG8KiH43fwDQmOl1LAyn2FX4qIcn0v4wHCPlYwjhfK2EzFaT9Gb2SEL4oQ Nyc4oNxKZRn+9eRWiacdmA3DwFt03ESL/MO0gJhzSpuCCdY4Tj6ykaaP8maUAcn8Hzdy8oZqcZAS u7BImDHKUAHNx3sikaOzXqvxNjD/nBJNMgLWibqNk/btyo9MU2W/UTBQm9te0KaFZK6tR9a4UXhp 41TxE9OXmif4m/6nlNCtSpH2M6eIQqI18GR3FVSPvQ0x12VvS1PsLY660h/SAIU4a5wd9LU9zWUz liEY/omTzVLFjjxo3pDp6RMNYkssh4ww/Jn2MTigQSyBFvB0I9yvN4ohKvlWnI9CaQowJgoL3NS2 GALINH20gm+c7XXkpcxcYF9EtmC2QTsICqiqydQRMTU7cpi4zfGO+ybcqsWtgUXxyiEUZnB39Pms TFp8YxE7kGBkEZH7qcOnoEhWZRcHzeHpdM3JRPILsKot6rHr49IT2ixxrfQFF4j8/NZYVcbgLl2K ILWMe101B0ef+6BD2eartNlps116Zvg4Q8n8aCq5l4qSsY+JCc288hc21BV+S4vTpz/poFS7gNum K9CEnHrOvMkMxcySLCtOGkNSKLFEBldCbwA2gQCHH5AAoOKT+A4MOWc2RaIGHu7Zuz75tJykC5hQ f6/ckISkL6O9Q/mK+DCgd1wNpwDDGurr01rH+8DSDZGJ60eHsegOpbSW//pPMHURbiqO10lBq/4I tBrgz81OJEvs/OoozEfDykf4Itgn3liMMjj3fKh0lr13m/IxcozyNCTMSiY9cpPZlVisP6N0yltV srnGhCYrK+YxClIqIaKeWQWA4k2pbICwvdV8MiKQtgElS0AA3T9gHawX/Gx6P8+fJ8+Syg+dfM3S ZrvvEhWmNJBxRTMBJWZy/LXLzGcRLJhthZ9fopNxp8E0CPBu3O3o4pRrvV8J0B+KMnKqoDgBrLva mo7GV4RTRmvHJ+lI6UjDzEGY3uDDsYorTFIqS/wnCemHKS3dzXs0VkEkPbxMiIQnfJClimtNCsEz KrpUu1fYALN0ZzuNq89p+XxOaoPt2uH/O0i+Wq9Nk9h1J4qp3mZwsEG75NsUHBG66Nw9jg4+Vrzg rR5M6GBMHe6uCyfEjjVKHpZ5CJ3CJywJmUCkcIVfBKX0+XGtUw8FQcYl9Skeaux9jpaX728Mr2sY mF7gw8phIus9YBE1OrZ+xbdI0tnJatIRMge8Gs8dnIdqaqZTkA7l36m1EX8lEP5I9vUfNZX73yZ8 ShILUI0sRqY+TMFylDG3iqSRjdxQJRxKJHxF5iryFwxqYtSwhwRpeq4AvAs6sAKBhexUpzNxaRgD pXJ1jFtUK6lJ50j+Y9XriKNhcIHNOy0jrxlvb5gx2M1JPUXyJcPfifquhqobe3JlB0JeZySjgV+r ecDqRB6N1w5V9jwO87v2B4afwDLhdGTRUYpJfLTPZzfEDJlq9ZMu84Fpss6Jt1N8ph4xt7niMwbW VjRu8um4ISSYCrsY5aGviMEcJLi8+7uWZjsVh/fcu6STEU6m1uHsf7wypYzd2a2sqfkNCN8rwzyp qfchfnlvd3S06sokE+p+Sy5vfukV/Zuta0Dbr1tAeGyFTM2NkEw+9tdfXlgnbMfXyyZEyT3iwlED afo0vFtDbC2ZTHnMqvEqrdGpybxoCbzB+iJOdiNHh+G4YEtHxNbkk9ABah5vzV/UJJOs9jPJGsgv CXm10ZDFdzzK7W0jeRM0ecbUy5J5jyLDzP1U4k9wFnBTquVCExcR6kz0rVcHkwRQbgee4vahWyrV aT3/q5c3Zxyrtv6R2Ugwfx17/nyKBfI00FN2VY4m/RW4hjW0ZMMxlusqwxm9RI5T/MERRiEP8hdE fJw7DtO6BytHrY7n5LBLZyHtOpJ92LrQX2EiZuumbNkuyvPVX3hPQmFWCBVqRy92DIfkkTvWuY44 CTI2mIfdMIfXjkXjapTpAyDyHi4t55fN9FTI825We/iTlMKAIFA/X74QlfE/uhyBNMjoxlbixDP3 M2JtiaDCqKFVOjA8PtnJiqgr1yN0Uc3d67abylVlfhLVKTMN9iaaBDUXqzEwi0MVEzFNOVHrZeRC QJSEs+V+WM1YwNxHYStz1yicWaem0WKslCHhPx4EvMSihWWVfnBjxbRmaI38oHYc390DAKEJ/GLT dwUiGTo6HFZ1Wr9Wnz8XQFGzJEErNOdiOXTZsns7NJJuyA4vhq2RzoYepj0DwiZBXd5psjFelAKa u8eNc4GoCR88EHAxPply6c7Ox3nX77nYVVxNdm+SxnCeWd2NvHXnttmg70U4vwMMQc2fSU2gPRIL aRHexFR1M8QE/XVc4LFhsB3Z4/ZK5bOdxav8TN7DrIBh5qEplMT8qNQD9hrLYNpRxsj4Bo9xdIsP hOpIyWI/ViMF72/I1uqGZATlZG1AQ911XerSEHFxsmLqUscih/pSNBJpJ9QHvpdIgN6cLuo1bh99 V4IS3D/h6QzmLsiFyWp3zBBGmgOdw/rvRIS2+4D29l8cou0HSQguSsAiKONQxGc6RoCYaJkjSCqi hqJC3ziAmwh/8UEkH7ApcCAFvcBcd7bCPxWHPsPquJa4e0L2m1AZqUgm7p1OKCwUt6Gw/gv8928w RtSwyOlKoWjdf2MDMejhig+2kAza93oC8HNchhRX5ZsrUJJfqVPSdZVflFJOSuOAcAsf2NbpeIeh jj60pUmznaBqL8kXsiur6ivuAOS0ptNjE7BNbogX279DskinDZIqKeZHbdr3MzDBbSf9aPGLU5Pu q5kqvgMgvh8BTrS4XP+JcL5ztpHFAKCOyrXVc1ERb3Vz6Hc8Jrm51xUz5GIu6fhYhUsAt5TlqDxw YBJX4PZ/zUjwTAlqtfqD79LaJuKZXT7bfQI3ics4tsCKz1Beysv6iq16I1Zyus+8HIwBlWMrbsrB coCRfg8FwkKDSgMCZDUhbKNKZEknKr7akLxTYerJpB9PQ6sdNl5w0Glpkc/5ci0ys0XLdxDYqXpC T7XZsLAmiU3dHwynVK82Z7t0g5D9RVIRJFyJ+yFe5epDqF7IpducVbUy7pRyFXNGLck4koWkXn+x oyPzw5Q0FKv0YJV4vbXOjP5cUwsUhPC2lkI+Wwsd+j3q+amkOVijuvZhp0KrVO7GCrV0Aq9JbucJ cXbxagJulJybdkVEhd1i5eDJmKTvpISXFgqyv0fExTRjX7MIDg4s5JakMoES0tm+ZUjetNR6oAv2 aW9qhT/zQlr9M154SnXGCghfiv5qZs5V4cC89E8kzwnQxb1Ubg/OV42qb+gx7G0vaEEhRfmU+uR0 wM4I78Try8fFW1fIJ7iyvrcyhXdJ20jyfF3M5wajvYex7EE6sDYlHDSpxoc2dEUiYxUwpATSbdpA lzS0SlT6xbl+BQB7fDA5GIvJ00iFD5pgNrirQfO327U4eHdfl4S5LDoohez0fP2h0gfevu3/yCWA udJDLYk8qjce2DkP/jh7eDrj7wsVm1H8fEEWQGyYbqprkHdiFCg8UBea2dXauAZ/BkcqsDPUv0k7 HDVn0BXl7oBSOaXkd83VuqYPH0YAZZMf2PuNdXiKCxgY8+huYmCgmw173dn1OjsjvMEfx1/5+iLQ i+sFNh/Fc4OUxyAoaQk8p3NKSk9Tegy0xpzcEk945cBWyBZl5fpPXyO839wJ4tu/jw7M6kWqYYa+ QriUZhTltB6WFxzgf55YhJzHe0WpsdLkSyDIPqTK1TpMc/UWUed5GGwa23kIyY4Y37Aqh8Onl/Bt k33C3QNvVBKlQe/9OxKGFQXqFKtiTi7sXGL/qmbuB/RYKjGD61tTUtrqlb0O0NPi7IIRrFBId/R+ Ny75FEFPhMSzqBdSUQLHJV97RKVhSiORVW1+aQZYjeeetLO7UsmsE9Ig0SijlvCHoNMU+vpYgKCu fajWs9ad/p9U/1Umb35gC0mV55UWa30ZqXngwfGTist+p4HudFLGw1pBWTxf1o1TZQ8Eqvz0G9vb EKx2NnugFbZPSyOaS3RAKNrXqxOnQvMkqJNf3H9NlZHl+7d+aK7TAwjItjPoESJHzV5yoHA2qCwh GpAvnuQwkRmxbbVqEKaIxrUkrzY3ldvl3BVHLHzW1FL/ESOFuTpuRWhnXXi8wHd7/U5TgdQX7nnK kuIetsVj3yCOH3T65VnV+LqxvryTtMwGICW2KRe5jtw7re3E0Hic8fmtmTH4JeQiWo77PHIZaFM9 r9uZlxHAI38FR37wU4F+YrzoC3rLEGy86Wd9ONX2l1oLlx5knpWr1q99ptJBoM2fVWr10PeAEymi ccIUmObhkPCIxM24GMHsykXqEswrDjq7a0sKdwW/ouVc9biS/0ex3OmrgefsBcRtb29v7ryrmFYD mMuXGoTypVDholrOKFqEBEec9qO5JsWsGJ0KuJ8YzM5HVxxzDdZOYxT84QIriJeNQv01M19L5R8B 7r0JRHc6R3b+jVOJ+cJZylDyktpluFnPJptHPI7Ey4wc6Un6PRzXOm3zbzmSpc1lJxprONcYUjrj c6Rh2KttkwFWfx8tOa1IUx8WN9oQUD4vWK4M0UcL6FK/o5LM0qdHBHEKeLCwP0lvQJ8q8UTbKMnC 3OCACFBhUcrfeeRGo0vd0hsdLf88X7GQY5zw8LhSaBjW7T6K0FqDxIa1rkHJHG3ytd9PkDdVL69E Esv8AilT0sBWo7ZXHbvAeyLKbPpYi1rfk3xCTFrKb+26ptTIgISrdsKqsxT2TOg5yeje47qOGM14 KlogcklaDAwb+pDVFspsIbHfXkpdc/vqP+BcedX8iKbvnuNFvxPlz9jMm64ST9Q6tmxZW4N3BHZm 1p3rH5CR7g1sn9VBef0efz1rDFjP5RMAxGD6IcX6Eqlv9sRwvwZOdpwVJvasRsaKvfghLchgPZlz YhN6jL0hAFZc/WiD1WdGfu4wSfPkU/GCJLdDufhchBjcu3AvYTDAMJ83w6xgGzNt6jxjGHtIRMHL XGfjl4Fk0vZWOb3/osPgnKLEJFhBXszcB1RInnEccxPv3yJ4+ptJnY6UKeI3ZscEzGpMGMsCLSul RRuZ5ld7qZSWdsqh1xiPs6TtUQvrBmYtUVLDg0Yyzrgm6tG+bgAg/CMO2LS7MduQ7WieoVUvvaZE 6vgBl/tMpkRsVA7ReIAAyx9BfHS3iEwLaipt9gF0XJ8n4MDH9iyEZE5MNWxgGhU7pM7jdrxXNm74 lelvqTpVtv36Y2cWaaZJbKmc9NCxLYwqWt+wdn7fWjr3qaOxz462yjZ2iQfj8NjUDU+pRXRJ1Z2q LAhW5XlU984Q4AZ1t7VrrDLmWIUSsnmGj+CYMjXJrQ5w7HiLRsRorPDF/NvU1VDtjuaNghZp0vI8 eDSMRGeaeZoNy3n3IsXdtHMXMkAaRHWTbnhe9wBPZYF9Lpbg6ds4/KlS99yxPgi27ITa33mZujp6 /U9dwxMaalMBAw6Fm2DDLKsgbqc41MJWClzvuI50koUcSP8PliZ7CaJMsRvmC9riR+q4JYKTzyh4 qdtukHwZSQKkaaLeEmfmBmJEymZ/xCpsdiUi/kIOzPcizWPK6FBOFW63h7Rer6bgNkJMTKganvcq fb6qtzWxTltt8N/mg306T6xkGLkpR98C+oGCg8THKAQh7slocVoV9za0Es0YI06RwxFcQUMyZZDV y/7XQ0VCSqWVP37XdvwsKdS/Wbyuazm5XiOY6xGYQoWSHvNJR5p9D2FwfCpkjKiTdswZs2wEa2Qv fU/3HEu3/3ve3TsGBuNUn+258QES5N5OPouKeYs++kYqVCCBLAS9G5FDVbIm0vTuk+f6Ahb4Xqgt Ie1MDbLsbctASiTMn6/CjS3BY5PUAYSE7LatzwfwRF0kSGmC/zxPkYHzFET9261ZvfEKyMDy09F+ nwCZCww8nj7T2VNp9pu9qWYAAPM3X2Cumr21bjhL46hTxiNHjdJTmvgcW1Jwy32cE5Lq91bS9FRl 112VZWrk85L8F2qxYA1GQgBwjfkyhIqH4DqQdX5QB27cbYMfr35ZijALk9GzRxluBN7NWA69Trlj uta5+Gc/HC/0wXrcHBeMHPnSz8y/nr/Y7RoMNKrH0duWJ50/UCRnkvcKNUjaOzRdeOcVnQUyZVGq wc7Uatfi0DX8cyfj0q8bQyEvNIN+Soybu/x4xDVM4GMGJr3XH3RY7wD3e1ynzg2zq8210cX/+J8X opfxb06/Bc0YLf0JENyBY2Cs+AK5cqPJBLJMcjzuUKwifMA0bLs/ZJl1fe5eRsdpMam+jivKaVku QHsshRKT2yFLkRY3ooXAcmd3se5Hp7ygSi9VKS0vL7oYwoYRzyhYKeOuRORVRg1nLVimkDGPv8E7 nHoXVh0tF+hZfBItItBkRHnuGb9H8y542uhK5QaTbz/q57gmuSDtYUAx7aq/dLrGlyNxzMqQv1wE XV7/pJP18TDKB5l+8YbGRmMffm1VcOepn+3tDc0+uTjcC10PMiL2gIfEcZB7t1UepgA0EN3gppB+ bzRBzDqVTlkA5/40LJksKi7srDNyWKFIfZQUAifif9CYRMDKmiTD2DLEb3zk1/XWmFDx44s44GfV FQHMFI5hctKPT3HZjCXNpT9GcyPrYe/O2o4oXCiUBx4h9GeyB3Bk50Zg7A2ZrJt7GrOxiqTFr/Gq spSQHb/4Y/UDlZvXuk6LEVUphyjxJzToOsnmioX9lbh3zsA49xAR2EJEy6PlnkGdzJebD3Lz09MO gJpUm0WFXXgN2n5zE0CuYCSsoUwDtYbwzkmcZ64dJ990MbyP0IHkpS9/mJsEW/8979Zy2yiAMxpb 0axPwrWX7J1oqHF+dNs+0OGls7jNbEyYcqQmQtX7uXNk3cy029gle1TrxRm88Rsu+VnhLbEARC5B ckZgKHAya6r/QgbRmg7viYhAj7h+jnIW7QeaDuLnENvZxyb823MS3X3HTr6X00L6XbUtDGXgBicX Az8Ji3Oc/4mtsBabU51qfsZ3xN04q5oEZ7RE/HICur43VgbWcL9Y3rUF0MwkAIrLm0f62TVa0fB4 9kivIV0nt2ZYJPSMELuIEMiN/BDPBp6UYT83jwH1L4vNtCdnP5/7myWIW/NyRfflhBSQtdjsqzi+ jcR2RfXgHz/jupgm15lMNoqv+c6gmnFblj+eBKPnLtrFBQZg3kyQVlDDdFr+0LA8SJm/F9fbBqku 5LumrXJH5AI8kq7sA51TELx0HgLZvUCvTUtlmgYOunMuKEI7qQYCwWV2l9E8xekHxHNBVWObTdMD B9jgMoTEztMX37nXsnoSdb12Sa0VLoKom4uemM93iOIJeXxL/OYvzuKxHv6m21u5orGGkN9YLcYs +nAyprv4m518Rzc2oKTgUV/MBE5EjVe9i31g5XKXZuzSIpuxeRj/jT6EfT4c1rdwHe5ELHqCa0Ix CsoMrnCVwacjhgZNWEWk5zGXtCp5tU1mEf9WMpKn25f8mDr9mtAUuABkb4zl7bMxQIwp/H9jqez/ 2wEhRuUgYUbu+13Tjqex40YgpIA/UG/DFEcTffoop4vavss3X4J2Wv71sk1nkg8f/xs5sSSRRgfA hDa2P+Zpv6wRiklcOBvlKiJnpPY9hJ/EfoMJ1IIt7Q8MzOTpB66/7XC7GDR47IJjufJln+dZjEiX yVipwrE7Jd6kQV82pikTP0Qkg/sKuGjHr+nv2XFQWQmffYs/lsHNVZkpXl3uTberL+nBF0Hd9WJL ybVfvbp4/RHiI2VafHk7/WXlZvPA7EhNwLkROESBA4bZupq0bD67d5TenIOc9k0mBuR7Hb4IpOFG 1cg7jCIur/E/IEUWxtpfvPX05r+MxthtrpR7rbTdp4QAmzWSDRkJictZBodnKI50tkVj6zAhkamy ZWfrjw+tUxNt/m6tstHQ17fiHxEJ549vm10oU0pmIXa6xputASS0GduJBeJLx8qDDHmHi3Nn10Kb PS9aLNuBHpdZjw8i+6Os0vD1MNTD2C/W4f7EkNVSIYqsoXGJ5BEMkIcUxU4nHUq9k6FdabNFL7d7 DIt7+LTx53n89RQnAmxaYh33WemukbzgpNK98/o/U16ayIrkpTRV1dtneQwSr3WRZkSRfbbSfW57 j3yLok94nygN6ut0ssqKtpE0MvuAMFnHuCz16ro9Z9Gtumf3ZXDhjTe22Ru6Pbv6Fbr4SLNVG8s9 Gc+O6qjOHBe+jDGkCz8IKSjdFiSIlWPVe0k18WFKA51TlhV24ANAklk0H5d0laW31yqf0GtR1wJd Hy4CZvdoM7Z9T6PPlaxmBVISIprxm92RX75u+uTLPu6Sm/Mh6c2SzMhCFfhiC2VARJ0mVqRnjOBC fppj3697WirWTvl78SXIY4BOAks7t+1QKSmZdKluDX5Hfx962TujEhNgiyCSToPYA6HeCeT+kO07 Wce04QZo6MIw47lMjFNZHALV68a5NHMpmC56MHGlt7Kwn5FrJaslD0WoUd/nLrBage1VCqHp2AwT e/84FDPB6nSjVbolfC1aql9Z65sd+SUf1YMeZKkqWRhDaXIb4pJFYPxDF0Caod+vpOh6aD3kioLZ hiSUnRV5WfqIJo3GEukwLyRsY6bDlASx/YGisN9MKwZIyQHZvjS8Z4gjBu03yP5LpCMT9QT3Il9o D/rhXPsiYavfzOtty73B90exASF8aQj/k4W8dDYvtE8z3VyzOPwf5Cecenjb1uidMkX/RG2rNalu qF6vCinEoKKwi9EM5LjcDu0IjV6PDBSRUxDdTMZ3XxthAJSuMPTcVlVcl6K1BL8gkBlmas9ELxOp tl3F338rRq0EvcPRicBloiTnMKIiBf/AKtyKX7h/CySuRyoywRUKwv7ksDW4fO1EKPpOp4pZLwrU Z8T+2ONmb8ll+PxxhN78+P3itdhjazeEhSs4jCghvQtIm739ciEE6atZCWFga08KweVgLXK55P3o sWvNrCFhENjIq10KElhbsPcjrNQ2iicTy/u8IjAKqJ8kLE1pP9YG/Dp5gtCtLBhlTCZH62yuPCLa EOyHA21mk/aZiedR1L4Cq3AW6hhW64ZpYlzt7ntrOLESpFCB96tc61rqLK/qvCIslgbR3cSI35CF 5qT0is+WeKM0hd0WvZRCmZY588kDr7mYxI4WcgroX3sWptJEHu4ziacj+Q23Jv9vCrsor7Va/yLL Aar+u4QAcZSW6qNFnA2FFf8g2b01zceDcrYgQZG186C1jJOpOXRm+MztjGgDXkJRmfPMRav0ysYK o32Og18aGytdb47/g17XP+WNOrKNN0WwyFe2maCmCjmGKNRTKV4SdeTu+15OOGYWplxSrMvm1M7j z3nYwt9tAnNXG71NsqSOGWmmIk9qQPVOtlXPtAkSl9hiVRfLTQ9FL14zQhyctpe2e5gQxSpyjEmF Krdf6N/SGcZBBkCy5Du/IqtItFWFT1RH8sOhwULI6gF7c+N07dklc35jzYd8G+JP1oW0ArrV8jBO Wp3r9XpIYl/4di+L8zMVscM0R+N5wp25czM8yuwBRGFd/26YIhkEj6QePLENCOLCnzlaO3JONTrI /ud11M4+TLOOwnpahhYkrQEpLcu+lWkjlEtguCDpGQGoaJO0FmI4jEr7KliTbbWvYvLM/4YLBVZ7 U+kdQ4TA2a62GdRm42ZDJFO5QKRvekNraktlk1rt1j5boumWA88N2ek8GzgJAzebPQq8KFUkf8Fp TlHZ5dhhOUPO9i9rNrJU7ta+PkyUUNdCzdfhOR89ZQ6kQIu+E+gKLZN3GfKutvBwPAzjVQma+Axl +fDqbbpyr0XTGwHXJ13mEi8VAfg03ABOSP+Ij6e9/8QiIixsWTnQx0K5WnhjVKeuoUeq7AqrLNY4 0iATDl3D2dxRi+uIMB/4rUhirCiQWIXmrDMP7i1gEgJR9Uz0TOlSxjXVD0j5DWzrmPO2G+YCP5xk uyeQENCgmHaUaWe3fYeh82LLxaejlynGMR4NtBk4rpCY1ie/EgVPBh+hmXW0SKkMQCSbNifjClJ+ XT6eR0TC+7wGtuq2hyuOERPTQ+UGSmeTL53A+qtw3Kd78Ids0oeenP9FopjyhJOjJuABfIUXf/EX p3/j9XgCXKaE/yLcHzz9ByUvnaQHzg8s9CtXTcZGaXFabe+CphnLVuRaNqhlMKDY7Q6dPhaqJQnv X1lDiQVumIBGcQq7JWjFEk+pNlL/9534oflKeGBMMrHSE8RyiA7n5gAAYkWVePQC+RzLwLaC30v/ 4piwn/NIDSBFC2CIhWQlUKlyvsXdQhAV4giXvIkzBtZb2qAW82XZix+I9LjsaOP8s5LiDd3hAeWG aGlge8QE+NlF6wluSC8CS82/nO7P/57oYdhopRSkSTaZP+n5uw0dQfX4t3IWEwnSkQiaArQ+untA DzNumJvBnhVJmNYoDGas/TPDEsMvXIa7u/lbkR2nmzUzK2cDr2DhCKO4V17Ue4nO/iEipJmqPOl8 7890/EIf2E1tlHAgnIJbHIB0vAQ70zNVSj/5HO341CwmJP7F6fuq0ZokqBAWh1o/qiKhUAz52ml2 9iiEKWma//UAB0CBGbtY0ySxgZbYC0pZMMM77qW5I/iIuEDZAH2P9b91nqdmczfSWboKt/Bbm9Sg W1S2nWgOWdplt2mXJ4e32eAAHAF45JS9WYLHwDEauC/KLrpZDIW7B/pDk0W86Ii4EkuTvJkwRvPg wgm0U1o5x8zyUYD3C7M+J8Yo3DrtZxV96w5GG4HMAJM90v8URY+2XU6pY4kZK25dcIg6pagh/sH2 K7gjcSzdbijIRDThIzQLXekpiKmHuymr2hV/AaE46j5jxd7ECObPUDvTntVlWq6DyDLljg6yxRX7 kqV4MqnSWAsa7OXcESEzXKcqURB+lkte0k9DpKV3wZ7EZrbOQVPuZoUwWOMTqLgAhcvt2TZ35df6 PeMRAamtMtbSIrmio8uDi1m9aefM7HqejrkZ2DfBAQHl8fTKkDrp0+UNkOCW3UQGadcpiMCcoDAn AQ0K/io1yvRnFVRstY8MSkVEKnumH70JeWb2jtmXsWB9rF5kzH5310xMp7hTg8PrKz3cWfWYqVIs N7gn9RIBM+jNz9icxsDIRtBBKT1AVhn7m8vcFdzmLD2omwpKrMFnK7iZXa2VLeDmNcZm6ymXvYBM MNwccYW9ylLMsUzBh3dbp47mgf7cCw7LIa4zmTgVhLhAmFA9Z6PE5PT3FT/4keGGra5uCygV3Vs+ L/UxJlmUpP6OS0cLXY/X45ji0bPxwss3lkBUT9MMflSB+lLX5mZLZcor8NTcWr0Scghlx4c8L/F0 VTGokif21yY6RqUfGIYiTdiBku/e9ZLkVeRq3ov89V0gaZe4n+qacHzErJf4BB8GKxiFDuVIATXi sADyOqJuIqLSQA0fV4yUfBKmBFp86/moNrKj/+kMAgbYuuw4Ik6Gik6YxFiMTwPcmKibgGUCaMm0 r0+RkgYbxsVLuqv1v/yAv/C2zXUR2SV0X3WQB3hTa1Mm19Tqw3ZO32HGQJ9wjjLWg68ZcgL8DXag BRd77ANf9h+t0tWgbr6FgFwog1I89e+2mQRnzupcRtXOxQcXOy2RSfs/fFuAJ8kIiG5WBhGDHRbL UAZZGgFxxLhHoNB3yx6BIDHkuLQCjGCp2blOp+dg8s35k5jrBi/OiZkV2B+bgH7jkr8tIuHKYSdx g8bdjg4v9EUZCh6HY1pLLRzLxxAQdPvicltAsoUFBopdH21J2ob3Xgv4pMe8fsbOP0Dcsn/HGf0Q obyt6VsY0IrTfgLaToX2tacYG3t4a5pONhaWefBRuxH5ebVjdPt13Q9UNSBxSEVLlZ+eV1kd7pHF 3RQYQvm4EgIoo5HSdd43oZu6PE/8AhbfsN9MVA/jSJ6B0JRhkFbjOD+DPlCZUwN61Den1HKQBXqj BwzwsHhD+ZpGc+tyuQSBF5yqoJHyh0i8DKvWwLYxhmgumFgT3PbeE1oRvbe+pSPX14gMz4YpeRHu q+83G8QfyGsOGAda6DBTsGQ5Dvt2m9vWqxA4HP5xbeD6UEOwu1O08W3IY34Yo/pWgiKYqNt/5YIo 0pNAWgjxFpKNdIMdrp9hs1dGV3j4VzQrTirysiNOE4ne4Qun+BxetxfS6rX6uXgLCKLylgOXv7u0 AzMk1+uv18ymApKpA1mqz+0wU8OAW1Dpe0N5Ndt5QMkBC9MQ6QTNmJa1C37GhMPDvXQDzx1UJeJj kfOaH35X2tMQ7DMfaZYOAIkfR9KZeh06KIMaDhsnVHA6XK3Ra6Qk+A4abvo0S54Bx3HPhk/+A/0s fpktHt44VdEZjH502exAJK9h5gbky+OR997PErjtfgeXtzMZ2NjQkn+MWB2Hr+d15YeCNkoSnVj9 8dFuz5L48lUJOvG5EE1o8ORkXbQYiaW0gF9+TXMD61/Cb6pWRsr6fzzfEcCP3uJNqJ9pmOV4L7+f JfHViSB+3KW9PsKV6YCSVu4HDDF/JfNHtNrLBxcUvlbVU17mMHuJ2G7OWdcf3kKBaz0UOXmTffNQ YYDA34v94ad5EecCulb2a0A60yEbt87M6meKDUiecxX7vLLRT3xDOOzmX5WLfwAHg79lwzJZnwL3 ZOIBoFPuete8v7lMQ7iC8Azb0zAO3LsndPueDL+Ya7R1bCcJYt+O5erHIjmH+TyjnVaw1mdjwcDL 6XmcAWrYcHVKVDeKGO03VNNv5c1xFfVjKx2rJpxkW6VJJkdxzkbgN/DuKxDH7JXNoAyiFvGckz0+ eAioYq2hodr+zzg8iE/lp6WlNtu9yiQm7mJqnxgoje4glyDXfqGYPyPLGQkHKqm9p6QvMpIufC51 ib0AiemFzp3BGYkhVWciVG44bYKajnp/f1Inb5lYASVT4+t4x1iF32/Cy0RDEEkLd+NzLxM3UWWe Lf+3YgyZX2uUJSEGPw7xlrzcz25TwUkJjShlRPRTAYvTHxGdRk5N1O0jh+0hW/S8srRuxUed1h+W 63UcGQ0/NM1JZMTynylw0cZIpLqcUSTFt7ZYhKErvFvPrTIOeTyDFoy4dh+SqFaDFe1VYeM0KV6v jq5E8/QDijpPYTysK6kMaZ322qi0LFFNWuR4FSChB/EM+nH5/Gdqfnoxl+yclnu4kPXk+9MBDiSi 0689bXEoMuBQrFru49JL/YK3nrSPkeX0mLN8kpsGmBvGPA0/7/oSlD/sTOXMBTq9TncPJn3g57Pe dEIa2Wbc43Z5CGo7YkSun0pzEIIBgPdB6Lldc7WgS/6nm+oTMzeZ80odHA9leqmDi3xJhzr0Jj75 7jc8r4cSroD86Xp3cw5ozNdEFmDqVhdSDv1J5zoRtXXSJN9FwgZWRuiSYBDDmt3jnTjkRWH+LoMP GRPRqtJYyFgUOG2CT9UV76rEazHbjpMnJtACeIsN0ls3lZDRkjdjh/+W1a77AozUHxc953INv6z3 KFl+yu/YGxgwWjktj1LLwT+jEcY0tLp/tTRFz3rpPxf4qYh3eBzV9of8yefUxoNuWqGBqmmzjxPP wJWerGmrwnwXS0iEBwLHylMoIEqKFLb+8hYtdKosfLY3wdrSoJhUiXmC+Wu05oGF+hCfpS+p65QW gaRyImm1h7oU1MA2j2RPWwVEoDd79jdcDo+jfa1eHiD+u1Hm/fLezlrBh0h9NsshCEqeoDDvY3tk iwI1GXTWKYLkotuCgSQHXHqnWJN3NYCGlf0bxhXvEgvbNyQNWNAkOyP5vtbmBaRyi3O8hUopysZB TLZP4QxfoU4BUuDiIwDoS4pG9LKHmia3TTUZgtJZTegDZg8sob2gkWILWagyInpWRPzcdh+bhHoL QCs6eUIBWAwHAHlnWAPAkt5GwSPgem7wIn05D+Y5t8pKMc0wKQVIzjva2F/ToEpjj49DmN8WojER SA0pzONcyj1K5FhKaGHIo15xCUN79DcBJchuz+fCn4yqaifBVLDDRXrgBCMasI/myPg2Jn1h9BL9 76UBKSreqyfkXMzRck90/K0Qp9IxI2BtJ3FI8uP1tvviMnWzatC95nCtT5AWP/lK9TFNy4bInmlm mE8OoZ+vyCZ4D6XHG6ISvLHkZSZGHzjbBynesWjlwjgGBpAEzKCrBwzvPg9hRJHczLjq+2ipumku dOqnplEw2VZ8WUZJfPOAbVZN2q7vToY0TtkeN1iJpgVYrIe8FbJHZ8j9ua/OkxI0hOGe6G8lRa6P l4s26PIIMO4E2+8q5m5D0VG1sdLJCy+6pUyjloytBAsUd9PHtRr/8KHcyiEx873ULWSE4jIK/KkM 2woIJCbMWqs8aKoXMU4aLtmviUEmzpT1uncDHy4VFZmUdZ51l240XjUR7BJYfmGcoULXybX9jI9B 62j2kORoIrz5YegfEQacnJw7GT+Y/mOFp9zxUmw4D8uT44SVMo+3BzAve7k8y9CiooHSGo3cCFNM eXdSEYBNLlNKhENx7bSi3xov2YyBlP8G9lkm15kiZleYjmKTios+TvpWM2pOpHCySoi7/3VlcdFZ mZXpllC57a/TXoQK8OmxgnqPFzYOnu4pLwQFwImAjOfEGD4xK606HcSj3uQx/XVXRH768CKK/D30 qQU1Y/kmc3LK1qU5ZOq7yqMGkdcULZ37KVX2xP3l/0dp5wsucR/MgSD9JCNaOz89EMF0H5vj6x+M fbOhqQEEIAPyzct9BSilRnfVdzGZ88wHMeVYT+ZAgvcsxr0IuVvuw4proyzo9n/4Rae5UgZTV5d6 FEeAoUhEgP4CwSoTcGfyloB/3dRyByKMl1wpQza48PPX6JBlCy7wQb1zMwoh1ygeg1Z9rcMN6/hr hkPvHpd20Sgc+ktm6I/QNjUEXmaGg1tSeVLyPkjh2qBHBCZkoYdTFeZdXuKFYfsi6jY5L4AbkMQ0 /4L7NQb0op5vHb/g0WdwZl8QH4+Y53Q/WDcaf2H3/hZ2+9UP+ao0j6QsnLNbBx+9kQ5v5uwnijz7 ukCeitvXGigUWFogtjymej3WGU7a2BgBcUF4P7ZjbkA4jnBr75jqaQTQT8ZHA1LM7o1dHcs/PhCj zbmJK6Gsqged9T/TxGxt9mrXH+Jv7DXQnz97YAm09h6PYgLSveLq4Wb+YKTXYbxnSGqG7qc8U82a fYtwvqSwChVQkPoJtuyPKR2fK8jYSRWQduUu72ngu3Z6z/JKGdhz/jvU3xgozQUpSqnjn7/pN11U Fyfldw1XeozcAMwIBx33/8GhXhqvWibEL0XeaiV49psDrU0Y0YR8tgc7mzsGmYB0H9NtGEPWr2J3 qg8bXYbJkUj+fvEDbnhcEjtUdAR4XyVqY3uUJWr5G/x5MJ7uy9wjPHfWGEWVDpn5NnhBAlC6eLIg VUe8F/4VLtYG2CJ6Ss7Tgo4OLquxW7/tH9Pc4FUQBzSZmtElhX060GZRzPzCdcCuq/u8zxkZRMjT xRZid4MS60UsTeagdCG0yBXzOyg7uPWYzS9JN0AuJZkPugIM/+9GEcaGWtDYwUFelnVigoMfwm8K 3HMl2q8qjhDkgA5OArAD4Aqz3xFTKDbZP6Ays7+TLqSqhmsJUyPFACWNdmdLRGQJcdA6w4fH3Xab Ceb2Tg2dBpZLLVj68QwDN7eEPCAe/HMW8rvdJVJsDf6mnR+aNhxwvx4DbgS+JTQuTR6MO3sAGm63 5w9/8a0HahiBz86gb6/vB8aaFFAoCEAPXaWwfWiqgUJuoB1boBVpcEMRXjPsUrsuYlwFcIEVPz5B Bd4VxpQHI18gnUxnJza0vIHlnlLucH3P841LsO5L3ZGY3c16iBLmT4UJ1SL9F3yN4LRFExzY2XR1 dgIsHkLY1uhR/EPmA0QeY3jBCjZIDHUzDEmcS1Zc1mGUCuL3xM/9f0L1IW387O6qUgERGoxw+h0l XtBYZGv4xRZ0jkYNcUzV1qMaem9YHyIqOL2vi/26nNGW97hblWPTGj5GrW88i/g7LRF+K4ijB6rC pQXmM3gDoEA6GSszcHrguk910R3TjV1L8LOHWa5J1iXY/vz/+h70SnROhLeH5WoLTIKLdC78RjbU dAxto04Kg/hCH2hB1UuJ+WIXs+owoNFbP9UcbVujJHNtrGRMgCZnXngngHpckE/CHak2X+Er2euO JLbigCeoTnI3ddM4hOCfI3QFjWu9YZsb05GkWMYZQD0NKJm2ivgT8T/erL+7i+2FyfFYMp7J9DbI NaSJzr/5QczYImAuClHkS9J2TLaNI4iYsMlxY0ec+ZCjfjfSAwjFBO5qXQERr2aUEnOxS6Rnk1IG BaCEIhuYktGgVzgNFh5DxSrl/P7UbjOlQDN6pvT3U/QYojbA+9fqEpO/6TSEK+vss/Z8Fxy5/YU2 pY25UYPfzVFzSskG4u3/XrqeC02TpaqG8j0/Jj98pLyZAASUQF/zOsJat/qUS72ij5WtWfm/Fhqp C/CUi48Gk46jw02q3GOVzv8e+yXWbWs7y0XpfXtOlwOJHTYyALXfHUTGnYII8bTJr5HjN/RB7VBO yNl12Rv6zKpQ33g5AlJz9Ub6Tw377wHBEtNOk/mUNHzvA9ckn8F2tueaI9d+eKH4D/h/6UycXrZx lOmUhK84M3Zp5dzWZ/2Qz0mu1T4LtRSx0cfYgFSjPUKEKsMOU3NogH3RQ5hqtfzaURhkCZ5QX6NW Ak4gZo8FuSvfa2WF+CQ837/vsoHjqEEOnViGeYd5WhDUjHEwL1Pp1SOQwC3obZ9gyuzd7lsbz6wI iQSdryX1B07NOqaZKEF9wqXDRNFuaG6IOrc/WQw41Nggx1S/Ompj83aa1soYWiNBUTLagghqktsw 9SXfKVmO3mAOKE//1Att1EIVG45ZGvbpUM1Iq7p0B2YrmWYGN2pEx1oxAl5ITO4opcCWlckyvB9K n1Myp5Z/68VoNfJ0XgIYeQFyu+f5hNaLnvbyQW/lrhQtfE8QEtpEpg99eqH+DQKDU2V+iclJ8GmO X4SjJZuPNxke3uvmPKr/nKwMybRdL4IjhWwMnfekaH02l70nq9FDUpjQI0rPxZIpUkcf0acNlrkG WS2t49/gufbA3nPrKHEAFJ50EEup1sef6ZD03HQvIl2wR+AYaCPoY14FoikG1QBL0EijvJcUenh9 gDx5twLW+QTX/wdt/P5RkwxyBQgD808FdyrMYhPl8USUqPnQyzwMrgWq7VCc7k9tp0owVJql041/ m5PXsBxBvE3rpw6svsF/sWo/zuyzJOn/12j47QzqyMOz6ZF/uLi6RKer9oZNWmB6cZkg0/mIqPq7 Vip+CxUji33/V+8ogn4pPh1Pg83CgIsv/4ElPWJadrRWSsIYx4OCWkWJU3gJV+2UtX5ROAka1HTU WYPZj8Xqr0bp+44rW3xnvbmbbGoGUG3GAvIER0l978+0YIc+0tCJxLkcBnflaK7U6wjL5YLJZIDT bI9hTZVdPhj8fGUc+CoxcRlQMVh55gzJNsUwEbWXIGg9BWZZuZSjJ6cQUe2+Zx5Jzjk9d89nKCWH Huqm8oWNHPFwSOR0p3UafpsGqdBy80XTq8a2wMZNXAf+uRMT5V5SJwiec/1AEkO2mGh6rzDRJbti nUSSqatuoiKI79S0AKA9zyFrgV3jXktBdlyFXViQAVB8Zfmym9z76qrndEGjgHxX/xUrkvrEBDsb UkqCQX6Ah+uqs4/wfN/XXurBL5EV2EWFTn7v0ekAwy3pHlUabE9lyjWvqki4yii8uFUxk6mhcaQZ BbvJG9r1DFiHKWXGbCXpzZnNY7wFh5AWNBQ5/Wxv6zWmos1PoviWvfZ57AOCZM5tpwwI+BSRhGIp mCW/1numaUTTbeveeosIk7m4Yh0CwT1Xfal+gRWhviwvvBZ/yqq5/Ok2/+u0Mo8+Dp0EgqZWSlG7 xfjCc17E7QtolMqudh6akcKln9CrC8+lcjdkDf6JBQbn+stetTfBI8I+MDROkoMQGngIq38iWvsW PzjQXqWo3pefMaxNFfbnKxusmHElVGjFWYgRr7XApiRDQ7HE0IgUerXvEnN9KsCU8pzYGkgg1ukB vW0ZYrDRtVnnNPf33tjvE7nG3fuQg71n7Xhc0fYYF8zhTCSJsg4zN1cuWF2mQgeRpvfe5f8CBzlr J2NylLsxa/6p2g3oY/fd7yqRglkSW0RFH2Sl/Oe6bp+KNOpOa4tUXAPiiZAF6MSnHW2QagVxS2SU l1Mo6jvmtTkJoKvFYGLnrU0UxqGQBSjiuZkoTUdsT5ByOKXWyxwbL6Acb9j1pLxURt9dJu1Zj9+9 obcImhARvT9PGUuyQmPrapV4nK8jJX34dVJHs1G924HtHPXLephsd+nmC4abg8g5g6PEh4fs0shD UlqwBhQ0zRmIu7iBizxZH7KVkJgUjcIBFNA/q6zpUeNbpfVoMD1pSOFTZtlvnXk4Mgb43agC5FND q7V+/4edILXNVFzlLBwmycHv+2Tgg0v1UPDpQyMJCr9GNqBlcWoMOTTkctg9wB4f5VgGjp2T5AYq xxXdKLzN35NaUXqUdrOpJbKaJMsYlPJ2g/ohIm35eSOiMVmAS98/OcqeR6tOVX4ei5j3DrAlJmXD l2h0jVfcxOR6LtVYMedCTpmVC1gzuaoVmeTLgyPDddh4US5sJO2sHGtaD0ICGfMwoJIsXZDBtM0p L6y7dDodmymXo9NShjXSEXrk96zj1iBDbY4pq3L9OaGuOHkQHKiqfUmaPZ/4TwBFvM/7RZ6rbA3t ZMN1AfbdRkD7rVZnHLlI3O6aTUv7eh77qf8KUzFOI1a2wqBtiBm3Eq2rXVh3OleOPNkl0QX5x/Dy MgftNXmeqbRITlMl8ZOoPTSArJWxQiZ5qqy4MIrmidaibOnoxCBM1ybhIdoSuVuB+Zg+RXf1N8S0 miQNGp+aVbf4sB57AgXYD3Slf1Ng2F1G/3/mWNHDK/eWWECqxV85/HDs7ZXA3b7xiNS1i6teGziw FH6wy2OqwFtHaIZXyLKiiRkuGAoRePOjdfX0YvWn1gDfrOzYImeToOSP2rgzQPedWwUIrL2ANjjS 2oz23zuOgiJbw5v/BIKhcQ/DZClFup1HAKjRdXG856eZEEgVtSRtvfAgmFh8Oa3i0AmYubkiyPTw 0cwOLJ8bj7gJVEIjHGSU59+/vONV1GTJW27u8Rj4QeJvBlB6GzxS0gG7kgkxteD/MczjHeKTrl1Q ZhPX68sphnRYXDvoG19xfqOg7FULE+XO1zCMWL+M6g18TuT4SlpCwbZwATk0A6O8gvJuHm9cDhLb 3bl/S6e0PPOIY9XRhiGiQ6neYwnUCcBl+XTLVjt3TAWM7YzfsIpFvMNh3jqRGwc1JMLiVdCI8kIB Wgi4eujTxpcRqaDjVqFck2Py25VeP0lkeQo9nA7cWY1TjigcgC5y0cbeGlcnrZN7AOf/vAHOO8lC HUt6XiuJ6W6p26do82pIvhFhgr8VlDQzU0TODvhOqueZIIfyPnhUeLlwvRnktBuQ4dUGbO68LGDR VRK5YDYiYIaNT8eTmucYbU240Iz0FmaKMpp894ciTyntjFjMCWr97QX7A+faa4ZQ9dzxwR711upH Ktx7GUqpqu3GzoQjCQZhz5lhAXK2IKHZFGgvC1lTNvEfPYcTO43TH2uWqAcUCqBbzjJ4J+/0lrSn iEPAZGmint0TavDydDiAB0FbKALd93IygZ82S3O+WS1Gm4+HZ7WljfDJAkzkERr00bFvBHqaGpE6 goLwMYB3c0GkQSm4iIkI3fjXEo2YQdMIOt0LoLWyHFSqUO0fXwQatzMf9kHg8S7T1AKuh+HIiYHu ra8/OtBpUpZR9XRwBoa1r/MciY0bHWnCq/0gmLAvXQNa6bYl/iT45PDoFe1zWw3sgpXkh0GshKMU GwWWX1LfkDfg14ULGxyOGIWWUYkNxY7uIsB5EgirL0bkq6EeSvPHihh2sIt43KBLvzf51DfN7tLT EnkNSD2hI111eIVuQrqakCLuPHPw3S1DE0S9RutfhmOcr9yX3HxtS0Ze10mc3x3LQViwGMlx6WSR 0M2MjyPGwDXbS2dJ80Pbh9C6VfR/VDnsmu5dbPbO2Pd/wh78Oc26EbYmvt6pJH8wq9njfgjZp0s3 E1h9fbdUsLYuGW2MVfDWu7DvUFBdXbMyPW0zQct1x4IxRNzjun+sSF7aumm/wlbuX/NpHGBbPMdv ylKiBAPqiKI/HBCIAR4NukNnn4mqrzF1JLZCz49KoQOC9cf+2yrzlEut/ZEf0l6j2xcf+hA+WKPI nEb2pRuKKvKLKpW3ds0LlC83tU1BWmTTZwW6GX9WoKSxjFlhShzlS7le1CD0+jtKmiBufd7JSdHg 5t7YVfYJemWZo+wk+kfcDHRTU3IoXjmK9zwjnowOygU2VsOabeeNk1Dh9iSci6ngGs4ArT1XgJia UcUaWcZ41O80JDm8cFiKqV/zzp6bJXayrn8KAKhcn37akP0muRK3tvhqkmhrztrI13CGOSaLRWEF EegrGdRT9c2jX8hKIOt01BA6dT4+l4wEV+pqb04BK2DiTSYMlprtfxQi1d971PpRi7RLdIebYKek nvPoSrrWS+X9ku3aEQtO1FkjLu6YgUsDmBRHAs3IFqvWsZaX+ukeveqkmse5uXKiZtZAeaudnBdf CEvBd88QbeHjLPLqtLHlTt1gKAkeaihAulBZuj/wiZAz2J0piMNZcNqHW28i3xFJhUPFkLjkDs7s Qbkk9p8HF51oLQ45fkG8NPFLfg0jpa/y4bI4wMvHYucouFBNfNyy8DQTXfSIkPmsGGQHW4Jg43rA LB6YFAMlGAYBSVf38YUWuCJ8Dccf0zNm/Co7rODLqXnCKUg/PtejH2qYlybTXotxQr2k9sbYFpFk 4kbbWwmzXqoGiDi4EUdOvXHr/OSAujI6yiaVek4RCBYrDQDH5QUnOA1rADg6Lk02z37pyz964fuK X8d9WdKYZcYHNifTfLqcAuq6aNJ2RhoyHoYQjokL/Ttdr98CPyv9iRlUc89YrU0OL7wD8/qBb6nw 7d85EjuriSEoZhLXL3lVx4BMEWikCfuNKBC+PkGKl5TUvj44sxcE2KaOvOpuhl0UXny4V9M1ua+O IvAhK42TbusPKhGDGO9y7ITxKUsgL2R40NqojfRnAo2ltvLBD7cTGDt/tv5nRlH7cJuDkdIH6hFT djjq9Z4In+PlD/fTKJWkNnwYuokbq4qx+FZMi3t4yxEJ+X1pCgyyBCJW7If1hZq7sTfcIy2PU5JT Asc0UMvPjxkv7l7gKBSZCh53HdP+iT/FR7XhqUqdg7GVq8G44q12XvPcVGeaS2FWZjXxSXRb6u43 oIWWtJr8hB2A7bEKeLMoQQhZ0mykntZoys2qpbXaf9vyKwT3kF5TlYu2fyiMqLAJmgqiO06U+KBr xGRFlkoSNq8aTtOmemQ4mH63+runk0ZEIhbhsDUGbw5voNHZYeckDZ2KAyZEdp9/GWl2NgEGCDcf hfN2VF7/NP6zlufZbDaDNGMw9bQnxGKaqXGfvFCM0K5aZqnLKzEBkJg/TFf7qusvjrwsV9jLRHJz c5V4980jqfZdYUHZ7pSPX96Eq2Iut+BxhNj3eN6EKoyPepjEDGo8cRA/8SmoQ1sgIhRBgO5kqLW5 5V+lL22vw55EJvJJ++HBwg7oV/Y542s2vYOFHKVYYL+HlCQI8g3GbERbiogK5GQ4FdHd3sf3J52g g4wkJEh8AohtDA9I0KiAmDSGhsNsEKHrZk4bymCbuwr9R5c7dAB/IdbQuoEwh+a+Kzd6OJV19Q1Y Rcfq6nt3gYG+D9Txkx1cDlCO7zxDsIYXqkxzf/jOtxfYOTsyjSX7R4xtxDiaYSE1K0RVzIfPUQ+Y s/P3IfIWOcdIMTqpxwTpyCLTfnRDAFXYetQJmtEH9tRQ4IVblFnTx2I72IO5cL9LqQnBIIn6238u mFSQjPmsk2cz2TX6KCXDfvXNwqqHwZgEpihBLgERoOenwHu7eM+1RWknV9NIbLoDNSsnYnjkyRhA E3DwSUXoWazYRKcvb6j0fywsQuWqi/kDqQyWvtU3Kzf4shtV8kZxcmF0wgf+kOq1NFW52EsMmjD1 19OcUqtMNTrBgONvVWiklukCCsbfP0vXDWhKZmQoVJSqxVn20ylZHfMlqD0/hLHWPoQtFNvljoYH aHhsHOEPeD7uBtpc6l8PpSIXgGSuDiwjVM58+PHeQUOqY2WGPWmt0GB2NFxXuT/1giKHtjpDdU5i MaQj4RR8nO9jnPw5D0VmrA4Ch9/eh3JrJZD94fulR9/fll90FXN30Z3n01Ch7X8J1itkXGVA+B+b i56fqOQwuJH+JE4DNraa4QlMRaEL9RLa9pu6Sxrv3q6cqchG51aT22rHBcDdS69GXDONHc82V7fs d8AmVnPZj+8SjM5IlM9Bq1/2OMhzndnmvPAcDzaX0KAstsxFaTx7BDWQroo5f4LGzZ32XyZHG+D6 niC8ilP92d8ucwKJNW7ucB9oWCUzi9B3dokL7y5JJitgecP81vAv9i/p0UNYJ5gIa3wFDjvzSvfG IfpX5Pr69k7mebr3ldO/K9Gjt347folpLPKhP8C2CV/pCHQZMzf2sWewAcFErNImAdQn59LT1w8H XTbI5tfasbCWXSDZ58r1tInsIGVDlwRzx1CpKXEcjykANk/VxWW88ptaCIci8BBLauubpgPU4qYp qDVuHav0d0ndTI5ObC1j/nQ8wi7tNOR/xx9nHY7czpv6iXPMvdNBSF4EU/e7mMHdKUur+trpIeXd d9Fm9l8arHJ2lzltVAHOrhRPiTuuEGPHCzTNhZc0I48+XNyrsGBA2Klk9+tOmgnfgqEUhcSMLY+2 9JWJWYToHS82zkr9RbEsps1zjJlykL+2Q7NTmFQwDMYS/TDvTkgog8LL/ZAJDLAph8uVDdkd3rKW rORTEte+ifBvPcmKYxvuN7COBPHWJnrzmCLoi98+tENzt1Y0ewCKsS+PPVTnxNh5rQIEb7JoJIGs krraVfYXRG/zMu3naKLhdqCqMjh75JHmRG5UK23xdmy3scw0HTMZLjXU8Eod+/fofjjOTF/h4rGz 3yWc/cT01JA0qQeX/A8OR2yjQ5mmdSwczNH8tMqzQG3Fng9p3CKPezXHB6GHRYEZeUQgaOfvueuy lEO9fD+hzj5kcBgp6lUxkaVan/4yvClO71OP9Y4tPMA4WrCHop3fghfardTpH6Vr+gmn2OwoyoOS qUsjqKURI8/X0GBa2FhrFqyxNUcTEz/XVNeZra51LuLg+sj8ZL1Jo9Q+eYS4wFIrG/z4TCcwkhQM GNb6Kd7kBxsSwalLdEA3bnF53gGII2SoIEl/pykvdvWBtowVrKnVZ0MrTvYAl+p4wjl69OUpZXiT 4NBwRAi4XlOXEquLo271nwOOrsTJZY84gUg3xpHaQ+dD6Eha3eIABTm31rcUI9GzZ4OeaJvlvmiJ KBJG0U6RcPk/YXreJMZ2SSUBBszPBBNFrkGWocBV38bL8cm0N/jeAk+TEZxHmaVSlCouiDzabYN/ 8YZjMazc2Etm+8zWVgezOAMZ09JEPg3D3h4knNN6BE6KD3xj/QJn3kWoJgU9WREo+Hbcjfu1Ydxs eN4uezazBAJ9lQ2m9J0/K9PzWa1NJ4jSq5T3Zo4yxrIJbpBk377+axRaIaA/SsZohJ/GVV3lYcbu ufxd0zeygaxnaZ8uPFVnGw4nw2hA0hLzCgekPoZXEJwpGWwIokN6Mbx3gkFfmbH8FOGJS7zc8YDB RuTNHYVdmNS1MdruAWUJkMjZzMPXDDyA9i+d75g1ZnwXb5qhHa09/eCzBYGlUSqUcodu9mep7TDF 1zSDFb9MObhAV4fyoZJ7aFaiay6dujWIXE4YhJJOdnWhwBU+n23MHqhAi8UgR0ZqSLuX5E+x9JOx gRQhuTlW8/JSWjTjDovMr5mxrC77tFg8UoGfFUkxw+mjq90ztUmybB6IlK0eCmmo9xicsq3minSN 1unOTApRoYYLNQbIuPSFeu4X9gqs7dGi5svGD5ntQUcqWGTJTJaJj4VRU8OOJazCUW64EfWVh/e/ vstaNYvfPtTqZQzMaFCrIOdjjPMTZ7/bQBFoWgti7vDq/msWHIKMQgoE4/8utU1DGWPXYyfEh1ai IDy5XLLnZYwMX84M3HZjIwa6FIUhYYvplJ2d5rwXXDIYe5lmHb6j2pA14u1GUCnbl0qalhritaiO BxZZEppt4QyoYOp+BQzkZ08pe91dFe97FHdb9pBTipsOHF1OcQadqCwdo2wh4bGedSnCOpjJGoBD pFBMlEDjnQj6T7syYIeFHcX5oQMw70oC68XAq5H0xM6u7Vyji7UGwb/quKajuBRc1zC95MvgUCNF eZTpO7KvvqI31beyLkryhmH0DhPx19Tr4kO4RDvs/J2gT8o5pJatFDgxRWMM2NK7uPnmLugl1+6m jkc4aGmG1cMQF09SpdHMaGiuuFL3c8SE/4PGIM3dSony0FNowIgQ+FP8gehYN04YkwquQh7fC49d 5KCT0g/PhONcsnlhqLtfzl+AzMfu7GeFaCLpZdViCxXZCdYBe/RVHuYdDk5PsW3fgY6wOVrHuvBy j7sgKlTA5bxNzfQlpezNpjQmOh3OTPpxrj0G6s0UVAfbcvDBoTCiYIK3mD1HKgoif1wpxZ3EzO5R DD76JJG2LgmJ5RXdAm9m2eKdN7eMFm+zwou73LJU71Wsw9BkCWAM+8GXtmiAj+FX7R9Qv0EyXFst seir53RBTH0coyfAJDL8uXbQ/qMUvtVUv8qBucyZQEXb9v4rnKmcKH6aGYTZ6vE6L1q+8vI130sK pIrDPsagxyG5U9H96RnjpSH0oHFCMR1AePismzF0RfXOF7IDSy3/ImXeo97T1HI5iUH3GQwSfBHN sBnS3ApVPMpNJ1k++etOYNPxHL8cITgFpEIAaKHXFMP3wHUbVg6Gzjgu0hinTCD9/2D1wL4wyQWl PRnrvLYfqky2OLfZUJh+xMxUxRW+MhpoULQxVMj6beCrlSsDqD1BqbmCbo+YNjaKbPTnNqK2pXD3 B4cPqsBlGwUUhRBTJkyrCNPPe6A7j1cj8CA/wTh4CAvif2KKR4ntnauv90y2l1dCZdW988h4D4jO EfKTgIQvACF7S6PkU/NHNVrhEdhzvBJpxJ1hXLNMx71bKDkYrMPk3CJB62tciZrMasJP1/yn+wSL svJ2by138Y7QQ4FbAUz/dB06OSyay23eGl71C7IK16BxgRriDFyLQHFa6PFXABCpjLqdHorevYgI 8F2HO3G3uM0mI82xGhGlOcgbQ7ATwsHiajo4bSgyiIEecgEiGTr4MBt9jFKUuf1E4lm3+49zz9f+ kh5SRWV5I4O1GkSdAK2bhcK5uNWwYmWY4eLkK+JRhxwZAbxz5lSK52BM17SI1MM72y5+cd2xy2PG gDpF0+8uhzHP7EpH4fSeG8nt55aVjEWqgz/7ziCJQlTD4ilnbda6+cVXxRGSxUCSaxSgs4SGXZfD mlAgHeQ/cojp0f8iYPpmZtpQxpnf6c1M9bMJ+F0sC1fzknCNCcEDP4FirlSOP1pqHUvGWhioQZZo vXY7S9E1qN5v2zB4+hBUmOsmU0PIJzXLAW1/pU6HuKSSkCsQQ9E//sj9AQdQ9IXnkdyu4rWXsw/g bVxiLMVkakGD3Gt1QOKnBfHJdVn3KMkOX2Yng9v/evqvnCf3a2BfNPBlWxH9U6rlQA3FPDxswLb2 8CvCKSodQgBAG4bwck1IUdoUCWDYB3VFHhKGbWY1ZKHgr/7zj84GujR7kUU48QKZlQh0HqrtrqYi K86QQ2jNJsD1fCyZvcMjfqZIBTKctDQcGl4uIGXAtnFwtItNgPBeI2UhIgOR7ooxdNGWIRpk5w8t z6ISM9XOgc922F+LcyrmMcOMEwBoe14qzRp4DX+jiiVQmcOeozEHJQKWWmaBkbuPQT6/l+Yaxrxr KppetWl7DNF2XPpl34T3pj4LU1/+abDKKFU3/ME0zZIDsnCkUCCGGgPTQgH8XKswzzXWuALmKmBq XjuRYRgQQx6ub+XCNUyNPq+ds50sOJAU6+S3UDxJF8uo1lytOXsQ07Vl/FlFfl3zRtpo+oPc/KSR P0bJPyJQNzAOGSNUZLizk6QY1J12m5X1ja1Xz24TnXLJ/ikkeee6G2eSJuyiZm9Lzzsl6he73qkC qV0exqIj5tU03m7v/ZuPwn1d9J6QIyA14kstkgbWHOS2msbj6jWqY3T7utCDCqQ7foU9BkMMaT7v AkvaSX7kIpZzoWzUIaMf+Abm91ZLnd7azgYDLuDYlNiSjMnT7SX5YUWaMjb6EWlgOWG3FyuTP3aT br3FtLc/ExJJjO67b7w+uktMeWKxF33UwOblR/NWCFWsopOJcx/lZFtSBvrLjdVHIErvwbXre4yB d2x4uzwZto6ZuvYuot+PbS0Pb6R0Nk8jmoPDxWoIQrfWY7bpkyAYKrLL3He4JOI+/QFdVciTMwVG GnQ3Kth+hsu7v+oUPL58xnwBYXmiSFiyx6LZEHlKaJ5xsyRYXJp6cuuQaApNAfuMfDkyXei5rCZE /kgM+IP3CXkdXrsDqZAW65fbEbemxObfb+UX+1bpbw4wflCLOkLhj+7PvmxszkwKh75bJkO80tvM 8V09jDboa4xHgUI6d+JSuYQRKAhqV5bL9hFVildReKGTQNdt5XfQ5iglAY0KM/YKPkAT8uwUBSd+ p+KxHpFbhxw54NwrUgRdwj6/tptghTdaBRnXqzI3Z1+kZ9/6LP1TY3LUUNXXHAD1odRzfJfCrZVh zmjDgNSgybRnvAT1MwDiUBjVNOKDjNFuJFpWv1w8thXt5jijy9soT4DVEQM2xuwy2qs+6ZLkgh5t JPYCHblUMNnn09sl0f2V2PYtpPQONIeaRuc3u+X4H4zUpyg9vQM9w6LpKPZq7oEGWhmG/Btb1xFZ qz8vCz+1tsJ3gg8+iurS1iG58Pd+YscxUmmmpS5jvXtyoNBtRTAJcXOQLVj4yh3sIYyJ3z1jZWl7 bgjhO0E/Yi0BM0BMhu1TSqVg3+iadMJptDM1AbkQDHxdebHbbuC5cWLP++KqiNX7IEHlQ4Ni6PbF yu9YAcuas5X9jBzpIdMFwEgDXVZ2n1GEcHoLa0JYNddNcdjbFcT0ShXvFAXxVEKi4LAfxRdbTWQ9 3hRIW/0VeODZVnYEfPBiD0YRjnyV/EuFMxQ/o+0wFGonqRAAkx4BD4WaKejKTAvew3sRXON8GNUu LSo5hdNkN5gkjfqJPm+CzrmuyLllKligdVkxDhd3hOuGAZvurgqUyX0nluVGaMdVaVYq12/YWY+Q 4ftARrrKl0KWw777UFWRV6T0Cs/ZtEqQh6mKx81ENPsmLb1tAHHK3WXSuSQYr8/VfdVOk8oQDL5t IquV90pIUWx42d+w3wa2q9avY0y7WPJL/bczDoXqPo6Jjgkc5Ue9xpVdk+/+9qkjjPYY8o3CptBZ R/Qs8ztHcThGvAtWCmXPEvPiZ3YB95R5E7gCMugwZ0aTqSrMTvTt9vb9x0vEvLDRyvrvkXaQWdmu z3awcJVA1veuLwwHlGNO+JClieuTyDRUSE1ybI/ZFmiuy6kUkONlRQanLicBw4HrCXM4SU5+igQN BDtGq9PLDXrmxXTfHWWZJAAcoBZyLLv99EKGr2uxh4T6c8wSaRIly4J6KGyZYVg7pXSX7XaCssDC Hhqv/aijdWJ/+qaNv9ToGZVGbU+lL6b6L9Eu9/cUcRVwx9vu/6Palc3LXOYEonmamnkIHPB2RblL HwYb4FE5t+WYIinf+rglq6HHnGQXed2KJvjl5f/e8kBVUIbp4In/CzQLbLnXuIhmIprY2A+OOvxu rDO9jp7itD308v1+hwPyUlsD8mW4oUJtL/e/19c7ZUruYjl4duM5ke5uq9ka5FQiIKyl0DzIB+sR leH1Hy50qR4NLRhqiGjVIG+PN/koWjJJLImsSARqbP7hHIp0YtnNK80pcDNIpDfUIe+IDAqcA8dk VFGGaNrD9gfDcnNHH0EIrQRx0Gj6U+buXzSD4rfSDkDjehkXFFdiLoZcUSHsf7cn7imGMiino5qF JH+TVfc2bf2ipUgMOz0DzhUTWtYQATouXW4sPOiIR61o7pXE79sYwNW6mvVu4y2YADXvzf5SB8YS +/ZLwfxjHVTAAAHsUnVN1haYYh5hSiCD4+HQ1La16iMLJ+O8xgh7+31iVIYEcxES69SjXUkV3fIY tgRjRGCdUDGvOSyOzKNvFNdcoBvFyI2yA7groAFV/ZaNghoWrHAhGgZxFe9YgJ2SPufj4o9ZmOCl H0oZtCOq3lg+kbuiLIWKsaElJCWMW8gau+x4K+0AqQmjGhV7z8kzRd+c7V/Wvw1S0Kj8wOXmtMkF VIzoq8K16PX2odW8RmH6/xlfFqCRp8YKTaxDpIhkzqe7gXsjbifp3aQz0BXN6LqqHI3NlFnTrro1 kmxWMB3gyHTSTJHvfXiwLEFjggoIOhMD2drpQFgLl7DNCUDz2QSflh2LUh83WozmBnh9L4v0eYzz ZBOf6EiFslm5SuKlnKP0sdj9bSmBmHsRXuSEehy98aO5v0HxCZC+sdeHGDqGuUggAKd89mCnLBIz 68o+UK4A+vxvO+PM99nHS3LCwEtmZsx4 `protect end_protected
mit
a788d0bf43137adc29c47f9a91389f8b
0.955475
1.809357
false
false
false
false
niketancm/tsea26
lab2-3/rtl/min_max_ctrl.vhd
1
883
library ieee; use ieee.std_logic_1164.all; entity min_max_ctrl is port ( function_i : in std_logic_vector(2 downto 0); opa_sign_i : in std_logic; opb_sign_i : in std_logic; carry_i : in std_logic; mx_minmax_o : out std_logic); end min_max_ctrl; architecture min_max_ctrl_rtl of min_max_ctrl is begin -- min_max_ctrl_rtl min_max_logic:process(function_i,opa_sign_i,opb_sign_i,carry_i) begin --Max instruction, assuming that the data is unsigned data. L1: if(function_i = "110") then if(carry_i = '0') then --check for carry in mx_minmax_o <= '0'; else mx_minmax_o <= '1'; end if; --MIN instruction elsif(function_i = "111") then if(carry_i = '0') then --check for carry in mx_minmax_o <= '1'; else mx_minmax_o <= '0'; end if; end if L1; end process; end min_max_ctrl_rtl;
gpl-2.0
ed2297c54c6fb01da9dec96d5d7b84f2
0.603624
2.794304
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/turbocodes/iteration_synth.fixed.vhd
1
10,177
---------------------------------------------------------------------- ---- ---- ---- iteration_synth.vhd ---- ---- ---- ---- This file is part of the turbo decoder IP core project ---- ---- http://www.opencores.org/projects/turbocodes/ ---- ---- ---- ---- Author(s): ---- ---- - David Brochart([email protected]) ---- ---- ---- ---- All additional information is available in the README.txt ---- ---- file. ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2005 Authors ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- architecture SYNTH of ITERATION is signal zout1 : ARRAY4c; signal zout2 : ARRAY4c; signal zout1perm : ARRAY4c; signal zoutint1 : ARRAY4c; signal zout2int : ARRAY4c; signal tmp0 : std_logic_vector(Z_WIDTH * 4 + SIG_WIDTH * 2 - 1 downto 0); signal tmp1 : std_logic_vector(Z_WIDTH * 4 + SIG_WIDTH * 2 - 1 downto 0); signal tmp2 : std_logic_vector(SIG_WIDTH * 6 - 1 downto 0); signal tmp3 : std_logic_vector(SIG_WIDTH * 6 - 1 downto 0); signal tmp4 : std_logic_vector(SIG_WIDTH * 4 - 1 downto 0); signal tmp5 : std_logic_vector(SIG_WIDTH * 4 - 1 downto 0); signal tmp6 : std_logic_vector(Z_WIDTH * 4 - 1 downto 0); signal tmp7 : std_logic_vector(Z_WIDTH * 4 - 1 downto 0); signal tmp8 : std_logic_vector(SIG_WIDTH * 6 - 1 downto 0); signal tmp9 : std_logic_vector(SIG_WIDTH * 6 - 1 downto 0); signal tmp10 : std_logic_vector(SIG_WIDTH * 8 - 1 downto 0); signal tmp11 : std_logic_vector(SIG_WIDTH * 8 - 1 downto 0); signal abdel1perm : ARRAY2a; signal abdel1permint : ARRAY2a; signal adel1 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal bdel1 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal ydel1 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal wdel1 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal yintdel1 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal wintdel1 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal adel2 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal bdel2 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal ydel2 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal wdel2 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal adecint : std_logic; signal bdecint : std_logic; signal adel3 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal bdel3 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal ydel3 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal wdel3 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal yintdel3 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal wintdel3 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal yintdel4 : std_logic_vector(SIG_WIDTH - 1 downto 0); signal wintdel4 : std_logic_vector(SIG_WIDTH - 1 downto 0); begin SOVA_I0 : SOVA port map ( CLK => clk, RST => rst, ANOISY => a, BNOISY => b, YNOISY => y, WNOISY => w, ZIN => zin, ZOUT => zout1, ACLEAN => aDec, BCLEAN => bDec ); ZPERMUT_I0 : ZPERMUT generic map ( FLIP => (TREL1_LEN + TREL2_LEN + 2 + delay + 1) mod 2 ) port map ( FLIPFLOP => flipflop, Z => zout1, ZPERM => zout1perm ); tmp0 <= zout1perm(0) & zout1perm(1) & zout1perm(2) & zout1perm(3) & abdel1perm(0) & abdel1perm(1); INTERLEAVER_I0 : INTERLEAVER generic map ( DELAY => TREL1_LEN + TREL2_LEN + 2 + delay, WAY => 0 ) port map ( CLK => clk, RST => rst, D => tmp0, Q => tmp1 ); zoutint1(0) <= tmp1(Z_WIDTH * 4 + SIG_WIDTH * 2 - 1 downto Z_WIDTH * 3 + SIG_WIDTH * 2); zoutint1(1) <= tmp1(Z_WIDTH * 3 + SIG_WIDTH * 2 - 1 downto Z_WIDTH * 2 + SIG_WIDTH * 2); zoutint1(2) <= tmp1(Z_WIDTH * 2 + SIG_WIDTH * 2 - 1 downto Z_WIDTH * 1 + SIG_WIDTH * 2); zoutint1(3) <= tmp1(Z_WIDTH * 1 + SIG_WIDTH * 2 - 1 downto Z_WIDTH * 0 + SIG_WIDTH * 2); abdel1permint(0) <= tmp1(SIG_WIDTH * 2 - 1 downto SIG_WIDTH * 1); abdel1permint(1) <= tmp1(SIG_WIDTH * 1 - 1 downto SIG_WIDTH * 0); tmp2 <= a & b & y & w & yInt & wInt; DELAYER_I0 : DELAYER generic map ( DELAY => TREL1_LEN + TREL2_LEN ) port map ( CLK => clk, RST => rst, D => tmp2, Q => tmp3 ); adel1 <= tmp3(SIG_WIDTH * 6 - 1 downto SIG_WIDTH * 5); bdel1 <= tmp3(SIG_WIDTH * 5 - 1 downto SIG_WIDTH * 4); ydel1 <= tmp3(SIG_WIDTH * 4 - 1 downto SIG_WIDTH * 3); wdel1 <= tmp3(SIG_WIDTH * 3 - 1 downto SIG_WIDTH * 2); yintdel1 <= tmp3(SIG_WIDTH * 2 - 1 downto SIG_WIDTH * 1); wintdel1 <= tmp3(SIG_WIDTH * 1 - 1 downto SIG_WIDTH * 0); ABPERMUT_I0 : ABPERMUT generic map ( FLIP => (TREL1_LEN + TREL2_LEN + 2 + delay + 1) mod 2 ) port map ( FLIPFLOP => flipflop, A => adel1, B => bdel1, ABPERM => abdel1perm ); tmp4 <= adel1 & bdel1 & ydel1 & wdel1; DELAYER_I1 : DELAYER generic map ( DELAY => FRSIZE ) port map ( CLK => clk, RST => rst, D => tmp4, Q => tmp5 ); adel2 <= tmp5(SIG_WIDTH * 4 - 1 downto SIG_WIDTH * 3); bdel2 <= tmp5(SIG_WIDTH * 3 - 1 downto SIG_WIDTH * 2); ydel2 <= tmp5(SIG_WIDTH * 2 - 1 downto SIG_WIDTH * 1); wdel2 <= tmp5(SIG_WIDTH * 1 - 1 downto SIG_WIDTH * 0); SOVA_I1 : SOVA port map ( CLK => clk, RST => rst, ANOISY => abdel1permint(1), BNOISY => abdel1permint(0), YNOISY => yintdel1, WNOISY => wintdel1, ZIN => zoutint1, ZOUT => zout2, ACLEAN => adecint, BCLEAN => bdecint ); tmp6 <= zout2(0) & zout2(1) & zout2(2) & zout2(3); DEINTERLEAVER_I0 : INTERLEAVER generic map ( DELAY => 2 * (TREL1_LEN + TREL2_LEN + 2) + FRSIZE + delay, WAY => 1 ) port map ( CLK => clk, RST => rst, D => tmp6, Q => tmp7 ); zout2int(0) <= tmp7(Z_WIDTH * 4 - 1 downto Z_WIDTH * 3); zout2int(1) <= tmp7(Z_WIDTH * 3 - 1 downto Z_WIDTH * 2); zout2int(2) <= tmp7(Z_WIDTH * 2 - 1 downto Z_WIDTH * 1); zout2int(3) <= tmp7(Z_WIDTH * 1 - 1 downto Z_WIDTH * 0); ZPERMUT_I1 : ZPERMUT generic map ( FLIP => (2 * (TREL1_LEN + TREL2_LEN + 2) + FRSIZE + delay) mod 2 ) port map ( FLIPFLOP => flipflop, Z => zout2int, ZPERM => zout ); tmp8 <= adel2 & bdel2 & ydel2 & wdel2 & yintdel1 & wintdel1; DELAYER_I2 : DELAYER generic map ( DELAY => TREL1_LEN + TREL2_LEN ) port map ( CLK => clk, RST => rst, D => tmp8, Q => tmp9 ); adel3 <= tmp9(SIG_WIDTH * 6 - 1 downto SIG_WIDTH * 5); bdel3 <= tmp9(SIG_WIDTH * 5 - 1 downto SIG_WIDTH * 4); ydel3 <= tmp9(SIG_WIDTH * 4 - 1 downto SIG_WIDTH * 3); wdel3 <= tmp9(SIG_WIDTH * 3 - 1 downto SIG_WIDTH * 2); yintdel3 <= tmp9(SIG_WIDTH * 2 - 1 downto SIG_WIDTH * 1); wintdel3 <= tmp9(SIG_WIDTH * 1 - 1 downto SIG_WIDTH * 0); tmp10 <= adel3 & bdel3 & ydel3 & wdel3 & yintdel3 & wintdel3 & yintdel4 & wintdel4; DELAYER_I3 : DELAYER generic map ( DELAY => FRSIZE ) port map ( CLK => clk, RST => rst, D => tmp10, Q => tmp11 ); aDel <= tmp11(SIG_WIDTH * 8 - 1 downto SIG_WIDTH * 7); bDel <= tmp11(SIG_WIDTH * 7 - 1 downto SIG_WIDTH * 6); yDel <= tmp11(SIG_WIDTH * 6 - 1 downto SIG_WIDTH * 5); wDel <= tmp11(SIG_WIDTH * 5 - 1 downto SIG_WIDTH * 4); yintdel4 <= tmp11(SIG_WIDTH * 4 - 1 downto SIG_WIDTH * 3); wintdel4 <= tmp11(SIG_WIDTH * 3 - 1 downto SIG_WIDTH * 2); yIntDel <= tmp11(SIG_WIDTH * 2 - 1 downto SIG_WIDTH * 1); wIntDel <= tmp11(SIG_WIDTH * 1 - 1 downto SIG_WIDTH * 0); end architecture SYNTH;
gpl-3.0
bd47d54cd16c4782c9a4add1f436c695
0.488749
3.43122
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/RAT_Wrapper_vga_only_F14.vhd
1
8,109
------------------------------------------------------------------------------- -- Company: RAT Technologies -- Engineer: Various RAT rats -- -- Create Date: 1/31/2012 -- Design Name: -- Module Name: RAT_wrapper - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Wrapper for RAT MCU. This model provides a template to -- interface the RAT MCU to the Nexys2 development board. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RAT_wrapper is Port ( LEDS : out STD_LOGIC_VECTOR (7 downto 0); SEGMENTS : out STD_LOGIC_VECTOR (7 downto 0); DISP_EN : out STD_LOGIC_VECTOR (3 downto 0); SWITCHES : in STD_LOGIC_VECTOR (7 downto 0); BUTTONS : in STD_LOGIC_VECTOR (3 downto 0); RESET : in STD_LOGIC; CLK : in STD_LOGIC; -- VGA support signals ----------------------------- VGA_RGB : out std_logic_vector(7 downto 0); VGA_HS : out std_logic; VGA_VS : out std_logic); end RAT_wrapper; architecture Behavioral of RAT_wrapper is ------------------------------------------------------------------------------- -- INPUT PORT IDS ------------------------------------------------------------- CONSTANT SWITCHES_ID : STD_LOGIC_VECTOR (7 downto 0) := X"20"; CONSTANT BUTTONS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"24"; CONSTANT VGA_READ_ID : STD_LOGIC_VECTOR(7 downto 0) := x"93"; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- OUTPUT PORT IDS ------------------------------------------------------------ CONSTANT LEDS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"40"; CONSTANT SEGMENTS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"82"; CONSTANT DISP_EN_ID : STD_LOGIC_VECTOR (7 downto 0) := X"83"; CONSTANT VGA_HADDR_ID : STD_LOGIC_VECTOR(7 downto 0) := x"90"; CONSTANT VGA_LADDR_ID : STD_LOGIC_VECTOR(7 downto 0) := x"91"; CONSTANT VGA_WRITE_ID : STD_LOGIC_VECTOR(7 downto 0) := x"92"; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Declare RAT_MCU ------------------------------------------------------------ component RAT_MCU Port ( IN_PORT : in STD_LOGIC_VECTOR (7 downto 0); OUT_PORT : out STD_LOGIC_VECTOR (7 downto 0); PORT_ID : out STD_LOGIC_VECTOR (7 downto 0); IO_STRB : out STD_LOGIC; RESET : in STD_LOGIC; INT : in STD_LOGIC; CLK : in STD_LOGIC); end component RAT_MCU; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Declare VGA Driver --------------------------------------------------------- component vgaDriverBuffer is Port (CLK, we : in std_logic; wa : in std_logic_vector (10 downto 0); wd : in std_logic_vector (7 downto 0); Rout : out std_logic_vector(2 downto 0); Gout : out std_logic_vector(2 downto 0); Bout : out std_logic_vector(1 downto 0); HS : out std_logic; VS : out std_logic; pixelData : out std_logic_vector(7 downto 0)); end component; -- Signals for connecting RAT_MCU to RAT_wrapper ------------------------------- signal s_input_port : std_logic_vector (7 downto 0); signal s_output_port : std_logic_vector (7 downto 0); signal s_port_id : std_logic_vector (7 downto 0); signal s_load : std_logic; --signal s_interrupt : std_logic; -- not yet used -- VGA signals signal s_vga_we : std_logic; -- Write enable signal r_vga_wa : std_logic_vector(10 downto 0); -- Address to read from/write to signal r_vga_wd : std_logic_vector(7 downto 0); -- Pixel data to write to framebuffer signal r_vgaData : std_logic_vector(7 downto 0); -- Pixel data read from framebuffer -- Register definitions for output devices ------------------------------------ signal r_LEDS : std_logic_vector (7 downto 0) := (others => '0'); signal r_SEGMENTS : std_logic_vector (7 downto 0) := (others => '0'); signal r_DISP_EN : std_logic_vector (3 downto 0) := (others => '0'); ------------------------------------------------------------------------------- begin -- Instantiate RAT_MCU -------------------------------------------------------- MCU: RAT_MCU port map( IN_PORT => s_input_port, OUT_PORT => s_output_port, PORT_ID => s_port_id, RESET => RESET, IO_STRB => s_load, INT => BUTTONS(3), CLK => CLK); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Instantiate the VGA Driver VGA: vgaDriverBuffer port map(CLK => CLK, WE => s_vga_we, WA => r_vga_wa, WD => r_vga_wd, Rout => VGA_RGB(7 downto 5), Gout => VGA_RGB(4 downto 2), Bout => VGA_RGB(1 downto 0), HS => VGA_HS, VS => VGA_VS, pixelData => r_vgaData); ------------------------------------------------------------------------------- -- MUX for selecting what input to read ------------------------------------------------------------------------------- inputs: process(s_port_id, SWITCHES, BUTTONS) begin if (s_port_id = SWITCHES_ID) then s_input_port <= SWITCHES; elsif (s_port_id = VGA_READ_ID) then s_input_port <= r_vgaData; else s_input_port <= x"00"; end if; end process inputs; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Decoder for updating output registers -- Register updates depend on rising clock edge and asserted load signal ------------------------------------------------------------------------------- outputs: process(CLK, s_load, s_port_id) begin if (rising_edge(CLK)) then if (s_load = '1') then if (s_port_id = LEDS_ID) then r_LEDS <= s_output_port; elsif (s_port_id = SEGMENTS_ID) then r_SEGMENTS <= s_output_port; elsif (s_port_id = DISP_EN_ID) then r_DISP_EN <= s_output_port(3 downto 0); elsif (s_port_id = VGA_HADDR_ID) then r_vga_wa(10 downto 8) <= s_output_port(2 downto 0); elsif (s_port_id = VGA_LADDR_ID) then r_vga_wa(7 downto 0) <= s_output_port; elsif (s_port_id = VGA_WRITE_ID) then r_vga_wd <= s_output_port; if(s_port_id = VGA_WRITE_ID) then s_vga_we <= '1'; else s_vga_we <= '0'; end if; end if; end if; end if; end process outputs; ------------------------------------------------------------------------------- -- Register Interface Assignments --------------------------------------------- LEDS <= r_LEDS; SEGMENTS <= r_SEGMENTS; DISP_EN <= r_DISP_EN; ------------------------------------------------------------------------------- -- VGA_RGB <= "11111111"; -- s_vga_we <= '1'; -- r_vga_wa <= "00000000000"; -- r_vga_wd <= "00000011"; -- VGA_HS <= '1'; -- VGA_VS <= '1'; end Behavioral;
mit
4e6e43eb745dcff071fa668e045ebe62
0.410038
4.423895
false
false
false
false
rjarzmik/mips_processor
Caches/SinglePort_Cache_tb.vhd
1
5,561
------------------------------------------------------------------------------- -- Title : Testbench for design "SinglePort_Cache" -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : SinglePort_Cache_tb.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-11-19 -- Last update: 2016-11-26 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-19 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity SinglePort_Cache_tb is end entity SinglePort_Cache_tb; architecture passthrough of SinglePort_Cache_tb is -- component generics constant ADDR_WIDTH : integer := 32; constant DATA_WIDTH : integer := 32; constant MEMORY_LATENCY : integer := 1; -- component ports signal clk : std_logic := '1'; signal rst : std_logic := '1'; signal i_porta_req : std_logic; signal i_porta_we : std_logic; signal i_porta_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal i_porta_write_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal o_porta_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal o_porta_valid : std_logic; signal o_memory_req : std_logic; signal o_memory_we : std_logic; signal o_memory_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal o_memory_write_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_memory_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_memory_valid : std_logic; -- L2 connections signal o_L2c_req : std_logic; signal o_L2c_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal i_L2c_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_L2c_valid : std_logic; begin -- architecture passthrough_and_simulated_memory -- component instantiation DUT : entity work.SinglePort_Cache generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH) port map ( clk => clk, rst => rst, i_porta_req => i_porta_req, i_porta_we => i_porta_we, i_porta_addr => i_porta_addr, i_porta_write_data => i_porta_write_data, o_porta_read_data => o_porta_read_data, o_porta_valid => o_porta_valid, o_memory_req => o_memory_req, o_memory_we => o_memory_we, o_memory_addr => o_memory_addr, o_memory_write_data => o_memory_write_data, i_memory_read_data => i_memory_read_data, i_memory_valid => i_memory_valid); -- reset rst <= '0' after 12 ps; -- clock generation clk <= not clk after 5 ps; -- waveform generation WaveGen_Proc : process variable nb_clk : natural := 0; begin -- insert signal assignments here wait until clk = '1'; nb_clk := nb_clk + 1; if nb_clk <= 24 then if nb_clk mod (MEMORY_LATENCY + 2) = 0 then i_porta_we <= '0'; i_porta_addr <= std_logic_vector(to_unsigned(4 * nb_clk / (MEMORY_LATENCY + 2), ADDR_WIDTH)); i_porta_req <= '1'; else i_porta_we <= '0'; i_porta_addr <= (others => 'X'); i_porta_req <= '0'; end if; end if; if nb_clk > 24 and nb_clk <= 27 then i_porta_we <= '0'; i_porta_addr <= std_logic_vector(to_unsigned(24, ADDR_WIDTH)); i_porta_req <= '1'; end if; if nb_clk = 28 then i_porta_req <= '0'; i_porta_addr <= (others => 'X'); end if; if nb_clk > 32 and nb_clk <= 42 then i_porta_we <= '0'; if nb_clk = 33 or nb_clk = (33 + MEMORY_LATENCY + 3) then i_porta_req <= '1'; i_porta_addr <= std_logic_vector(to_unsigned(8, ADDR_WIDTH)); else i_porta_req <= '0'; i_porta_addr <= (others => 'X'); end if; end if; if nb_clk > 42 then i_porta_req <= '0'; i_porta_addr <= (others => 'X'); end if; end process WaveGen_Proc; -- memory simulator Simulated_Memory_1 : entity work.Simulated_Memory generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH, MEMORY_LATENCY => MEMORY_LATENCY) port map ( clk => clk, rst => Rst, i_memory_req => o_memory_req, i_memory_we => '0', i_memory_addr => o_memory_addr, i_memory_write_data => (others => 'X'), o_memory_read_data => i_memory_read_data, o_memory_valid => i_memory_valid); end architecture passthrough; ------------------------------------------------------------------------------- configuration SinglePort_Cache_tb_passthrough_cfg of SinglePort_Cache_tb is for passthrough end for; end SinglePort_Cache_tb_passthrough_cfg; -------------------------------------------------------------------------------
gpl-3.0
ee97ae74177744feed9749c346dc4950
0.496314
3.682781
false
false
false
false
eurobotics/aversive4dspic
modules/devices/encoders/encoders_eirbot/xilinx_vhdl/test1.vhd
1
2,583
-- xilinx carte_prop LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.numeric_std.ALL; --USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY carte1 IS PORT ( CLK : IN std_logic; sortie : OUT unsigned(7 DOWNTO 0); SEL : IN unsigned(1 DOWNTO 0); TX_bus : OUT std_logic; RX_bus : IN std_logic; TX_avr : IN std_logic; RX_avr : OUT std_logic; AB0 : IN unsigned(1 DOWNTO 0); AB1 : IN unsigned(1 DOWNTO 0); -- MASSE : std_logic ); -- carte 1 ATTRIBUTE pin_assign : string; ATTRIBUTE pin_assign OF CLK : SIGNAL IS "7"; --clock ATTRIBUTE pin_assign OF sortie : SIGNAL IS "11 12 9 8 6 5 4 3 "; --data 0 -- a 7 ATTRIBUTE pin_assign OF AB0 : SIGNAL IS "27 26"; --cod_d0 ATTRIBUTE pin_assign OF AB1 : SIGNAL IS "25 29"; --cod_g0 ATTRIBUTE pin_assign OF RX_bus : SIGNAL IS "19"; --rx_bus ATTRIBUTE pin_assign OF TX_bus : SIGNAL IS "22"; --tx_bus ATTRIBUTE pin_assign OF RX_avr : SIGNAL IS "14"; --rx_avr ATTRIBUTE pin_assign OF TX_avr : SIGNAL IS "13"; --tx_avr ATTRIBUTE pin_assign OF SEL : SIGNAL IS "1 44"; -- il reste data2 qui est inutilise -- ATTRIBUTE pin_assign OF MASSE : SIGNAL IS " 43"; END carte1; ARCHITECTURE Behavioral OF carte1 IS -- 1 traitement de codeur COMPONENT compteur GENERIC (Nb_bascules : natural); PORT ( AB : IN unsigned(1 DOWNTO 0); cpt : OUT unsigned(7 DOWNTO 0); clk : IN std_ulogic; INV : IN std_ulogic ); END COMPONENT; SIGNAL sortie0 : unsigned(7 DOWNTO 0); SIGNAL sortie1 : unsigned(7 DOWNTO 0); for cod0 : compteur use entity work.compteur(Behavioral); for cod1 : compteur BEGIN -- compteur 0 cod0 : compteur GENERIC MAP (Nb_bascules => 1) PORT MAP ( AB => AB0, cpt => sortie0, clk => CLK , INV => '0' ); -- compteur 1 cod1 : compteur GENERIC MAP (Nb_bascules => 1) PORT MAP ( AB => AB1, cpt => sortie1, clk => CLK, INV => '1' ); MUX: PROCESS (SEL, sortie0, sortie1, sortie2, sortie3) BEGIN -- PROCESS MUX CASE SEL IS WHEN "00" => sortie <= sortie0; WHEN "01" => sortie <= sortie1; WHEN OTHERS => sortie <= (OTHERS => 'Z'); END CASE; END PROCESS MUX; UART : PROCESS (TX_avr,RX_bus) BEGIN -- PROCESS UART TX_bus <= TX_avr; RX_avr <= RX_bus; END PROCESS UART; END Behavioral;
gpl-3.0
10d1f3c0c8e0c63722410b49ef0cf1ff
0.562911
3.389764
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/logic_builtin.vhd
2
30,579
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PrcUm/lZr3CcimYfdPVY5boEakykwMBdn+a0r4l5thupmK3ENAHXOMnIWC2qdjii0xo+QafFPib8 /0hI4pAgeg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cceCNxx9A19VIKekLdBOhxfwIcLYMC3QxsbvTzUwHT5Y5RHcRUc5YB0zZbRVXo00oHar3+MiDV13 a5m8B95Jr8Eh6AldRK77BIeae3xkZKBe55s9pg60ENKDMqqHqXaM8xkHMubZcES9MVZQvhT2idV8 7su+sGp3KwSrUKoN/g8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XEvkzi6XEe9xbybGIq9FE+BWaH9gHMWC/lnTYDdCWZjLxdaqWvuK1Bmqg00BXi3az3GHNhQt9hne uq3P0SLBN1FSx0Bt4V1rgNYkIHgWO23FtpFusDYotcc6s7rR6ouVJC5qkyDfKTowFQbSIyKzjkcL /3ojSZt8sIxeArPN/wNm7l/AqkaeJYIuoegeVUUICwmL63/Ym9j3g41Qnmb7TpvazU0UpTe5kiK+ o6qxBZ6+3JzGZSWk5LZqZh0si5Xr+nlhTU/cbppuntip2yGCGlTLfbibH77YIQxw8XBVgbnqDCOl 4DqIQt/0rOq/ut+bXHjIgYTp4+fqEWk7dPriAg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block POPZY5l9JMHB9WK1pevP5/w+VO2I94gm60wfkJ7sMf+7LbzMugCPD+Bflcbw2YnrTzWsDla5a9Nj drzEj7EKVSkSU23oja7ypwhIMC+H1onGiWgWQKXPrV7eX6/WcXTcAyRUCexoW2ZDuB8IqahZdTkn rs9QF4Rw2y03ceka6qo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LIvBuZ0kKMQaeXVTP8xA+UmuRwvyo+P8JdsOV3SUeNj4vZcTwkN7D+MKrGsZm407deEBvDtU+wn+ tSm0XQPb40l7l25KKESSjMG5HchPF00m9EmYJf6Ap0KC2axvKsububfenuQM9zCWMc0kqrZ8HOXX tl2fI7nUS5iELOD+SHM6RHUAiiz/yDw2k9laPB8LNvA4GggQ/NjO4lYTG9xTRqc5jz8tNthiTbDH 6Z/zPt766ohGrey9AA05Cb7SHE7vv0m+TWWINVoAFt/0UBylYkebG+6BITEKWDtW9LSllg4PitLT zdGHbtGZ0vl0iMS1Jcg06WzlFifeXTXrQOA1Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896) `protect data_block NaQsH9xcl7yRJPx9KwnUrpGUl04w2StLHKr5Nud+5Tu1mVlKAVzd2RIu5rjf3Cq4dsC6ZL6Dcrce 1jDbscvRH3jA/FHSWn1BuIF7r9liLSmqpKjvbENkggGycXSxI7Xl6loetmhNtEmFwu3+FvPLr+hs BT9SCJqlWcxHbbsOmqtEjglz2VKc2z2hFsjs2fwjZ/vX+C7oOK0Ss7lxO8EnSawQSLT6f7LYvYfK pmAcSVN+nF5CaEvIGONivYdRxJj4W7As6s8xqHog+C2lKiZscVRVBtmZBHy5Cftx6joiC50GQOMt lSjaceSRQdnDJYodc1MzETkXyDSWPukpT4E1tIJLIifPh3ylQoZ2g56rwmme3ARUi8NNJagJ2gzh E42Lv6ZT+8Pf8nZbWuWVEY+EHIt9bOf1T7mPfQUa5UpXvySBKYIytOzMa4cyJrjReuCRSZRALXdA mnSe0fhGpN4FYOYj61d81ykOnKZgl3mqq6RFVYedwRt2a5+A63iaRLumOUvayRRoZurT4lEE0XUi DLr2vrWiwmOJJv6qrIBtPIzusHmUMw/TAz/aDO6KUMaz25I5xWk6ci4t14RD6j89TsK3nJI+SVOJ 5Luaz2I5KQVjQTpTU3Lopa7cAmnLkWzKz7+Opi7154Zip6RDD4JJ5h1YZg6pF6JzZvgQC6Dzlh2W W9G0KLAm6Y2gHzg/3HCsRfOBszCa0XviA3jU7mYMApBJkCv7BBBPQu38mnIxbPj8fABtmJON0FRV 7eGUgl8v1fR7COi9rGxZ/mbuQMNlfQHx+EiDRt0tCNP1ZoBCKm8cZADpZC8ZT3GY+UrO+nDvWJ16 1s8NmgOCO8n8InK3PJUqRsCxzMsTnk9/O3VDG6UjKoZgi4knMBtbS31U7tc8+3huHYFOLPv+yRa2 kC8kUwEa2nDa0/ZfLmBRB/Y2TM+TXPzwkEVPj5ZryBxx0OPHNzMbFhyaPTfFRSSon/YJE707OxaB agGY0yfkaXix0KovQ16nrQ6uoUjgVVoHEVDKon0j3QpVREEXfi/+VW/Y3zXQoBIE+mbgEa/i/jtT EGoX4Yk+ICnWQCeHe8e1hi1qHHioiWufuS3PDwgZxk7DBsei6zgaahlARx2ylzVySs8IreuUbFxl hSJp8ZvRSVg1qXSJ/kJrBIJPLsGQ59K/+ftft/ss+sWHVy2v6eHxcVbTyIkZP+suBlJNGbpE+FUL UGoLjg7NuqdhgHRlnxbgtJHzKocw0PA7MdqKE6qOuaXH8suYIu9IWz9UZR+/RMSw0b47BCsq1iXs gLoD+h42VxEzwP8macFamVTvDQZDFYk75HFFRh2an/ZdK8a+i2PEYsCLyQwi+p4INcJtCESh9tQi 2zESXiJD8YoLGG7A/m5iDvLMDyde2EyyzCEUiLuVzXuvpWHarSHm1Oy6QaMygwa3B9Dg6iqevHID HEJ7LWAL6ajXA8BfJWJYkb3vFtLS7uw4ZUzE0B9V89YekiZ5TZ5p0+H5HzhSiB6wO35tldBynhzr CqMkIAb29WgepGZ/ZglkstAt9faBnBAbVnZaJkubjIPQ50oPGjcsoOZ4ErMJ0nG1M5PrPTsC4nL2 cvovr8WDyFzu+ihcAZm/ghjr2HNDkkRHaIEJR+CDq7Sxv/VtWjsppmJ5+zRZLRae2gZOOpUBo3OC +NozQLK5mMxMaGNMijdtCnJZAjHBOD9Wzo9+0T77/tnG/DfFopa3nmI0CBKI7vJQSROHDhNCvudt Z25bJsV1O0Cq0uegA6XCH2eqjerT3BU47M13h4TqQ5WNb4cvzn7o44GJtAqYi0uEzP/+B2OxRZGr mYhwEdfYNfC/kSKI/CIx0Q8aoTHyDHpzTPwG0a04PIOHsy6ElPD5yB8+24iJnQt7iv9DXiJlOF8E JbRbvslVBNW78Yzg3MlEqHa0b5pNznXbjcPhUQJJTEWTJM8ky9Z4bsRYzHE8wrTW0cdIxOHnHVRt LDtVEbaTdvg1YaZ7LEleu/dxtGJGh7pYmejnRgE29sD/ye2U3BmXgObT2Oi5pkuR1L7U6P3cl7Hg fNE18P2OHJ0X0Q6AzV59uvAdynCAGg8b0rj6L6nAqiTPXxhR4erU4vjq65+V08ieVLB6lgAGxgh0 JPMWz0IlLr2o0nf76fTy6HV0TfeUYd3/yULJnc3BXDwl9UfS0xLhDL5nP+ZGvWxLUyelf5aJzrBE 64P0CZSsW0lEad4tLUXzyPPaDcll4QyU4/RqgqVoMFSZAqCN54mtMbNvzaKcxLAdIBnOHxLGLjW1 l9F4j0q61TsVARcXU8GVbft9p0r4DaiB64q+ynWZYCK4q/sfwMKuDq8y2qe0idohXugXaE2UhR5N qr71hxRFLg8cTFQccCj/pc3fdeVv/9VyPNg9Gto+kBJoLEBh+g6sqi/phL8f/ns6UA+YIMfF0JgG r0qLsRiRmE8NYdTynht4TTRUWlQEZK5zFAzzJqpRJVkiN10t3ru7OR0w1LH31R4TWFamTiUEmNGy NJOwAqUTq9Yq/JLfk1dulHwynJPapaoGDqX4SQUXlR83YJXJomnrVGLci62UB/na46BhBrF+ttKT kl72fADaUyJQCIkrIjD904aw0CBOekHHeqCJyZbsUa4zn5fAx040voA7nn3+dfgyTrtXuO0SJExq plll2ad/jgi32ixetRaKW6JCn3X/ZEVrKStdL7LGvMD99srVrbCBnQ3CC8xeiCiNM1RE2aOMQpTB gKNoK//OLD3hE6jfA5L1Ch1pdDixqgA7Tm5MnJHWrKSSiKsmC8PsCUP31PJRkwsoTJ7zWtLfGkua 6YzzrjJQRnuihQ4Ut0oWWjsUDD6RbTaGz8AetEtdQcgovfbLMxZEbWObc2rvcKoCPugJL2SfpZU3 fE0W6qgJo998losGqIqJYa2mpoA44uaVY6G86PlBmPz4YEwKeCee16VSHW+wSrJzRz9UnEPZnTww uAaSNqiv1AzBHnobZaq1FY/EP1nSIlHoZtfLdSimIT2GnDZSxAQMBVBpYQySBjZ+GLJGSnPQTG5z Ebd5VlA77ZQVP2VwumOKMpi6ZnZDLsNdU0YFV8EQzXgiOk4Sj0YODYFfGjSI3mzWaZ9+sMtMICL2 qtjNjBtutzBg0/TpYYzbjRAXEayxgFD2sWP8/eDSHqFG4OeW1FpHsN0jlCVRwEGnJz6ho/XnH9GE 3VejTIZfKu95JkyB/g+HH/eyRKPfYNwCdmrtahG47YaPc9yd0UcQ4IVKuSpprvs30ZzX0RsG2E9W tVnqN+BXRyMCEjYVWrAsbUa9iD2uq1UB1WtIhSAC40SZdp9OYE4QwuyULmD+IKeHneN8Cnb/3cPR EGcQhKGvAo7Vn84vmnzgYvdZ0I2qZgtwyLeU104/5uJQogCvx6UGnOschVKeUkLnjXPjumM+IJNB bKqWymR2VH8u3fZNa5egwsoTU2pGpF8ABSTe5hxaOjDl3bQgYJtlVvCCO3rtAkJnpGidF8tn8bQp ybxJtZuIxo4Ea6Xv3Zjn0ndClHBZAXut95Q7QvdD0roYWDyTtk0ZD/oCWQYfLy/5R/+jvngHVh41 gqyeCSDKoUUEDoe2s0ln8AscWacAiZltlTZz2xvt0dTZ557ugzOVFxcnsW/ybfx626+mjHsxMS47 +jrDJ7kSTvmR1iVTlJlt04NfRLnNrlOr8uKf5VnYK7ByfA3vf7OAQ5RRe3He4R8rZTwAxeVAnkQf xmTP1Jd/MPSnbY+9kgDYY94REWHBUFM80DY6MjluZngG51XM9agmFq4uPaOZaL5Z6vWUaljrz31A 62WSZUu7l48gHLm3o4uThVFoWnBb+j7nCDSUf9kmYMm5Zd+AsSNgsw2AqsY6jscwTAZb4NTCQXap QyiTaqV1jngA0F51apQza+97gzyNzTSjByN/wry2E257UoGDar13Ivgck5/I3xAmXoAbCGlMJlq7 Ef+INUPDl/rpupzkobRIiBlPoLKsk78xzQuL52t/kX4mqYXUTILhE/wV9MW7XcrgsCR5lK0XG43x XMYNubT7q9JBElp+JINtStUuYjwUoeDjqK/TZzgw5XJ7ws884QDL2ais54juaPUpvaSwGVrwguXx YN+qfqVenSYEacNq79r/zNGrJv5hZQzHQGUUT7X5HCpitzwGlaHtgKOa3v1yB1ytQy/6VGglq6vX gGtsx/eXcFffQMVa22U1leQWsYmWRauPQzqzzTQCCi3qpReuWnju2naJqVioHvNnLcY7XsIdl7iK MjGkB7gzXPKHIbX1Tk8aaBKTAYcrxTGDwzNaxsZcZ8/RaxuavBw9MZmfxSixkoveG7ueaJr9NbVp cHSZ8BjV6VBEGQK6b0qe89QUhUjUMrJdUs+nRsVYR8av0NuxMQ3UBKS8FhsoB1fe+vgTVWc1XcTI Yx8dV4fbDIO4NnzVrSvJyfmtctl/JAlVHsDJEC9sBEoRIhYvqhEsGL43wQXXF5mACz2Da0knRBnH SkHkom0P92IifWwbzhHDoXWf8kFh/0Zg12hFZmDf2CGR3HsBSeQhpAv7GDy1l8XGC4wXgXuIdYbE PLSYuQu3ty4n5I8p3U3sKKmq37lbip+nMDgWSCPh6uViJ6sgA9jTutkiNsY+rJcjIrPCOkZiDn6f ylABcSfhwDizpMJjK7xOZud5QwJfE7fPwb2uM0q1mDv97KgQrVlHa0t76eU9JFDwHW8qtRHFt1jy dsg6/I7+He5yhMW4Ek0hp7Ea9XzLj6wzn19AN+qLbuPOPoF5VVEvDneNjk7WG4hyQ1ULPU0eJ6RJ ySI3RzzVWyygHoVR2To+QDFZm0ZmQv02zMHZQmMVqYaFWpYPS22Cx0VH1rQ50iubCF1CukMJl0oH uaYR1CmsEwhWHG/hMrq4pObR9GttA6J3b6hAbA/qJ8aKcSLoqSx/eFQiiaTt2wdWWRe4nK3NUHE4 jrGOEREL05EldhsdaqvFQcRwpPtshX6Yk+J0BCGUQpnT12ftOx1w/oewd5mbsRQ9oNSOHOAUbQgj C8xq1+qXr9iF2O1Yp23lJwTy9Zo6w7aXlkvQzd2DedWAXVLrcYUtD+0hGlN98w/3CYxQi+ED3OZg btt1+AkESOz8GpaKm48z8XPTBulDJQPrQulOkLqS1UvnKRIV67y+x3wwdK9HUKZewkA8EdAnAjYl ayi3Dav1ZWPLMexyxQ0n6GfxudP1EnwSBQlqcD8DFgwmrql6z5Jsb+0VtszP4MMRXZ3ghBpkKH3v Nte89PB/0Td5BtopcAtZwd1BQ9LbN6uVWW1jliw9ZqRlsi7xGXoSEq3zgCpSYNXOhzT0UR6+Y8ez QEUsaUbjscKpSUMCSQ0jYTC/sNJ4qFOBa4r9mZMjArYSUanpxCMMwT/pVKB/nOj1j3MqyGyDY4UX Cvu5qyh/a0wDqaDHoXilrngnvjw1kHqeFGnSqlT2TKkSnzQcC0yveKMNZ1SShIUGiOYBY8CClQ6y TvWx34lxmpOoBwuFk0arlMTQJDr956Ku2DwAlWVqqnCtjkbjzJYe4oMYY7ogEJJ9VSofRPoHllww zLYAgCZ9UhFeOVX7gDjmsBRmqV0Z+8QcXLn5UM5athSTAiI14NlEm2LUtMR9GNA8Z+AEIaRtqiO/ Rwk6ZGFeW+OEHdt1f6VclbS1pfipb+A91vTkcHybFtTmEOjr111nrtn4NUFPSqF+8QOxYT0Ndl+Y dCVJy8xGKJ4cL+92n0M8nqTrn9oi3aFXuvaFDJ7sVD1JUSvn0Fmh6v4e4ieW1LQedrZj91mj0VwP VP9nC881PsRE4IyqAoF9yHqdkkwl/RVFzhAtP330FNLRsOPwckdsM9Vb+LP5UqueZWmn0n+ZGQ7a gg/8AV1JiRx5bPzNxa/6qrdWLMfD2uSE8vRNjRZs56cFa4E89g1+wdVH2y3CzKO25JSgD+H/B1UR g6BX0+Qojkx9fhrbOxP1q4lxA3FeG3DmdTYBFygsUaEOYCwOagY+vF6I7Ay4xJjfvW6AaVjLeSrB RpJqH5xC/+nGQJGosgmYAz1tK0jOZoC0oI6WQG/CB3ZVToQhYM0XDWRT9j7nCnpZKE0V2ggbc+L7 Jw+vN1zYVQpLg5UKnN7a/5a7kdG/ugFpJA8QxEQm6zQF1VsXPtpdTP+kB7IOx8aY+882EZ+Hp0HD CfmvF8biLzN2cO/fp4PjZrh45lfAHTBFLG+oanYGePhBpTX2ua1rQH1po3qghp6QLyehnEHCVKhs lug2nKnujmNlK8MVUjXmprmX/r3J23bHPi3cgPUXpjLxZXcl9jDwVIJNR+zL1oqbKAPUsInFK1Dy 5UaIdvEMvqGhohVg4nP+cYnWE+r+MSoF4+2gnH/sUB4kuPyB8drNDZpxCYA1kSYGKyxD44DWiLnN lX1NEEo6yeLm6dxmUeq0yeshmP0hnJ5noB8BDeT3lNyaIf9PI+97mqwHXNj7Q+y1TJ6ac864M73r rDGkswfXIK1LBKry3m8suPcx3eTKl+g/0s4uAp2NwUMUz5E4yyeZ8uPNPd5B9l2nbWkP9r5Q1y6P 7xXgieUn/yb903kcaLngEkLuWgOV+ZEPGuTBOWxU469cL3d1z4X3hBZeYWhVo8Xi8DWz6fmrX/4d PLsEexNuWnRQTf8pNbha4qkIL1+E1hWLUMwzXru87Q66Z63eXXRbBMR4p/KhBqYvv5aH/LbQQNF8 umUcM9HafAcJRoSjK6dXi4nG6psM0o+cZHCjvo76nx5UsyWue6S7C10qSsLCcxoL8BrSY1hRGxJ8 E2YXzyF/WMLA2pIjw+V0HoD6rSDJvaViaDSA2PLUHwYOeTTkdNckCc1u3332grqWnBGsTJOEsKfH FzQN3NRVmwVqZwFSede3nNeYy1BCHy/jITLgV8kO3vPHJDDp+V4m6t+BRcd3Cg+6w9GVL/OhgPjD 1aSzFAKaN3QHBJ6NNEb5LrLH9WYQagN78IYWWcJ/uhAzubs9KboEl+KLs77Rcln9oCwfF1TOhp/v ZzDHjXjw6AmIY08tkQzPh1uxaG5u3AZGbZnQBaH+PtQE+smsn6eWdH0+iJfEucHHo6W1NBLmLFbU t4i2JyMpAwQPYWTysAdC2G96SnuriWdJxzt9xcarQpkRm8OrAEIdaryGi0kQlNJKkKO3BD/CUAC7 abwz8xOI+G8B93HJ/2hYaqi/Uvlk7RNkUUBgisnL8Q01DrqudPUNAp8ykmN7mpoKfCrxdBhPLfEc ct51ovYbN6GWPCnw5CXAzBlz1iMPW0odCfii/cUr6FXTZmSDQaZmnPKaCPdq20cmILpk49KFRiSM 4jxbdQNOwFJ9NbOZKgEpjg2oDBBq3cd8U51i0/gKwVhNEtkckH9SzJwUozxJbiwZEjdctloOoUWC dN6Rn06bons8iYn/lfW3yimNJvpFLLBNXhFBbAv8dlAKsRjnxlpG2VMwFn8AgzVYHhFdoB1456mU 3raXgV5kuR0pCYAeJxsijzRb0/hhFp9qcabkPtRhPH9pYaISvlSF7TCaAyo8MdzINZ/DleFm+ZcD Ap1D5AlWcQ+fFYj4WeLkqeGIaudvFZDIzqlcUsWkDp5qHhZkrZRN2jLA0MGIZhfjVzGI3lRIP83w bk6GPYnExE+4vuZu383l8zv/ohKwEGjYS2NBidh9ZfHIMUfKPoIryGpiq/Ajm0YmEbpaDsDK8lAh KhhaePdmbrpiNcmmh1yKCSl0K0Gr72KoGcqTMzBOgRerXLHdLX+U9x8JrtCMyQgU1GgWz/0zpS0R R50Dt54JpQFfL4NBaEgQdvXIrvKpy7aZGnb5eSUiITWAFm1ijByestTidh6vynt+pJydKWUnMQfj v0jLP4NG4e37l/8q7y+d+pFV8SvDvGPisUZLnQT6mNkHaK+si/059BFINd5sbzXgGhSAri/X4q+v nSIn0h7pUhru4gsVmJSisHDIbl6V5/EG3H4Ari8S6IMpv8TUNU/I1A10/ifHM9Vqe9+gtRg2Cv0/ jKetPxS3sFukAOUM8+xRupdjFEPkYYjfPP1tzc7nECvn1BEHE5/tftgGD0Q0lfskuTgyHvPm6r8L PSTJTKUuB22dZZxVn5y4Ybc6n0x3xWWJc/3feSyP0hO2lF2+4sNKzerSc2tM3iOanoI/9Xr21/yl cBGNCOcK1JKHsZ+86XIW/v2L4jnj0OavHCUvnoslYaPqFHIkdpzBr2f84pu2VYu5xpoc9QXetPAk UfXOvlBowkTs3Wy5dRdWjYEBGsBLzC1sZ1w6Uwfj1xZ3+DE9+LKQTWwPur4D2xTmDHqMgJW5WdYk kEla21vF3vNBwm5Mhp45IMOk4TOUkwWN+0CQAfthebJAyLgdPQn9PcLp12Su60Px6OdT+QmapvO3 2gXRD9cgK4NC35QJvsTB4qA5bAo+kbVOeob3hqlgQ/ucr1ESk/GAdAzb1pGWWSPa+ZEeG5MnmWQj BYAE96Fd3lUCWubvrtFg/GL3SMxp753jSChhDFdguM3LF3T6oYjOtvuHV6xhJZ7PW2i3m323IK4d EOGqEQBrx9imL1DfinBCrTnWb0s+GZXGLrQ9ahw3V+DoTNEDpXIhKtK8baVlNrVxyw/HOUIc1IZx rzip8gWGd2KgLo/9fanjfO5rVHBAcJ0NEqodKRvTlVHaElvTwJ1puxqqgUbldBjVM17u4n+w8V3C Zw3WcxduXb1zP8Q5Xc/fmciWduNcpNd0Wj0teAcbgp9LfviR53WX3qEcD3PDKfgTf+8iTxXOgHY2 Cc+bosF4yxh1lX07y3oThCU6KpeApSQ+ufcnlQXfg765Tmds7/DHWQDaGtiVjEwltzrg7JaUuL4Z Z7xLnApT2r4dGodqVRddapjy3X5Z7qAorL+J8C9H/7mstzXYhZ76DvMTcAIea/z50KFHb3QrspLO AOWRoGbmoyasW2cvw+u5zwY9fLJfLAXQs1sHPYdlhLIOmChk8rZjxNOfk3xRpcnANcr0jMpWQusv yeF+9dWUuFW2isyLKyARUwwhLx9SLlXppjJwmZVl+0wHVDAdcVtQuJPAnsMGpzk0b3PTOhtCuF14 uexYEoDxWT6V13f7HH4dxAMOLSakBuLZP2HtZPaUh3p0imqO/6PohEnONXqREPT6uvU6qINJnuy6 vlmmehvuSA3Ny1N7yE6jmoscJtULrH0mfcgATaD/DREsfD6uY635JKLEywK0TkxiKubH+IMVDVFb TtX2MYVJ6aY7wbymdiA6vwbDpaoBRSYjfhqy7J2Dkp08bBs6C772XawH4IJZlLWgQ4uwMMMANJ0d P2Bz6UzLjBxtFl7y25+r3vmp1/xmnGxlNp4lIOuagsEnI6ttX8XVOZEAG4yAwixZvPSxEE8qVCjZ koM4GGf+jWcIumdKKCl4JtIcj4Loke0Tz+Ut341RPK3RfWmLlSGgPZrtgd6nwEOjs0axrJOI9hb2 YSkQRssaxNsHGFnToQODe6sdYOZ49iwaxBTH8viRF4S+HvOvcAg4vIClTVBrtvv4kP4ixdKjPWmU weQnSjROpy97vQh/H0vR0gOzBGZ7o9xMb4zMWzzbGWKyQXDSd4SXs6sv/mIqEz+nMZui0Qk43yaO XfE21xLqEojCobV8AMRwLsT3KHNg5DnzJNHdaVHkgNWSV4/d6GZGuw4fLko9hyacJcWosYm3PqJt Gv3lyk5yrYOcj1/j+goE2RYFk1tf2pCT29SHt3snkUEyjjTWHkRd7AZ2fpJDp2+kFoacTGarjRFu NMyuNfmgIHcWiE1QqiANV34jY6h8Kh1Vt2ISbBLAmLXme2/Rehtr9hvDyvQZ/Fj7QICXLXLexyIa PdiF86Gee1APfZgG744ejVspf5IJTamYWZDunWL8mue90F+maE2k2zGKIt09zKJ1xuVdPXq8MEkr ymO8GbcAezNe/VwYL4SmFaNYvuvknMvCTZglId+o3xg1aPz2HnWnI2iCAfcu3PidXr+6WKuMKP77 j6OjVPS2/EgtHbEwoq5LLCEdSyA4K7gDibL/LPv+sc5XDkqON+lTYwR4N8u3JN9wilLxiR25M1bZ KQ5wXtTXLWsQFDptXSAyz2lDnOzeaPcz2hNtwHX0+KrRO9dl7epP5nYemnREzb+LyrSv5OzMPN8B CjeJ/rwI4iC1Zpw83SYH92bqcgXCSbvBr/6PbcN0o9vb7Us+aSe0iUG6P9hJVE/4585jFdbMPfIJ /QtD1vY8GP2p8DuZKRSVo63Hw7FfnaKPlpm+w4xLZe8OlCdxe3oQp1UTgvj4kyQdXQu+THlxM/st RtDMvBHu0AfheOxNpdX8fAXuItA2mzbG6RZQNoYuSKJSVZ2hHgl+2IftBX94z75SDiW98n73CJgX WDL+Q5BJAzHjYfm5qmOEELKjffIYJVJeT9SQ+oayMIEJu5dFnkjDwnZUL6HRWT9VuntHiXGMnKId 2ojC82qnYs4kO4W/d4JuYlUoQAs9eIobTumdZsWywiguPUJAW47qiEVvaNLzQ9hkGrdpt560qu5J OY9UKG8J6L7erVg/ZkSLekFM68OIsWdDOriCGJWJlf3cbUq83cUrKjUIZ2WollDNFeCume65lLEK Ozncc95VMI18IQDUHaN6GvxLRxt0UQYf8e4xrcnZ2+YL4ELQY2alULSDkQb0S44ZmN0ZdQ3FaESZ e6GLr/DsFwiniZBu/qaiXjJ9PP/wXN0T9c+N9+Hl5Dn6O0LKQrPfjli9y3Rt9rNNIdlWAu4zNltf cr18R/JzO1h7OuG42byoNEQZ6zIr+UZONVqUVOwqxQWFXy0dr5uD3YryQCUY0LBIsb3kttxuc7vI dvjvqz6/l+PsiBP7Jozi9uPwCedc+dm+dAuRrsWko3ZCdVZJU+aWGiImOq8cDlJ/7C+ctpsqAe4m 7jxY5+XDPa1FJNPXXkdqrRboKZorhttmZ+fvQZkM8P98eAwgtPZggHXHBrIjLEjHGe2vB//IgSV2 j6UfWnHp/aVDTEzFYSH6L9XqvsSG4x99je57RjsRTSyEXPJrWSwFnVoqRQcEZjRHQeJqBg5UwyNi L8+95p1sc6Ocz5I3i5VtyFmrM3HjxhuP2D7oxE7x8yJFtye96MmqrtvlN5TSDpfeSz4iH7Ep9tlw ZiN2t0cQsgkBaCHDFCyvebrreVAxxOa+c4Nt3deyFbGRaAHlkh7t/FMwc1QAxGm+6YdKxB+laOPP 3+ABdXeI5HqZPBxQcRhbJpQZTDjhXAqzbXFOb+rw5gMvM+Tnx162omHkj5v9cQhNx0Bbka5wNnEE V12zXd5NLWSdvHJMjffEe2IF9hAFwO8eorXGpQoErWIzy6ArCXETwED739MsFJazYNB5R3Nu+0fd 7dZiyNhgz8KEjdZEeRwai3VpcUamgbU6Bi2C8Hq9Lvsr02BwupRfX//vEVmQtA7euyzK/nCM9EYe xMIx5pok6CC0O8raY3vYMqpW3+szZ3eHoXCam+np5kgnFQy65+dDkwIgpjcC+RyknU6xR00JARqW 3nQWMtSvYoKBfxAzcmEkZF/eW9OiHxAG0TMd2AM/FqsKNY0MDpcB7DcYr4zof+1YG/4itwqERBI7 BxMk6RG+LQM8ceIwz4McKTOtRgUS7yNyBbRTT7o6GgtF7xp/60Z3X0PEj4mpHKk9z0RXcDvDyBTn so0M3NpqrYYv2JxoaB5pTTabYszIZI4VMu86R3i0Iu5RVwWlSyGmWwu678hXzCc+LypoKPkU2/d+ BgQkVd++kMwm0cAwjJkRKkYaQTz4urJ9XVl0PAKaEVUh4y2hEsZmfO4g4N0PAOFEDVm2UwfbjR+b SVXPirJWcJMrycO8dYLUXxjNiwDbrGb2skyZ0fa7EvPvN7In75L+deZIYw7oPskS1xl5S5h24es7 GJ9pXWE7cXnM9E/iVt7kp3VPKkL1GnaA3kmrrz37pM8fWeX4r1v1H1LicTeFOmtfW1Yq8y0dC7nJ NCyrgaa5E5T7QjPBfs2+F2APe8Upf+Ouys8jEu2eem9HWEIz7VwZGdNipJpKeFzSmnYddV8z/HPZ T/GYjdKivyQfrqhruJksvV0sI65TtLkzGSY+YpWqoXtFAj/7H4RHcKvHoe1Xg4fHevtHwaNu3KXy 6brldT3NV0aZj9y9v9ospTfB6YS+iN2t04vpJSwzgYWX5JJFBDaSZfChCGab1PNGKsmvAv0wC0M2 5+2fTkQMNrB2O9jiZL/uiXhzCNd/+i3s206cQjzkusbGVkRptZVoQ6brRtACrx0/0SLg+c1UaktJ GRlO2COnpoeZNC0nNcwplR0JWn7Dxf3++jQWmBN+6iW1jrObsh14kmFKiQ9qtKci5LTSWTU0RyHe EF3qKZ80M/zowXcdtwoLcS/iQJ51fV57awtmPTH2Bbip8BE1SBaDNExEB+X0VLWUUDE0RDEqoHx0 9+bx063tLCw4AWFJGGoKdDaeHzyRvZxg6E8ZaQr5DuApqw+IKT6JL3JwLSXEM+Jr8/bi9rfvkUQy jS7y3wE15xaoI3/hX20iS/sCI/CRNvJCeOwXDc8fBEmJ+Uc7vfAR19mew8im35+e2B247DmFzF3y AQwx2kBJG7KwjIzuMdA8RLjh1+bv5FfieqtP2YbT8w6ePBlcAXuidy5xkyrYyMec2mZT/P9oHpyO LWkkJdm7eLHKKHVb3Lev93sXhRMmOae8ZVVNjbw/ha6jPVnEaoNEv5nnS2J4VKZL+T5Zrm6HgKj9 CbC9w1yGb7+AyPvgc2YEZ67hh7lcvZ3852hhgtOYNXMABbAbyG/IZQlBLSaQQWadG/s8LKrE6D6H Cg9HnWpObPFu9735xYpojwg1Kzt8P5d34oizJST1KROwRefW9BWV7EfkrM4+g+4bHvMbl3kgJ+FQ 2cxs+4Sp7DZUhOsirjpvls/LAC96YmLgGd+VkIDAyQ14UJXFsj+xcj2FlbJ7fAsFPvM4A7cwo3WW 9M7LoBTJowo3+wcK8Lb8Y3RpkHaSIZrwJBzYUk1ueGnWhqse0GqxnDPedjYMkNt016VdYgpBRCgB jO8xl6D8YQJWIMlx69sE6JOykLwsH911sIsHUbpP22FKqPJSDXpDohg+a/AkH90NUTZt663NProR U+RyDqcgpz7GVOzAXlf5JM+pn1/Bs2BxMKRqib0l9pvMETzOJv5TrYrjZhVgPprHBFh3zgfukRMl lUUjVnN82DZh451I4UXKn7Ue9tfFFMdmud/LWr2rwFOXuCz4jR+aoG1IU0JSSQbUHPPcrH/880Gr RWj66sKCG/mX5ULPC402VP8uHoo2ls2u0Eb8F53kgK1r0mhgh+t0V1tT2kcZXkAL/T4KIqsfqMQU L9+kgWAgemjdHLlPYLWsXU2r5V8GJoKWQcrhsop2aFO4IPwWmspr8znDOJutkSG1oCAo4QN1+G7g MFMqb4gm9vyfprzs3u9C4Mkp/v0gM8nVlcZBI9GuTr2QyzCo0LTM1J+HS9sIiGsvQKONXgvrpuSt 7icEbwpJQ4/9I1fEkm0c+p8tVCihkPfyw4R/nWH25ktauZJ18V6GE+UlL9BjM6NB/rfJYQIY9C9W fOpfA8mUUBqYMCg9VFrEGIpgGdY5c5Jqw4e+3zchVmCrWKJSDhEy4e9EWyUxsyJKbiTMzaRThcPJ U63LHNK88v6fEpo/Dulw5mfxQFbUTqPv7tA4PARpGDUF6x0AgTCWEyw3bABCaYeUrDZtlsI4k08E I8jxMySpX4El+AXA/wFoA1OpK47TRhPPTSG5CPl4WvizPhxcix7mNUJdryqK7o1+v0Qj7leR+KFO SWBLwRVpiWpccuKZ4gSlSFnUPbkY0kNeAtJZ8c+2JM7CmCOQT4dNoGIr56pqYuIk6BFYiXm81jU3 cjdZB+GRsvQtx9OG96RVODUU78lziPn8mww+tMkQhFSDvQKQwg7+fxadeDkw//urCIPncUuWYW/o P1WHecitcQQ47ct8Gm0/4vLscG9yzmpzibpeSZ8rYyDJLkrOmfiY1k8j6s8YVG4gueDuQiPFKEqm ZRtr5y1u7sz6JCOthCR4j1eK/YRDBhr4FWx13TOacIS2UKlzngO2kbVMynClNOZWPhpBkT27g6Ap haecuAQHBpYnq96W+23akx/cONwygu1SllPef2KzWtJzmlKg/fnBwqohR6BqpUnmFVVMbCi4uFsK yD7D+kIx9BNdK8t+Ad/2D+mKZWUtLHNoLNN1l0AL8d12I03dX77csJwPbFoxbtqP0dfww16iuVu0 MRvxkBWCI8FDomGdW2BZshCHatvnMZaZQnWjoWOp432Y3/8CuP8/Xsz5BmMZYCiXd94ThR9mxzG0 GbwK9ayYVsZcmpyqtKmTG1PB8YUsJaMWecMPGEZt1E57SxPb3mgOZcz1yuM0fCxGnzx81OHu7Gsp s7AobdsH1s9TQouMhZtX1X9PgI5BGgnyQoyYUyuMwy/CJr68aze2JfOz686BBGXYhJGV3rBF8pTh W4pBoW2uc95s+csGjVLVnzKM857uoICKmv7+jz3eNJzpMQYaLaa686M1/00PEMX0VzKbdgYDdw2d NlVLlTbVJ3a3t1mTVqfd4KMYZKJxj2L6tjhVvQbbYuUblvchEpuQdPJXFUV0I1VfjwX/uVasYYRM GbmOar+6fEhkqGKpB6wTgl5/cKMfW15NsPvPHU7HarB2LLS8k/Ska+JFeO2hdlXC3FNcEvUwMk5q iZjpxHvXXs1czw+iSuczw0YjINdZkq/3sCL0IOJxXCb0teNZREpBOi0IZQlDu2yyXXjmQsx2A/Lb PauR9W25CuamiwYemNK9OLfjEYmVE2M0fqXQl3K0my4MCDaJrRayk5srOZ+YQHU77glfaFciduHU p0fDToi+4LmPGrQ9XvPfKm7mQaf+bG10WmWBiysz1Txq1XIrBdH1VRZ2Pai1W5t8WowfvF7z2kEj qvkkwVSP0GX6VuQ4l4SMLhfRWJj36WImzU2NBsoWa3CTurJBhS9hwnrG2uNKUp2uIGkdyP5VFgnu t7S6c8PwhduV41QOYWDaRJZEadmxOdwzwQeSqBLh/1mf9mVTfnmly4Xi1RV5Nlirv3FK39HsVccS aebqKrDdLmlB+MEWXfQo+4add5bwjbmLQtG+0Fi8MSSvuUqt93VAbkubm11Vs63LFNY+i4OlI4+T Er086MM5LYREijBoucWfqY387JvCAW5czj+azjr/d6OW2FkXNMsv13v+16U/b8HLQ7PfC0WM7TiA 5AYztjg3FaWtBuYIGdJmKglMWHNegdDLr5WYj64Okasjl1NbeKeschzd4m7sR0+PSCJKhRbZiXdc Qm8rNski8VrXPVr3j/zKzl0QPBWFOVLytweYqBZtYGMZtc4XVdsijjdGmOcAcHBI93WP2IXIeWrP UUn46eoc08k1xkCVF3OUxB0RU0d6R4ZwT7p3O5kVqxA/cm7iQiQvCpIc+fEmjayEagdN7x7O/Hx1 +hoBKsGgF4BLHh6OsVBbWKXScWTMuFoT5fPkNdsQkKj9luZnFnifxNc0TwmF0ZRqtv+KgSU7vh4h FDzN1Z7NrMhCJq34Z4+mbXhV3UxM0xnU5tIiyMBkAkkpBUovy25hjfVRCebCOoy83KN7wkPxbQ9q yQMVQLBw2TzzHiDgSs270wQM4zLQHZB2FVOKAcRf3i627FJIgbOGvWMsMY73hJcNd2o3uFhRNMvF PotBgx7Q5pc4iYGyudsJXWJTDO4EkYV67ugRGntJYJrbJnMHM2bQb+Gsg+eUtiHNEDaNi9zPa92+ yjcIngpw/oYyxEZidAGkXPM+Q/pW8IAeCwXJxp1sb0Yuxt40krgl9uJFIzThVd1qTv6oDr0aq2ah HjP91bGIBxtWNbrUKhQyNJhj013OH61FiuYMVe/WffgNjyVznQORKlWr9Lw3Hu+V95GUWZtvUIxo LxFzm33xR7MbbZXuyT+yfZbdqL9QBGcMluItGuKK/KxX082KUEpxF/1sau0WWXnJNvf5DFc+DC3W fMA33nmkT/VCgqTSRlVRhgbtAx/ejoOOd0idACVTjCgEftxeBopvmy6ylf4IxNgVi+kNPThCdAF9 +EjFm6Bh1GrQLtUabN4PebfMN21exkxdEjizqYdotsCYLwCTqS9vAoIvbPXeitjS12+lBfFZxoCo mAhD4TwB+XAXss+TxkgUdqBlsuUlp9vNa9yW4OjjBS10gU4iZmbzbmTA3fEonvjRplQIP0TJcvcC pETJf60sfz7sfESBiLcfJPEJThZKP5xWpT+SbyDMbRGd/zDDywZDEu15ZAAE5qHvALlfxb59vJs1 H2cQ/y/CIoYnLS2hqxn5MJy02jXOjZLen/Cj8LGEatGZSiAPTA24LYzYckG4G4lVKFQqrajiT5H4 NSk9ADLVlJ6vNXjnyywTrRA0iK9i6usHaTz/e0Katli8P52IYqiKVCVd5cCPQI/PCr0cXZ0zyEuo C0D3w7wFG2mQEOSDYIVi9Cdte/zNbcO0ef9ymPot2p1tnOr1kap2304q/Q8K5kCbOOFH5R+5q7kF A/1meqYoLGWPfh2yfi4YRSbvreqnK3Lwet6spOxftrPxJFwkZKwkONA0tP0Y0ySBnR9lQNpK+h3l ha1/8MzqZTl9EX7V5IgiL3FMkL9P/8gxca5sYqepcRQUUqc2QePAtDKlM0XWvdyXIaYzfvsK9YHB E0z+mbvMgybb1zWHHTFPK/zAV9UzWSVEkSwwtj26wWvNNOQBGE4A07i1YkZR+7gGki06Daibo8NT xMaZ7+op1YxEnbbkA2oJRjvFrDyPxFbIUFb0eelVZviAUcF5HEgJq9rPkNuBDEh3P1UzMD37Ef4U B85hL8xKAWulZ8eA+pGdobYwV6oTV+55mMRIARsolz+ZAcCVPoO+eCoVRZ/2bu4kHazjMkfs/Msp jmqvsyYkMSWrtaXM7YyKBFurMG/fI5kX23NPH5EgB+FyT7WFURV9mzPdD2oxoz/nxSYm9Qj5LJnX 66/T7CpjgNuRvLRPt8yNjiwZa2IXwR8NL7PcZURMyXUTgCfmIfASm+vIxkHVvZHsXRHTH3sW/guD 0cdsaNoi+JDRC2Hn3RKiuM/rfjsnrAa+RsS/6mXmR4f6x3MxxQiE5zjfnEWEF0ECrcgZ321ZwyRt QGVwsogluPK2GoIfrTeTc114/Mtq3CGAZiDyHTr05GJ17ohbRUvHCvgOTBH5GjuZMrC6kQUBnrbN +kWinJFb2PTvdzXJp+MrWL/bVeeJBZGqCiUmbRSG9QPOar1fP5xppnlIW7Z70EneZ1qj6JyMkZ+b E0KsX/v2s/wM6SnFBwfgjrtbBG3kuMK5sa3XS2NncPILgpxm9oy88Er8SYyPx45oDQA9VkIq/v2h 8KqN+QaHQVek0fpqze26+MF1FJX8ZIccwvHIPnyyfLri7cCnZWMg0Q9WYIdR6s8AKjBf+A+E+1l9 ILi4JCfXiCTYvkG1uXCtNq7VDFbsmPqnKl3EsiEFjnhvD4JmBsLXpyBQs7QIqr+Vuqzuj/3xWP4p vWN+jD/5JyEdYDYJMJNWVVuy+q0dYrpHwO7NQ/wgoePsW+NtPx/8Owp22Qou72K4AoV9BB8ir3Zw EVMqD3P0WLf0jM+OBiy0JB5Sytxe1QRtMtelrUFc370jBaP4GvhFaBg3O09Q7ZHguVjZbt/UMumL 2lRnDUQhxDFcn5yhnk+v1UX+GoGyItRaZ/05mcbL3WRpP4n4cIHgD3FX/G1pEpDtetXT3x5zQNkG AOSvspuHseRfUyecr5t2nuQVgfDRVCZMSD5kZoQqboNeN0iLXk9hYF6TKvxro4yljlZqbK6pu1A0 Mw6NYUAyBY2sBJRX9aqptGs0YMeNaGXEZ/6oVtVCcPbMJLOd4/FNNE16ml8oenXzVO4+xTEChWMX gjdP4V7NORGerrfKHkSwrUMjJjjPSwGphETSQ5AnaVbTLDV/E9s6xf5YvCfCaFI/v6sZasr+H8FD zcdDuzagDMsxRQeSBc0zxC38R6AFV3clu9CNeTgGqrdL995QTPuU8h/3oUQK8HIFrblmUfmAvYwz zbMtKpX2NA1XzvfhtbDqBIRv9VOskn5ngNh1VFKyU8dQ8Bo9wpdax/gTkg20LuznMT0lYzSewa4p lxOUnRG86pHQCHIQgR5GZITzyyhf6Zi2BoGPhHpYOuxTQbt3hwel8+PeDS5y6Eet6cvlrC5969/Z YOcmt2TSNp6Yg1bd4VALPAPdNAWMkyhTH6+e3GRpsuShE/v1dqNvqFB1x8wOLnFYBEQKt4GqX1di A9TmI8qwwJDVU8N5o/kDzvsC0cFks6FKgkZcHG3a4nXQsc/YLmG8EZ6iTqMfWDjOVqud6/r9k+OH 7SPIBfuk0zMXrNBashS3GC9GdLtL75hESgOhpBptNVjpObya6h7mglhB+27Y2agRAfBvn9m4olB5 ug3b2mfOV2Fbu8ROVHHO3J8hS+dFLx15HQgLxVDzkYDDgqedaUmgq6t+oLTs8D3/7RYGqhFdfPLs x7JfzjZZNOsM1NjgjXj2hTKSYP5tXMPWFI5OqQPluZ54LeDdwFuQ/+ypZjl5pC064u8Tkh5jmgSE PUbYD31IFnY33EhI2hLVAMI1eSytG73ONCUATQi5y6QoU8Kn1wQ4QslXK2XXUjk9TemQevdQfZ1C aq6OPZsG3W3CyvRhjZBcyUD6Nuo50jmElWTfJ7QqzuZyHmaZzU8gmmQSxSzpVoj11DoKXZp4uLka dE73vS4468pnoT4gf1OzuH4ax9mFLWQWQjcypd4ic+vTKE9eCJ54VUdWybv65LzaLrS9Nywq/ebw YsM2kWMy4tF4GzMgYJ5mHU05PMCIl5fTs+vOyiio22BKas9w5s0mI1voMnGH3YXAx1x+l6dQxy1p f6+Ja2Mz4et805Ac6C0Xog74Kf2SnBirD6hIkUWQUwmYDPJwWoXCBoQDBtrLRESPp0eaooLwuOeU RLdZeK0kEZ/4CwsoOmRMPyEtYjklEMB414qZNvlLvZREEML21s4ebBBg40ykqmsV4a0AeNnc74wl jO2+R69OFZTZVwOT+kIu81R7VSO4FtLWmVrtklxKcehx+AtYWmegWhO/F92iH9s6JilQ7/3vXD5z H9Bp05D172e4WvPIEPQXpwuJAF3sEp5huWo7K0LZVn8uIv3/ckin5jDA3TDeD01f4sDUwb5D6aUP H3eK4B6NZexoG4B0FwCZsc8s9W8k31zKyd0XUpwYeJVeRnec5IGdtTXfaLMUM36N/4+kacUs5+iO fRYcidV4zqATsV4j8E03DamyowvdaefAAFIbkK1lUsVmbBpSWvegKCXP2xq8pK91nXqhyiyf4KLJ y9P9lJZW/Npn1BSoj6l4w/xSFQrsRoDYNgNwL41YkupZwEFH/pdXASe9XVT3P/ydeVDR3iJNB/3m s9qCtWHly42nCdHeECo7TZId4ZlziIx7SFkXKztpaN/i5sTWYXvnsZgwWhS29deR92GgMGYO+700 jTfy+e65uzTFnbOlGwpMTF7gzI+C2wro3MUQHSIhgy/5Z7WC4zacQLXCFSHimDK9jr87kNWRZugN AJPx0S1YagvnnXxgWFCdw7w+8afvu/x9iGVqu419KaPFgH84d+DuQOuFPkv1FLycLUFYBIO5PLn3 P11Y2MrnyEBocAtF8rVMrK7qgJGlaFDZkigfYzbYJEmCgwHQJjtPHf60cDhXF0ath7fNOQ1BCAVC 6Vjo6bIv2Pf4vyT31XzCKI00mmH0in9ywXLT0AylaiN3UIoww/QjnrKHOqIhd05vEFjm5mBD7QWi l9a1fS7/rbBmHh3N47RNhMFcbxtrJkPeCizcWVTaluY6gOPbwirD8mPPr9aB+QcbIXLWbW+yaiF0 bSuiTNDhoFxB4Mc0oddeB9lHpFulXjtJrwDyl2Ci4u4APpR4UsZdpPBsChqVQv2bXyK+zizAFu+x PtdHAXhAbmgwKZ/dldBjrvCCjVjUSzVXACtXxBug0FBgzonCXg+JoScOIu/nx/qZjDUbzUFMyCRw 6nYi7nFTdvCLoQLqkgBPedM8U5wcjuEtebdx2D5i9ROPbaPjQITHHnDFSiFHNlvz4gZcO+Te+Ib0 DFRT7qXfGD4ruaZyeiRdLMM12VKnJ98qPKe9YB/NOXLW5fJiqyVRC2xZTXS42NggDC4aVB1Sj4sf GpzjgiHn435+UY0aBXOA8s36oDtQum3JOdfs665il/E/JYL12rUfUhLRaiE+SLrZ6ESAqXzCwTGy EVGWC3qjyldtcy9Q3Q+7exFvMsebTxTW+nYfh30HaTzCq82srbmYgO4NVp4n5M8EJevwIlL1gMin o1rccgghYftW02bZclhDA/M2KvW5McuDXu2wv6id4Z/9E3EiPYCc95UkhDF/Gollx1Jj6ku3NqHM uxOOsIoA/SriQQPNdkuWspBsKMrgfOtLgfOu9Vc6oCHQcjkcr30QnLGgT+Fs85bAd05zJ12EM087 usN1M1sDgUoUnwbvShktNwymXB2ha9q6eCvAG3TRpqYxnHk6GWY5p8cmqw3EI/wXAjV7m/zAGwEQ 9OIDgKZBR/LNhEluIENwu7/ErWKQS1cRQvtsVtRoP31UwKwakj1r4WDJA7Ilusv8lvbUfaZlwSOF W8yw59bhtuZ7y0MwsgseKHnjy0JOstptJE7pvTIIaon1IquMzd30GkxdanRug5/NZBgfO3cLCQa8 E3qzdrue4FL142N4Fy9adodlgS+eDUB6JM03p3D36LUv+UcAx0YXex9hE4t3To+sp/nakFutyfiC pgbAXenRaszcrtiqVyCgpY3aCM/NLtQr11YMu9MRP7jBQpD/KZZ13k3d3ggA7uWlCVXj2RmmYylL KLaFxkHMlzQaUDjyln5vR+SuXBjtZQOESJmG4zDtprtrBwhFY+5zQU9cFKk02OzcK0QYnqI+zP6f t2qSHNBDFb8UJhSCGOEOxEEhDnPsqhx0frOeH7ggmvUvM6p+uoo3vgxcuWmKK5Zqq5ie+cvNvWI7 pjl8JrK7dv7ALcgxMfG6WFFXZ7JbYhbGWKqZbTRJ0tkOXfjvQlsfBnY8M0QB4WrltNj+kT1J+Ukp 1+F0fwaIQfddWIILT9rsgF0VgJ5PuJPaXf4rVodtcujLqV4w2atCTqndixM49gzfs6c85nFtftZN 70iZGk13xMhGwFmpYIfjbJ05prVIi0NHw073ONFGh9tXMeWhOqcbXeCLmRnpubeiNx4Ro2SldItK PHNMRPPvD5E6sKc4U39+y8+gSAQmMohLwA5ubnfGnHa8JYQqfb5+onCzKO4psBwEBMKrz34OtZqi QhOA5H8qCfFuvkBX28dCpc4xIrerjN+tknPpA7FTirB5pdRkTckVZGjDRM/caRocGxbN90duAwuc pGdNh2+1QlEWjzjYHVrO4aQGpeRMQIZFfgM1q20NymsT1m/o37JQYDrFLsOpDkLCqNt1d0NKYYvy YQ0Eobi9RjH5sD7n7JzfPEWHg7ieYE0afyRGr5MoIt6nbrRxEzUom2H/we6ciY7R8MMUKO42O31w B0oysL/QN1mLN4VRncjODTYCl16QNfYYam6dG90SIo9jJHMDxppmnOGFsdtOtfeJo3GbFDuL/why c2+WE2318HPGahdh0f3tu+pnjzG5uS6AzkpA4TlHoqp12ZrpDGGMfgDSrseVpMFS4cXfMByDWuqM 5d2VonLRqWNysFhhv6Gekf7byeS65F+Komx/hJyVpssJican9Ji9iqtDcWKchi+s90nyC4TgSW5W B3lyKzGaBXmookHEBSbxsIQvmSvoXq0F247ZG1STa6y5C6Qbp7zacOmVNiaMgh0xe4r4C4nF31A0 EmFl3tr/0JzBBuQUWFDdqi9R45qwKiAmGbb4/Cgatc/nTcfhwfG50BWVQ8Q8xvSDGMSQjBLyVD2U oGQBrDanwjy7RgBwbgQ8Ds712/e1Eb/9NP6e2cPysgOni+2ayqM8rEIB2Yx/ijGtZZdbHpJNq+TB NKbzj7oulPMMob2LlL/pfn27sDUMyxO59iESnpWTAR5QV+nlcssj2Uqq03m4FvRFInRIFmyvHZLm l+EOeDofoj92ffj+IE//dNEUfjL11+qxHokvOyR7xZszNJ5orxabe9xTBinOj3PQ4LbfvEEZwQiK LqTmxerQ+7HJufR98xVc+gddj1+aXem6Yi1zoKbaQJa00Vy/nZKaE4xI5cZI+3EVwPreFZXM8n/0 7kTjx13PvKCfmilt2pkspS2Wovzpldrcm6YBWfqAy+varmBhsKzAnQNbky0970QsJkXDBjT2WdBK bEtCF2VQz79UKzBKokFfKNdKHfh3rryioNsYf0EYdR8xwcVex0HOtH+ZGLg3AP62rPNmNp/DQinp qFrvyXi4fJkdj7fwQV6zg/qQoc4Eg4HXuBnWKTDVwbKHYSwjNUt69xQJX06ysZL8hgd1+2CEzJxg WYigNBQfsGDBI+Ftxq3ZOamq9KY56iTL3d9lbArGmfeYd0AHNanxmNttYd4g6LUPcFDuHD2Tv4AP R2fEwg46YeilPFZuI8l2XcS3FEC2QCCVAo/YLMrxY84HCgaQHFueF792J+Zs+dteGrBLqVWdWXy1 X+dDe91sNxOhdMJvhr3hVWuZp7HNdnZO5T83dGNKm06CjjhJOs65t/Iwc+DibNLs5oDXsxTXKQLa iB6mfBuzMzFZrAOkDXzwJBmclo4iMiJNpGqOXYO8TRIahV0A8c78ud7d1rQyumTRuZhks17LaR5m IJjsfPhTOQmhgO5pebxBy8Qg2PyYt7lZcXZABNxqsJ4I2LFReD3E0a9DSn59Zr8XZwaLDQFE764e VA2ekXHcJ5TPkKn72Rle//Ki9zFtqaGuYw+jVrDnOyuJdv4MLHLQckOFcRC0brZuPBoWf2nEOsko 1gn5/rJJINCrT0zgWCtM4Rj4EQ8DhKE0DNFR0XxR+nHgfk2d6wSHO/ehHSwDMibmak6fkb2X9oIU FE1ubwOJnwNhZGkenvCIJziMik2mnBN9O8Sbn3N+KnuaKzYnnKqVnKSsk2yQvueV35SDsN58siyR 1/zDcixR7Qxx3he98IzPBVupskpRcieWseS8603FRC/q9RFbhO7H1DteewFiFDuVTYP8N++z6qMy 6ebN9kAau9MCkQlTVd4OOiL7VJlktfH666iRBwcs+grNmHRT7y29sNyeghBamKGYwEl13FMqdMT4 p01dASmv9hoxT/kKZ54VWYrPhtgNfqsvY9/c+GQupV4jouVQysl8g3nmWTey9ytb0ll2ZKVR7+B4 UE1qJUBUUZQ3HDi5GXRPxkaSaX372Yod2cPlHw98kmrm/9er9ca+sHQ71BqeaC0DeZIt/xXgiYlX irK5dj0YZL+qKQLv7ET2OyRUWRkATRPC4HSgwYGfXr483PWaB/zzYzQhtqGlG2utth5jxyDNgl/w RWDSFl3TP7lonHCUxIQvW9+kI39g3foTX/HYYx9BWxzxs13edLGlg8KGYx+s5g3uI0vNol8QHqA+ EbHN0iyhISvbzvddFJPhEhQnTaExLbYxzeuYMsp7AlQmoPKneaxN/yDRXVz6IDCeIVugbLj6J1MQ dAF0Ewf5vHBWEQnV8gsKVToNiqMrkCuhpwD+sAM6IxRlCWThtBaajkvmiVJVpbvzbQDMV6jbEO4q 9N2V2CAgYykgbVWDVwpxvUueyHJoZM9u3LkXhD+5NvXUeSfsy80MEEDHn7WUAd14wDdzTryTDa6K 2RYIR4Sk0BBffqaBPP4bMGJI0tsm/Su9OJBNccH3Lx2zPRu5bVKFkdwbhSSJ9Q2lgk3i6D/w4Idi 56Js7Ddy1XHkGj0PyeMznowDRzKVH0eFWFXvXidZr6qdftCWPGNjjCv3GDqIupoo6Tj7hXJFy25n Nk15rvgDu9yTX7MhfyxEm9L+0szqPwpNACDryW+CCjG/aB9gT1uuHQySQmKNYZdIjg2IT0hSmjPh 9gUDvEWIz/nwYuxehz5D8i7aoPnQT4uI/Lyd2SgldOOGeL7FH70Vr6LI/7jyeU+MRjm8sbVv9QVh Kep29GRDNvFApeX3U6BsmfMeKChdI/Xxmm+TO0kKTx9F+7kE98DfptW8YV5zq0yhQ1fo/sY2FT+t UTcGiTacO5AfmRMwsotx/CHytLW7/JUcEhzCnp9lamthsc9+J7WC55PhWjxQrF0dgebOHtymF5OX zUtnJnHysXI/XNA4nKy3nAZc3mZYvManiWcdsdVVyMuqqIJRImJl95bdA8iBQ4vt6C6GjTZ6WFqT n4luZZ7Hniknh08UdniIkXoIWUptSFGwjdDxLUAa361IgSkVuaXD2E8TuU3jBBJ2GAdx0XBFwtpH 3D3E9j0Npnc5MgfR4VJkqP2rJJq3cQi3TDH2YASFyACFAhpOGXlbrnxWlquFnLUCFb0bHngU0KRw lLXD2/nnvUqc9dCSooCwj6ECcnWIeIWwlhDMNWHWjDfv/igD7PRNfjtUOPwX6hLtJJs6O1m2RO/8 ohv3uw/vpoLDKFHi7DJua/VHfSK3rbdC3B8ch4H3Q3YTLR7KD9d6y5OMqacRVBVSPu4HY6heGuxK mbtplK8PtdWAQ7x8PudfHqwOwsTwpoiVbZBin7DMR92yLGqTMxNi//W7+4UBvUYI6+j8ceYQ+gjP TS5RpzzsxPNeSF4WmXBi1fsmLaqw+5OBhISUdOcn6iu4jfpWBuvRPrAAja7h7lE73OL+KuTzdjul zAFAzDDkGimT6Sg+2q1ZSHT99u0CfJeAavpMbQ2W49PNClz1dJiqRh9QqJCIZajvz0uCcfDIMdZI TlUBzYnFJ7O8s0v5hmOQfLwLFo/9yV9kYZ7B222NUWEIvMU5dRD4un5xPVU3KYPui9xHFamc04lD 4N9eOQgqFT+0xLTGxl/nuCm4BI0fcWy45MhRSHbRG3COwcCSwN2h8myAIfEr6z/7fAOLLxR7N4WL Plt2xsiXBxdzVK3aq4E8E/omkzPPZkW10tlTRJmkbscoggGCpO6oGMYKh43hpfMZdz5ei0wC7jzq vMMOWiwxr0yt+DIwB/1X4oQyMeFCBthMjHtcuaEbiuIN6HbmzTO2hPnavdbtJoQVUcVd+zpVS+jN 3qSJ6UHuDzO0FXOoHWyhAET3xCI42mUEEpt6yFRdlAvQJGnUH19jnUce9YFAYxSMhAqRGsi0yKD1 1t1oD+4WzBd1jgATzYMgvCrZuGhXemZaksvCeLnl5h1cd7xPVXbbKm1Vs1Z4bdnR6Vu3gx/HG85q C75yLnbEdHhkMgRR10SysWKR8qkGJRjS8DjlkiXcasXoWdwiEme7RxNWfDqJNIFsL2Q7tXGykYE3 14jcyqjd2W3eSpVZFRBKobx9Dpk6aI83MV7N2qcDs94h9141zcIGnrphrw0dKAcGIVDJMWOnRwDR It8pQJ94vTLm+TKXcSrDWAv5vEEE/yW1kwahZoUYMvHzsSKDXEXhJ8KMVtWFiGjEdyvJYhR4TON8 KZ+kaARKa8pUqwuQOwlEi9/vn9sqEzZISbGVR3w2ZwcjRsZH0POUKeqnOktDnkdpuExwm/j8QAny JSPKGkln7YPOTtphQvYCfSdJoW1suQDkURkhjUwpwfpPawqSLQwimYDrdz8Obk5W8AUrcoQ/H2KR lOlBopJ5BW4eSr2j/6F7NM2BbPTg/uiiSCRjih11JeYuvbxpHCRHcPF+7wPcosFZw2dCkDkvDoJq 3ZCezY6Ls1fOf6IhZoMDFZx4S08+QNmpfoNtAexCxTNbgRddyfyPuRZweyJ1A5/uacj8NrOgNU8D 3is/TuGe68+LtDk1EYtJRxNvZNzJ2C1YXHjlouVrpdl0LZbJEjwrw8dzesJ44KS676hjT6YP5xHD VWjxOUvn3GAw3CdkNCd5fiQLGzVC3uNq502nFxq60/Sgrt00yrhka9mdZkUEmRXa6MFfb/b53jb+ IErZQG+axU/CZL4tQKw19zPw+77MorNhKeh3D0ceLYnGMrQNQUagQQrq8/3gA2BUO/ozz/0tt3wU Rs1JRlsc+huyMnS2WWNZEuNG246FYAjjdfrqjyhiF/dI+tHVzrSvgC9JxfEl85qcNpKVg9Jr7zAy r4+hX/5PzDha2eyCAF7TD6poE3W9VOYtX+mISsJWrj1nDNihuZR8xhrs4rxN74w0CAqbFLjTFUM8 8FbqAWgRT1PHMt+WJMsmZ8c+4XdqEXfPBySpNoc3NkO+f4xnN25WzK7wgcnkLA9BHRnPr43DTkiI h7Ys1zr8U9dvS9ubZQgZgQkxOpzeiMWvU+aJLs8l0WobYBFefomOdBa/2NcKr0EybnakbZRWU5UI S6t2re/FwTpBzUAJjVlwBeHisYPq+MGMfzRIqeNY9zqFWgBqUzqc7N5U4GJQXYrO2w5ScCAR686P kKI6g0lvxFBUIj+SFOzAhZLro0yBNHdYEDOFh6f4Cz77N7TpOhvpNF9SC9ypi2AgMcGBIpBoFZsG iNyK5EntCkpWPMQRlOzTuSIQpwsi9aQtnQSjgtrMS3FOYZi2IGf5/jQiFIii3fE9X1UPotamIqDj TpvkJnPLeSVccjo45GDGGunoOKsfxHoSZmBYxbOBD+w16vVCyva2D8+yG0T92QA+xBDY21mc20tA 4cNH1xH56iK5YEPF5A55YuBO0vvTj/HAnOpSLXypww2PuD+9aM3KIRXIeQjMOBI89qIHUetI1Jkq EkyqQSmQjLtlo+xicuMGKWKQPgvnIf+gsDzTzb+iSotalxIy2uFLc3GTX6UWx9mErAGQCnCqHFnC izq+yxuawEK/7TYagYszAEZlav7g2/DEjIz8zqEkSCFir0szdmQ1oUa022uGvKqiu2YYUvXYeI1t ec5iFOIwjNdesCr0EM7fOWUWNgIYM4Yqvs9s4wqAaIkyuKi+II9rYpENfymvrJ7vmaug6IlsXYHl y/p3JZgTSNgWbGZqAv7R0fhtBNolgl2JHbDZCV8Cr5FlC1WUuXfxX+BkKMmFUxfcXBbaGtPmvaiz xUsvGDmjUekqAKVjJE+ShXnlmtLjEWe3MTFw4XmBPZNV9RymDWAun+fxDtVJtxg6CTpM5o96ZZLs ycMp4Dll8B0Q2jh+GU+DY/TvWoFbSyiBolAg1TKBoDTo6x1icyzM0VinIPyEY71TX6o+IP9rHhKV OgXGeKBGouTz0jO9YaVNehESxl8rp3LtU5CYmLjxi6zPkCDin5MHsLDpW6g2vbw/PP929bRr2/4t A4qBMKHq/AclpC9b19fSTakxckczywEVwlvtNy2KUju0w7CknTr0PaTL/1wiYJSmb/adwrB6/HYx TL9dKvBS8nwcAizD81myJ+jdZQE9VNZsZ0cmHtHuDo6l62rlNpjasesVJnuO/hQMWC8sL+doNjyi FRQ2ujxbk+CACamjNrBNQrkzb+qyhYxaEpqfwfs0hyA78PJsR2UvXZQNMDjPKOcDboke+X5rjxp3 GhrZYVHZZdU+uD2suoEjOSy5yIR1Crx12CurV3ux0YUf/h2+DzWvBPOiVlWcOG5oWjw8xbaXFei1 L81OD8RIVLN7p3Ksw6QRG2IJL9TmjJWi0m1QPRp3KLGRv6RxIz8G7m9audU/X6g9VukG7tdEu66b Teyb6+wPbiIe6MHZiZkCTSWKo9YJyvRUfSxtP68k4DAlH0rEzi7QwxXe27t05riQZ4AxGoFV74Rg 4Bsdh+/ChZUFABO6dWPy1Lkt7czQLigAU9w0kcqHMh4vBTj3r66UK2ZiuMtH/1aFFxtifOHA8Awo hJsVRyhJbOn1/m+HDnZtqwD1HgOIZRi7Wa2PlTcD9leM6NZ4SmTfLW02kQ2wegUSmVs4aYyTqidx KbdlEMopB2pzn8FaaCg3f+7veX9ihHYFTMYw3fY3Bfe1bA== `protect end_protected
bsd-2-clause
d9b9398d6f1c94e0bcfca550b5ccd82f
0.946041
1.835474
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/parity.vhd
1
11,625
------------------------------------------------------------------------------- -- parity.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------ -- Filename: parity.vhd -- -- Description: Generate parity optimally for all target architectures. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity Parity is generic ( C_USE_LUT6 : boolean := true; C_SIZE : integer := 6 ); port ( InA : in std_logic_vector(0 to C_SIZE - 1); Res : out std_logic ); end entity Parity; library unisim; use unisim.vcomponents.all; architecture IMP of Parity is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes"; -- Non-recursive loop implementation function ParityGen (InA : std_logic_vector) return std_logic is variable result : std_logic; begin result := '0'; for I in InA'range loop result := result xor InA(I); end loop; return result; end function ParityGen; begin -- architecture IMP Using_LUT6 : if (C_USE_LUT6) generate -------------------------------------------------------------------------------------------------- -- Single LUT6 -------------------------------------------------------------------------------------------------- Single_LUT6 : if C_SIZE > 1 and C_SIZE <= 6 generate signal inA6 : std_logic_vector(0 to 5); begin Assign_InA : process (InA) is begin inA6 <= (others => '0'); inA6(0 to InA'length - 1) <= InA; end process Assign_InA; XOR6_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => Res, I0 => inA6(5), I1 => inA6(4), I2 => inA6(3), I3 => inA6(2), I4 => inA6(1), I5 => inA6(0)); end generate Single_LUT6; -------------------------------------------------------------------------------------------------- -- Two LUT6 and one MUXF7 -------------------------------------------------------------------------------------------------- Use_MUXF7 : if C_SIZE = 7 generate signal inA7 : std_logic_vector(0 to 6); signal result6 : std_logic; signal result6n : std_logic; begin Assign_InA : process (InA) is begin inA7 <= (others => '0'); inA7(0 to InA'length - 1) <= InA; end process Assign_InA; XOR6_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => result6, I0 => inA7(5), I1 => inA7(4), I2 => inA7(3), I3 => inA7(2), I4 => inA7(1), I5 => inA7(0)); XOR6_LUT_N : LUT6 generic map( INIT => X"9669699669969669") port map( O => result6n, I0 => inA7(5), I1 => inA7(4), I2 => inA7(3), I3 => inA7(2), I4 => inA7(1), I5 => inA7(0)); MUXF7_LUT : MUXF7 port map ( O => Res, I0 => result6, I1 => result6n, S => inA7(6)); end generate Use_MUXF7; -------------------------------------------------------------------------------------------------- -- Four LUT6, two MUXF7 and one MUXF8 -------------------------------------------------------------------------------------------------- Use_MUXF8 : if C_SIZE = 8 generate signal inA8 : std_logic_vector(0 to 7); signal result6_1 : std_logic; signal result6_1n : std_logic; signal result6_2 : std_logic; signal result6_2n : std_logic; signal result7_1 : std_logic; signal result7_1n : std_logic; begin Assign_InA : process (InA) is begin inA8 <= (others => '0'); inA8(0 to InA'length - 1) <= InA; end process Assign_InA; XOR6_LUT1 : LUT6 generic map( INIT => X"6996966996696996") port map( O => result6_1, I0 => inA8(5), I1 => inA8(4), I2 => inA8(3), I3 => inA8(2), I4 => inA8(1), I5 => inA8(0)); XOR6_LUT2_N : LUT6 generic map( INIT => X"9669699669969669") port map( O => result6_1n, I0 => inA8(5), I1 => inA8(4), I2 => inA8(3), I3 => inA8(2), I4 => inA8(1), I5 => inA8(0)); MUXF7_LUT1 : MUXF7 port map ( O => result7_1, I0 => result6_1, I1 => result6_1n, S => inA8(6)); XOR6_LUT3 : LUT6 generic map( INIT => X"6996966996696996") port map( O => result6_2, I0 => inA8(5), I1 => inA8(4), I2 => inA8(3), I3 => inA8(2), I4 => inA8(1), I5 => inA8(0)); XOR6_LUT4_N : LUT6 generic map( INIT => X"9669699669969669") port map( O => result6_2n, I0 => inA8(5), I1 => inA8(4), I2 => inA8(3), I3 => inA8(2), I4 => inA8(1), I5 => inA8(0)); MUXF7_LUT2 : MUXF7 port map ( O => result7_1n, I0 => result6_2n, I1 => result6_2, S => inA8(6)); MUXF8_LUT : MUXF8 port map ( O => res, I0 => result7_1, I1 => result7_1n, S => inA8(7)); end generate Use_MUXF8; end generate Using_LUT6; -- Fall-back implementation without LUT6 Not_Using_LUT6 : if not C_USE_LUT6 or C_SIZE > 8 generate begin Res <= ParityGen(InA); end generate Not_Using_LUT6; end architecture IMP;
bsd-2-clause
eb63ad92d57a919106a68048f7c202d9
0.43957
4.267621
false
false
false
false
rjarzmik/mips_processor
Caches/DualPort_Cache.vhd
1
5,353
------------------------------------------------------------------------------- -- Title : Dual port cache -- Project : ------------------------------------------------------------------------------- -- File : DualPort_Cache.vhd -- Author : Robert Jarzmik (Intel) <[email protected]> -- Company : -- Created : 2016-11-15 -- Last update: 2016-11-18 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Cache with 2 input ports and one port towards memory/next cache ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-15 1.0 rjarzmik Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; ------------------------------------------------------------------------------- entity DualPort_Cache is generic ( ADDR_WIDTH : integer := 32; DATA_WIDTH : integer := 32 ); port ( clk : in std_logic; rst : in std_logic; i_porta_req : in std_logic; i_porta_we : in std_logic; i_porta_addr : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_porta_write_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); o_porta_read_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); o_porta_valid : out std_logic; i_portb_req : in std_logic; i_portb_we : in std_logic; i_portb_addr : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_portb_write_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); o_portb_read_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); o_portb_valid : out std_logic; o_memory_req : out std_logic; o_memory_we : out std_logic; o_memory_addr : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_memory_write_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); i_memory_read_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); i_memory_valid : in std_logic ); end entity DualPort_Cache; ------------------------------------------------------------------------------- architecture passthrough of DualPort_Cache is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- -- access handling signal cache_valid : boolean; signal cache_acquiring_porta : boolean; signal cache_acquiring_portb : boolean; signal cache_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal cache_data : std_logic_vector(DATA_WIDTH - 1 downto 0); begin -- architecture rtl ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- process(rst, clk) is begin if rst = '1' then o_porta_valid <= '0'; o_portb_valid <= '0'; o_memory_we <= '0'; cache_valid <= false; cache_acquiring_porta <= false; cache_acquiring_portb <= false; elsif rising_edge(clk) then if cache_valid and cache_acquiring_porta then o_porta_read_data <= cache_data; o_porta_valid <= '1'; cache_addr <= (others => 'X'); cache_acquiring_porta <= false; else o_porta_valid <= '0'; o_porta_read_data <= (others => 'X'); end if; if cache_valid and cache_acquiring_portb then o_portb_read_data <= cache_data; o_portb_valid <= '1'; cache_addr <= (others => 'X'); cache_acquiring_portb <= false; else o_portb_valid <= '0'; o_portb_read_data <= (others => 'X'); end if; if not (cache_acquiring_porta or cache_acquiring_portb) and (not cache_valid or (cache_addr /= i_porta_addr and cache_addr /= i_portb_addr)) then if i_porta_req = '1' then cache_valid <= false; cache_data <= (others => 'X'); o_memory_addr <= i_porta_addr; o_memory_write_data <= i_porta_write_data; cache_addr <= i_porta_addr; o_memory_we <= i_porta_we; cache_acquiring_porta <= true; elsif i_portb_req = '1' then cache_valid <= false; cache_data <= (others => 'X'); o_memory_addr <= i_portb_addr; o_memory_write_data <= i_portb_write_data; cache_addr <= i_portb_addr; o_memory_we <= i_portb_we; cache_acquiring_portb <= true; end if; end if; if i_memory_valid = '1' then cache_data <= i_memory_read_data; cache_valid <= true; end if; end if; end process; o_memory_req <= '1' when cache_acquiring_porta or cache_acquiring_portb else '0'; end architecture passthrough;
gpl-3.0
82b95ea4b9f9736bd3adb92918ee01b8
0.456006
4.052233
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_sm.vhd
1
47,879
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_sm.vhd -- Description: This entity manages fetching of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; ------------------------------------------------------------------------------- entity axi_sg_ftch_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1 -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- updt_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_updt_done : in std_logic ; -- ch1_sg_idle : in std_logic ; -- ch1_tailpntr_enabled : in std_logic ; -- ch1_ftch_queue_full : in std_logic ; -- ch1_ftch_queue_empty : in std_logic ; -- ch1_ftch_pause : in std_logic ; -- ch1_fetch_address : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_active : out std_logic ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_updt_done : in std_logic ; -- ch2_sg_idle : in std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_ftch_queue_full : in std_logic ; -- ch2_ftch_queue_empty : in std_logic ; -- ch2_ftch_pause : in std_logic ; -- ch2_fetch_address : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_active : out std_logic ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : out std_logic ; -- ftch_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- DataMover Status -- ftch_done : in std_logic ; -- ftch_error : in std_logic ; -- ftch_interr : in std_logic ; -- ftch_slverr : in std_logic ; -- ftch_decerr : in std_logic ; -- ftch_stale_desc : in std_logic ; -- ftch_error_early : in std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_ftch_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Command Type constant FETCH_CMD_TYPE : std_logic := '1'; -- DataMover Cmnd Reserved Bits constant FETCH_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant FETCH_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant FETCH_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_FETCH*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant FETCH_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_FETCH*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant FETCH_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate -- Required width in bits for C_SG_FTCH_DESC2QUEUE --constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1); -- ---- Vector version of C_SG_FTCH_DESC2QUEUE --constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned -- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG signal fetch_tag : std_logic_vector(3 downto 0) := (others => '0'); type SG_FTCH_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, FETCH_STATUS, FETCH_ERROR ); signal ftch_cs : SG_FTCH_STATE_TYPE; signal ftch_ns : SG_FTCH_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_ftch_sm_idle : std_logic := '0'; signal ch2_ftch_sm_idle : std_logic := '0'; signal ch1_pause_fetch : std_logic := '0'; signal ch2_pause_fetch : std_logic := '0'; signal ch2_pause_fetch1 : std_logic := '0'; signal ch2_pause_fetch2 : std_logic := '0'; signal ch2_pause_fetch3 : std_logic := '0'; signal ch2_updt_done1 : std_logic := '0'; signal ch2_updt_done2 : std_logic := '0'; -- Misc Signals signal fetch_cmd_addr : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; attribute mark_debug of ch1_active_i : signal is "true"; attribute mark_debug of ch2_active_i : signal is "true"; signal fetch_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); signal ch1_stale_descriptor : std_logic := '0'; signal ch2_stale_descriptor : std_logic := '0'; attribute mark_debug of ch1_stale_descriptor : signal is "true"; attribute mark_debug of ch2_stale_descriptor : signal is "true"; signal ch1_ftch_interr_set_i : std_logic := '0'; signal ch2_ftch_interr_set_i : std_logic := '0'; -- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate -- counts for keeping track of queue descriptors to prevent -- fifo fill --signal ch1_desc_ftched_count : std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); --signal ch2_desc_ftched_count : std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_ftch_active <= ch1_active_i; ch2_ftch_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_FTCH_MACHINE : process(ftch_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ftch_error, ftch_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_ftch_sm_idle <= '0'; ch2_ftch_sm_idle <= '0'; ftch_ns <= ftch_cs; case ftch_cs is ------------------------------------------------------------------- when IDLE => ch1_ftch_sm_idle <= not service_ch1; ch2_ftch_sm_idle <= not service_ch2; -- sg error during fetch - shut down if(ftch_error = '1')then ftch_ns <= FETCH_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; else ftch_ns <= IDLE; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- sg error during fetch - shut down if(ftch_error = '1')then ftch_ns <= FETCH_ERROR; else ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1; ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; ftch_ns <= FETCH_STATUS; end if; ------------------------------------------------------------------- when FETCH_STATUS => ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1; ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2; -- sg error during fetch - shut down if(ftch_error = '1')then ftch_ns <= FETCH_ERROR; elsif(ftch_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, fetch descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Else if channel 2 still ready then fetch -- another descriptor for channel 2 elsif(service_ch2 = '1')then ch1_ftch_sm_idle <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Otherwise return to IDLE else ftch_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, fetch descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Else if channel 1 still ready then fetch -- another descriptor for channel 1 elsif(service_ch1 = '1')then ch2_ftch_sm_idle <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Otherwise return to IDLE else ftch_ns <= IDLE; end if; else ftch_ns <= IDLE; end if; else ftch_ns <= FETCH_STATUS; end if; ------------------------------------------------------------------- when FETCH_ERROR => ch1_ftch_sm_idle <= '1'; ch2_ftch_sm_idle <= '1'; ftch_ns <= FETCH_ERROR; ------------------------------------------------------------------- -- coverage off when others => ftch_ns <= IDLE; -- coverage on end case; end process SG_FTCH_MACHINE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_cs <= IDLE; else ftch_cs <= ftch_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_FETCH : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_active_set = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 IDLE process. Indicates channel 1 fetch process is IDLE -- This is 1 part of determining IDLE for a channel ------------------------------------------------------------------------------- CH1_IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_idle <= '1'; -- SG Error therefore force IDLE -- CR564855 - fetch idle asserted too soon when update error occured. -- fetch idle does not need to be concerned with updt_error. This is -- because on going fetch is guarentteed to complete regardless of dma -- controller or sg update engine. --elsif(updt_error = '1' or ftch_error = '1' elsif(ftch_error = '1' or ch1_ftch_interr_set_i = '1')then ch1_ftch_idle <= '1'; -- When SG Fetch no longer idle then clear fetch idle elsif(ch1_sg_idle = '0')then ch1_ftch_idle <= '0'; -- If tail = cur and fetch queue is empty then elsif(ch1_sg_idle = '1' and ch1_ftch_queue_empty = '1' and ch1_ftch_sm_idle = '1')then ch1_ftch_idle <= '1'; end if; end if; end process CH1_IDLE_PROCESS; ------------------------------------------------------------------------------- -- For No Fetch Queue, generate pause logic to prevent partial descriptor from -- being fetched and then endless throttle on AXI read bus ------------------------------------------------------------------------------- GEN_CH1_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin REG_PAUSE_FETCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- On descriptor update done clear pause if(m_axi_sg_aresetn = '0' or ch1_updt_done = '1')then ch1_pause_fetch <= '0'; -- If channel active and command written then pause elsif(ch1_active_i='1' and write_cmnd_cmb = '1')then ch1_pause_fetch <= '1'; end if; end if; end process REG_PAUSE_FETCH; end generate GEN_CH1_FETCH_PAUSE; -- Fetch queues so do not need to pause GEN_CH1_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate -- -- CR585958 -- -- Required width in bits for C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1); -- -- Vector version of C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned -- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH)); -- signal desc_queued_incr : std_logic := '0'; -- signal desc_queued_decr : std_logic := '0'; -- -- -- CR585958 -- signal ch1_desc_ftched_count: std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); -- begin -- -- desc_queued_incr <= '1' when ch1_active_i = '1' -- and write_cmnd_cmb = '1' -- and ch1_ftch_descpulled = '0' -- else '0'; -- -- desc_queued_decr <= '1' when ch1_ftch_descpulled = '1' -- and not (ch1_active_i = '1' and write_cmnd_cmb = '1') -- else '0'; -- -- -- Keep track of descriptors queued version descriptors updated -- DESC_FETCHED_CNTR : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch1_desc_ftched_count <= (others => '0'); -- elsif(desc_queued_incr = '1')then -- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) + 1); -- elsif(desc_queued_decr = '1')then -- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) - 1); -- end if; -- end if; -- end process DESC_FETCHED_CNTR; -- -- REG_PAUSE_FETCH : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch1_pause_fetch <= '0'; -- elsif(ch1_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then -- ch1_pause_fetch <= '1'; -- else -- ch1_pause_fetch <= '0'; -- end if; -- end if; -- end process REG_PAUSE_FETCH; -- -- -- ch1_pause_fetch <= ch1_ftch_pause; end generate GEN_CH1_NO_FETCH_PAUSE; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_run_stop = '1' -- Channel running and ch1_sg_idle = '0' -- SG Engine running and ch1_ftch_queue_full = '0' -- Queue not full and updt_error = '0' -- No SG Update error and ch1_stale_descriptor = '0' -- No Stale Descriptors and ch1_desc_flush = '0' -- Not flushing desc and ch1_pause_fetch = '0' -- Not pausing else '0'; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- INT_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_interr_set_i <= '0'; -- Channel active and datamover int error or fetch done and descriptor stale elsif((ch1_active_i = '1' and ftch_interr = '1') or ((ftch_done = '1' or ftch_error = '1') and ch1_stale_descriptor = '1'))then ch1_ftch_interr_set_i <= '1'; end if; end if; end process INT_ERROR_PROCESS; ch1_ftch_interr_set <= ch1_ftch_interr_set_i; SLV_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_slverr_set <= '0'; elsif(ch1_active_i = '1' and ftch_slverr = '1')then ch1_ftch_slverr_set <= '1'; end if; end if; end process SLV_ERROR_PROCESS; DEC_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_decerr_set <= '0'; elsif(ch1_active_i = '1' and ftch_decerr = '1')then ch1_ftch_decerr_set <= '1'; end if; end if; end process DEC_ERROR_PROCESS; -- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor -- from being used by dma controller ch1_ftch_err_early <= '1' when ftch_error_early = '1' and ch1_active_i = '1' else '0'; -- Enable stale descriptor check GEN_CH1_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 generate begin ----------------------------------------------------------------------- -- Stale Descriptor Error ----------------------------------------------------------------------- CH1_STALE_DESC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset then clear flag if(m_axi_sg_aresetn = '0')then ch1_stale_descriptor <= '0'; elsif(ftch_stale_desc = '1' and ch1_active_i = '1' )then ch1_stale_descriptor <= '1'; end if; end if; end process CH1_STALE_DESC; end generate GEN_CH1_STALE_CHECK; -- Disable stale descriptor check GEN_CH1_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 generate begin ch1_stale_descriptor <= '0'; end generate GEN_CH1_NO_STALE_CHECK; -- Early detection of Stale Descriptor (valid only in tailpntr mode) used -- to prevent error'ed descriptor from being used. ch1_ftch_stale_desc <= ch1_stale_descriptor; end generate GEN_CH1_FETCH; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_FETCH : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_ftch_idle <= '0'; ch1_ftch_interr_set <= '0'; ch1_ftch_slverr_set <= '0'; ch1_ftch_decerr_set <= '0'; ch1_ftch_err_early <= '0'; ch1_ftch_stale_desc <= '0'; end generate GEN_NO_CH1_FETCH; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_FETCH : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_active_set = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 IDLE process. Indicates channel 2 fetch process is IDLE -- This is 1 part of determining IDLE for a channel ------------------------------------------------------------------------------- CH2_IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_idle <= '1'; -- SG Error therefore force IDLE -- CR564855 - fetch idle asserted too soon when update error occured. -- fetch idle does not need to be concerned with updt_error. This is -- because on going fetch is guarentteed to complete regardless of dma -- controller or sg update engine. -- elsif(updt_error = '1' or ftch_error = '1' elsif(ftch_error = '1' or ch2_ftch_interr_set_i = '1')then ch2_ftch_idle <= '1'; -- When SG Fetch no longer idle then clear fetch idle elsif(ch2_sg_idle = '0')then ch2_ftch_idle <= '0'; -- If tail = cur and fetch queue is empty then elsif(ch2_sg_idle = '1' and ch2_ftch_queue_empty = '1' and ch2_ftch_sm_idle = '1')then ch2_ftch_idle <= '1'; end if; end if; end process CH2_IDLE_PROCESS; ------------------------------------------------------------------------------- -- For No Fetch Queue, generate pause logic to prevent partial descriptor from -- being fetched and then endless throttle on AXI read bus ------------------------------------------------------------------------------- GEN_CH2_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin REG_PAUSE_FETCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- On descriptor update done clear pause if(m_axi_sg_aresetn = '0' or ch2_updt_done = '1')then ch2_pause_fetch <= '0'; -- If channel active and command written then pause elsif(ch2_active_i='1' and write_cmnd_cmb = '1')then ch2_pause_fetch <= '1'; end if; ch2_pause_fetch1 <= ch2_pause_fetch; ch2_pause_fetch2 <= ch2_pause_fetch1; ch2_pause_fetch3 <= ch2_pause_fetch2; end if; end process REG_PAUSE_FETCH; end generate GEN_CH2_FETCH_PAUSE; -- Fetch queues so do not need to pause GEN_CH2_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate -- -- CR585958 -- -- Required width in bits for C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1); -- -- Vector version of C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned -- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH)); -- signal desc_queued_incr : std_logic := '0'; -- signal desc_queued_decr : std_logic := '0'; -- -- -- CR585958 -- signal ch2_desc_ftched_count: std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); -- -- begin -- -- desc_queued_incr <= '1' when ch2_active_i = '1' -- and write_cmnd_cmb = '1' -- and ch2_ftch_descpulled = '0' -- else '0'; -- -- desc_queued_decr <= '1' when ch2_ftch_descpulled = '1' -- and not (ch2_active_i = '1' and write_cmnd_cmb = '1') -- else '0'; -- -- -- Keep track of descriptors queued version descriptors updated -- DESC_FETCHED_CNTR : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch2_desc_ftched_count <= (others => '0'); -- elsif(desc_queued_incr = '1')then -- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) + 1); -- elsif(desc_queued_decr = '1')then -- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) - 1); -- end if; -- end if; -- end process DESC_FETCHED_CNTR; -- -- REG_PAUSE_FETCH : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch2_pause_fetch <= '0'; -- elsif(ch2_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then -- ch2_pause_fetch <= '1'; -- else -- ch2_pause_fetch <= '0'; -- end if; -- end if; -- end process REG_PAUSE_FETCH; -- ch2_pause_fetch <= ch2_ftch_pause; end generate GEN_CH2_NO_FETCH_PAUSE; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- MCDMA : if (C_ENABLE_MULTI_CHANNEL = 1) generate NOQUEUE : if (C_SG_FTCH_DESC2QUEUE = 0) generate service_ch2 <= '1' when ch2_run_stop = '1' -- Channel running and ch2_sg_idle = '0' -- SG Engine running and ch2_ftch_queue_full = '0' -- Queue not full and updt_error = '0' -- No SG Update error and ch2_stale_descriptor = '0' -- No Stale Descriptors and ch2_desc_flush = '0' -- Not flushing desc and ch2_pause_fetch3 = '0' -- No fetch pause else '0'; end generate NOQUEUE; QUEUE : if (C_SG_FTCH_DESC2QUEUE /= 0) generate service_ch2 <= '1' when ch2_run_stop = '1' -- Channel running and ch2_sg_idle = '0' -- SG Engine running and ch2_ftch_queue_full = '0' -- Queue not full and updt_error = '0' -- No SG Update error and ch2_stale_descriptor = '0' -- No Stale Descriptors and ch2_desc_flush = '0' -- Not flushing desc and ch2_pause_fetch = '0' -- No fetch pause else '0'; end generate QUEUE; end generate MCDMA; NO_MCDMA : if (C_ENABLE_MULTI_CHANNEL = 0) generate service_ch2 <= '1' when ch2_run_stop = '1' -- Channel running and ch2_sg_idle = '0' -- SG Engine running and ch2_ftch_queue_full = '0' -- Queue not full and updt_error = '0' -- No SG Update error and ch2_stale_descriptor = '0' -- No Stale Descriptors and ch2_desc_flush = '0' -- Not flushing desc and ch2_pause_fetch = '0' -- No fetch pause else '0'; end generate NO_MCDMA; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- INT_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_interr_set_i <= '0'; -- Channel active and datamover int error or fetch done and descriptor stale elsif((ch2_active_i = '1' and ftch_interr = '1') or ((ftch_done = '1' or ftch_error = '1') and ch2_stale_descriptor = '1'))then ch2_ftch_interr_set_i <= '1'; end if; end if; end process INT_ERROR_PROCESS; ch2_ftch_interr_set <= ch2_ftch_interr_set_i; SLV_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_slverr_set <= '0'; elsif(ch2_active_i = '1' and ftch_slverr = '1')then ch2_ftch_slverr_set <= '1'; end if; end if; end process SLV_ERROR_PROCESS; DEC_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_decerr_set <= '0'; elsif(ch2_active_i = '1' and ftch_decerr = '1')then ch2_ftch_decerr_set <= '1'; end if; end if; end process DEC_ERROR_PROCESS; -- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor -- from being used by dma controller ch2_ftch_err_early <= '1' when ftch_error_early = '1' and ch2_active_i = '1' else '0'; -- Enable stale descriptor check GEN_CH2_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 1 generate begin ----------------------------------------------------------------------- -- Stale Descriptor Error ----------------------------------------------------------------------- CH2_STALE_DESC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset then clear flag if(m_axi_sg_aresetn = '0')then ch2_stale_descriptor <= '0'; elsif(ftch_stale_desc = '1' and ch2_active_i = '1' )then ch2_stale_descriptor <= '1'; end if; end if; end process CH2_STALE_DESC; end generate GEN_CH2_STALE_CHECK; -- Disable stale descriptor check GEN_CH2_NO_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 0 generate begin ch2_stale_descriptor <= '0'; end generate GEN_CH2_NO_STALE_CHECK; -- Early detection of Stale Descriptor (valid only in tailpntr mode) used -- to prevent error'ed descriptor from being used. ch2_ftch_stale_desc <= ch2_stale_descriptor; end generate GEN_CH2_FETCH; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_FETCH : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_ftch_idle <= '0'; ch2_ftch_interr_set <= '0'; ch2_ftch_slverr_set <= '0'; ch2_ftch_decerr_set <= '0'; ch2_ftch_err_early <= '0'; ch2_ftch_stale_desc <= '0'; end generate GEN_NO_CH2_FETCH; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- Assign fetch address fetch_cmd_addr <= ch1_fetch_address when ch1_active_i = '1' else ch2_fetch_address; -- Assign bytes to transfer (BTT) fetch_cmd_btt <= FETCH_CH1_CMD_BTT when ch1_active_i = '1' else FETCH_CH2_CMD_BTT; fetch_tag <= "0001" when ch1_active_i = '1' else "0000"; -- When command by sm, drive command to ftch_cmdsts_if --GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ftch_cmnd_wr <= '0'; -- ftch_cmnd_data <= (others => '0'); -- -- Fetch SM issued a command write -- elsif(write_cmnd_cmb = '1')then -- ftch_cmnd_wr <= '1'; -- ftch_cmnd_data <= FETCH_CMD_RSVD -- & fetch_tag -- & fetch_cmd_addr -- & FETCH_MSB_IGNORED -- & FETCH_CMD_TYPE -- & FETCH_LSB_IGNORED -- & fetch_cmd_btt; -- else -- ftch_cmnd_wr <= '0'; -- end if; -- end if; -- end process GEN_DATAMOVER_CMND; ftch_cmnd_wr <= write_cmnd_cmb; ftch_cmnd_data <= FETCH_CMD_RSVD & fetch_tag & fetch_cmd_addr & FETCH_MSB_IGNORED & FETCH_CMD_TYPE & FETCH_LSB_IGNORED & fetch_cmd_btt; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0'); elsif(write_cmnd_cmb = '1')then ftch_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= fetch_cmd_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB); end if; end if; end process LOG_ERROR_ADDR; ftch_error_addr (5 downto 0) <= "000000"; end implementation;
bsd-2-clause
ea47b4b33cdb5f6835ec8b3a598ef9f8
0.425823
4.41892
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port_map/rule_002_test_input.vhd
2
585
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1(3 downto 0) => 3, G_GEN_2(2 downto 1) => 4, G_GEN_3 => 5 ) port map ( PORT_1(3 downto 0) => w_port_1, PORT_2 => w_port_2, PORT_3(2 downto 1) => w_port_3 ); -- Violations below U_INST1 : INST1 generic map ( g_gen_1(3 downto 0) => 3, g_gen_2(2 downto 1) => 4, g_gen_3 => 5 ) port map ( port_1(3 downto 0) => w_port_1, port_2 => w_port_2, port_3(2 downto 1) => w_port_3 ); end architecture ARCH;
gpl-3.0
466513dc8167b3d66a7c163ea19996f2
0.492308
2.683486
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/half_band_FIR_funcsim.vhdl
1
484,479
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.2 (win64) Build 932637 Wed Jun 11 13:33:10 MDT 2014 -- Date : Mon Nov 03 20:57:00 2014 -- Host : ECE-411-6 running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode funcsim -- C:/Users/coltmw/Documents/GitHub/ecen4024-microphone-array/microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/half_band_FIR_funcsim.vhdl -- Design : half_band_FIR -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 27152) `protect data_block zp/jJWEie4omh7Qzz3spuqUi2tzK9hIRMb7v4GjYnIkwFv5aP0GMXA3QxV9o0D5XNe4hBliWKPOc VOed7cgiureMTT/OAoDPlM3bsdkarae8bXMNTtTZFnknS/EbRjn7/JEqiNzVg/Js9FKECF7KjXss +eOSSgbxTc9hMHuWgKjCmxGAiitLSYTd9KoYyt1/9OPesWnkQXhGSeNgEG02RiUS+1CNqyrAizYa iILm3yehgGcgdwZmd8rNvnHlgdsPnTAn5zpL6ps9msAUOwEWfInF/BWctQauonmlWHRHBXEJljk3 hkK8QBfrLvxTAlfOQmQxB4mK5xcLvxDuKwQf5cOo3Kuv9n62nmjNbQyW5R6SWS9tGcI0mvBsVDPr vCquyyF0JLgEE8tpsilWRpGeSnZpV7FOn2xwLLCb5gR9k0tTadZGb0OCKauyq1VNpkmacfQsIEKF Pfernw1+4FMK1tBM0m/cMOvwE7s7HbdaIJpa7aGJolDimBuBlenTMF1OOU6uCFQZbkPnFuonhTtW sdiLzkYpwEiXRJBq1W0Fp+wjOV64v0SbJYjNQiLK4flbgRo4+eHJKW2xQvOKz7iIWXdAozRTVoUU s/QiZRzSEKlXW1RMVB7N9G4O3Nc3scxqFRjPphLzvv+huvNfU50RNxxV9VX6OD/vsxo1670wHVfL rbhHhcavRc/DkX/Puikwdu1NxdgW68NPY0a6T1IpFdQOo5Tjm/w49OSfImaimVlEttk9zk8kd+g3 9193cxR+xNPLDa4ifEj1lmNfnsPuCr5Y0AyjO1tr6/u6HrkARhL1LtgG4BA/vRtzpMbarZLCrg/A YmuRFZi1sFM7uXUApHnehSthSu3ZnnzaGzrTIIIclNlrruBF2hZoQlMx2scRK+P2oA7X3J+9/YLS 3TwTvS16/yScqvdtnA1e6B3qcY3PO/C6k3j86TnLSkasd5+uCMazIGueQmmMEYiKeXBOsQ1MiBZ9 JQsU0MO7c6F6vcxqOSGb6r6/QTHnzriEy12YQ3D7uVTPzDUS9A/yU4v0UX/ei8tsuOrtAzYFpM1M G+W8c8Hu3FKKOWdmEf2kz1PseQOSaJ6S2JpmWeJGekcbukC/tqRNRNeOb0fgPgYcmBchl2icmqKP bo8iszEifDIXmdOM5zai+7o03rl8QOqCfzSl3kN7wphC13Yrq2fnQinKIu2dV7e2A/1FG5F218H2 z+fU5lLQL0TuxuxFnGQinuC5ZlIz6NzyDptenaWOIBigtfH0eBaTCNOVCO0tRWiiNPdJs09X/xRH 04qjINGOtBYRlyRRb2o/s8VJd+xhcbWLDUpGS5qyyfp2W9yzsSNbXDZk21e7GQJ/KhcbiDrG0SxD 2l6jWADZHytyQ+sfh4JA2cA0EYmeTvkyI6AVRF5Syk40COZedjC5T4AdyevH8/0n6v7zXSlyrUmx ONKkk/IYPFIreu7imaSNTKuI0bgaI9mf7OS56FeQlDFvHMSrdIAotHvFfTIJmHosxywwB9pYbE5P 1kWUogD4kYRXz2y12V4vO0p++wGW2/j6+QVMkYsggp9MAAmaj4XpBgMWDKhjH6+k3iUKh2CkzRUe Dmuu5+/q7udWU/SmxFrSHVPOyKYvH9pLSIfhinrvs6lkKlqREprpJMbli59dTmMrIBpebFhAjFbl 9YU8GkPK31EuhiNNbqJad+E5NdJqvHgOWYQiING+SxwkpebpgoDYxOifEjLkbyhyEnf3jdmW8SB2 vDPoJjxa2cyR4l4wUI5G0Q10bWh9T2ZILmCP9qdmL4VxAC6aRve8+qm5iCs96Rn+mCy+eA81jTQN bt19HcQAyTjhhl2MCMq09Jest75vsO8n35AJzmC3A3yoXoZZRbob2bnNacLVi+nAzOrP9+QT6TgQ HkZfGceT3jASPmeAHOQUp1gh6koqWvpwo7S7302TXChz380sIWYh4DiUoP9OgdroIJMjbuwmhN0S vrnQ6k7vER3QkLiX0T0esJA8AA9cW/KqdwzCjiqi1kl7/nuMzQEaH/Lp0v51ejeUatLS4NLYx3HN pTPeCI+T7BvJMreHR3c5SSHyjIOKU9MMkyO272S0aY2msqCMg4SrfL7z1lkOC5/pGflsoEFX841E ptxjJSDS5pxCv8z60s8wXGtNgebm1D3Wk1f2Ce00KEpRSeZjdNAE9C4wQiz5fiq0DWY1Jk1IdEcH tBbyrLECZKKp/XxDA9ok2q9rxS16nC/m/3k27WLgW6GqCdqjIw8MOYSHkXCOmMquXYAA6HrEC1xr iqRPd7yKq2nriswDzUr7G83iv3CcMnEfk76S9iCm+aPH2NVjr/iK7h1VuEV0lEikdl1VyX4DLEGN cV/Zi48GFC1EYvwQUNRT8n0aHg7qXORSKFyuGnL3eP4gO0wCkA3AggLSlh8jXjb5aOHEzaOhYnvV k7tkGQAoYPQ1So690qD6vZu3hE52wfIQxWzSJtZs/AkFbAYMlUtXq1Ybdzm+ws6WIi2rqsHga216 zjJr0+PLtmBhzioV1NdxdIRJCmjJv1Vg0Ww/0vObIAjceeZdKs8u0wURRzFSQrby2uE8TsEu+lKo u8C7ihs3825uNGlSqGCbDAMnA4Q+OnZfm24EOfXqeHeqA2lNFvTRPiVI4NNrD8QO3Lr3kr0sM3br kZvV7a84JoijolPGLYLYBs4R47klyozXuJoMTXHsqYN63tkeuFT5bOYhWlmBbeYi3MrvCMjc7UA/ DhHDfnr00qWLZE4VQK3Tox77dXfRmsAhsQijVY20A2GCmltL3K/FcQW2aTbJchHxTnelPVoyLLA1 cXOVLJpGLeQB2UuBidGsu95XEj43nCeOlJbNoNci/CedHW/RmUqMcIZhzh/6yAGZUdqAYvaevbUc 5N4mbX1K+QMznks4NQu5/61/EzKDD91lVrg6j/yzv6yMlRyO3xzYDPRTWC+q2QiytUKsbWexH3x2 +Nx8+cFBXY/IQ6Hoz5LdXvG2wWYt+zhLSL8vIUFtrT379qn/RNc+cZp7V6KaoakkFyzQT91G6n0S SlJvJCXtm7Xu6HyteQZW4zw6OKKgUKbLjqiEeIUT2S+kE3ULmAv+tF1HSquy0IAlJxqMM+aQNkYF j9N6tFN7FWjkk5GRcbB3uP7FHfS4l6bQnAad4VR4v4RKIUbRLea7yZmOeshrVAskTQvIPlmaS6kP Nx5DbThv5i1xNv6CiA7D5XFQj+hvwQuix/1kfRyhc2Oq8vaFG55HkvkLSGqClawUpGU60kqriifV zL1DLEsfWG8b2haUw+oNCF2OItZSYcQhobvINhzWmNuCIJIT1ZI2H4DoZw/kK9oEKBcIpJOsxozd 3VSSrBb9CyHHLHaL7DlqBtdhX7Wb06P8e3sMtah/cHGklI5YQBU9iFZDtbw9wZnLPAuqX+GmOOA+ /XHfibuBLNGOe22jd+HlLsjyz5MBkZE4zJ7HaYs78V9HbgqyB9Ni6r/RH0Wz8QlM2zw616U6KPIp C0kNCxZjSGisKTza66U8CknVK57BPzWI6lkfJDnn68aiMAEyz7izM6VO3YdOe4CIXMUHbCOFaJeY fGEploscfv/PX6P1bjfLGBFrSjsI03lyS24BGcyU8p7KoJvw4uhYvoEKslSM5bdZM5xUS1GLkqtW TeC5uwfIO08qZRAkVn93XQnGsp4d8/Yw79bQ/MZG3XU5WaUcI6qgMa/fA3UZimds30pQ5ocWNDmI GuEs89o7E8zIl4C1eFdoCtS3AY3Amo3f6h/Fjoi9sX2MEQ/o6L+66QajuuANnpoeAiwvudApRDs1 5a9Gs2Iantuc3TYdyQz3theFw6+A0R5DgGOIYTJ69rPjCapWhj0O48JtZrP9PbGjRXWAM4S1JWfU UEU+akr2uyH0QyWilgl/G1S6FMFhxfaTqV25jmE7VuvEbsxoALohV4kRLBVnLLgmfLFgeLiSZj6d C7Vpy3c2YKWJX2qZc1Lgf207aTvCn7P/nC8pOw/SIo1fvWADgrhP2flWhmSDBuXkFuFF+eKZGfqt iOEz2XNqjb2+20RFXSc6Dx2JGjx5jVK940g9vtbERsR8JO36zlT5xMRy8/f8y93tBKZ0Gp9XjCRc RqiVrSj4opS1tFnxfcE2EGYQGJnaS9JuyNoRoNb6kjcxVhQmAf1RAgw05vl+3QeQv2hHx126wj5b R6cUUK8n3TAxnLVWhR8A2AI8oel1DJOa9HPyjR5dyp9ZnkjlbVCoV61VI3VTiwIZKytmf5no/hLB 8hiU2IOEt+XNU6kFkjxaahM0nmbr1YC6BleAXZMhgdjM39t/fcMh1K8/Cii6ecjMog4Wney+/iK1 F9FLVOOgMAu0O45CI7GWQgew3ptBYlMzRG5nieuund3J7+XCn7VcUiakVsJyct+kfSHgl7p2+IbN cLLwDaN9ZMMd4yTpP0FZwFtI+aSK0gffHzx5viinJRguyCT0hoQmO6eViziMMcr4k6kNXi7Ei4Ps j5DdnAjQpgBkU9IsXLH8nHNl7/Tc0P2lvmOWjL5nbw4yGkrCWZZiPUDI4c/jKh0E38cSfiyXUIsE uE6SKsL1xJQZ7zZFa8bqnVVplAKsE5KKxjKQJTMrbkugBVt4Tp7MGTwPTTEkdREYugdheZ1lz468 reI1WB15tOALFw2hMkCWLWrT8lv6QA4xMOTZOKmbAKfTTV1IAIQtnP6Y0Gr3oxfGo+ojVKNNYQX5 ZrT7nBdhxMN0DW5qioKLNKMBoPshDM72/rdHHvqHdNLGNMHubl2sO1mn91nQwrmkUr2X0B+Ug08T SLpd+OCjRRH9QpelOp8zKAAenCdyGDYzI4ej30sOFMJbSBRlkMwnKbNyC00wbDzqaBaxazQGsAoq HT9WEag4RBUmFzl6U3DUAPNwU3PQ7Es+raCW9xIez15SS8Qq2p2+ItVy0icEgrWSWzMAbYgYbNWP oWVxTiLeu4BoIS4fHdn9FzI0UtIF5Nxr1G3WYdSDed6Lavq6MBYdFTewsoPhw8Mlxdx1iswqt3Wi 98mAaiCiDSJ2pWt48i6w/ie75weXx1mNN5prvztVSSsC9M19YAE4E2ABwn5I8I9mNu6QLSK9AG/1 mqhmu3RCYRMPrCT+Akb1M0q8ZCgjMQfeLyFloTKyN49M1t0KnYjr/IxPqYP8rMYmBlR1HDFA6/G/ 7PAuT3u2+IVHVBj7WMBllxv1kAobw75S66STa9taS6s3HXyNNRbR2UsJJe8dXMtg1DPazOzIA15O qa5vVBkQr7uIyokU8H9aWjZPD/BJLsgseQAamcNJA/zheE/GuR4o180AElUB96nbBB4HiP3tSqyM aVDpsObO/6Jh7hS/Q2KejKovdzrODnqSNnqq6MEZaIVrfcaIKWeO8C1nMyijB2x5RmPb2GVrBgvl 3eA89k2b/bUy0MZZHB17Yl2lJTKSlRHItz7IkdxWHC9RJ8Df0/bFPXbIUk11Dyz//lMCdjE9bdwY nmgfKSIVnBsj4whVTxADtr4w0YshHEQaE7z+LogDG+ciqAHkV7mTLdFgPiFLb3IbAT/qHghCk1sU VzSS60qDY+3mUzJhtjuIzrg0WlLYkZFHqADJtJGM2UJaFsoUSEI73P68ngLpsqqZhmpLDkmRvrLg 3eUlU+xGyB5x2+0QNTXbr9SXK8cSQu8A2DOtrCRFBVENgL3FCaeIFndV2NyRyDEpL+gGJIw98Pv4 28DJPksmbin2hzMqVdqcwluYfcOPIQnkApCDjWIhq4hakM/iEVgq3cuyF2It4GBkMHCkFOzdJmsF VQGTnUPxOFgA1AK1DgLTwjGmHDL837fPcINQqc3qSYN8LPozEnhAK2FrA8tXBqpcj+AVIgehkvOz 4mJ7vF15EwgZadtmFVPIIF1SBSCjNgvAxuGwBuAAFyu2B/bFZoHa4FGmUEuFI5oS/M3eQoyslUkn Kc54Y6YpjBd1zanfzYIhL6mUka/U7h5Sf1tVYeIEpFrtmeQy5pE8lrBPHHGuSQKfTr5uiuwN5Cwh bUen5BbTMB/8WjGtnFtyOSsuJ4yG5pnMZWD0cegoiCZe65847ojvPynBbj09GWiQSmp3bSE1B9u8 YwwIV/BnYPuaCPQ/k5Cmywnpkb85Hlx/sIyfNv2Auf/5ItqvjAa9wVOzZd2ffP4OVcDYT0kuc3jN 48UiykEbiER8bL7vUgqJBLYw04IHzTpmgHnrdRyvWaHSRhX6/RSysEoZcw5z1izdBnWREtjPPVKl qXVC5uNmMo1waysOFYMjf9Mg75urRfrT0KTPyl2QIE3yYlh5UXVpdHaUR+SDqMu8+OoeLsEdhHLV e0L3r+hCvlMzKmFPpU2xE9g4zyBA06jbnEx6nOYqEAi3tCMI5IrXFLDIo3+wYFVIBvhEGAGLqfuq WfhwZsITJ6b+VWYZpm0Xe/aEp3mykh4LJaZOLyG9yEAQxRDLt7+3KDXUo7EkGsXuDYJwBATZOU36 AqFTIlujpFsMg1yTeT1Fxo8OM8X2gLCgl+kWcDgrxlzeb9oNavbf+diEN6FRfeSginadVe3XjB2H uGVdOrA/9SeVDTX7g+a+P96YrChWw9qa9gU8eI7/JMWKu9WLwqxfzPWquhTIjQr6Uf/96wSMtZ7R veRaP0EYKCYvcbBQXGmb5JamFdlh0Zebp0fvMrIrWaXOHKOgrPIBzyAIRaMeqEmnyLxYr0HGbb2X keaHP6t8cSgjhoE5ZmP4/lSpAV/aKPPWaBb8Sbu58lKuCpg1HVaylsO5gnHnc+FDNTpdtWSi9jzg +bH1Gnh2VsRWDyrH4Qw2v+vBHq3b8LH6KEV3GOqDnzgKKyEnMas85LjFYiWaLXoa5Byi8ZzMXIIm BHPSxPkLqtiCapJRBVPY0B03racjG1GSCKvgaqr2U9O/YwUwUTQNMZ7LFkUdewU9ri0m/7de+0R2 8dU1i81d42/rlXFpcMDF2AOzWODb/GawI5RWXy8go0BHGEGUXE945t5oAs/v5J3vtU53UfF8Sdbs 4X8yWdLJwk6VziY3TPL/gCEKU1rbRNrQkaHNsW20Ujzdp3Q1/4VXJ/5izFjK2F5Mh4YMXI6ZlUmP 8VasqTKYW3SP4OEZFRRs3hRBksazZk3MDYjbsly10Ylsm1gqY1HT/kS6jlFYwoygs8uWrZOVAoJn y+AMyTAi7qHwkRXL7acYN4kPBHyjqHdzal8EeXRMLbhnEdeJapu/tBx9Uuh/+kEXOl8Q3EMYTr0i 5k/C6ciFVIyI8dz5LYqh9XL2iKniuORN6OrID+q5DVk4FJRFsz7SXgml01CPRIODA3uMeyggACPl V2Ag3EzyUWrD07JwzzWhb+dbWh/GtqIPKbmkU7If+wg/ATlfnG1l8RWgv4gmdebzNdJncnbnPh60 2V2EWzn+zlTn1A3BrjntHuG6lGLAIIJyy6VQOpwshT59mFGKD0DXHYhUoTdjMxG1yUfTHPRxXP8l 3omLUg/+kuLHx8EzfdSvYFK9GUiVPuheCoqC2PavMIqoF0HwF/sH1hzZmDTCp5NK1a5GCGAOW82y j1DAGHKAJ7HeCh0w+lkd/LIhFE2XLx58Tel7VaaPbTlT14YGkkorGXB1Hs3T4PfA3Akqe8oyUWt3 hm+tCkNQE5yoT1XZ7ZZfk7uCXIUQ4C3mMiQNJVpxjgRqohkEO1TYQio6MaWQ3aUPFcBexEcFZB3g 7uRP9j8J9EQZutv4bFYtRlSb442eOz6uRbJIktURm0VgkNDTP6mAH8b3NJ/IcVFiUKyo6fKEEd6w cq/UAeHkolJKrtDRROrkfGNxilGSZhFMaIbY0pVe2r5QCVCMmfWkiSNXlE4i+fMUaXvykZslWc5K FT6VK/8u1+7woQbDJ6Jb6np1hYGz8xg/H4HPXhYX1ySZgNbAq23UsjqETyLBVArPw2DLKoRJnrA0 X3/UB+tNmcFmyqYe2vlEvhK6U2wv5yL+DMvJnar+q5f+VVcIuXMEQ3Zn8tTZ92fhbwYIBcRgUKq5 S9oExDUONH1mNEzc06/CU/oV+/noWH5BbwYUphRN9sLIyq4NH0RQDUB5yr3jp53gRZBPM5vW0MjF kW8kcYnax4Ez8QVbMpa4L22jW/NrdyKoXd2BgKzXTR7XWaCEiya9JzTKbUowszbXhhGlrT7lWbsp zCcQUq9Z4qGbV0qmi3YBk8Zd0JQBkJzvd8iFXTipQE8FzoO+Uta1QFh3kdMz3hWc19KJCEfJ/g71 0nHbA79gmhsXt6jUESkVRcFz5/2JDP5886F+QvctkuppUWb6gWbQ8TXT/y/Xrz+6uXN6HW3GU5/a eLoUIPIxvjDKrdE82wsz/s83aumy3fYHGfSte4jB2GTVuowhRVAdmUWV0unGQogsM8DePNaT5PYt 4mRwhGIssAXs7JdOZRAvQOgHzkyioDk049Mh2l1ngDBNFBcjoObRzxRO2/vsTy16A2Hizq/E7aLk 146/9nyZjHtMUOHjHKhu22UlfHChxns+dNqOts4m+tqCb9MpHUfg1FXIu8U7NO37K6KP69BlY8xs vB7s0eSOcuZb8dNpDDuwEjcNRDQ9O8laatDOUkNL39g80H/isoQHjYWRXUP2QzF74nLHxFXOntYZ 7HJ5N1ZR3xiSVmKWm2A8Q6stb+lCrJfNe2OuAKe82HDZqKQ+jweJeVp4hnpfvQY7aDkBQ6Ntc1MQ Ns5UAdLwhw8tKPWPBs2Opz8UQ3/2GEBhwi1BYBvCvVEL2mwTWn9IFHrss8HwQO4Rr8JrhhfR+a8J DjEEjEs/gQu+jvPfsUfkii6tCFpTfe2Q4A+eZbWKgntvrG5Zyx6dsg3V9gMPMbbFXLHRLi/HG6Df CcXxSHKJs7A95IThu3WX69fXBkbIhRSdrBF8oDCmcXtkQldCt4+aYsv/ihOthJasAjaQ6lkEzR9p R32R58A7dKCGA0OZiMHccltCzB3yOPt/d3yZbjWXpa7OaUIxQQWk2Msj/qHDbRG5wvqXh1iHJxbP /L+Sp1lyEFoUTu6vFoYI0+0XFNy/fdjFvrnQmgOGRvQhRGYbqc1oiqxYoqAmVgjmRB9JXe1WTC4O 05ctpx55f955iZr7Q4b/JKEKmdLE3skDEAuSgtcGxaurQQnAsu45ztWcclrkewII9jfXKiQPgfnl CWlEt8yKdWd5zsmft9O+BjjravuZWEAqXe5UE9jMKaZA3VCggdlBs9qwhhol5euSs3VQdVf/bhOg BhA7pD5BP93Pted9cMet1J8AE3EFt8Ve/gUve89jd15KJK4KMLKIm/xg2SY1Y8wXwRusPzp7FtKx MncnlCovQmqaXqGGsgAEDw8lX5QdygIk6ni5cmOqW3yE96zC6SDrVgIflhWiF9+A+8uOjnhJ3va+ AJKlfsl2o10K8Yk6MUDFnAKaRToD42XJf807JlxPuuLlRWcnLaj9aJ27oxYET/mydgmlfIJrQV1T ShIZbnX8+kY34w1Atk0ovS/HNrR1kVGUa8LZN08g57PjIIRNydnb96re6e+73BQ3zKdLilN00Mzc f6bksyCHxFQ30m1HjCJQgGjNCKDvRUcZnMBhcabeJZP0HhMe2BfMI3Ae8y0TUuGKqb/HV85F0iUm 1yr/OAfxNiWvlEequIbPUze3Fxvj4Pf4am86raqMW1GuZf8q5QICQS6icy/ery4O+dfLlS6IHbnO 4ZVwX2nsabsw/0AxeEgOeii9Tu+ft5uT/KPEO8+IW0BSbilJCXJ2OduM6eCuNyJx9OreFJzLc8nr HIYHCrVV0px7mqIBzKfwvM9sZEZUqVQPYzy9hvlymE5dOjV9HuJC+Ei5E6dx5U0VbtQrVS6pN4xj EWRfuNDYtlrE0pjyZXELHzGup8BCY9ER/64ZlpVWC4KAlRqQDVTSUVectDNcFDeSHVWoS0fv+zgJ SYdE4yw/TDuWIO8CEDPY9H4HJvsMw2WmBO4q335u+QfDv/Y8S2l96rboevjkSKdvu28bgTlrtIJ+ xFOtnxnmPn/MvvOBZtGViisGyTs/B/vNwaSmGljzB5fLAeBLg0i2G9Cf/fGcSqMu8cnsVo+pOsgN LISlm6b0VM0CRzdlw8bHHLkA8oIy/vzLNTog9Q9ufhECH1U6WrZOvCd8H4117yQlg74lhpjAPuiW VNaO3HAQPNkDfDX0+GvFtebuEVNeeAl4+EUSvNZoKuM6/HIsu04X1y1aGO1PlwINnAHQ3MqSdYIy p5yUaCVLuBCzo8rF8P32NrZVqcOjJU8PkSxePsygXsOa7/19X1T03HVlR84fhR9r2WD6LiP2bJbz zvJ9nvpJCKXKzSbG0jOby39TvYUIXJvK/Cw38bgijjtZm6MWsRODb280MKJwhVvek4PsfRl1l2kn DoOrO1J9zdzLJGX54kBPhCT7RkcnMDLuSO4I21QMBoeEgoLr70E97lSwAZxqbxyJSyCBvdwcs9hG DPmZs1yOWYdaKCbL7/b+K+cwH1/zAhmB7D4vED93HS/6YGeKYlhMfqk54gq9tMo42St8B4ESH8Nf zLXZexlyO89SDK9mOwGyYgbqevE+QUPqtDQNSqrb3Z9rk71sy/l9mhV3vDKieR2KQ8yKj5QvfXZ0 JXiwds8hcwB2Y41lAZDdjWcKMmSLCQMuJUSfAFgMAxnb7DYxABOcJlbhXcqZRlj1nkDgo8jdxGBd QEfXj/oqQoORpgldr+PRq/gAvowssXIn0LCQ78SgBKbSGdoVpByM1FCalbDVjuiuv7ujt06+WKO4 Q4wiRmGOAOddUU7b6OZukThxs0JZUkvB1tIOpu1LH5vnDzp5fVUtEm3rM00Prz8LDUGjeX7bVWif rE0r1b+dp4EgzeZfVaLBSFonOm0od8DVIL+hhv7HGgR/5S3FK1v9x4f/y3fc3ti8KV1MCWGhvuIU bzobq+98J7uWYCEZQ4NoU5tEb/tglFzN2q1eS02P01PfxHs8LtHAHG+HkDzSyxz5wlkXztUZw1cF 9TJ3lsXilzYa8U9txKXnNdMnZDVSceYk6eAnlrHlMQ+kZZOyuJ+14Dx169cTwcSiUBsoEykHtTnh O3lrWV3LL/H3RwwXOXCORXS/E8Z+PopKYi9GMr6kqN0NC5LgPdx87DZiU2yfweOBa184ZlZ/Opca mcMrj8L3hiUowejgiHMthJ4mSt+IU/7EqC+tJJIxtdwew+vxSN7SIPGNDSLAMLPQ3tJILPfZfVXd UxrDOGtqxEsV5OaDiGAJPJ5OnkK3Ec4wGWuo0n4MiCmGd2MNnTgrfJok29Y4upm3dkWViIpNkoaK YWBw8auv24wnV12iPaAxWwqY3a6ITuXweIoLOpwSM/TdN2qze5yTTYb4oLBr2/bGN5gYl+KAIzXO I/cPgOyOxW50bKPqpX2I2v5rJqiotGcbIPKRX6cOQXh88vWLt92Ko2nMC6OEfoPhaye9TvZHdgXB GqJid+w4Z4LzrsqqZ4cadzUYmoDXHmTeBMIckW+c9iWr3D6en6aM0kmgXG7mbacne99+mAFs8qip Be8pxC7ha6J4ACx7/+prFNSqOZrxR6Q/Ew2zh6oXksDsGXRH3kqtbmRJjmxE/J0r2y9S7YDnANIx oMP2I7Ug7xIGKNaXaOqw2K6gaMRyw29HzZWq8ZEq32k6JeM2cZtmM8b4HDeCNDTKfTa9N5iZjxFj Tj23/p8OK3AmAjJdq6cwVj8OxkZMTKWI13/WPA8Zs/5qmGLXFJp4gcfhzcTAzuMwu0ScT8Z3qkFa fSijnnzGSubyR+5Kq92pKRbJuWKhgcfLOQu7wVMXCjdg1imVxThVo1RQHjJ5s4QSi0afe9i7pgTF 69qYKdltz1BSyDcUORUZkMQnPWBYkmchJO3CzkpsGg9NXZJ9DiI9S6Qenvi9Q3Pm2GVYQc7A/w4C c4CcWmHRZBwBThPPTokzdLElN7sEgShAtwlcM/qZEBkIu4AhMZiPkfOp0aHn6mBcjbf0544jApaH Rsvd/+GaO/XlAOFMTylE29jpXD198AlirtvN3xM6W1Ai4f6a9ydneOZoIORGmPRv3yiShQMFbF2G uRGWIwdmHMHusHqHgc1U93dHxGuHZePIXyJOe1VzACNjO1Ul6+8SaBXelbHQF9q/k6yIcXuOO4ZH ohzdlggErwNbzT+OzzGYTlK0xy8BYeR/Fe6MqSDMZBEt/v3kFS61YEHoJgP943onP3ocWy48y4+i YPwdUNammbqNx2rhQAl9oKarp1SSd7REH1aesvw6hQr56YUKqDaaAXud1Z7Eggx+3XwpQNPUwslu zEu4OlcFCxdj1xzxLjYyAvhGwr8LKkgyjNkxb48rq10neqFlD9eI6SmILMd5x+t035m0Uw1HxZuM /Ewb6kdDy/1bOS47BqjZem9WkxoDN7QW8NryfDh0/P8uwgRTieG+XqHZge9rNq4HPIYJEkj6hF3e z8GZftZ8TQrXHZOrNK+5mrJquNYKkKoKGLXFeeoX76+kXcGrXX8uLsflagbCQxpBKHu2Ll2MG6z4 F4dSZyRyw95t5cGjUN48iH7FFc9rkB955kVpFUFYNv2xOLZq9tE5BLWWM+hU2X+reNLfqsVbFG5v UZyuAHUQ5Xl7zNOGptpndRjFAd4KIPlKcBEyCPgZhyUMnlibTxN5tPv8RxaKtVPZ6BIIj+283prf m4CruXjElmGMATUhzXLI7Rb0rllAHEqx7fmyJTi4oXhON0XAf6dYE4HiBCweOjy9ueaqCmOgNeLN MnAbekOD4nXhDroCr21XZ7Gd8c2Jds4COWHgb02ZZmfnGNZnLChgTf6NmYAyw6RG7xpUzvE/8U0t JgcGVEOvZH2pk6RGpFRlCd9huridCuz+ljdeIluc9EPli7Dlo2NA7tThDF/ZFTEgTgFVrM9DA2Cc gXkC+mB2v7zfVyS/u3CWC+6RFcbPHlqwIlyXw09KfKYCxfl3WpDfNh2OJdURTd9PeYJnS75QZVGD nCcuMMCB1gy9btQ4PhICleOEcSvAyW1bc2X451IMs7ohpZozHUTXeaXP9gcH/8b04dKdbAiUzrpv hjpAyVIajnMYdyO60Cw5pE+/MgNxT+aXVKUtUIbBD+b/wx0bgJA0tZYKr5yJH81wi6FunQaK2Ccd 44iZ3TjPjCCIllZQq8TMhV94vKTKMWtiL+2MQApZWofKSV/Y2y3JUzk6S/F9cxtX3k/AfbUBB9f3 pStzaS78iBbZXutXvPS7H7rwJLu8r167//k5mnkcq4F9/9biKKCaNG60D4KJKZIYCHtjikUp4a3T IL/l8U4ISNuNNQAISGPrLWYMm/OBKtLxtxokLAfvvG+vfN+IvpvOcoviTpYaUE/RYyuECbeMet3O yGLNrCsirht1OnUvALj6+nQGFpMl/sFjM0A2DvNavXnThx/qal/3P3hDrvpXVx3ODM84i+BIWEY4 wvaAoVKtJ9z4dHxaNJe5UPGBCkphqLaAqz/ObNmxU2U5q6w8eBDu0OsleEPsMJ8WXCxtfgZsSLiw b9eL4ZT9WSs4BMl+X1cbvCZUUXYnaymOSpifj1j3J6BcsCLJdrODkVpoaC3Agk8xjEDcVKRvCGZn VheG3afUrDDYAzLoZM7irfNiSj+8QHiIuH5mO+8oQ/gsDrKem7jpbTNy5xgO694+hk7Tav2KvJ0w dD8I17Zu0UBanMydjRNY1AAAk69EbFR7HA/2QuxVUdOxUmwGtID67mDMc4f5jiq9iihK9LnE6x3g 5rnm6hR5cDoY/1kAavL2mNUZvOOd/eTpC0PWJEGPg9q3mCh2K+loyL9MpEUb6nHjWat0nC6xpxU/ DH4OWu3oSXk3t65NF1IaOJo6d1xtgjN2/rjmTQncUaOTWbDnz2N/ZmJKXOc37vvoXc+AOpkqS41e Vc5VXxMYuMjblNwh1yCsNWCq6sVRSaSvyDJvy5Ela5Pe7jVxoWQP0yU5v5hIEQejrRvlpx6eOFeO MrMI0dI1p1B73XA64luts5pnS8ieLh5Lf/R8y171lqK/t5jdTpAupOksuGmqcNMXLuK76cMQicqN ge7mHq22J+XA3hkQJJ++MUoOdkw6if/0CgGXfivBYrmVq5jvCtTA3NmWXepfQFnnbiqyitwcOG6z bOBdJ/2366LVew6SDuI2d49T8jGWbTb03aQrjwVr4vTuMGKxv4aTH14wQUxGL8UTBnLlF8WsLJab yf0tOLDhY/rh30fY0E9sSYX7/eLSrcpOSihFJDuFDy00VdWNNVIH1GUQE2TfJPXP6JPK6p2X453T DnQyNhuVmFO+uQygOOcvJy9muCSOGVvdj+O3xQIW4l9S4HfH2EmxM7UN7yFAsHkObt7Vc+Uh7/s0 7vzTSqbA6GPVIKRALQVwkzACu9/XVvQGUqX2XTaVyG+gKCjGod72mPKH+Xm22ECVsou6WZhNqIQ4 K9F/Jf8uuNSTqLTygtV01nvnNFL//O5yq2nZfLw86DARWmghv+QIgs6k7b1H0zMTmMb0LvAbRmA0 jWeTy7RqjI7X2Urz0uVjkAxP6rCQ41RiRrPW0b/m05WsQzjlos0PJcadOM+Qy2oUDnzKVFR5/wvw 2lxeWsjQdzwYeoCgg1lPAfh6R6XyPWk33hzWG7rwJ4wmPS7FYdTFTW/Wm7HKvvWQdzXcusHvcZf3 yQS7B7KoaM+BoRwZmBSMxDHiy8PQCL1zGDIVuw0+Iv+dv2Gok4I8Teba8ASD/r5EEBedMaN9Az4S JKK8vZ95UXqRoFvG9bPmG6zFuuRK7W5IKV4EZAa/X76WY+GZKkL8YZ1m3mHT4O4YZICI8Gjdg6BZ K8rZr8/KPmIQwGT0hF6uZULBpRtlZnic9G+fnwjN+Pfc5RgRLVr80E8vjrfLwfXY0KXt9oclTQZZ TEY32O6FTOXBiOl/Iz+RyKuzif+LRUBBR1SvEAQ8n/wHCcnNxt/N0hk0PRbvBWvhrHDueief/nQJ 76nil7jeQM61pMPslYTsA21WAE47ySQRvplQSHO0mJzvBkX1pvOu0G6rsxTTJFJRtUbc5YjaQgrl ofNzDYEfdgnAsOM3ShubAjpT0futBQtFEA3vc1Dagu7ydRhuqPZlVGR9uUQcoDzm2+Rv19cijsmo z5ptIasE1Z9s1tnyUt2hDg5tgWNGdDai4g8OVZedvKFUSa+QA7+VZHoch0HdF0NS9lPRLheOv3Rp 4c8RdEwifs5QA7N6EI5E0HidaHcrLoj9vxzDJZp+OYIeJU+3RY9JR0nzx0KRNTgb++UCCyb/dXxb zp+7ITEz4SlyKD7EVuyxfyoGvIrXFttsUaaGdxzRrPIDc2cnja1Cje/wcsvQUBmeSw0pegqnUxvp r+Az4+t7NrPQgwlfBh9o3eYWTLREoeHkakoy7JPG9AArvWn/CpWFR/LAc+215b/QuZVbU3H7Ff0p 1fbT6dEL+GlPr1bEOoqVn42sbyhTI4QjsTg8g72pqOyDFg54RDAFzlA+0qWEINKfZVEGTD5kbdyS JWGLylnPYS9M9SzDpVUki9NVS6LGSQaX4neXKgfUTZMKf+NOHg8gy2S+atSf6hK1SZiwpKwKIZei 2yBdDWlb+GvbWVxTCreaZC53aQtaJ6geEAsE3GkBeJizCehJoYHJRcviM2lJtypt+J9BrhES9sqO FCe+ZjBtD3SpuJovy2JjMbp4K7aXXkUDiuPkKAnz0XjeyOgG3Dl10ZOr11mExpRqkqe3tZaB7xgx td2IZY6DPb5JTN/NXAKfx6AOQ/BCucDMbdBdXMNodr8a+DbU7SQEd4w7Rmfe3kVLWSVrJfM4ESzt lDh50FJjOIteGZBbfZOd8SeLPTrSfWtTyNRIV8yl2XzlwZZ0CkjdyuqLVR6nzE/Vn27p8llECN7R Z+LJOUX2ILX+p/zg/nYeVqt584njnBqHDGSZdT8bydcWZJXC2dJv9Y7fiKb3MUi3fTcwdYOzjx4J IU+Sdkh57pYxeqPahxFbUH0b4bURH4mAxTrZYxQBZnaoICl7/qndglg44gEOP5fVmXpd11RcdRxP q8tvph/rbFuITMwz32fnoa53GlQCBc/IC1aY9OHpCDHabbJHPWiL5yRGbxtM4ZAYTY23ya7cnhAx 9P/nv7hnuRpWsyISseO5URMI6K5tS7W89pxeCIFjkLch/9Qz2WI1I2UyAShIHI2eG/DGiSZizoqi a8Nryr5b8GYeNVfSlUn5e32UOQasl6v/ECUlTTWp7zXQssPJMGueI9p+YjW4rs4zqyUUIPPMxGnT 94UojLFOiwJTeBadU6RhXacPWn/foawlS8jrews49DTTa079ulC8Lmrh6F3xsQ2/Zrwckb46URHF iaMbkzmi8IGygb7BZtgDzvra6XAv91fZieLi9FVsRGhkJq8ptLtWmKVE2+SSV49UDBAgUrCFHs/u P2+Js6fXyERWzGKtnn3bHcxhIFrhDwX8eVt3t5OEgGXtDBzU8T3bRin5nd/LbBQ1jeWYiH27TvBh HSEB3zStWGXOWs0PbZjxAz+QmMFV0nnpWzpK5j64DSB5C6a+RnzxF1SxIp0dWOs1sECCxju23Ceb qoH0SEjGZy1lEJ0r5YHSq0zpLvZbsGULn0vFGW89ivr0VKk0hntNZNmlPGY2uEYt9bXSGl2F7hES 6DmVq0JSXoF1cyPdr5Ik05LcTsg2CMK80XZQKzAiNNhj9V7gUtmfGdJNixVqVaUd/q0sg/Odz9Zt mHVwF31Z/qmYwpnabqajRX3O0cAtd+kpgXCqwcNuBOf832/2v/BiTGkxLxGAmY3z/VUgjqMPF6i7 +tEI1t6IMZB3QAZ3DkjxoUg/22blG+e2OXKqCoBIn6rzMyVfbJ1PtmaIwijHoc9EtjyitEhfZkcW KtStljKKCfCszUDOmI2GcfiHnliirMvrItzD11afEIOIb37JFlsDkKZA2vT9t8ko6r9MQg8BgMei YNqO5Nho7VHKPChtJw8Lac5RVKfZNaUt05tmX0VGgofky4pfa+09xTZEAX3exHA2/tYuFRgQJ92A LSb5N65qnHlUIjVOv68KgDtcHY7Wp9q9OK8aT+zAieF3Yv1GZI/5k2xz6idhvD1nJG7TiSMWxhN7 +eFrbaU9iH893XQwO76s4fcyXTcTsFdX6zgz2VB53U8rebFrCqIQaXVGYSyjj6VxT9hJWg8YRV8Z LBqb5uOHtaP9dH52wmfY0v3hD0qNAF9XKLeTWi6BkAFQdyzZPFwEukQns9dm2W0D9B1GwPONlCWY OAdT+luPippF/s4q3RpLvekxJkNXynsVBAKzzOr5XEnszgrWamoGh9+x6eugGOJJXBk8WKpzTDEH 48+ZfKKvIQIOB3LieN6KZvz436ulQrV+J3CKuGiEM1aA0oj4Xd903LpThy3rUsSyoQF2S4BlYFMt 8BHzPfCyTPTMHzDx71NCvIbOc2nsfc0qYqtsape2uu+p8fY5WSPdMKQYU7RlezaPiXBb4V4nVkk4 WqwXLPlRV11mUyhkTbc+GGxN91P5DzviC1ABJdB6+J4XAG7TEY35PMZB5HxwIweVm5lDrXtk8Z7B zuIVDwgyWpW2YOMqN48I++uqKfOAASU/764Wlp2Nh6Bnm8jPgMpLW260wK/scHVOJPC3B4IUTV92 fogu8qQCksspJCsL++fRd1CwGlttwDZN4wfwLdaQV/gvlP+umHixvwrufxDQDVQ5BkIIV0LA8x6M y34GM04fZRkNGPScsXNmvfPRD6el/81a4vy2iPGwGdusZ9tkSh1BLGrV7pcWij2Tcbu3R0mnfJBl 7cN9kxiIqmaX6xF3VkrclJWx32wFWPyAGavB+SQzxoFvEzDxFB0KnbhSidlSpNuUEjVGgIzxUmtw N0GN/WXAMjJ1mNI9NWdtDFYovRxJHRkdb9AhEoMpcbnKFrqXY7mrnNkcnoPamNRg0O18fC6PbOrR 40ymzynfH+d0tX/fneqs7xVfqeSOe7nMaOuxHYGOpziHTiBV9cctNo+lXjhX+ujAq8vn+7uF4Mrp 7m0ngbUDSwUG7UOcL94Fk43c8uhVrfwXNf4MpApxdbACp73Y+LQigUEUwJUp2VcJZa9y6i0gSUqx tXOILLpT88XjAqqWYcpbnOHSh8mWCeqq2MK3DQwEu/EXOCaiymULlpjKtdTp32LjGAoJJ7PGUzRc 0SpILj14/u0jo9ibt962BE02efFx7V9VqiYajRFsySQxwUdcrgbgXQbyh4o6qC2hQNlwKgyefMc7 4nE8P2I0ys9SAKHNUy65sTu0KcylKgV0jll/PAgRvlWFioDcSI35zijxXoaSjzI27nq9dwhyM7FQ X03WbFAT0prcYwcTZRWhThXG/AaTtQK5EUrvnVn2VjTQ9Ezcj6AYMmyxdlyPEbkNFSxTsVWQH0jV 1MLCeIvAgy/7cpdY73FfX4wW4ftZY8nRlKoJpB7dibgRQEPxSswA3y0gHDddzxAbn+r6jgV/ISW5 VSIqPUFMCcwb5PSKI/pZk6u2prrQXACSMRZEO+1QtQwXlhc8HyYVxVIjEUj+l1C3ifmd8JmS3eUW d9LVPPiCG+CkVDJsY3dAyjBZ2HNR5Hyxm/pHlj7urOQRDIRdzhze76brTHFZ+ECGHHIfs6ihwwyS exBNxzGWUTnB7uIYKSXsWSVdJAIUV1wL8YWlwSBMNRhgXy3efn/SmUgYertTjWuJlYLhOHEDdj3k i+5Qlc9zTEG8BSBaRpYzqtOg7oU/W5xsV7Kq/M9x2OLVFXKTcpzizqmZ51aMR3c/MhjRlsIqWWP3 nayEyApfTFwJ88T1B3eaQuXfYPaLrNjVdxSr/MHqbWEVwxfBG/kn/u+FgqB8a4o3D6Da2XVp+o+3 ji5vsfLxIPGIHEH6mFqKjnFy6cVStzPf22WTXMrYglBNC1t50OwFoE2Fqy6R0KfoZ1I1cynYS6vz MxII94uxjRYC/XP+4XBCPzNLH9Fq+itextan/WVI2gC4Vb6Jka1jDYxSifY90bdVUKsXIfl9LLLC vAlc9TdIPigo2whKJ20huWvQ3+eMQ25qJzJscgR1eUIugfIRkZBpIp/k76t/bH/Gdw5zLS27N6QI nQBQnqWN9Yt3uM96NYDXK/vn2t7FMGysYxyCggm3yeHQmCqC9OC/0QaXqtL5GQ6t++NW3er2olyu bppD6EP+fGS9lueUr3AUPJF7dihp6rq+HcVqOxG6LveoQyxhXgyJvPO8xk0j0vALPIsd5ZeQQiE7 LvwKb5U1nQ2puQXn/k3OFBkgNq+eMJyKv8VMOqPn7szBJPaKRMGDqkf/XOSo4iGlFs7cMvgmPCzx XDrY6cizL3tbvLpihzW8Sb/mKr7QToambJRnYawAFd/gkhkChEZtBC/+Uk+ledULYiCi5cMv9p2u 63LSe3VQEoZfv2M9TXpT4BmQTwsKDhFhVxmkbOaD+QJ5vI8yexGz4iFg4jPCqIdJlSG3mZfMD2v1 e+7c8hrQt2LCNHiAigba48nDt7GGGCyCelaWOPmxIGtCUNSysA1q6VjzXHTaAbri99zfXmiokz7O xqsnEVRcHK8C2SS8XbKsYp5PeCfd5eKt1tdPLCFRBAbD3eVFuMWUKR+ePD3iwQj5L9xGy4dFK71y VcCz1UQ8zxM4dCd1CPz8fYYGQh6nUx8j792/96XRPJ4Cd7WSVE+n6/4pTltAIGCFHecA7p6SOm8p s8lHNoEouLskiZt4HjJpsv5J1ab82M4EurrsAxP3GB6hJljqXajAzZSIH+XztJsBDihbdU5k4+5p loJeI6SeJmjSXrkL8KnAxdcWdTpcv00jBMgRyt6dRmv5+J+new8zyqQ+a/jgssPARC0if55UgmI7 jD4dzOSp7ElD897Z2uFeVfxRpOWxLBZkUvts9rQ6Yb6rIpoGQaY1Y5xzdUFQk1sVp06cb0avP9vD CjpU684GsL5R/34p9yhFntqCo+uoxRButDDzNevkTUS/4BoTyr1iFknsPZLKiojskT5OxdnIZjhr wRKw3WsuKlk2gtmOFemgmxtBWevtVoiOFWpgC22fIzDMxC6kACOIiR6LEkgCIy+NrnKNO4mYVm8S +mCwk1jPB+fzuCzzJ1xp4Y8QC2/3xr8lpKkm76Q+0q3p4aKipH6rR+qqlB4d3k5doqwn0yNZRKvM 6QrXP7RUzNrzq2RBqdA1x6c4IDi/tuVyyboFy4pTKtZV9jwGO8O4APaXb56bTdSAekTdvr5TgH7i 3LtXLfL1SE1wWP00xDlAmgea8r474WjdBbxvIcmA+i5M7cfX8yzIsN+SmIHiiT0OTSdMStlPcVPt 1ghdFhft6BZVz3d2yicg3/gqM3YfwRKvaDZa8V+787dLP0HsEDAmjN76xct8GhW9ZGaHUTTCHIQt Gmm2+cLw+xOBV+VV4P8D2FoC59XgIfiNRx3OVhMEpwbHBlLHqOvIsUvLw8o2ZDLPElUkd0aGJxlE EfT8A4Em127X5n3dkowYlmRH2RXZckTJqDvq2708hiq94NTyCqZScfxQAxT7MhYMTIDlKMkua/4W t3aKgD/y/8KVVS8YbXBCV5dkZEOPzkyXEdbWWXQZKZaWedsdg6gmb9K5y0Z38ymO1XmF136NJXAf tbCjN259CsyvJE2ZfCWBkz04OAPjFg1QJMz09FyFMpa2878HbNUcgiObYnVmS0iezK8G0it1hyMe IWbpOe4Zy7aR3E4y9L6bNfQs4E7P64/IZ8GjIORyQOn3scTMlkGLCzGrxk3NHGpw8+YLF6sMQn6n 9uTZKWNDnKQKk6NoKSxZm2GshXJnkVY8SMRFLvR8YRtoQFKzUDkY+j8pgawc505fArsgtMJvfsh3 BFHyPheZ07WH8XDrZuWpD3zdJHihrMUHxeZuv9fEFUG8PI5xcngTjfPL38Cn5jv5U7wJYjeZXyFh D2eGMasZOMmF8KSadv9QEgjPNgkDxppuzyLYP9IvWiazlW226QKDwy0lU56aZHDTLfaoN6AGsUK2 Q5IYozcr8ctpV//a6ff8lGnBJk1FbncNKU/araOeqqVxZeIFZg3IsKd4Hi1ATR4ruKpK+a5C6UGX Jflo/98tLd5bMCcqESmDmtvO99cfG3rSaWE9uRQ7IGLrMcEka6ZEOrg+pwnXP7oLqJc/ZRzpDW+9 qcB0puEbCtQugnxAFTYAuFclgf/4qvPVduWGfmAIt/CrIRPHlqS3QeUvtKmSg0N1Hmy7XVguPMPY hOdUyG++KNJJ8xJJJ+n4DyGhRgzNGfU9p5hZNVW0hkauES+TZZc4aFigWalyuAdVMDE8cEkpOV7k ikJc2LIbvqkHTKPggJT2OyCFBHLYkAnR0+ieIVhxURrVb9O4usba8gMB00+kbP0TjC3Nx5Vf4rh7 7B1lH4Et6Wo0JTz7beKYCWAXu4Q38O8AmhJKVqMpa4IzO64lnA9N9j+kpJ9/nwsKKth7pWAydfxs 8s5yfmJNTqRSr+Qn1OMgP+TDkOJzzOHUy0TmC64QIweOXniZsnfI5F5VFDCWqARfmiLpp+zbr0GI dS2sByvFk6FH3EBiUrvoE4KNIXS/PpKyoqsrgS5k6HK2x3jjho3q4FqydkB3Xx1qObLQekTylTqR 1jrAfqKnAtKHgRvIJWwdthBPaHT31molFWaE8VytdWsFhpDZwvTvuANQjhyEqInFMFFutmcT1vei qUGuB4fuVlBGiljUutBtjsjxPYhWcT8LiQpK/fYd5q+e3XEo5VZZMRgZhSPw1YAHsTyjg4z+L+Ur DYKPtJlxoNuxbNibMGLNdIWe4kaZnmBbRL27K5X02H0yDra2VsYTzpafyNDIVt23d9zzQqwda1cy lgERWPyfQO9Q4qm3eGiOabdoj+5WXTdNmFQ/S2OnI2OlOhl9UL192Z89LqSyr6TpspFYEAaQH4mI JigUgdeIoQ1Cnpk6aRw/IR62pFIPJu0R8a7Fk6uys59iX0ARDSSCFlxn+WVAOnTwJITws5a1aQQn Y5PhrHFgLFxdKGIe8oF8MX91zSTFbNFDlenGFysD7C4MBdrKIdBTF3ppL7wjhRPyEnu09PneuRJd 0l5KCJXu5hlZSvaOeBDWS6L24nyp5uMk1UPN+MhqETIg/sJ6SrBervVSfryTe0MD03nV8cckbRW/ xzBSANNuZ50RLro91jrjn8DeZwAvqW/CqY9D2ZjkYBOG5ZMRCW2cIu/9EDgooGim5oUNs8TKOtdH GDGEmhbeUS/ZKaAIlkPwoPwALW+kmlkxuumxz1m/drCsNBfFaxDM6eqJ28WcVKnhtfabbg/jNhfV AahW/S8+xcGj+HjhnvubmSf8err2L7+avzU/4noDtxPDUxegxm1Kpp67wJVYejM6BE17HlRDQUo5 6WBM7jW6/vQq+swBGPWAAUdkcTFejuo/5/kzFoWUC3m58mBD0XJEJOQLHuHks8puKch+KWIUuirS AZU+EBPicVvpUMxJy1wjUa7YSNN5uDMjyCvXjTgUOW2b00Nfsv9s9c+Y0EqIvV/cd3FnqF2SXq6C Ze2uW/A65S0JvS/pH5taNTzt9AXPm5wbfIYrjrqjQR/2avM3pamNUldFD0HjDOayiYOvZT13x3LY CkfPuZ15SvTQvyeAwP4OkSLM3xlWfFPlrSld+JsIc9HwAsTRtFt2cC1mA6yclNIPfffqLjr7s1C+ Jw1WGgA2rhhhPRT3pk/NhIkpzGkU3YC0oOVXuLibCgKp0+FTDLsFjGfrU69xIjTkBX3Gu307oZBv +wtlWmcjgj7IhUV7emuIJKwiaKz0OmIa+zhJN6WD/MjM4W2bt0AuyPKly+vXsJAtIpEu2lyhKZcp O5wsyJTjMXvjPJr6BnRXobNUeUu/DoXekCLuzn4L4n6ErcuGys4t40z+pYvxwJDAL0c87A5urGIG e1/SKKcxvSbb4xvrgJ0VW6FOQmmCC2v51l26X/WqGpmp9GX6LsM5SelkY6fYv3RS+YGx6Aj0LcLS cOMv52M7teFeMSRJ2JD9Q+vxEOhrJ1PYqiuV+MW9AbTZ5nAQSit0bvqjWx1g4tUvGkykYG32moM9 aT5E8n+2r11gFpNczhVq039zgRY6ekjJzAPOUt+07jNFomEV8hgc3IgWnHzwWz/CYDDXVKT5jNZL LAHCzhilVxzoUPOAAM6L7m7nf5z8McFCl0U+BKVFVO/TRpcu3icyRyrwFGxnSrikVM6/3K6wCTXc NJzfRNTrjha+Uq+gpin28HOmqAd5EU+5svVqPeP24lxIMBpwX/WWNAnBuy+BZRDVE5KxSgbndcpS IpNgP5fKhiX0pSQRC6GXbiVulcgAFqbeJehEz+xtLH5FCa0y+dDy2j1fls/HhwhyxXz4XIA2xyac CRhF+9njFVYlTHHY91fZLelCxgWGfeJ362vLm83L3Y+TqAOMUMjPvl4YPF5q0PaT+Gv05hl31xCL 953Q9Z6ztdjTxzBLDjzQWR4vx/41cpG8Jv1vhZ72qqrczYgsrVl/OiZ2QxCve0fUvD+BNDhuFvW0 6kTNbaq+Rlg1L+A2XfToStswspmo3f1ZNOcHuxEtsT3564v25n1B+juUrI406iKk6Dj85d2AOPm6 BFD88ZO736rb3EmMdyORflZEYBOykLva9hUJIKcItbU9O4qjVOCe6REB52DFRLLD70YWsyByWWB0 waglXRdRZzhcqNPD7aRV6qXNy01KvH9pPgwLQcl+i/P5j4WiSqRMC+6r1hrJAol31Rpi/480LxFd LRKHtxkLExCLiR7DBhL89mjv0+rXqKP1GH0gaV/HTMZI9a+dwD+hZ+VwkcRFMYObWhUik8XYA3Jk 3rsjcRqjWQB0YGTL3g8Q94oZabOmBfySwtU903jPRkc9C9KwuFuArswVHlGSY66pDB5ZalF8CP2p 02LthRIYc1FwFxrIuphkZgX+PCpw9au9DnPgdNhZm8zTDlrEvTf/94qUbEZnS3QVqSpmg/cF/BfU kqnBuaUiviAMtT94c3P39rHHTPBpW0JuEeenFTsBDlFZzQ/V8BDmnyWD9ZW69sYo0PSzrTCbmym6 QDd0eG/c9tu6aiuDYBM7KIeImy8jzgNQNoEyOBYHr/UD5QGtSc/jmKpa/gsaGRfaVwGvqs3VcHBn 9nuyu00j/MuJTmZ+T5ixDOY97fhMUtZDc0in/2gFILONK5LO9uByP6Cz237x4cAnPkA84rLxvKqJ SPMQpAGioFZMDfzJYbdQ1kG3w8XSs0TcU/79q8XgrfNbRLOX0qosAw9b9MvSVC9GzbmAW33U6G5R vBt4Xiv+tI07MyrWOaq93QAQXQaQf5LrSyCdeRZfJ4QmzW8DvY+LS09dWk/POS02TY9khzq2TYEp NPaCHENVjIko7ihwCjS8z+NRzDpTdpw/kvEp4RzN0L1DuTvXMSLr6Vo5q3XlRE/2AXlgwftVwSyr X9xbnAh+YCIe7rl/AVZtogz1p6JP+7ViLgvik7zz0ZQGNkhATl4OK4Kib53qrGi20HbyioOhn3jx F6o03KYg6+k4R5mzvceJa+jNuUmvpIT9NedPDbkK8daR8Icov9M3C6bMqySa6phquGFfwcR3Imip n+UvXaK1jLnkQefR9oMCDDReCNg1ZvPw+OkefGm5S70DCP2F5Zdd8mxjz9lsJOKIA/uAtyOA3xAE 9JsJIUfz5PWHFLG/n581UJQUlFgnCuID4K2tcS5B93NbZKUCDVDHYqyhF4JojMvwVKDS9nvyyzbO 7yRMOvv5UCpcy6BxBHv6H8kdy/5dl2A+OIl28EnnShAmSb90weDBX1GFXQYzrLkNHOj1jECP4DDl Nh97FXv+vug9Ru6ftHJFxbiX0fDKj4O43xuWLFKssibYsxoigMAwIwEUrdHItcF5EzoQwWnEJHwv i+Ogv9rTbHFBJSWUfpj6u2mejL/yIScaZDzC+q/R27Mw589615uUeGYUpq/abduJOxHGXc9iAUj+ EbZH8ZDQXxzQ9/RvnvJ+3gzpwzM6Kg9tl4Omm6Mm/ORwEYQGR22We5EK9RbbAG5cNIUp7LexE0S7 5Nk7TS/wfAkeE9R9ICW1ZqfARTpDyk0smdvv92b/OFjPhCfM15IepyQyd6ej8/V+tYSo70AcG/Qr aUULANcGMEFqoGGWNQ77QZqCajV8vb8seQLgZv1IKs9q21w4p5t5tJpC4FynyNZsWRBz0D+Q1INU wMQXu5aQgzOwBL/qginABHe7pqRyQ0G/HVlAhOBanQr/qbEIglrCcEFXK6B+oPQmqhd5/X/oLzYJ zCZYZaej9v/ePnDVQkKR/Egj6z04zpnmBKXUsmoo7qHHbdcML3AERQo6ymBs/3oR3GGeLD0Ih4TW /qkF11+kUGHBr+fYNJ5R5mmP71JLqp90DxPY6reAMV2j1r1pc54YY8URrRDnzVzCe8z1S3K6b4mK vrbXPnaciwwKKLq2VeW1VVqDALL4kwl81v3AiKs78HRp9dXVI3KfUL0oKbWN3h3Tkk87KADQ3Thq LEcR6WZreGnhlwKJh4mIb1y9+QTgEAvRRboeqDDZEFxZ9BlV+UmTJ4zCo5+laacK1/XVyy2JGvZj UiKp1qMbuQBkL/KxKjL6cXZco/VeWWAkyDmsK6+fTL2BZpsZo3fN/fBDJWXYYlb9oYnfyStW0DyH YzuqdX5cyaqsispYs7kmvh3qIEgf18BFGQcUXqzaMDkkihs/tG7jQwrZuAa7FOLD11yKI6n+nItS PIeT868NnxyMQ0hb1piwK6Sp+gkNk08HIBJCWWYbZ7gXq56DGpSnCfmGffXSGssDlBzHtJDzOZ7i RBx/udFDjNRRF1iLpYO+YCQN+4Dog65xW2YoV/Elp3WsSTSi+Ni9pdBKzM4EaC9snom8welVFkUG jdxiniCFuKRWk/sSM3UAfDN11mQijL2Jx4nlZ6K/V58ySyjK/ubPCWN+h/0mu/Q5FmQb7+2JO+pn fySXHUBrvlykyvRBJRA2TTcu7k7UB5IMJgS3tpHEUSz7JpE09y0qc9sriA6jsmpWjxnFvmcToy38 3eFRDYXfBnyRShJEHC0GkLRJ+HrcNzAuo60BHWtNeEFLwzTw5zYcOyIG/5tZfUS6hcRA/Hnzox7V 0UffvRJ2FoiRZv8Dp/npKYRB/C/ATxyH0EHmxSlWG50VZx+pEAxI6QQVZYzximdg+b9dm+cv6Ia6 g8O1Drl4eIS65h/IjpmQ6ipodiU1+zyKdMjURmydIcFCBHC1OSekNoMi02w3h6rL4+Tq5Qv0DaJt maR1X0bQVMuqNFZMENgEJ9nhOoa4gI5esGXn3dHtEwyf/0aHBu5K3uRQ5D0fDO8gQhFfas9dYDSc nF6RpxPUecsSh1GkpiLl6GteFMCPyOhNCiP2Hd6TxHQMW673R6a0sela20Sc+c+bS0IxPXxMlC1e 9YdDj/uQMAiQ1XaFLdoLiYMaMCMZ/Mxd+TM7bk6m7CFzYh3rA1tjIp5BSTJd1SZqngVDbuV68XhS kbrtoj2bWj+x/yyjwhfPffRB4v6aipz2j2nTLYMkw3BQXOuWnkdDsGTBwIEy2k35jf9ruU87n9nM BVrBAfHvHfomvSON9N0khTWnZ1s+06zZfJVPvUanstHh7fr7vjRiQJisXjqz9abd/fBZPkyc2td6 RmvXQjF1eQetsXAhpFiiQIKY9cc2JeJfVF8LWWNnZPceHdp8KnZx23f6bd3mVXpuS4GoOv7JM99m 8YmlvCYhZViyZWjncWlhQO0SRJCCw7eBKS3xb8angCwHRh2dsx8GPWmNyVMf0AOuAmWYkac266wR oxtKRlCdeEoUcUI5IwP6S/DC3+zqI8XcRkvuP+y/khqN0PohzD6fpWrGHsmr+pKSHAo8rwqKTfkx Y2yjgtIX3t+PipKvd1dt7bVy6tiohtBeTvUD6/yTY/oxbO6RgznbXzptqOJMpmhHV44zSFst0MO6 /zb4beBs6vz0kRWew/4pVfsOWeJ+6SuBwEMIRJbupVq0X404soTMFMRWKi7QUmEMN8f0RGD39CAE OfqA6J7fYDgLPVnpmt99ufLk7NzVQfK0Wfltn7+sb1IaCiR5OMROMhULgfiSfSuzMF2J6AdWxHYT GJ72KOazaZH2SEwCP8Q7/FhSOaDuU8WSfddptWasW1WBi7ASd1X4XvI30x1MZ8HRkJ7zvbDzN5uY 1KOhMmm5opEeqXsvXa3VImb7t7AUn621h77boYRyEpJRnnr3ecsRHOdZs6cTD76AO8ZVNd07vPFv 5rSZUAJc3VbKKRiDfm4hpz5SjXLmbQIcAhG+6+VaPd16sG6C6zEvNWBMQ1AwxSRSUXhVb1ktd53+ w6+PWROOTR/J6eELGI9iewldlO13uXyvy3p1nvMCplNGiLDA4vqPQE2PFpBQElvSNotFwn/7fbRl gwVpbrQru6PfchJ8FwQRXJfGnlRTo11kQhJjqb97GpZ+owsIXePB26xvdf1ECrRVvAQYPG0AeHWT +7IQ4IYU5Ut9BUbQGBEfgMwT4z1XczsKFf3O7AaqcT2gUblXneiviS7l4IKYj7Ixf2Nfj/WxL/WL hbcGvkXgPCXiDHLMRheSjQ1xr0Ctn7aaTdswwotAjueQSoyF7zv2EoRTBkT0qurSoQeUL/qy3KjM MsIAmHn7znOLtTvtIvmP7bZIhinYg99CHLyI4n0QX/jaPPSrvvJN+Wt3C9+DNYMiXzerQJJ2wvOZ D/6coWHxhdxuR7dRlkJNFofgPq97veFwuHDEJLXaOJ9q7KgkLeBzZlF996YRdX994X+GCZqKArMo fCFj7SNfLe8vLi9swlkl9XjpGSRAKwdvU9s/J6SyJHOPYo+b7GrTe5xBJ1ZUd+YLwR3UnoFHMAF3 FDR/g9DU+RGbqHDBXzGkKo1ULoamCOvpvvHXlirQxHkoqfLyVr1fgo8aemUXYXrIG0SOH7ECykOA sITxGS8azJiGUXdceviGqZ8VZglxiWIVR30fEaZJLv+9OEK916z/8q43B43WZ/NhMVjbzOEBwoeS D1usmBC+qRBzwtzO7csdoTMbAxdALtdkhAw8GZDD/YC+iYQWqkrOrW+8F5B1xrtzTB71dXealv4c 31fNviwz0lTwVSlHMfd/KiGBHWa/VksQYj0q/X8AJ3sq7rCtsVxjq+BDj/DJS+6qopDKdbZtPF9f perMwZj4FA+Qal7kFkd6nz69wVI8ONmZaphfcgRZMvGYNxOVvE41GyNmQXn2eYQQTy1dd4PBN9Ij 9ZhoRiXq8lNaGxqrGMEKzkHXL/ucvG4gseqfWPr2hAcThFGt8xBANcK1jm/GZ4K/V7c3KVCKkia3 gDachgdMRh9TVM4mbi+WWPBajlwZuo6dMuCzdpk/JcI5BYMdbfsjNBGLNGcTxO0DUx4r1WeKAZk4 ZMqUVA2If6h3DC+7Q9i7hTKlaMEwqhYZGT819AaWaGTSvi16byGeuQhqhKEEcvepz2Zbwg0xsEq6 wmSvBt7gm6KB65xWzXLEhuwjSmKdeGPEuywnHhGzhEe8LDGH0Kal4kBguOfSgJyNUdT/EvOqvc5z CjciNa/bLqyRIkNGergzZ5cvka+RG4WYnHm6aEEPGRgaYi6Vb5D+WuWZ2GkS/KBjki6ruSkEiImD 550vNbFeFEPKlAyub9UpbgmfDpAWnsVPnEIkF5nkwAEC3AGtXSGbvvc405VMqDGYvwBQJXaMjGn1 dy9Wj0W38LlJhc5gvCRewzikIOKPPFwgpLKfdKe8WmQrDRrZFLtjq0bq6CM4YDJXyHliOgyF4dSI coCa11YgubzK86MnfayrC55IHJShgX3xYaUFEho88EJBidlVdFVFiW+FCQyRPaKS0lGpKCzlVFPN QzYgzsUiLjdWVa6eP5J/XCPVd8oQ9bxrxPWjxXie5d5Zg93/YPnzQZyjuaCWwq2xT0Nm7MZezwHX dZTMY9EnYqtj8L3YtsES5c61xh3BGet54zS76hJqC8zC2r2aDTqZXpSY4vcc+DHs6unyXnypcZbm ikK75mWvL2i/WqVCyMVBht7UShrdgBo20SiSSS89BUTIxN2bdd/HpMFsetgPW2zMINe/XYp+zFAu 0nOt5fyMSbf17ocyUVYnKn2DQU0d3zNMm1tQ0hPzdgD6ZpZC3IizDf9C4BFqaJXi3R+jhvXSDgnM Y6qzHwC6lWjp8sf2/V4MYIh7AkmUPpv3S8Og90oGNYedx19DVHBNOINFLuGAYjVvjulC1+VqfF9P al1YkoN1XjpBO+KkgeEXmC22G5sYu7RNJnLK5SdQdGxKVY2Jsk7Xba9f7cWi9oi6r30J3aluoZuA 02JarWq/gK8dUUMm0J/UPIKDkQXI+55m9hEDwScHpeU64s/Pm1zli6MBoDgoaPHeesaegphkGkWp WLCTJaQ1xGhzkniS0Uom51Dm5HR8qlbVSaAhQU4dAdokeoSx80uglfox6MBiioM4hugijvUfmw+T hX1S+RGnWf/phy7xy3fhdhkeG0WvXQ6OtlXJOiSJJtU8zO2kLTQsL6VTsj1TKdk3lrp2/+e4e3fx pE73iVHit106D65uxi1T9J8UO5EcE3/3EvWlN8aHZ3LV9b3SppjVKru0HqyPBqbZkta9ZuNj0azI ayIw2mtXzxFEGdmnAtzBJvH6oAZ1c9rsMdpUq2SKZiYCgtKfz3/2UTXLc7Phs7eRmBHX+0wTKBNs wCfWbYkbi+0i12SKMm23201ud/r5mlsmWp8HlT7F591WXBskc2D6oxvKp/VaNRwAMh50dfmeJSda +oj5zh9TsXLAm/X+Gfrmeh612SDIkISVFqN1Acb3giSa8W6mHiQAN8Fz5A+EV8sG1LmY06D7J8Do j+tIGZmRXwV2ZwaXiC9uLjW0BekvYh1SyDeb9M7A0AjK1SDC1Z6gNbo8/mvtgFs7MUUG3YuvXchg jeaDEnMIL95VcZoLgES9BqkaMB6u4rjIShjPu35NU7p/2Z6eUzXdTSjg+X4jlDqx0FkD/exz3aGR eVDDx2oc6JyqLjwipHQ3FL2whtayKV0J3Z7CIkUGibAwL+9hHLA7yzjrB0Fus+Byuh8IBS1iNwbJ oIapSA96Lwt8DczRlLLVBehRMb72ID5TNlLN/yoRAInhGXkT1s8/0vuxejg1yKkwbZCCIhzSShSG zxG2fPSb/sfH33WJKNguusWcKK2NneL8/r4Fc3TSmIxT7HasPCax1Oyd9QAlgFR9uZE9ad1Lwb4+ yX87PF6HKsMTRzgpaG2sBnVAEfW01XjVym3Sq2E4KnHHQuCHh6/gU9HU0ZC1N1khMX6D1sNuCXcU 5n0WIHRGXoJiY0rLJviq4MDui31zeIIXN61+rO0dnPNZPHRgpAAqt1EJu+0f0WC7FkKPs9UROD9Z 87WetYJiVDNY5QawFdRPwdYU1QJwZA/NFs/mXt3hUmZMJ6ngEL/iUdy8utQu4RErw+mpwxMOry/Y 0rD++mYVIS8+AA5mlOdbDPz4L1hnRH+M8cKWgyEmQYGrs5JsRuYl42uJed95vsD3qZMNcbJ8j+Ar fpHGZWeSzK5B6e/+mpPe2qDmOfLjyyCuA8h6BxepT6QbnietRj4kXLCBv1i30gIpDJch8V0XK2+Q Eb/SQT3HdBlpXT4q+KNY4VnnDpXn7q7zR599686iRcl0XK+M6j66ajudAeLTYssnVHwHVx/pj5uT j5Q3Iv/fWvaoHqiOPz7oClkXjVeUBXM6cWfKKbsaCRyIaulCMBlBr20bdRVrbQ77QZs2IFvDFxhv hUk4qof+iSh0tZeDHFm5jxzSwQ6d6wo3FMlhyuBI8A1wsbHzrP/JxxqwqNXlZvSArHi/jSefb7Ms ByhKA9Nptgq0lVBKxzOEQXAWjKtIpKqJ7eI45tW73IvsYY8JxmK06sBvevkuXuWmXRb5Rhmwo9Xv f6aq7mGAcMR2ZrJiU0/4nZXbVJmiD775ZC5klGXX/WNaZ2Rur1k9Xz5EGwat3BydgDvvPYt3o7Ku ObdJA5w52Zfq5sjlNCNNigT0c59mOr41rzmCShg4+6kxZuoAq5CXMoYBJLpoPaFwE0GDOsjCMsRd LcyLJ73ghDjHwsqqAFVLwjpW9sA5C0V9PXxZwMgBad2WfzOb/+hor455GsJVOBTdXmCpy0DrKs8Z 4hqyXyjxBVBE44wlLZStAF8N2qIwXEaVBxE5RYWWI329wVDqqxYls199wc0FuzQlf4x7pEEwOKve wcnc6Udx79aNPxJdYW+tJIXMQTRXcVY4fTiwGs3K8cd7FcMupEgJwtxz2yIqqvRrR0J0n0/CMzyf d/E8aeCvduKQgYV9yuMLkT6crN/g78byYb5ZuWKpNsc62A7+vMGjzBZjUIdC4veSTWAjQUu8V8qc BioeLZ6i61rRTB9iUdT5sSxA1S2yacl2G2WtPZoN0REZF/9RFqwBm6/Az+minFXOaj90uUaHxBvF Sk0DMW/QlzfmTknorm02hGANVR5lvBzjqu6g9qcu029e88MIsY7jPI8Xphckj1b/HDiVcbIX6V+t TS+PS0XZ9OBzLx+Cz1BWvHHOWrZnRYeaN/XTCTBFEER+SJO1PEyqK9UYa2KpY7WjikXe1xqHu40G 9QV5mZDtj4+nxtiPwAmasLDWbGFpanesZaRjzitnoySM0KcGLVLol1ivbqZYzF91A6T1VGfdgPHC bmd+dHSEspaOUX2F71FL2aYfvdTyMN7jjBGdDM6hP8FuOIzi4at3zZATofzedwVWE9JWFdF2/Tlh D7foIZ2LOJBl8VIDsooH29llcLgYLhc83H1913Y1bpObidXIILZtmBa1BRn9X2bMBjAo7YDlQDkk DSSmUwsUjJUhqUmvUa49Zxyv0B4f3/I+YpNT+Jevc1Ga43DJnsZI69eG6SqQ9S4ksbdtKFfnqqsv emo745R499MfKbR14gB02DNkRo9KR4j5qu9RZJnod4owD+Dkj1nAtaY2lSqpCJL7FfPVOLI7xnrg nR/3oqmc5Xy7/yiPfjH3979cFo5VG5cJxxD5XU1vONYs9qoECfibIMQu3GQqoUH8PSdOFeRfshfP WkJ1DwKheV6+m0knuzEQXWXKMPo/c1YXXy5BCXX97NkrCc3ITIhUXuBAS7cGUcSbvaWefpruwGir 213bPk8nbqU8WLXXCDjfdWjIu7M1g42j7GvoDQ1PuY4yLI9ctq7WwjJcEXx+KCt0JcXi1G7+7zTS M7prlrJg8XhnpwDXWqBYZT+gGYpbKTs8hqQvR1wFlbhu2qzMuAvor7KcGIJKZDe2emksuAuI89KH QJZE/q0SQPhR5FLbxfSNGrI5SnEhilEG3Mer5OT8CDXU7oe2C/ns+INR4kA1yZJU0mpiyTeqAIL/ zcKsvVdz/F4Dc3pc8wEenndPLBoG96jnDPcqBqot1M5ZTTumZOYIURbfJZPJQdk76gReKNV93f+B KQQaJ6pKwTyimBErB3OseSS6vhfp5WogZcQ1/bY+2Qql/kphn+8mWFWH4wl7niQzgMP60ve5eQeS HFVg4q2uqhWEu16NSSlj+rHAAyqoP2e549KU6sas5LDsXeeF/695mRfb1U+lq2GQFVoomQ3PCa98 H/VHtJXdTNUeMmHZyYHPn/JfA1+7mAn8PI3Y37zIma1OcZmwiz9Rbnp049njbdd5GvS0gmSF8wgY vz135aGAFsO2XglxwNd+z9VhgOC6ApX3VSrpeGcakKB5PTke0e+SkN4JszeXENHJcywcnNG/VWWl qcdKl6iILu3e/M8vyYMhmMZxXTNNsP3qrJFxPUgwzq+w62jsAGIrP0QIRnhYC6XwHqsuYiOgkEVt 7eJgDoM3y3/CMO3NRGlwKK2mKGd2Ino/+nS4vcMq7YNG4jWYxEkCN2p44rDZziT4On5A+XpocMKa /kHb8qqAAVQEojAIuGX2ow6csf5+b/ttJZeOVXpI+ZBYy8r7QBVSR6saCthlBBBciWy5NsOy2sA4 cAWNYzrdeDpmIVBw2NYNZjPl17eNmzUVaeRSzLszUfFpxMY7y4V5oAosKQb1T2NDg8qws1ELmBKX V9N9lwT3sXn0gb6ZLzZCwM7quwgmEGvb5WSe8rkSO8YbfrmIyFKaaIZMIxPaw4l9CCPfxq0s425X JNFVMU+SnkJqN+7sYdo1sHZwRgNdgXUX7v3sL66kGtPA4yE38xabGQ9jJ6cSHWv9BZEvkt+PWfoL p6gwZvbvhtYzPnzxGBrFQxdz5RSNXBATPCmC+GgX/2OJa+8RC+zTPwsdd5dS5Zz/s2QhZvanBlf8 u1Yhvnacm+N153q+yV+NiKK3kIjh0oYp7HeHCaH7XtovBJLd/RwSXNGxq99ckM5ZXR5nGSLDTFt3 OlSvpie2jkMAyrCycgKJJR+MsNu7Y5DDVJzXWizxEy9chfWbUns95mQ25h5HP4rEkS7Qobm6iRdb Nyf+7kSUwIojNO3zrYJXab0zbNBEpuQMiICdNH53epeJO5WtIQJsuEcGeAeTHtdUluFuyR3or/GC XRK+z8c4nMFxVAp7NZP3XvpqG9GdSNV9stLwr7LX5pxvR1MlHawRSIgcD9l6/bRBR2EmBVRpHliI CMwCKhNldRNvji9ifQhX72CKw0KVGp/hFFdlPGFbwnVAD7YHkj+pDeobQ/XrkrNDdo4oV25iiVhM vjRn/JnNujFj2qvpaYybCl3r81ipn+XhuuZNiK+U83YxK0CcBB3KTQBs99edlzJJxAP5vZa2OrXl 7puCO+/t8xUZRiVcGLsFM80imnb7xi2DE55qvQdAS3CGnedZ8Rtsg0eNlU6uezeiqYMB9oOmJehb qSZyYdK2HpgLvcSI+rso1cEmxSc0aKPQzEjPSRXVU89uwSfNrctAfrWhAHKXqNSkS3dGql/olZtI vW8e/fKKrMLGpG81zYl4Ixq0NS0wofeuQPPhYUcTHm1KgYTZ60Nz14z+y60wBE02MeZVKkbx5Q4T fVP6btr+e6ow3cP2T72D7yBltMd2KMEoCeWdN1H54qmRIKfxi22f8bZ8DglUM+bSNy273gRX3BU4 LBuWZBxDoUBN2s5VsUwtPdfoxipOnWBdYYUO3XGjEOTj20wyoSC3tLD6R5w9ZjEg8DlRM/hCp9Nj q3vSyVzr7sHox/GMWe1bHge6uhBF4XGVyocYKElxjl9mn6VEs5sGJYL0HhoYAnpcGxpiEwuRxBWN GOkPPdHErG0eAq4cLoVjE/Y//+VGZCKnqsR8yvtXwltB70VNZdTE4WZgE83IoMr+CPdXnkVg0uYx 0rhm6wek59Q/VBMif/T8lVja/BAsDrlYRlvuuClCRh2ZRsH82pm+UzwhZ2dLG/9vJ7sak2DYyqiD udbzvrvUBslB6Tu5P/lN6VRC+YAE5xnGc77Q5FvKp1VUsjdw9fbyBEnZT1zkTUF5fE5sz1Em30Eg vgpoZDtsixUAEPNmGmbHFBtbH5LzvvCnjJ46ghfc7iIclEa++QptfbDawwu/S9O6rDhVWMO8ID+H PleD9zeh+erZo97OwBsDIyWKIngy60hVMcTFTfZG7sEufCYVaphB/1aPB5muHx0Oi3E7C6+byqHe gNAt0oZedlW5+u1lzdFB3fW7Dmn5VzG5Ig9bgIqfcex2NKqoTyhaYe2bguK5RiZahR3PbS2KajJD aTChq0I303Cc1096j7xwEjrUSFyZMJ26ZUIt7HOTHUr8BZ/+8krLpYHJtrhF+hKFTOXdodqEuWcr FTzuNQCTqc95LY6+h9jZRq9BXLV9vztsTJKCHNkABQCoTtDO7e0zHlM8xSqZIbzC8xPVWo7c+nc5 XJt9dgbHQDPMM9mT5UpWdo0uDSuLKzA6GktqK2GevWk60SVQV9v5Rcu+NA8Gbd8nQyQ6SaLWrSCV UqbpnggsXnVB1x3jK2pbXi+CZtW8W5kEipnXX4h1YDzmIqL3oAJZ/eU5BrbqkfBiTByuuuM/+PcZ t6LIBKjtMW7KaJKtfjfuaEwDzkfirhR7DX98LSygmCGPon+ZkW0X3w5zh/8s2TrB3Yb9hbF2+1Zn IDlbalxl7DVYGr91pCoQ5W3aIRfLuwcAcZHZmI550GOyJfrQ+gONLUxC9GQU4zU/GlniuBRVMeix S/kRYnTSEwQ4kl7SbZk3q+TKzWfOM9XpYASFO2qG1eA3tpuD0vV18/LTDRITRdWHOa+7GL6+I2nw U8cVTeQi9Xxp9V7UAFLoGp/7JfmzeFhYTAjURognZ6ijyZSP7wQmbVTkECFcJz9U+1x/eEpoHL9m iUk+1a8ZpOP1xqXku6csly4tpI8rGv3PXHhTwiYv3xDvSppCaX9aEXGM+SKrdw2lOw3FTms9FEWy bC8bpGB8PeffWUtGoGwRXxlCtXJB7z8v8jVA3bCMqd11J+bTqpkG8GO/kV5Fyy39/2CvgXN1GA6m UUg6f2Z0K4B8rQ2/2iCrSGVEwKybmkKu005XPU4l36vAD3WtxomtPU7eabOD2hBMXfeP7gGHbdG9 YWybsj7aPAiExErlpl5UsWpmuiST8XB2lnXJ+3eiZiQBaVw4B01L51seCsgXCBjxrU1hGU+m0yAs gApntdTerPfneK4LbyuZuA/UFJiStAfxSEpv8IpLZPXzrFnnveS2KFrIfOcERdkpeG0ifI6Fm6XU DSTINuaLGzPikm0xwDdh+QvjSc+0jYEO/Qei3sob6aCByJmA4msvkf35WsDhqCSYdR7FCQNPb/Ht /khepoi+15CT951o+DaFUS15d4eOSNYAfIyVKDcFfJErHkSHg3zVJdkXdJm9HnE6YeCwPcFytEfp wPDab+yW7m4ZY28ZLHSl0l8ZZo8ItZoDwrNAPjOEBk3hR7kl4SxriCOiaoNAboSOfdV28qYsQ6+c tSpJJTptAxKiKvevkYAsnvHUtg0lgOMXwIzEwJEwGzC+1zPkQukozUROygkTLvs6Ox81B6AfAGGb lC+X5JLIzrB1KShKxdzv2yGf3N9icm8C/z5BDvqm+o7EC65BeACUqTKfQSgdx4IUTpmg6R9bZuBz hOxYcMWdEkR6d07r09OBZF+jBN64v6mN0xS+mZaK0vlA32WQeqANEHV2WgV2Ymu7dgh+s7udIWKd I7eLYAnMTvLkzOT1PE2fUImmWtyS1j6AyFigdyfh4vuDE+1W6uaV+l8CXFJkefR9X/togDZdyd7R CTs7zOPrg6bfytubJoDvs/FWrzUPsp4Z7qoP7tc58TaI9YxHkp2TUqTEtVjP210Z1SOLl0hTXR4a to8aEKKZf2IeS/xgnbJB0JwpJNPSCYs/NOn32dnxk9x6gej9uLGoX3u5AchnD7fOqVqkxCGSANwf FPRWqhp2Nu3lMB3KkBdFn55K6EI= `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 1840) `protect data_block qcj0CjXVFc1h4QTwKHmPICEGrqiJAeVYuIaWF9YwIZVOC0i3b/th1vZaZiVq1bEMXUh1CNk9gYFG pdsXXVScHmd0thtItZOyGXaf2lEpoyxvQeTJIa3UOtPm77a32LX2Br5/sHzsjddRzeMuu3YXNM1m SpftZRJuoBP7hK47TuZCub/hYZLGjDk7Sf7O7cWWuKBs0RtWuYrVANLx7T6vH+3yh5I1F7w5neoC rWvfZ/nMAc3QSNwKVNKQCSqoFoqrfs/KWYoX0+GrEVJEwqm5IXccdND0SLgy4KunMl4l1iYL3UQr Aec+fOjpO7kZrgTMeMAL50ILveZPh8ntK1OOD/fL4GZopArkCDdmmdDjAR2uacAec2o+MpPnnriQ 7+qJEq++D3/lWyw49D8Q021oPnBbmHpK/WBlmgtXwwlPcgfPHyDTyk+TOVdwPdfGefLbr/ALlL2E mT12JFteVB3wEXDtqFqC02Ognn7HmHtlQMWT3VO7+5oo9XsEoeq5Z6N8z2fP9IxLhnLAluboazRl DrtotBHwF+QNhhc1Gm7PA9Ortr8nf7njVyeXd23H+n4/15QiSrmZR38RwzN0qmyLb8M1vl4SSWiY FNDLeghUH8q5sMPrrutXuOX3zGeaOuacOYKAUbokEL2f7FW+pFRvv4rs6q+uWLVJIfMlia1UiLWs EsEPj8fqsymuGoVN1LFSaEnoFvJ8tYL8FO4bqHif5WBqpO/iuQKNDEJxxOOzRXLSmvp78oLneH0c hCQh0di71/V6y5bYy4XFurWDNq+zWLVWy2gvz3KZEq0sThGT++qfTBtEKzzG/xSaLqwZSbG5FQqR s5EkCYk8RDcbTGcvp379b7b9ejLFifCoWh8uExPZ3Hj5BvLBc+f4N0Cd1cnc7av9c8L99RNdhUx0 gAhf4RoYubg43ZVhRlXJIJB947hrvFV4fC5AOSfLzTiCDFbES8e1INcQCW7skwGvhPNFyHq3NJSs QQ99ckimqBk83do++eEMgUU4E1j7eOfHFFyLLv95RF3aCPhXVweA5zLlbjDrX559yXgC8RWMmGlX Q6oUpyB6GdzHUhLp03mbiAk1MbGtNLkb77WOYa4gOmIxWwiggHkrXHi7hKy7l8Bpl3PIh5KGEoB9 7TgnREL4zOIuge4fYbCxPmqOEn9f9FNbDlxomqxjXdTirhNTqVohQ3xKtbF8KvWBin3/ESYD0i80 GAo454JuumkVtAOEG2DPLeG8wkFeGb/dEiHAh0RpYkMQe1/Ooix4QXUT3FR+z4lePnDAQT9i7e33 ejnivumN1Y53Bqvks8QgDtm18c0p0ckAGYWAZQWH+9PKU2g5N4FtIz/3j/VCN1+XnSO7C1XIt428 iuhkhkLL17bZ8G6OerKubjTpLNdQabCrM4ZVi/emzN1QP/pNjVzqMXQsD0GnGFF4Opjxe6A6Lv+g /SVLSc1+TXykkaIB3lpSNyq/+GbGw3UxH6lDCqF8YhUIfdd11g2Khp0KgzxOxSjZod1lvfSpdEv7 AY2eJ1ScrHWPgDfihfDTmNPsp1Cw/RBo36RMu31vsDxH4N/UO1z3YR7tGWIlLGKVprmtRcV6xPAP 5iSQH59/N1uRNKUtVYYbaC0Nf+7a1E5klgXLxvo+J5Ffr9kpp6LGGMEnXSa/3pK6zDHQeYq43IES i/ZJw3YYOasYqtiYmL86h0rG5nSMxrELPlpl2m0rNjhSCrfBCpdsR0mIaJZDYNzSlEJ53dttNZ/y pO/RJd9fZM1q505a9PJ+FaP5/G8JPrAx4IYSBUEc3NfY8c6G0Hk1pLefBQAQgnBtV/S+m2eJ0AHm 9IctxszzGNT7/8zcVpMFEHETgpLTwUghlBlZCnM6jDXNKON1BXpjg3cpCcQNjafZOrTbLG0QFfeI uyR9yWLUmFGxsbkqqsSd4ZhSFngvxcWQHg8AJ/9e2dcXfhZPLwapUqjYYjEE+XBYIV7SQghP2OS+ xThcXRE30OqkKH9XxELQwP8YD6Z400tBkN59WJOqO3XZUGQn4zib/69l8Ow+cEWT8gGdkUhbl7YH s76DwSrA3fROmSfy8SckofFLGY1vrUtsp8G1u/y3gKR0YdSN4oA47kFBiheHAaa8Zrz2oEsXXj/G v/wtM/NgWhKXcFywdnArnS16EUO3ov+rqKM+gr693/qPIrHCibio3Us61DJkmXbpZFDouBBLM3se c9ZFqvardbQ7+1olIwvh7C/+oYPX9f1iGyRERE4Rf5lajG8RKfrQWBjyZh9zG6DCES8iiD17gWk3 ZvZmDZymZZCsrLYeS60ukJRVf2tX0auWOoT9oVagmh57KsYeFCSuZGCRd5Qk1T+zI1DuXEnKYLbe F8ZFxB/XzHMhrZa/zQ+LwVUmDpTnw3uHdFvMNiJxxwPJjTXWscmCou4NVdPX7aU1adbOc0l0+xPr 0Jh03hOcfVe8L/czIYGMiA== `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 63088) `protect data_block D10gjTwZQ7wGs7bQwUVQqjqScajH1jwtHgKKn/WVOkmzP2CIviryoClu7fL6vDpD8E2j8/YDe6mF AnRhhEc/SXXjp/fJZMZ8T8hNzpjtRfg1XV6T0ogc2/xMDGK8KZGt+iU4LRmVXygUrD2H9wk8KUgE 0/on3WD9gjaaDmePa1NLb+ONYMadce8puQOuyKSOYs9r4bsMRtGjwPQ9OOowYuxHupoAXJLZqtkS PfHuYkwDvrM8e5v3BmdVdHfW/BsRXqDWgBLwpR1MEhTj7W/8SeHvL0oToSRkc/AiByXJdnzIkOTP zXDN+X1XO/6jo9LNVkPH6/7315Hr/ue8MnZHc0jLgZzzCrRGVHwqqFYXs3Xgh/2/VsNlgEOab9ZA bBAk6JQKVf1jooKi/vVWFUtqYFvT0i6/r4an/T5Ino6GezS6g6yaft4XrhC2u/YYZWxgDVNC8BEH di/vgUNMDvEc+K0f5eI4ezNrxm52BDGwqP+t4XG4K5g48O5zmZCU9rlTxlWPoc9Duw45NL71NdJG ZwSML7yjbWNfctPKrW9Rl0SUikeuGecGte1PZesw5c3Cmcwi7wFoour6V1ME/d1pxdEBWFgzIT+P S7rGnO9e4gSgZhMI8e0Pw3zr3FBcdV+ZMvyFsJ9PF8o1IIdfQ53wLH0haHNdM5K1juZGUM5mVCNx PXTwH6D7MF/p6E+KjHmRZFxbvWYVJ9mP1UBaKmzXRuOOWRfCdAgGOO98InkIH80vJxC6eLlgWbm/ h/9mdrvwlP3+l/5IratampBMyRkCs4Rtp/HLSD3fyrPlFt2g04MdqHXX+hrtaHE5HaNkneLFFkCA 3oIRS9zrGD+tjdmpvam7q89Q643CkGreGYgGzxL3clEKb5HBo0ADLaOsZCH04xh/nU+ArQ0sa2n6 WDRTEHhhZrEtxBPY4rWYM4YK3ldHLeaUIahcZLES2zTSa7AIt73Ht15Wd/PEXqCEUrDYR8nETHFz zUY5U1y5NB4vvWvAl9cjRuuhj/rqREnuvLxiy3wODaUG6SwbK25p+I6Jw5bA4TF4vSE2Aor78aK0 kG8NHZvwZtDHX03pPRqvifsBtxor+gSYN7J4G+mTcu4ujqct/PqdpePk8AQOQ6pHltUZNtzKnEji JC9qO1hGmfUZR2FM+cLXz/eGV8kBG/wJJPceTwqikj9RfvMghjaKP84Al0DDirM3K9JMuTJvCVLO 0HDHPfZ5bZ/6TkuioZ88pomMXTDclG7L2Q2wTEJbBBIJwvFQxtDgmoludjVX6mNFKOCQ9O0Z9dC5 EOvllFHU7tYORY1u7AefZq3BEjZiuBFvK0aT1SdeA03DIJCfCXrABVnBMLJK0lVuSepQMwXtGRD7 1K7C4XSLT8pU0U4RcGmdaDDlHZq9eYMIpEveritTjHElugHIP9sIW4GPwYOLwjIRYPLBlmxx24v8 JZ5H3bWV/5TPBQulbJOKkElwhmGk4zbvqh4IqarHoxidtdxkMgm+LrBbwa6XNq0TZ8Tw762NyTI7 PBBR9mwpQw9FuCXhBhIL0GbVW7xLvuyFvxa4QdvfkSG4vRL/CmwoXnuuGVe+FP74XJrccv978mfR 4t9ExtPzxjt4L1sEwO3TWTusNAHyMpTM0cPyhioA4koTJBWIdBMeCI7VoxN9wzckungSxlXmv+Sp /n+I17rhpC5vlVVJCEK7i89icfE1qoYvEr7fncHmgNXJYzOoGqyjhzO45IQKBS70d3Don22r2Jfg r3Ri5DIi5QbiTUgTxAhJOJ4uODHRI5vN6ibeSI0N07rXySLx7Q1ZkTNkq1yU7jYhIEpeU2+u6xle HgFLC7ktYyaikXPcrG0kZTEPBdAY6ZShsavrjvoDxXb+7ZCwgjUYcU11AO+BmMMmVUHXYYuQcPBt n2yTj/jbDXjWrQ7tBd9Jgg48H/YNdXbZbPzdZV/AQbQvjtm5PS4RR7jKg5mRZSVONlvaI0N2W7/f ORCx+Fk2GXwPmmWnXtlVxkwYMhNcOy8Vj+ZUBgE/BBBi9GTRPKGOwhpEFBlhQtw+9IL+dpet6ZnF ysgdfe8Mnc488JOSKh2CTxtP8NbGWyB+HSra+1K7CSA5YsvE/J3ue1O5Us//kSzCSDgF0zQoSkca HZ3zalKLb4bml5JFxocnDx2d9WaOI1DoyQeLLJLO3lixhPBrJzpGzDxF+/hXha8kuE9/GgA3ZEqO GVE+ZPKnMw+t/fO2t4CQQsDzhPgJtq4o/B5tJ1djRYaaYoHDCp/0m8O0BXIlBk8oDdKIPAWoZ+af lFE6swPbnhbrIc9i1soSkwAVpAnw+RJ4ztIpdpUF+aTnf41W1XN9CiNpO8NC6eVRraCmChRsxCtr 8DwF3bsGL9h09NPILhZFnVVhHh9DTvP5qnwUv9Ctj6swKxf4VW/P3qz+RXPE2mx+JWP+OhYqbyBM MOIq2s/sX40q22hdbve5bzreqsvsRZeLslitpEHNZLItlV0blzDPcRYZY+NJ5NljvcbgqvoqlOQH oS0XJr3vUGgKOZH+XRb+dmdh9MRAKHMbEEEnnqsq0gZd52L52KY6+G8yXiME1o6i0NHYat0wvab0 13Y36KZSIjjfl6nU8+jSIkLak5MivF7IiNZ0blWByPSzusqp2Ywiunu1caAuUOHmQbtVyFMhEoJO 3iBRSDPI7e3PBoZowfacdDPcbPk2paa1qVIGwmNFaztIub2fKzbdkIBNlrIxixsIYl2hB7qIyilt Lp/2c6g38wc7uVGWvFmLPyp0ma6IyE0pMIti0vAEuqT91KUEPf5/3ihMza0IGS8+opaif65aRgni SMQEm7fRFZwZeZyGabg2I9IlyYzE2pN1+6gZMi0jjUTTxX0xGltupIw4BGsGRokAWK53BqkE0X50 z7Ge71ALRIHSWIbpqflBzuWfAd29KV8abm/Ay8hcB/acpRcbPkKWfBM1HUsTdZG90kItgMbKfkA9 s9a2iyAa8Q9It+J9baL2QtVoBSu0SnMAQB5INBAxuMKfAUy4JZLqzG4QOI6PAe2AiNOtkn2+J3kP 1tBMg+hyFseZs5cbT/udGNhuUU/KJ3yAfPNVpdilpXRn/CUXZfYUB4FTwzkG7WwKLYrCDp71d2vy NIMg+frDyU93V2e1q1QZLlJBREWuLp18HjULdoHzQT/m71awAZGa2FQBmbYJxBwe40LpFbsQR6ub 90aDxAsgmLNdAEwP7DngFckNk2ofXyi84Jaj/OTyFeangP+vCRgJbSNl2n6DdjZsdZ8nzOvNWwvI WpLi3GxHqKY304x89zI9w78JRf53Vg89q+JJ3sR3TRpFzQzZ/M2K2x+2pygXGbu44jpMPjPpPoYp s2dbHihAOMZEnIjiXxq5LyQ8pFdb/+Z7LiAiK8PrSPOIZaTfl6H+kwPX5Zy/W9XkXhV/8aAsx8aA bYoMRIrhhhUqbMPbS4mHbV8CmZ9rwUxQZd1OoLNk77h64lVKq+C1nb3Woi59Jyly9K7G9tHNYuWK k4bg/qKvQGugPwQXIwHf0LvI/MYewZ2Zic6v0jm87rvS4CJxQ0X2PSe1EBENSt0hN4uzj78vhL3g BAiG16XhURD8RPmPFde3fS57fdUA3rr9EnuS3zEtCGvl+K2SUDFv7W1sf7SKyOzTUsiO/Vc8oE6N /FWzGOZAFwehlmStBwADVPRBUHhQ/rWM8uprPuAxI8xqbFRlMbE2Vb6KFEm1eLm+m8zoCAmXEl09 nl1cBrXPv7aWTpErVOog889xCXFY0jZd7+NMTxbTp9yF1kPWrOeiDPSGp+OaQhAVEgFzbL0Z7wNK 73mUpiHczvi1dE0wfexeaY77oz011OEMoJ2nnezZKD1EdwzeNlnsMGKqBNN+eKHdRe45nfBjDJv+ 5ykm0jBUM7wNbDTGo1BrtS1xuyA938c8ulbZBdKVO+BZonQU1X9chVP5SXU1sPS1VXrVJhg6U/+S A08eLF6WzGSr4wrbmhhj/NKBOR4i8Nf84KDsnFXz1DbK/cD9+is+tD1IC4SWBfX/Wj+kyLHhxAjg beH6DXqwAuxPdX3FN8QtLb8Hdkrfhk56BAROIvgKLiFZRvT8PFTpcR+4gvgGkTq5QJbwZKMS2uOY GGyVJcpIOgLUCM0QmpVldyJyquKvPkL2fRYpTKOA36b7dPhUJiMAJlE1Jn8BzBMWKxoWYlL7iO0h ezwcZ7UycBDljRIO42WCPR5QFGASZZqq/d6Sa81HmaeySUU9hG2obVySZOaZRnKu2QV8Urz6c90X ylXYS1YzTb0QyE3Qlna4qnpsPKPEK0jQtNQgMDeDHU/Wuzs46ZDcpJ+sQx1wYn0njK+7EC05Gx+D Aem+s0+/CRBo57V1v6SzdSiqSNOyR/p8vJUVdiWeSnk5uhYovLNyfRodAByuigfpZdttA27/Ynxv t/9FXmAYoWk8Hju2wUw3j89lObUJUXCHX/d8b4fnmPxNKr/ORnGU7F+rISUbzc4+FZ2IjP1hucLw DgaAwyjFkt9R21tGkxJQ3oCC98GitgLe+1sakfvEh3xGBpIyCeCoTCVdHS9BdTeF+P/D74Fga3JW FI23r8VjaasVOKxJQOkms+XQyL6MTHVooi+xf/i6UvXur9luVCZ+Y93gzGXcC62NrCEhNw9DkPMv VVDuqheDXBEgRgrSJzwIyHBkVcYRJLnt55joxCDDsWFJ3DTSeVKHC69hckC+0vKWXTmXQNNf9MjQ F3Ye5087t8vPgF675cqldDJ/G99gOwcJ5G3/pDkw5kD1oDxigQugy1rpxctk+piMUmxEJROPPKVF R8gM2m7MkK0s3o/0/m/ptLTwlG7RIE3tCCjLgcgr+eFKm59/8oCWbvQ0rZ9Kgi6Kr3adyb9c4Be4 fNxAO7tBa4n9GhvqOpvEGFmpo4WvmSQ7GqxyJgDcLTgr0/0F746RTFGOlG7BcPW08rnFmayiifxX C9UMwkAsyECE1fDj0rWuASR8CHzQUvo3ydIa+5jI/YVFqS6Wxds1ld3vPpji7Q99g9jnZnydYCwc K7pc1KwVl0sYZ4vWyKeAXqVIh3BZnf2EvxLoR0RqxwgrAIy4Ph9nHNbChUvhiM/d3q7CpFUxxzyu Ee4jNf/NCQvBniYV6SNbFsm78+FIV2Fx7nebs+uIkyfoCaiO038/iCJjZ/AEUN9f3E01lscPDHM0 gzt2I+FtRWyxKYsLyKDnRJ5nf9zzqFEh87ehAUljmHp2GOYQGytFkb9SDZ8aHjKVJtFy+MntAQi9 RcZdPoyDHVnpdeqeBT7rWZgNMVksT5bkjsH71bdUlid43V+qA8RfwBQMhKxjc7Si0EJ8ntta3ewO sBFPJpw5xVzjyBWb0aRlrGVTGkWTiJysBtsm55wJPHxHWqaiUFlK8ZdenP7zSkXmhJdRR4EncTXx CHzxV9jX7K9Ov0ebl1UMRjobAo+t5QAmrRhF2lsicSvXvURmR6g4sk6Mwogb0JSUyXgUszN82Fvk tGm3bLvkTphjVb2+NDlIw7lVqTQl6tfI4dr8KzZLUpXILscX0i5lh1UIJCvqUsrDABZLJdZ3mO/4 2N2HsHsTPxHXuze23ojRFKqASnn04Y+KlA3DLp86GMUkP1hlTcEAp353E3TujI98cLAYNl5HohOg bbHaxRhXGywJfx39LKDFprD4oDWcXCR/UeS92FRUQvpgm8hwhuB1oW1TBC5IrSH3z5edOtvfIKpO hP+M0qg4m1TPexI7vjzKSdMJUjT0ldYDlO0IpjAkhJbEmmvYOkTwWWMcWz7rybAmvMGoE77i3Zuj D4jMVcTMWK1uJF335ApJReNdbcs70BXUHPRNcva0yh66XG7zF7AhiIqIU0ql6XM9hx1tPRDkJw+I pv3VCqqsJ9WRA5FlkhdNwyqQsBGcJSizIZMOoVrCH2RgNFsGT8y44kpds5I5Jeny3yOu53XyejgI 2kZ0AlHj75Kl4XD4N//LjciVDIpyHjui6KEPQm/aOOk9I+DdB57J2ga9lVBcU8iaXGa0pJe6Dw9+ FECIaos1eg1LxeEVQQ4IDHK+2E+fj5YkrKeu1EzcRdDGT39pHqB1DzFVusRuw7XY6cABO8LEDxow axm3jD7SFIsqL1p4sprGpC2JEIbdRw8Zht6I1/DLRcP5SWnfkkTBcm0qsddG9WHtirCZ+Cr3y3O1 h4SHIN21cGvqnQS225Fxod7b8tGzlJ9J04qLNP33enOn4fpmk0+CMSyiV9pFaNejxcWvqbWkHFCy h1Tm1yZuZCvU3T7lLk2bKn5+DPRAJTEgkcQKityFftJheipUNydPEgxXJRwD6j3aOo1UiJVVMI+X B2trHlS+ML97k3kjo37DnqEmAy1eNwrkNvRvcQmh/DbE0+2n4IKqNXFuPh3ND/YZDRuhTy0zIhxm jzzyUAf7dA9UUMAQJoBwCo7wKkZgMRdfqZZqiK+QEpoeru5Zt5w06GksNuYr3Q9krQ4i2w3Pmxr3 hV9/hhilYgPTCsJwK3W8arVupIw4doPrhWOxNJ3D3YFeibUbGT9pbNp7rQOSyED5mZOCrb7PiK5H SzBbWAGwTVARe56hKwtNqIsnF6I61Xi1gfkjRjl9mBw7JTHwuETc+XZMPyoEKHMfjGRqSEZ8DY1/ fpr/2k18TN8eZFWRJC/evW8bYWRplyxMaeL2PHJ7sv1m6fTHB0i/VG4Vzlbs1uiJHW0GwzTg+vKv OtpZwQHo2ek0K4hp/K7JT9Go3pxNMixjJZ42gZjWJ5oPbY+aBRhLRMcTgtenaXhGMMrMuxlg0ngo kKK003rSZIXeInuXyidSlZ19TmSO4MkjuEH/t9utQXqlqO7MCScv5qu17+YqH4Xq3yPxs+bimrIw GvDLqWI+rxjZPojLQZYPRHG3TPaQUVZ07MfDxHyCvmYwBh+rK8Fdrl9GUHacEcfGTesmB2Wulh/G UyQHzTPMmSNE5yg48YKuA3kiWkX7k37ZFLiTSJCkK7BJAkys7zgCwo555RGftPGUw6f6SySZaezg xEUVdt04dmO00+S8Tm9nixGg1aVdSxZebNymoF3FCwfm3PsmClf6+vpzOM+AskF34twMxFu5iIq0 xISNv9gxi9jTDk4VKZWm6YkFtQSSptkCB4owXd+tg4kjua7VuYye9Vcn84zbw0ljDbv2AiLq8T5v ukcQI66Bq7hfV7GidwSNgPa/VbrxI34h4pRZOsISLP4HPZCBE6arzInLjqtF3Z6da1x/Qaz1rO7m bj05c4gM9RkUDS4Ne4ycGfhU7n8Z9BS7kAJy7thJs5/SpqI2omWjnZxxrCeQMGVuGeLfO2PtD/Es ybbN26NFluVe1Cyp+tB0w1DTSqZjrJhekO/r01izGdI+rZab/LL5UTGgP8h+YW39obH/lMegu4rd 4iYq38uE6bSO4SrrMsELvQCrmDMjcOp8WZuySngV2c4BCtO09Ez2xoZN7g7JL5067NVTluIEeg6B QUzxIr9goNqag1xhN2YzLvMtOm/ckvKe59cGp6Qw4KsIKnd9KAEOyag6i9PXHx2GOCtuQX/iTRIn AeK1BL9uvOrgEIHkl/in6XH/7Wrwih6GjHsH1t4Q7uqYGH7szQ2qR/mFCLOEfJ58XTgzTOKFNQNY k85Ik0SJ720AbcKZhc+EEjDZ6pytHKuwWMfntI9q7rprMMUlIIqH7RMJyVrI/n+LGhd7ZF7+A200 ukwcBggcOqnCi8ccdg+Qk9V6lLAxxaw0gGy2UxckVOKZb1WH+4BFhLTEgV6OVrjxfMCwC5OfbIxa ROAOlQEBI0GdvAsZmdjG9IuOz06oI7KOh3aptAuh5mD1WNnEWC3pRDAWmUh/jbZArcZpvq/1LwmY eKcGGg5SsUvXHv0JNTEye8PKqz928KavmgJMIRY8O2QZW29ifc465oYuXMv2QqPD20U48VT2M0TV MzP8NTlyxF2A5mTR0TA5zJJHaosgaou46jVwsBfjhQbCCU7CtA1/ehgm40xyplV9fhUekmp/e6Cg w8VVaR1mS6ytC29jVSie6oEqCTPzEbXShjC672THdGAYXRKz6kDykL1zjE4IhcbW+vf5UXBWE+K2 vCiwTuiwyHU6egI+FWriADc1M6cN/G0eyhEImMkjfcRgNalDPOt/qFcLpucEmv/HBf/Tz8wnl+6h xD3ylyilejaObcYX3rMl1BXysP51uwJBHw31P/Vu5RutECy3roYTJ3wZCLtv9KG3u+lxMkxz2UU1 p2FOtI8lBO5FqhIw2tt1UToGAjhayCDOSXUPEy/MX5bTbgEF+R8CmR2o4ywwmSaD9BIKC9z1FEdv dD1t7NeBU++ekpPPoEFMhqfl1PmNB5uS2YMtUyLpmwvHpjbWKoPcWn4Fj/YgPTIa/ens78uQRNVA QV+/Mulp8+YgHbLuBgqVS/b0yilIBWAFQrSt0K6P9blQEo11aAUrc8UipNtE9gLlClol9OgyK40Y 48FPRPx4iRT5iWX3/VD75sIGZzHNfRsmKyFwmtk6ndce/r3SPGllqS3wUwgWlan/TKBxxqcAe6pO ea6iQRzHmaVyjgWdSpBtxRmtYkP+AXG5sTRX454oIQG//lPdSyKN6Srzjz3Wo3DzYRlcNnGXVBTZ LG5BI1OmLWE8N8+Xs+0xWUFXyLdiXYSDsADdVM5cXDDLjs0pKtZKR8Yxu4kbmwhZNsAi/35SonOp 6JJefnrprcMdKHdLodnuBEqkiQBlNrkZ4h6BRw869bAXcCO/PvbM1CJL1Hfo8k+pJGX70VtVGpf7 BC+dO3etP8AmXMkJUDblVYxzHfVzjrjtRVrAly0JX1Y1jNG3TxZQ5yUd0wDB3EIhTCEfaCzk4dAM 0EZvoDBjfGNzqq3YgKE/C0dlH/bUmTlFv913Yn85+SpX568IEb/c1TbMm2NmtHlOP4JxZgONGYQQ IAxsOg2bQEY7hvrCjR/FaybYDJhd+EbId2slH1AhXOffsjY7YaWWZ9Tu2YwR15eupkZ82qwotyXc zD3OnVYGawSgbMcvXzSUQmFWjBTB5nQcIXcz686GakctPQFKl5E84Zh6IEHkZfza+luIdgZCPxFd CyJEha2mIreR0sWR/XysSRMdzm/OzYg0jGI+XCskdjcKKFq2LBjbdF2ICHUIEMJfGVx04hW3UyK3 Kh67YoS4O6v2BJEj3Hze6IyXKQjxOMxTOl6D4P33ZjEK7hTmrltg37I1SZWIOVs7IvktVAng0t7W LJgrWbi4s8doLk1TQzPz8MNW1XvyODOgnNGBiWkHmGXdtlwsqd0RXtUUcARL46qogztofE6fD/ph ukdqt3GBendVdj6T8BgzFvf3+7N641yc/uFO+StaKsua43p0VGyqrKaDZNXN/tI9mPbMsU5SpEO3 dnbYz4XiyJSOWvIcN8DkVGUQa7CnKTcUTUANREm65xfh9fU2t8FjrvZhnqVHM6TG04nxpnHxuEqS +ioUNMlmq2dQyXPb6GU80mkSaBiwo/6ppbqT0bhyGWVWfNmP25/QBCdC1geQyW7keFm/Q1IoFxWh rq2dqpWHdhVN+ihn+XwLJB4Of9oXn2/T0qsbA2obZkE4WRS4y4WQroZ4l7rB6bhBNhSfmOsXjaOZ zEC0ao/OsSYzLfA6eJj03tvmNOz6Jy/ZyqJu88exupnUqwAZlrPR1byP4elVzX5+oRj1BL5RdGQq v04rBOPNbzSRp9YXqqjQ+xoVSwF0V8l0NDtMnXZzozxyWMR2hwN+HTwMHWcSFmUiKytJUjLp/XwQ xmya3y9i4aoJCoE2059qlMI1JnSDkUQBWJE49Ofu2qTjJ4SNFuKpxihmCR+zXnvooceHj7mAFCAf rfYJMZz9EIWfnQmLNCvdS1qk49UYBX+JZNS1dZAsVtPyi7YywxmVlYxcJ6noXcybmwh6AaGNk2kb 0z3nf9DvthfJl6mtmUHw8AqyG9YCCzbPur/JwCTuBQWZw4yD5xoWNanivSYIVoh4Fx8QI3JzY+Dr oKNSPo6uGRLYw+USJj3Rt6MOFg5fPBNICOU7JcnFFLDqSuVFkKOYWLVNHN315hZ/5YZPU+JCLRuD nJF9uyHUvMDLHJARBErSfdaVhPbwZlOQM0YCCPX23umMg+17Gzy6xxpxZ6zh1WQqlgPRL8WKxoUV HHz0Sh3e9t8oG9OUvTs/o1d6YjRQ4Q2N3GTMFQUPqymdidF6PZzlF8axieIobOC41Y9GLcq7Iehg I3lWdLPN6K0cDpgQsuohooVF3HUY2vcQot+/Pnvb9Sq1vXwVbRL+eDA6cmox2P/6uvz0Ix1rZwda B7IgHOiZYYAZlF8FGXmccrUxkblXBp92A1QWD9z0HHn2TNrzqm9CHLd/IrwwmxxHopm7IJTXbpQc hczJCMlEDDcA/9ssGehTe4+qrG59KqX4T+onYPx9blgVwozqjHXxZWXOuRP2hYrMY+BCFMNAvNS6 33WAOOMcBQKLgj7V0DFepLNQswIYt5pX5dgCZVlvgBiHHteeGxIAraZHwxfdotCCqV7ehGP8Px3f qmF12TUiKPKkQydwqKje8KO2s7n+Mmr5D5c6o1hkRL5/uTwsAMufTcPsa65uDIBf3ZahAyJQ4gkB jeBWTRmCuwqjmtPKvw+QV/QAjoYMb5aB4IXUQk2evHBAjUVACOvwUWGhERWA989EUczSKTKuyfvf Rz47xLL3wG3y/O4b/Wh1hCyJSee3fgEBkpSBxokNjd6NaaXW9nAXmAuGa/zmdT7SoB/xMfgB2778 QLzPVvbbgjEY2+EanrbxpHLvxdX1A5JNcuoeXgILw4u6FN3SWM95SjK3FVs7Fz5eBHNCmg7uEkR+ ITfHQhf61nYBQFWCin3/nqcpcCEXFnKQTNVigmxOD4TsbbSh4ZDOV14IOQfNOo/Hztlqsi2jYl2x 7s97Is73n/FvEOLKlDmkGYil1eVfYL3rwkMNA0JeYyMaaOfJzLiYo9sz+UdpvxYjv6HMlEQdbE6N tQLzf7S/MJhQHtjEFl+ea7P/EmXtvC+727y//QsN8fMydG098hTShgIc7fItRphOnCnWGSDnIhzx hFMEAC+zZY6QeBxfDM9+V0IcOaFFcGBp29hkTel/5QITK085jQ1A406h9OL6kG5whdAQFvmI0X4m sCvdLB+YeIDG2fn8bj/fRPk40Nt1TioR8AyYRwh5WgBIMR933A8UcKYgTYQ2bPPvz3gJKJYuUzP8 inPxhV9m2mZj/QiEevA2PKe5fp3zdL4d6zXuuOYrkDVwxhFgx68i0x1sbnpMjDGxkib922y3/stZ Zq11UVj+z9znMjuAyud3VNhDRqYH4vxFogvEk9Bt9ESjx5L9VeM77nnjOFdPgBmhdfM5ZU8daBLt +yAbRhcCjvCh4bJmZBAjdbm32A8nlhiTEhuZXeNPNkccgtNjhI6HLTy0FWAe+UfkCNCVEut/0Nzj E3ZYroA4OtG/HuNpu8vqp8LEnlbvftH5m9bt3rDF4xEvuJvjQxur2rmGDaVQ3RJEm7Qq3J8fYV6U //3k2Q8DHTku1an5k2C2OtSxQoWHv3qYJFyXlyQZ/28Igbw88DROqdV9laKrookFokHE77Y3BRdC bwaPLPJ0/YFoA7mJNd4ZoOgTzU3ret+M2gY6LtjZ00HY45/tLfJDx99VRNPXUoqTueY/U8EHUZQQ nAsghW935VzPtUSmPVR2aIH51iehOBlBv8FWASoG+xWLahFXNGgc+CxbrE/0dUypkkxsA2yitewP 5CmsrjtlT9TQ3e0X6o2GjenPZNkTBO+RTMiLo46UL4H5vC2JaNdjOgWA9lg6jAIC/Vt5IaYGqVoX jO5MQ34Rp54OHyPlCp4MIFpIRn84NZj+iU428j7Gkq0OBv7sf/sC5UAHYErI7rF719+GPchaDiiP LDYcUPCpbocr9HUKmxEYJvv/jBFrMuHETTmUU5QeOMyaqKSELnuSk6xnrM4OXBJRFzjI20lSTkKw YNV1v4n2YN1g2gZC428CQStDgA2lWjsesB4S00Srxn/nVELgPg9hdhuDWQlDvPUlWFB2AR6Q8Z3L /5ATjjhv3/fp+6raA2nsRcIOTt6emHSjhR78BRhiIDE8YJoL0w8LO6TtW1cfwrqGThMXzcOj2XdM E9rAHsuhRenl4dQWN2BlxoGn0E7XFxzj9RD5k9bdmotWsJoQ//lKxHA0vOPrK/OUFr7fBxpvXB6p HbfcVHwhyvP1jKcfQVj+J4RBSL9pze63pNPAjFkvghiHS28g8/yHtKqLJhyHE/NVu33K3e2N7RPs dN+BgJzndeDg25hPFcYB7mi8989dYSwD/9JvLGuCnfc9aDDt9eXt1eq2MeZ05DHCeISOVaAwElR+ HAI9taOwfqazWZQOv6dHhwFxg+uMLxCnibVblfd7QxxxGOkBMI8JYX1aUIqmVhQ5gqqqro1gAutS JEOeK7l1Trq4pEMN/Oaq2zINUNndfruSDooYIl3Cpi7XgSLOHYOwVGMW20mAqwH+hwamCf08QfWe xeJZaKqZTr2e1l9uKCQa4E8xCTf0lyNU5L4fWkB4I1qYD04XbOL9o/zDaoigMEPNHYkDWIkoLWOx cVvf7iedMp09gEttmoShMo3w359OyjW7tuNB98fwQ8d32v89Un6DSaNHtzM+H/x4Q4v0nhSrMhhG Z6utxg/nlJonJlBYGTCmXx2+Ozvju5IbYPZ1lmbNU1PbuTbXlh3IDmkFHCe0c7rHWaO3cI0T4hO7 czbhpzkSO3HJzDe+xOfWsDCfKirFJGyVxkRBjKm+hDfF2XUQFpSXlsW3WbZw/BHeWmJ1xNFoc+yX L5kaKvcXAD5HCgQobNSnPS27Kr8cZqXU7mT+679KtwUSLpetJu8C3y6nbxip8tyNJmastLOCGA15 jibD0FN/dL3RYcinEk/X9AdVEX41/fZ31tFq0Um/qOwsh8ow959jH2Ob6f6GQw76E+xtLiHYiLQ6 Kwe7CF5KO4aXCQZf53hAhOO31YBLyCkrFTCfCunsg7JCvrHAPaoaihmiLNET9aA8mPlipBgUh5AN qv8+WlD5JAr5qs5qqnkeEJIZjn8/nuoS2xqK56DS1ipRSNQ1CvVdiTxGwHkh7eOBvxQIYYMUHApR LFmNqsAPVhDbiaF43REusUXa+14BaI6vU2wILXv4Qexedvs0uHHnCZ04tbH8E9iNV7BcEKyeUtgc KUpNB+2tWTmuJujkxiKFWMIYc+kOcj2EAgRAuriaDcmZMFv7cd1PoOvs9jWBeFeSBKPHGmwQLhkv 5HcduApk/vroyJrF3nDaazY1PyCMlQmlz67oSq+oZ8aFgZzxMVdOevmrttdR59BLaF1r1rLK6AtZ pTcj3MgSsRQ9cvoeXlhp/TeQN9KTAF2klECB3XdWuFS4tQMZ4bL5DTw8MmrF/4iIJppgzs3fByKy yDwwoDWyoK2ladrErkTLa6LtHsRVyu9rjsF32pkqpAAdMDC5fouNHfew594rIl6dQsmgMHi1ZXye KEKPisX1UT9Iub+JeLs06LrXuw4IwfcPR+YXnPMJxbZxoSvyhbl9nWlJq5lv+KKBzQe/1XRnwvYP r0doGfej3XOszH0AA0Yd+zywsKG3coYDbljg1c72+kd+m+7JMBrS3vpWcGrBjcse9B2wGMnWv+VC 3tYYTtr5vIRIUUl2+duIHbB8CWzlDUwmu7Ik+QF/VtT+L2HkcIhjQS0rtf01pd7G9VoAdP+9CnNx PAehThHH4oB0qidJf8Xgv7VZUljfoYjHqzwr5ldo1gHg2iLtYDk+q+0IJj3v3NZYXCcWaNndY+dW NvA6XGK31tlVU4o5J/P1IJWWibiaT9O/o5nNVwQcwOp/3IOoiQ9gHqjCvL0vksDqesuLGUUltOp4 HlVj4CmO9W6S+JrOrwiaNX8uIFXtvnrvvxAs1IuHMC01o6CdgUGnicQmVEynpiBAAXFuOX5TLx85 s4Gk7S17ShbT1iID+zMfl6wmCVszvkNQ0rZBbIju9BcjUJn9sH0ZQ5AWPLc/QWvTW+0iBgzDVKUh L/j2Jt9TWBg8YbKviCwZ8MBDOtUZK3LX4GwOOzJibkEA5ikWilt4xHgR3eeIUDdnTyrS6xpCUzCA nLM3ur/KeWTjZkdvksKqIq4QlIANr56EiBxuhCu2QS+oXKLTHho/UYe9ii7ShqSUv3hOs46W4PRD AHXDG31CrDyUkdH385xVmgvZ7VLtzWdxuL7D/12tYrNAh1B4dOtpQ2AqF9HvBBqOjQWRUBvANu0w rWxWUXzmHs+b1NFPY1HRBzwnLJf1tP1mk4+ju34sHswReflGqWRWB8ODyc4LqAb3l+dUogJjSfCv EmJ3qZeWGrvSyeCwF4GR4v+W9mgS4TkfSavY+OTydB1JueSrLAbpfcIwr4b7NG70WN4iKFrJ7JKt BYYpd1C/tealE68OQvro6oEGfZSUT+lY1Dp7zrciDD5nH3gG+EQtGWp+GHTIBAdjzN1NGJENWQly qtnnPvoeEH67lKAgUGJFduv7wirkA8kORM59+Rh9g+wHXQ+5NF9x/xFe4ODBpwtZfVUw+XAOSYdN ghULusy//J9p3TmNicMXecA2uyIPKvrSQlc5z988U8Khem7Gf8XbS1O8fYsEpV+IM0t8O0SmxVjs goZToGc/xWbOdrxMeZKXWavSyPyJdFYlkXFaoFaAtbp/iNUgJU1QkBuHhAVkZaYL08F49iyav3qs BjxdsptOAICLw2jeYMkL6WWmc5RcAgguYVkovfxOv+VF4hjtmEH1nG5Z2CNim/HOCSOa4y+J1CB9 XOfxxrtOS9MDJbFCH30e+O+zzAlE1YALVJExh1x4h+2+UmRZQsWh1bgFL7Hosfjof5u6QGSTpTMN pvCvhTpmjc12H8HkW8tNQXjWZOwHsRTkTqHeWGSKSMxE1Tyd9e79gMT/IxyO814TfYBPnN48/1G3 m4qiPfSSwZpQFMRzsFwsBVleqF4Jfov4dZwYmBVSJNBIFI1f+4OvnZe26n3qN2ricHhrOTtGrIKd gV8rlH8CoehxZ2IYVQHFEERetj1two/TyILpsF28DXKfXxNcce5k4RANZcLEZ7pSy7/5jMvl6AjT X8i442yCJKw8k6/8cU58vyrFYI71VDAaQA6ezvJ2yymfJ1S+ZRXpYW/PsiphLbSV7MO1d1xzIeF/ kA8duZryepMOaCdh1qQBVyi8fb/0EKJT6hpISOhGTSVwxUT1Zh21T70l5EM39fIDPo9yZ+xgOXUs UWxpYhsYEGf5vD+1rQh1uXOhKFslQj5GtU4eyas6PA5/VPYXBQy7dhdfL846tPwj4/PpEbKygw3P XcdhC3d/A4baj2KF4y4+j841VtdhC8b/VIicE16FJoYV4454ap7W9sNaVpG5jwymKJ+RqZ2jmLvl UA+wbSbac+Lwy9ZY8DvFJxKZWDal2SGikCbWCWWLp+Bsj+lBX2hu57NJPelrqCeJGra5R2HjjvIt D0m0Tn6wQ2IREP2CnfhwAhDzUemWInTWCZz6n0EtYQiuo7osnTMrTPqPWe+2fJblllZ1uM4XbMS/ +X8zD4zLmCNFR0KNiVXZL7QW6wvUDWrtOkwLaSmu8hlTwuBPU7nM7zVRXp8gWR5qcAlEIbJBos1k Bzvfuqd/i5/LAsGhFGDFL5ddOG7cMMT3x2rdnN863bliNK3r0saz4njSslXud7C7dfmQAfyKDDc8 x6q+IOTiyyl2JWAPE1FJEhgV6qlLTyWa0U8DnGS9pvujDj49KnZpG0giaR6orkifVZGbw/9LOuAZ +ncz7raXjOjb8NTpyby3LQIH3WVL7t2Syi7kWZ0x3PA6aBvDGUgbP/yN5umAVUjCrQN2m0eCcglJ Q6SWCV0de7KQch0L38XCl3LFWczd/9xzJh0pHrKUp7cJxO8AiOGxMFcgQPhK91OcH27oZk74Lfz1 Nm5YJ42gTC9PoP5S3ttsiRQ48vqxOJJxgh7LcGfjEVZXdclhq5OMyvRWafDWstbW/qtH8pI7CmVp KUu0txYYYtdgCF6IzLB/xw1PYGFF45DxELoN2C0YgWRkmqJRLtdMTPRbctl/xqvZnx3TTQb3x6Y9 9aDxWRJ1cXXAqGLDDWfDO9z2X3gLDI+LeGuTkS8tBdVIgzkKs2mTM99F3h+Dbq64Wsmded3/LCul Bz23VFTKj0NiILuWJ1OvJPteOlPa/L314JU50umIDNyrx4b6uhLT4bLXUJJLeNBl1/kJeWFSgX6V BFFPNfxdfXf7eukdMnUPgdKq7Us1IzH6VQt30zHJlNIcfX/A2yBrvD9qvMfx0tba3T/GBWKcKlPH kfIqsB8T2YCUXQSDTpR7HLLbBNLUU/AT4JMyhe/27m0WOeRFjs8FL6F33kx8OkONnB3Sjdu1/V8J GHVo3gqtThzoyytuWtFjJWk90dH5ovVn8+VtXv99B+MWVGTnUqNCAbzZpZF1a71pbNw0T0WwjOd+ MaVR0HtbFrZ0jqkt7sgR+LYmzGvIDKM0k1pjsJzkhpKZANCHRtbTJ1wOIHm7kS7s6/S5nWpWqJ/Y bBvMgWeBTKaIKdQXV+rW/amYAdQCIjZddAsbXK6BniORz9DjSkpAvItb87VXWpH8TLlXmtnCn/01 fuqRljIukJQD4BbQHA/Wo+IgXp0T8NuZmqujsKGLCrkx3v/xplbB59UjQ8MRMILmp6PNX7WLIiBA Pm9ExIWnAhN5z6OoOQdoWACVARJ2noheI0wTECYhs6KFYII5TauY6ddAn6ApRhxwgfSdhjP3o5IT DLD/iG2vCBTZQNC7SO0R8jafbrvjsYXSH82jpe/1yqQrv+QXYe+0/BruiowTJdlmWJ00X9c8Kwpr LXmrua2whFE7IkjeXM2lLt4r88XZ4GhsQAYjDdnWIV6LMKzGD/ujDv0bL4pJ7OoQTSzq+90JLRBJ rgC4HW7ZyM3YFx2QkKgvYfYbRfcTxL8fiMFfppeIBTgR8kPqEok7/9Okis6aRJxiVCDx78uwyndy 8T3OmcjBQ/QXy7c+spxXuMPg/m5koZVYxWf9W/a/3hMM0aNcHvt8frLcBwu9BTBQWvswIujQ0gN3 7+iUk2yUXA/ZPED2gR+I708dlMXgtVuS8UEzw3urnD8LtY0oYjIvS4BF90oYitbp9fxxITT10uZC khnu4emeSzTg+Yn0uImwnjQOrChdmu6JLWuthY9Mk4VBiNW52FNsJ6V04P9L84/XiBM5J+1imZDD J/gQ4ZIRYt+5a6sjeJKt3pAFRM2/XgA6HaI/yhw5rHp3+cQOj9Ikn+PxJOKtO99A57rx+YUG6H2d XhEI3igB3DPBVCZmuv3SXV0VOn3IK47ibUYv11+K/4MyIC23oMs0TBBTGKOCijYza1S+PHVjx5Yk SbJmbRNbzANVBmIOm1NAjOyae1rRR/5s0rNLT1H+Rx/DrbOu6wPCADsS4Ifj9o/oXkimnSuvuWNb 1HhG7QQWXpZqcuu/KXdvEb0LuWvapGCrh9pr5phq+VNah+aT8mALi5osD3FZZLsbtsLCbKSWlwye gPvWK6Hr+8CmZRGDn93LMqaRjmVJVxA7g6u3wWJ+MEorpnl2+dp4oQCPxs+ezPkBTIW0s2Gvekx3 dh+9CcQ5OolPVnyeWIOhloFVqrah3gFt2Zl6BNQ5GH9Zus+ggb42VE4lU0T2kIXG4r5AXm9arpUa 3qHY/QmQX7Ao9htqBqQ8Woe7yF4W09WwhSokKytMwurumN/LF+z7FZGuy7Jvaycb4JiNk4vXj1EN nCiH1uFLkWbz/uXSVcfqapVYd4T56p/zSuoDgiCl8AtAz/fAimuNn1ehtXEEDveQOCyjp1IY31lW EFisIcybMeTmr1aTDMnBPLfLcW3K09IU1jBsmAP368GRmGS+XSNwtU+KeqTx8HvaGfrWqWoqlBVG xc7wXlr7sjtf0yoZ7/MfpRWCu3VU7zb8hv9obF5gHqQ4mD4/NRYO6S99qBPcXhU5YW6MaV4d9QJf Ud6Ko10XchX+k8H2PcGpinhVr1d5qTa3k7fdmudNErlu9s6lc1ytEDJSpqGUzYpvcVvY/TsTNZF2 pYtNsMdZ76/idXY8EHsaRDT4lY7LNWZJw26xyhXOd2VZIVS5g6n/c2KxL3LVzAXduM2XtXPiY4ct 9Gj3dgznqvLSlXbjI/tPh3RswRzXGQzsGyrmwVCXpG+CcV1JipQzmo8orOkph7O6YW7TyvlsnyjE 9REplnpS0o4WcIRcDEtxytdfyGbtlqB1j29ibcF2xhjaM3+C5D0RtQ4kZBJ4d+3/3/iUFBtOYQ0g /uu15wJ3+HiESfkif2MetRMKU1xitxgVOn++AyyT7HyfJNfoF836j3r0eMeUSTRhlgrp52FmcDZW wY7r8iiXvH6e1kotkrEd5+6xKr6CDjBk6T9k4lrJuVDP6ZavWhbImNXF4R2QddVCR05nGkGt65sU l//FuCSO27WyGWaljPg4FlPJ3waHae0cSlSUIlKS+hrkt9TDGfPuvap21zOS+NV6wxosBmSNWyz+ 5GBLvfjl1dPRq65fAzq2wvUf6kyZYgAP/rP6uPRkXAR6WltkD/lWfKd7tPMLirNlNPcSaBeAxo6j 34+aP0PDncI2L7FG3/y+un14H2yLaFTkoCGG+RWu0mMXXVgdlMAowBEn2HAIpDyCtPjz5gmTwCPM 2BM1FAMBF0BZy6rQc2TSIMU1hsnWZrH+qTs87tYjOn65mZGq83bo1HzwGSSdybl77CFhzGy9Vr7n Z87S8M4jWofdEw0A/NHfDxvdyeaMlYAkDHD8l+2n2pvXKNpwy1FYH/lNAT7+sWR5gaZoIPy45dsu rmLVzqjzjYDUeZWqKJ+Czr4rStOcZVlEvVJYrx4UfyVHavaOJ97fGMpkYrg5grkn38FTZRDbJEFN 2NydTIJS0XlfMU5xMWn5XN6kFQCUDdPh7o6E00pSoRMJyAjBUv9a59orx+4oGEQVkzRTn3/tek2D 04nh0hL3Hs1LZ9dmmXTMWzF7FP8qt/5EQPjc0YCfGOxj8hMnW+oddTGzM8bZrKXQngtnf8p02iA2 0GL7+2lZCwnFk13r9FLUFaSd0Enla2Zkip4IoQFThJe012S4F/rY9XvovAuij2ZvxVO59rdOr8xI Dpy/vhGRPVF9Nj42oAvaCcPH/FUmDwNVOxs1BQalW+Xee3hS5wPqIwGj+FMYSiNcy5wi3swv7iYK amETeCyetSqvDIa/H6wnpZoXsXE2ezC9t3GwS1bGyL+htlArQleCkgl3Ebq8wsGxSh1VAihIOLM4 niUrYlqr7DvMYzVYnzispAIm4GR/MMuqDAGloVE7H7eUYg9VL77V+olX/WMmrZRXqbMsg+xy4lKL qRxNzmV5yujnoheMXHQMQoZFkq2W0+7IKSTq4naxVg8+6/fq/Ut2lMw4NBSuIqfv93fm2yEE2Bq5 8OJTIMQxP6aTfO+En8JmxiknJhvQ51EwlBycxrOBpWCDg/IfrGsFFpEMRv8ePTxFXU1K0e3Tp8O0 T4dNezNHWYZCHybk4hoW26TN4W7C2jY3EHxWzqIX6EQNm65LZdTx06yjLIJ1VRJYTxWXmCHYFdkl 9LvUcxRUoT8vo7vL82juvHBDJpXeHxoJ+QnhNPvXxAUF6PsBb+A45O+PwmqT0tIyeVGalQ4ssXHG l5D+WVJkNcGdyc5HBDwe2E2yNIe8FWOhvTT6yxLj/M/cxBBpfp0bWCxXaId1oEIJJeK8aDmav+ns gVOMqShAtWRxNNA7E1w6KNJvXt4Kcn1yzDWeMiE00iUx5MtGR3JtP2I4LoP6+EzKMPOj3oBwrmUy ihIBFoPRLs9ZFHnjbtfAXTCbhSa9UUFz1n2LHDV6yOutziBGhjjI6TBnPBVLk9IgtfPUlm+Nfv9R DRBg2L/TtUi6GTkC6eJAvWxc3rhJyUCyZ2A+Il9pDOvBNmFD9AaBhoyIx8sUDT1AW2b7KYCBLpWz WqqoXKDcNYvvCkpEFgZakYQTCfdA05fmBSzMhVJeTjxHUVwcXBUG2aeA/z2gQNK+YEVEosKYB9oF BcQVYFfpHJ9jG3cx+6kixRGXvH+SorrhLlQA8bTz2JMMBLQulM/I7lhqcm75Ba8fSBSHDEV6IhoV NTr31NEi61CF36u65IXRF6EqBQvLiHXuSZdjb43t1/caSATXyCodU/POmTdhGER81BqTi+JZGL5S jEKI79H4tgmPXep5ri8kDL+mzk1nhq1uryyK+S4pX7cvDEURgxWMmc3IyfvpQ05yLmU52wFP0rI4 B1rQ0tr67m6uD7tDFtpMTZs/dASoDA8ejY6gej1ZwI0afVeRDjCMlAJdr/GBfEPWS//wOa1GhNCB CN5w5Y2Uy6jsWVMDBtOswCbOg5QVNWsfaSMMwGDWVAUKOj4w5zRcplAVUkwDzfevj4Xo2FMI+ZRZ neGYyI1ra6lIKDZEXNBxS2p4HusnIItXuz8JiOy6lbs/FVqzVJyerskNzOc0YThQoISlsTzczBOl yRDiVekfd7H8FL2kEiXXA8S7ycO2VGmsi3j5Y+s4LbzpI75UsMG9hSFi3oBFsqL+V53OTj/BVHux MIvngIQ41w9/L4Iw1U5OnN7kluIcbvO10Eh2E/yZ8nthEBqi7kGyGNWcSmwA+kH/XoeytCOuY616 vYVLqo4gt5yX+IS3YSlPZGGou7aRpQoOFXkVK4U0RvAA7M5DQAz9B1eEFVSuh0H+AV7JpRaMCS0P xvZXNxW43T0TmmMlODDbYgBHepcpxHhTq57gRH2DPDR0W+4bsLMGhIzWGaf71etMdDf6p9zbOvK5 ez/oSzDagbQS/RwLvPqviNra42A6YjhpU7iRU0IvpMIZOJ8axrMNKM0RYIAH7P6Kj02FiU9e5Pgz 3oeRtVzXQVw3IPSdDncI0nWie8h/TD+6c6DQv3ZL+GyXQHJHgC9VGKpaDAS98AvM2g1gAcG7LkCV Fsu/RdEOqwFU0gzsdxfGrFFpMZkvJSXjD//XxhXaiNn3LwwACBvyXIOFVbbmC9/KwIBW+PvPRuCY KP54Lk5R1RTqTtDBS0hCiPVcwLNVblpvx238GZnlM2HbWgFQNxaiop+EwdtIbcuJXdMhen5IZuf1 n9IAT03UT5WibIZ7W6j7ZVxe5LED6BoGBCjeI0st71h7XpZBwDMAu9j4xieOztj3+Jdv87+cWZL0 quLly7+vRgJVPqG1t5uFhyFZeFTYhP/TYDdo0UqxYizXyzYOkMRMIqOWoE1iafpG4o4aWCyB9xsE /J2hniaYtJHVetdDoXgRza6NH+gHM6K85orbgpNqu8bUimXZLZjKC3CQXL+37Rgmz/g62CRWlVlW mOsvkV+5NKwt1+rxNYF+/GmQ4PJ36aq/guUgJt5niBbN4HJmJwz7LmYZwGKX4+8rNgfEzmEGsPBK CUZo8VFMSliQzz16r0+/PyLuqqjb3jilj+/LCfnU67KI652D2ANicSZ3xgyDVzCQ+7feD95d6w1N +O6MGtjz7WL7kCupHqO3BlvQtz/ODjaXtmcCNC9i/NXHM0RYJTX2/uoCAMoV3nhjgsWe89Bzxyyn jSjkjsBAXDhGB+RJoImW70bzQ7NijdVLAUmnG/xY1aiRy7ltQBsHFg15ttUcPKnIVJ9lO/A16R6c 6Tmu+WwSxJSKp4vN8oDwzjteUF0fhI7XjsRpQCqIZDIE8BuFp8uB19Uoz5ykVUxFnwAESAanITFz mozabEMRpJy2RJ7qrxd4iS8z8Vl6Vf2iVSpvPQFV3YY0UNNsrziEyRc4b04E3pRCKeYJtlrColz6 N8Andl1MHDPoDd5/8512HN+7ewW2hBgQYFJFrOvyERH70u8RJM/71dG1oapkVN2Oc956sO8flx4l emzQvkcS/hSysxAxnUW+qewCfC8qTTBfOGVdfObmOmDfZN24nVjld2Q0CMcs7R5d4Phiaq+cyhPG Mm6/EYNw+UsnFvzjHKt5eaHIer9rZp5ADSAVMHTmEWj/0e0ukXapFb1WRxm88tJIWpDa0/CltdvP xQxzy57N2c0ByUSRCAeTlzYPNssl6LHMb/LG8Y2zetCcaOQ4cybwrUrUXcMK5FjoE8SncdEgTgPw uU8eWHFZxnlyxvWCrfKGXoCp6mMZ//exC1H0jPVoCGacKNgUzQfAfPkYD7IP0QJz982xmeS3q91A XBMI0st4R/lVrCzCDg5YyJEff91hqKFEVjqztn5K24uANqP8dbkv1TV7cPuWrJGRJX+oCc4nmp0h WYBbmvNxe9Ymq3oT6o6kJn7Bbg8gTanP8wZUYSMeQMjzfCk93dupNLqnxoYxxEwgqItvuZxzjJE+ CJThLmVp+ag9l118L6PVKFil1Bp9j8tuY76JAzwolJC/BD98bFYvlIfn/3sHrgbNAP1K7TlT2D/0 6+H8RCPSDYl3kYskGwT9pl95eEkTf/NHU9+4W/NmUauTVpIJ+xvaV37HeXoPXXC4I80Oy4KfZFBl 5umhSfg8zX+9qspAHO4T7I3LShIOjf2muKk2xxiDOrayRilmHiSwIawuCnvQQW4SJSpzfyUIZGHp g2bxRm7cBoT0ApPteL17ZdbYsguF09NQmRjet5O0w+IhsHJS/O3t82THzkWGqVqUZMNCatEsG4Bq WaTqh2f5lze09i28Oz54IA412eV4n8pJ2xC56X/X2Cp90rw8eAD0jFXbCy49UrJS3Bi715w8ksOG mZWgACz+H81M+ecObST+sc77v6IjxIeXhmerkWdBNT+V+ZzWhho4MlRFQrCxolACWMD1gFSWqDe1 13FGONlfu/MPB07WDYYyc7xdFBNr7CyVjMsi46Xr8J/yvTShIdnPYcQJ4WmrVv1w9pPLgoIJVrvJ wjyvXtuLB9B3gD4XpR/OggXCYelLJRV0GpdndUvwhpr4coo0wRe+vHX9XwDxwvOR3nkDISHpwPc3 lxxsgx5cMZcTGtfGLowpb9DvGFnoHUGkvnDu36XGJvAewBjJxmGPLdb7USxVi9rJh1LOM6IHpXdh uPUp92Ltk1UCnoeTf1AJBRw/mzHQUqcETvWdgCvhWl950QuwdthKEsFJrd4UA2MCiYXvTNq1qqh0 IcpWBjP6LNQoO7PtzajxnlFctDRLmYxuPsBjsxpk2vOLXVxoUu94ffKlTzhv10JZEV+Epyw1lDH2 /qpBdXq4BEX7vT3YPocPdBUZdv64GhiyjE29q/nYlucet0j+5GzY0YfnLbvBrNzYjlsp05aDcDeC MiELCa1Sy7OmQdykLVjhX21HWmxQz82XCRUG4ZWEa4RUyoX6dgLiYNSMP1TFw5/VURERWMvHOLts yUfP1sKOgD0+ad06PzyYo98hmRGhzEZ7ONcqe81mkqrPN99RPFEnmg/OFLR5k/aeTsbPMx0/Bxfe 9oGj/5TySLClDNbN8Pda+03DUAfQcGXbbx8IsQlZd/afg0pWRp0azDRwsOAzlWrdRRC8EBkmDnMc zcaRvXEaeWqwA7HbtHllSJrhzLa+zq+kPwSDs38aUq0yhpy8AjUGrF/D/58qjbdHMJgJtGbuptw1 749qdCuiL2hj69f8d5fcVTjjX9iNuQQiYoC4YIbwZwrYNnqPe60QARCjb/lBCOz81pMpGuD/5H7O XQc6BRxT/D3RHhO2QvhVfFpBH1N1YhNG6RVwFdMWtuN6DU5uuuYrVslf5F9H4+QIM50JTatyzcFg Bl+moLzG+vvs0ykr614ZvaSkZJ2o5qPR3PWoKkcmHJjSEVrXlh3eYAqmYrk1mgbilCY4eLnoP3rM L1IZZHBR/b/7oWqJti+7tNQUfFsUH2H3EZQyN0QTCzA3keBuYyW6u34YfJifiHe5CRG+3/9I1U9z l/suYOkcKo4A2Lw5S8uG3w1v2MF4jvhxUBRYHKDd7hcC6WGOUabj0+detWQ9vW0D7qoodXK9N4Wp oOuucWeq3BICBXDfOxBXGIo4RHjnrfMIfpHoYR5yQU7cusUq3uPPIc+iaIntLDdqNu7FIpLFlFuJ XdLG0M593UBqX9k3HvW9UUmvJ85MnI8okPi+pTMMZxW0f+M1DwQuGnWOD6gyFNpM9oM43SKh58Li q/x0DStvuwn7CNYKuCSKTD+jALhxChz+EeLauaPeJ/J33n3ZAc8puCxbbBlbIC/c9m2HPSu1KTc9 MzYuu+j8ad+nyZPjFuNGggkHW+Glt7ZdR06w5TX/ejhS4ImdO/rJMMip6yQYFKkVQ96OLFxpeCex 9nETnwoKB5iS3JDB0u5INSmpbudIglYBauXMnC1kE5hfL2zOD2m1Qx5scr1q9Eos9bIH2B/24/cK Dyi947tD4nkx4bkQ+J3ovUxhsUaAwoc0Ev/uLVSM4EM9J2oIQqFqoP1maJ+3D2ncqCq/ytg0VKA2 49CGQlq203bUARouKquHU5Gnvf+ysU/WFWbfdJYtFDnD+crQVlySHMBY2RXEPc1TIVacrrAUHUt1 LYCjT+7U2ZD3qGGJsv09Mnj0pnzMiZW9ryPhMzI41WythMhL0JkZ1AHStUveB5o7XyRFddgBLqWV ycjXlUe2+exSAo1vR1GOd5betGusluV7ymUfrFEGyWvRiWD3Pk3UG1JSNaUiuAgs99xVwTO9Hhp6 0TCEzBaPHtTCg57yjMBIdA4QWAxmAINWUz2AiscDcpMp5OSvtQKVcvlUwrZdCSuXICzYKh1I5OQ3 /dXKGORmo5J3sBjzBP2dWfWnaEY7mYnIVyIhjehi5zPFV6MFOzoMQ/ZM6EECVIl+5eh8mFNHb6M1 JGCXgPaKh4apRn2Jsuce1zEMPw7lTRIVGfN1RyqbYy7JjElqtbp6zhxcn0xRJnzhqyVtbXtvB3wY uOJVeW1AI7XVSmpCl6SaPhBx7qXHqG84MwAeD+BxnJZLa8+eaDjso8+nKlh10NbfoNQCiF0THvHx 8Is8SFiQ0yvDhuVRQeaUHJIThTul2qQEkzaXeIGD4gm+GZG6G9PMxoTxBhc6Wod9DzqzeYwH1F/6 ntJsSze+uABtThRD2d0rS63OTPIuXv4bGLOtG3c4EaeLgYLCEldLLUyxqQY7r7M//aU24jyPayo/ 02+oV6ex6KZLGSphKW/lIbG1ejhuIQL+xgyqhON3ayZ//S7mgd5pgLnWCUAkXLstGu6xVweMr1/o Q8x7caoKrRwMfM2NMr3GXxyQnRWJQMUdLvoX8Y9RYoArAJwkhmtYgTDWiOTUD582hlMAAoznBzSX +ezWjzoIitqaUKzxRE1S2QldY7Cp1EYXs/AkPThWqQ2IS2VnIJqwApiCVA8Gtk5+H+j6f586e6z6 K87PvPErin7zDm5H0vd8hPRk3N+7HVGYSefKZEXgS9UI4LFOOA2IQlz8Jjand2jY7ncmRq10OaG6 cvd4QusDKJMAiwf6lpvaSMXuKpf9qWIuSi6moq+2O2FYZBgxW6ULMeCnerAk5e79441QaSItYcMB twh4hWPTNZlXuu0TtYkfXFVTGP094gnnMueWW3tYhthWKsmaV6eQ6heOCPfN2OSXXVr7VHAA3mdW PASBMbeSEVyqnutU0Ql8jglK5fes0CuewZ4B3eNwyR3s4nSrxPYUXehHNo0zJZ+vKO9zfj1jiwr1 mP7mBbQgxcEsqh7FbBTu6Lw538AkYCpAvUVSP4leYs3BS9vLjXE8hU0HfBuCUJyCVAZeFuvumuu5 xjMTN3ROsdnWpYDbYg0dxRkl9CGMqZqe61ALFB1UIbGfq9u4CsPHWkoi2sUiMkFdmflv5lGFOjsV MgLoIIAyNwZkOOxmeeGd/r/MEo6vbiOQyjYDCs3bV8asnMAnYyjGF8gFljvO+j2AsOpNTn+H59s3 kzc7VB0nDzC2L5dJ2UIQndIk3RkXqT6MHlfnWExXW3n5/GbFnkYOkspo1D/ByZFY7L5euVYFfphZ Rt66Zkm+CMonYPX8Fv4KB5eOEk183YdUevCIgRPOuNWw76OZP5E65VB/qwHdYulDbJMX9LDN5BN4 qT+C2hqH6L46TT3/ykW4cYOfFblhs8PXj21UHKV2MlwR6SQ4Ww7zbEYkpZk+9bfDmWbi9Ne2CbdQ G138Px4EF5fWoTExXBJwMsIcOSN7g2de1r8Pgg2qL+UZGBiD0K6xBXxPyEHkIppEU26avl1On3Vs vssZZK/bztgJB2qdpTnnqRclbEcRdNI0sa5iwS1tvJR/Ap5u4egp4lOYLXrK8gtvqsbb8WvlCpSK iRt90SQtMyeSCPWiDJ2Fh0wcGuzgp8BZfQoXRWvs0FnJhJdDh13DnoODPW1jj5aiEXqRLpWsQb3y qTmfEf+gF4BmGczIsw07/XBBWW9lMso3WumInUSYjgXH0962Ty/4lTQEfbU60nxvaYhpxYKdkJ/F WWuEFjBnx44Yk422Xrc1jxA3ywQ6XCRmWhB8i59JTPlPRl9Rn54sK6YAq6YCbx4tBz4XYlb1f6qU kiYuBolexqMaoQfCDENIBD7YkfpLU6XC48onwTBw+XEO4H/1jujjaJwudmqRlCRHzbxeGd6uRUos /wo3IF88hgxENsCpVGD3lRKB4cT6A0wA7E7vaS1UMWDpoLzZrS7mrhm4x0GzYgp6tOKhbGRVyHYK 40pXAZI05uZMxmNlZuJylPa/nzqncD35y5NRfoU4JyEF5KAAuYDA03z+IR8sLbxbytr3lZNyo+bO 4j69pFgfeyhClNjCwaybS7bDBrQs8J2S+K0ErhWuqLQIIoPbW5pe52pHb8p1jvKyLEGN2reWBDS6 IDZM8RYYLKfXYGhe9sQqfOv/P9Oh++I8sYmTsutbVmAfBEmn8od9+Dzdj2IjH0eDiucAVNIExz/2 4qFYIsG+v5b7ZdHk8hweT18xMHGMwKFx4lZxXnBeedLxVTe8H8aIsrduovJaCYyS61zYEhb83ZRq SRGu2TGM3/fzzpCN4rD4vCHL04FmviqY74QkXaaLSmv9LeXA9NapaXF6dvFJm15pe1T/NjtlrstZ QtCO0ZH+i0YpMwAzJ3VrYM/blXHeHGjNp/raFCfg8dw+fLz5jTh6O0MqKdlUWH9Q6a2e0VqWuNb3 NwpY4JdYsyJ3J8rLxL1+z+X9JuLGNvm/JHfmjwLhCwb5cuFwkq0V8Db/gsJqgCGhhQs6qMjfV5ks 5tlhNwF5g5jHjGuIwowBZ3vZLPX5kXtwDtV1QN6NGAr2iUlRGbJVPSR24KS0rGKuaPEDx7sUbXI5 ieDKYpAz8ubII03ADI5rzzPa1hxSpihWNaQdr/m1HeFaxlO2kjdiRiFz919HcZTXCirdj54hftPD D8ffnG4/CASY+NnDOBxmmzFTlCUG0c4aTQoBQLwLZLmyZebspHuCMFBB/kZzSqaLuIgs1xK+g/T3 802MqAlQW8X20VOfHtrwhITZD2if7XtoD/YCuSWhL3tTIuivsFx6zN9eVeAx1jR38wDK1/YgMS8D SQcMRN+U6OW2BIQ/SO0YUT4gMj2PLNXpf9lfPkGE6TyPdhzh/oX84judwtFfL/1i5ysykEeBg1lZ q4VWO4I9QOe0omU3dARXX7ErxHCwLG+d7W9fjJpS2V3/D3RPIrSFVkKCfzx2z1j1JNzyVt4ryOKo AWMK8d8G1R8oPqqC1i4qnRsjZPWyg3dJiHMUO2wRHS1U2cLD2Qoidx21bBpu8sEhAflxJXvHxdwb EGolCu7jPBNUQPA+sokGDhlsVC/MLNNB2HWH4zpypvGkxN2HISH+cIx1Wlp4Oo0IPp601V9bBWoR WM+WfFHli0noMVBa6Ozo2KwHUauk1TCDhnQEkB4J+QhsuWyvOD4lZuM4cijIngG8rGcdChqUquMU 0KOA9lmQpKC7X8jXPQHfTkgnH0Nn9mI+znY7DT8THdOhBRvVdVQGRr9FH4hVFUKcXSK827hC4Ylr 4eIz4AYzL1zhoTQSI7dP2rIv+F3Uooclo93rXooxug44dyOJjlz+2yyzFn/u1bgmAe2WeHBAJ6Jk AK6dapJWYIEMQSlKyZXTSDrKeVRUk0iyBg2IVkPnGmiVDiIkFSChEWqYe0Jq0dHliHZ7dD/H+zKv flUPji9u3g3Mz66t9QYZW3MW2yJzI5m7gToTkxvT69wrDbQak5CibKBbf37x1H+UfpcQdshd4pzM 1lduKK3ecKfzI35adH06RZAp/QmLwRe3aXEzdSWF2/wvTxKe1LslrsqF0H6MssTr4Uyxtv+W9Sht HeLP2S5GxqilQawgACDmlNgHM2JODEYWhiQkdwTnN0wLxU9SkmLsovdDfGoWcgETRlC7ma5P4OEf MPjSreeQno1qOuG2h47FVHBOQL3Pbu4iGu6ZgPrAoOrgYlQhcVaX5KrUTrnc7qGy0Epf3thEspCp rgHnaWJPjs3/KDe0nW9ZCBGOyrQYJdLp61SseUSzHrbheP+78vVP56CTUPOBsaLbS+3dNLccLFzs AMoTFwae+V45hWcWTH74T1j3Apbs/jsNMF/yz6H+qcZKeosq/doPOl5NhbPl74Gzf3DxJLyAxCX0 SySBuq9hQl/AQ+FXFOfEAL2EL15YcNVsc5exoiFn/88rIwpymXUFkjCdUSre24VggcoLhekkpmGd jJin5TpUChBuJo11v2RWE2CFQtypFUrIKirD16A/PydNFarMIiFFI6QfmrfmplarRdGNK+YBRVJB Tdi/YGwOzjsDXIIlU+27auGmTLKeuXlV5GQ6CO9a+elBDMx18TyML3NhAX6OvPxd43B8AJS8sCbK koVL2Lr1nsagacTOEgmkjg6djovSTLy4qGTNMtbv/wSIGu5rEAaYL2ljGjwPHFJzuu2+7T6QdHqB XFOZj0+1WV369q5OK5ZLXyV3rzIlOXXXliX0xXIzbXtGd/K0ul/y85VSsYtCHE67/Xszm4fmOjPp t9AwXDBgZ/w4eXo0WfxJDyosaufUiFuhRQlSoYnwPW216DQSPpJ9S/653o6z8oBK+NDz6drtFSCz F6BUNlIxoeJgl3g8++yH3tMWkQ/PvPfLzd5GTWng6YxithpScwzK2DLnFXMhvCHb2aWsOkNa5gRV e+AEn3hWe49LPMu5+g0VRiEMpEvlJ0m/Y5UP2VOnHHuRx144FfOIyVJjdnQ9QntdpA2V3uB1Osml yYMVVqNf+r3yETeDbvbehYCfDYnp2Eo6RzR5m7badNSe1QAF/Yc7Kyrr0rOtgoF4jPWR7dRMiRwS e5d9od0CERpXVJJLR7vBOgiet7umAx/+8Kw1xW2TfYBxXtwv9AKR3TYb0Ooum7UWgCO8MIXyhTS0 lSfIs2DbYIslG1qTETg/DLQyL+gtFlcJQf0n/yWDvcRkSDoDERfyuzgoY0AZHyYMQKfqt2TvsKxH 7MHfDHtWywLuYv68HUa0SajMwgUHmZishdBsYk/BDcibT4IuY9LsicxRI1Px4gDerVl0P0Rd67jM TGnHLSQsXNewbpBYlY2H9z6BjoCmHV+dRcPr+WdpKvFP2xn15h8duH/GsB0Vp6VU0NuELoWsC3MM f661p/Y8dhoW4xHn8DnChvOai/tP0S6hSuld6iveVCaJFHr7MhYY1/Tcf3ETuKa9bAQ9rhN2cLpR MGLIzfb3zWlcldBb8ujsGWzPu2wC52y5P+vfYAP8/qsWFHVR52IWADyTfpR/zkbcjL0f5qBXXVOC mv7sIUY9dyiQKSv4e4gSyTiXdbyHseBAgllZfVmBxxzgLDZqF6VGKvFAWMieo51inhvTHB8eJvCN shiFVkdmF+WAgylK5VxRiymzDr2jBmlECjwy4DYdKf5W3HVTw6e0WOossOZxSda1v2AN78FoocSJ i5erdQHZPTccKNP3vXsycBP7qRTB/sraxy8Mr+x7BZd5MDRcJfPwt380SHqykfMrICZp0L79kFy8 4XzQwESRdB4fHlM/X7zfrE+ayleXg98qFPSrOlHWuXXbgT7vz4HPNOVjLtJQlnFNcWi5hfd1fY9Y xp5KXit9UPM+aGoj2ZmV6C1wgOKoRieuK9qDUM7zFyTmsBX5zjzl/Fj2NCHIARBqTQW/7bzNVKz7 /6oKrO7u2G+TmOeLjcTQ6rKrSonvWIHBx9V4DxFVjzoZLHsuOav2rY4wj3P9S7E5vF95WhNK6dob qD9mGehflvCQbvB82MhC4ydjqDr+5oD4GQNAM1vtSNKW8A93RRI35LgyUQN/mCtfRGfilLRXgblN 7ppCYrk1xQjA9ggn1nv3kCab0yj+8CTLDFiTP7FfI+twk2pt7M0g9ps2AoU8G68m6XX69lTOIpwz N17d2ugpOBc6BFM5NRy3sCJhVD6urEN6gR7QRq/qwvFk+b8EONNKAtt0z2lj0PV/U6YmKjsUb8oj n/3/RzMBeLQ4L8Ey323TjjJcYn7Fr9n/euXWGtOdBidR7lvyPbZfn/tanYUpfn36C6I7cfhZvADp U3WjwDcwTTEnWfcInqNBjFlQh84M07SoPr10nCfZR5+Mmr/I4KBg8raFf5WEjnmFVkhZVLs4CT9n iFy4zEL5YOQIU9je4EF/RrAvR2pleXXYZTm7Oga4N96j3vz9c1A2hag4l40QoTU2I4E42zojlgcu TyTMSIXJOczWhGyHfwo4ggbl3mza4eTEQa0BBo/KEbx74A9OPBplXlJIE0gQrgXTbX6jMb+WE7Mm 3bPbVWbN/uvtWYS4dtGZaBGMGsJ8coWVhilcinAvLiR5H4rw4EYfv7YYiZseL+uKBcUY4tSC2PMD e1GLMsRhU4GDWH7mW40WNv91Yo4mbt4PA8ijPwgG0BQOmJ4Wv8Kt7WbKLWJA4x9dmD5c69w5pC2H ONTiQxylFTSCWeUuQ482WHatuJFkvyLat1yfKDbDGKLPkdezVMvksCurH0LoEy0XK/kVjSFSCJ5A aN54VpvrlEtVeNYoGthiGq8XRWHaQZ+08Y8YYL0hQj4h5p9+caIUbJa8oWBKSYu/jdVg07RdQITX +q1YCLxqIExniPRyprk7tkUORx38DXrS4psrJFZR7+qdJoilMjVDQwTu2isvEYI0K0NqLxCDmbP3 rXL/xo7+ZNV9nbThp7ozIJf3NjudDrIesYoqMxo+QhikjVoOt8ye5eiywH5V1KGDadZYuFsywfUl gW6ca4kP2r+Q5jF9T6QkQL1zCP52EkzN/VurNFLf5UIY0y4pRbWjPkFJ16NUflrkKg1bnC52TRht 0qLJdAYYIorlAoJUZUTrw8PieMm10ZaL13UPB9qmKqEHA0etsK91u0wrgz6yw2w32Z8z+cW8PZXq P+9lNWjtNq3gvemoaDIwFYSDFOGNqVVuSSRY0v+LJf8Da9AFF/xt/KqyEzp5rua0eMswMxiVNdud 4sT/Lsd6ee1cqZxhXvXRpRVMaL3VZ6RDTJY2faze3+W2rAhe2CBDsvudLADF2eRAbKLIMWi/br4Y 6fzPjyYCWpkRm/uxSA32XUCBF0s1hBP+IBq8g9QFuWqFyjPHs5CXbIlXu9i6dNvLFEZQj5hT5nVn FIA810E8qPo3TV9aDl2XhpVokkBsr+mSv0s/2gFmEpLkgMhZaON/qEpsso2zFFdNCDcqCqiBwCxD Z/lvfc7csriefYTva0Cdjm4dcMlv61nCM/aouZB34WkiKDG0DhGXcEqYQ2OO6dfeoRVHrTCJt5oj gLqjXukeHJpokHrPCEg5HoyI2hoJ2uK579GPwokD2tyFM+nxpRFfA2O4KNFMzRUTc+h2ICtr6T+m VDUIWWbjpktZkfFUJfVnmvToU9X4YrpiNNGTh3uKqTZCcjQmFvjo314poBne722CDDokxaNJ02Hq H1k2f77yyRyzlauHh8KK2xj/oCeJvaPvyAR6AJer9JQAsH1E3FrO0oT9WSSub2tXMq9BkISomThA 94XiUGF30rCR6H0kK8drfZAGjbRgyp7RnjLQAQt19zYthQhp7XAyzfcQe0eJNhab2/ly7e2JuXA9 JoUSjtb4gWwZUPq9I6cENTk0I+G6Kvp4KM7WWd9qiEIrZ8QekOpT34rAgc87PXxTbq+RfM7RL6/U I94hZ4Xl4XMMl6FpfRx9aVGy/CxbLYLY/g83vulwyDOhNtah9BJbQmdpHx1eNKb7qT7MJTY5P77O s2uMYTehxfpm2/3wlcaljKjx1M8vSXuviIJyI9YSdBHC0w7FAuvPra4XmK/oaygsbCVmZTRRFYh1 AzzU6400yYpo9dSBU6FNmiQZoAk92aHvADBu/d4BRJjztOSPV7y3Olw9KwQUBWKZqvCgLCElzXN3 CN+A5F2XDq6AHwzGePGFDFLQVVQTLLE0yRs7gbeyWaCGeY1qizfNop2syqtVfSPMn2ACTrmugFxj NQBfaOgdqFwSj7SlW4+PirIGofQrzF5AOmrCRvLHo1uOJzYSD5n5MjCrVi0PVx4fLVK8R7ABtwVm z58AzZsc6dnf3nUgYoyVRrIqZqNxq8tqUOZqyNYTOPdif79m3vuOlu77gbrE/GNuBoh7AMYcwF8E Tr6IfNVukAy/CiWCumQ+Q9t75YYWZE+3oZISf1ZWiDOuyRxxQzx8uT9wAYOmvoNEUNNCKPRKimFC DfuZCGNh96B6Vq0NADGr5M8P6msSDaPjllgnGK2Q8Ud84Hiwrsa4+my+D/Qlnnp0FGCql6B3plqt hhaIEHlvFnUsk/k/4SZQvnHwL0BJOyb5la6RXJB7/uZRq8JOyYW6ObPzofaqPKqOM4FdhWwBOjI8 q4PqZ8lScai/fDrpkdP6EXJ5xV3SE/QehMaPzcFVRUZgp1KNfh5V4cfGdMoiWdsFPx3rbkdoEDF2 wJ9T63Py0L8tLaOIYXdqafnYTtewUtxbltHA/lJO43tbHSI969PBdGAUK1DlfNqKu9zr3Er+KYNZ 4nUwVp+2mSCtXeL3uT3JxUGKl2pLbPSdCZ52XzEHIlOqQZ9y4KlgrGM8p5bBfJ1WrpBxGAVMy2Ad om9sPFlURQiDqFJNoobZGn1ehtZYhsGP/U7pLjrigFqzytk1hwNpHqc3zZJPvoCpTFe0eeWeba0w C5nOUhiSECtCNWIyik0BB8XeCc3F5K4Edggc9sEEWmYN7mdoS4dqqw/jzMXTBgWJhmN3ievrcBwc 2XWItTRvcjDYMJSYTtraqlfA7oS9Q8CkP+L3BudiImEXYjlKUN47NYFj/vLwy8HvaWdqIXwikOt5 bpyGwIWIEyHmIUU6suTqTUzKKH/evdQ3wVmUUYJvH0VgEHuu+anY18MNcCRq4SEm/dzxh9bHV3r3 KoJlPikegUWfAOY1WEY8TkM2pEZ6A9/gcPb/6yfZKj1RhsT1ehmuRy68E+ajNf8gVYzTfCFUtBF2 lRbTpoISp3+teMCIf486QrCKYd0jcJcRl0QnaFEiucwfONyaeLVpzkBwadYuclH2mjU6hAWmc3vA ee7fiF3eTGKGdYqIKinxng0cQGlSXRiwzBnQC6HJlhpSMD/ck/viHLFRJvUYWsYiHgiA32wr3JPR m3gO+cp9E5l3PS85fhXuJKKmuYDJ30Vitbj4tstr1hcdJgLNJscxumUOLOJJA6//ykCGu1zthUXe P4LbhRWZlEhQGO5k60ToColVofYl76sVjussCeysEW+xum2LtkdGo3qJdyNzRiRb2mAN7bD1VfZD m396HscUcDj4yMTDbx1Hjyydv87sFfLK1idWjyDiBsrE0ZX1a9mPltfyDQZHrcptNmHp+otuHhBr ErY83O+6DMgekvXOcwWdKWc2whyE8mpGAaVeItZbBUanwGbKdlf5mXb4kJfi7drH4FM3uug6+una MDCs10VPokTaGOyAjnS5EGZJcfUgI9UI+GETbFyR3RE+BmCklrVpz5j2x1s7rtQEPEgZH8d8I1s8 GAEYee/RklX6gAcixOd2sPSXNDZccJvke17+q1kdRvRdbJtZF4dwdfT+ZpskKbcvi60/wKQe5fub lOY+zwIzfySgZs/uRbkbrmdQKawXSUoA0HK/iF+4I24GsaG6w2x84d6oNITh3nZS0FkYGSW8F4L7 e736YLndg6QQib6ogiRjPbc1sIyTSx034NDXHxv5UifljPBTWDicxio5ZiAKW7JTqcZSe7a6k41r Shl55PeZhII+nwJgT8pCcsgzSA4qiNf2IYBqn0wkaevw93vSbCFCRkHv9QTAG0Is/AITKQU5SaZX xrB3Y0aAHa6kNFwCtkVO601z9drm+dprvZmie9ouZ0ygQmzOFdsgPVT9XXhrEdTGFfv+fNk1prN6 xGLa+F04RJeh0vNgySLRffbd8IRqqwMtj2v5nYBo0tGh6gN0+pW6pQ2ZDVUwbbDEZaSCLcx3/os9 cHBC1EZCZA2BNafn886hCsmCyt6HgrHIXNuBRAYB4rSft6XiyynSOsC19gAUP0pQGUytw8yKLZhy 65Ff7ozSzJrX/qTSZk6Hp9A2ptjI3uEA01yW+3eidFA18X7CsdJfm98GvgNUbMpmwd6hTbFeKLMB KSB3WUcC0PjCnchEhfdmSgoUvAETjJWfFtj+CSFMSFnt+OzvJ/AfmDGWg1k4LIKtvmt/uOyCFhCN eZETGoYybnp0ATPATKbDdzbDzTa9Md8YXC/Nmm2HTp+Cl5xntk9khhtJ4+G/hGzqjJmOqsTczUQZ eKN7zYJ3FckADum1XmojIKPTsqvC+IIV6RgEyaGoAxAi0g2vNZ0Dm4pxI23RpsGNbeXVpoHXF3oK Q7q7nAeFOLnvtEO5ecO1Ycy9lQaxq/U2/tiqb3HtFbLvBUhzFy3BlAt1f+1wH6ubgKNXzcu5XYH3 3PLTZdMmLQNUiLlOffGukhCcXaE94QFVoaFoCr4vXVIK3vTfL1etEdP4w1QKa+QCKw/D3MTdMd8M ALVpSKvA6JYz8QrQ5rRSW8+hVU8PYiMJ7XxB4W+TeGM3cNGf9qBbbvG0/7Qv7QxpV5fI3TarSCP8 paGeZu5nVVFkoOdhqq+sdFl/R02pCFL7Gbzi0GbJhYtn3SB/u+XUf7OH2M0fVBy6EbmmWZQ+wHI3 XtaS074fFNCjibNI+RtCblyXd+qKwgEBUv1qtepD1j7IpyWnLHOnRRt+2pEmI+ji8xJpkV1kJCwn lD8baKJfxD3fRKQlrjWRejgyAOS1i2/I9jale6s7fWhdJnkILcgbhGilMTTyZl9VKpfe9ozEV+8v G4UBR6HUXeUUZTD58dNljNQvDQPqAeOvIKNcs8QIqAMvYbxE987UIY+jUob4eE0RSPK7FS6umnqu vCOzEJ7A8CSFlfpqSC5RQ3QHLjcHWx6KMg5bPDqgIFp5QY+22xC16JFp55h6E+S5yPvjAW35R4q5 EHHX0GYP4xDT6Pm34WcsX7e/sWwYmcQYyrD3ChuLcdaK1G8Xzk8Zkh6dhHghmVVzAhoTmjMYvv9K TP8b1hJOWRhSer5dhPTP/r2JTn5FPHepPEC4TMgEBCYtKq4QTRD/Vi1yvDmyUtq0LDPFC4k/tb9e QBIOysfnRkQdvD9DrA37YTTgBoBXaz4g7iXfBJ0q51Pf+Jw9Zpog2hBIo5JQ9FhdrPmV4zGgA7vU aYw6jRLl4fmll9p0d6K8FQMZanmoSQBahSiVshE48E1flnZWvPm9zBKglq0czaySOzw+Bv7mPJu0 HZoB7uKKibTuA7O4OMbEE8adeegJ+aZ5+b8eV0Vcx31WZ1kMqAsDSvV78C3bM1GjpmLrMcQ0TxZp JfabJtSEeW3JFpwTN/58RAbErEkq0qwykl4UTQFlrDn2pT2Zg8usBV2VQMSWSvbB7qk43MIp0aRm 4uHEXq0A+zNt78e1gQ+mTSsGMMHNNRuCIAAvMo5hkZ96Mvbu7Y70ASElsiASirEgvvVWNmtzYPjC TYfP5iWQ25jdKNgfqCHgSFRXkUNi12rMxeA5+ZEPsKl0TukTb7DskxEpOmdcP231au6X+pL67DME 1wVzW5EE+j3/lItQrjbUDivm6acXWmeY69PGVYXdvXVTNxIloITT0F0o6rKjzT/+4MGf8xKKlBDI 75L+TjXSFBFJH5lyUB0x7JJCF/HyxE29Twv8t/TNSOz0rhWhwVUh5fcorAyDCnQlSd+KexZAqnRQ k3HRPbiacntqpw1AtKjtB3X7J4O3+TenoNEXlpHyyhfYW9gMvQIfOBHiTbmUMBRa5eQ+UXJZkqwO VVizCtHeQPaSltMP6MdRChzXSoZFEvXOuUEYr8x51NCG+De1DluKmhmtPuk7FfASSW9ZYaR+snYR sqYx0SQiwsfBxL7WK1Gw/wCzuNXCeS0V8WyaV+0l1iGgB4FoqHNdXrvKd3txOqd53oOyGtsAuHj8 xJQmzlrMi2iRgqIW6CIsKnoOccHOiKGm13H1iR9QcA8TEU1JpgAtBwhGxaLony/x3b8m8p3j6wQP t8tzO4jXGa+Toa2XUcn91DVnLwS+/SmpJLnhbza/oP0aXDrI5VFFNpmS7Z2Eo4fBNx69DUUGWuJd xvNIe78fifR7kop26LcpEk2Lq84QlIS6vBlcQJ/597NZaCSn38FQWvhKl8jYrLixQGCXWNQLs3LK uq74GFUKwv7R3T1uweyvL2SPyCM3cQK5e22Q20j+ukVBrQKI000sNJNQqw4QLj2WWTYuAsJAd92L IG95Fll6802T5FYDe0etiHxPzHEQ8z0zglO+8xQ0PKX+7IZrgAsntcLGowPt3CLi80m/0043+MEZ fU1q6RqA+u2Gtnc5mrerS/Zb5FZ0k8beifO1fgQxkHmrf/A4kuMZ1oPz5lqnXV2smVxPdUpaqvN9 FmyeZYB/dC3zdJHNc9oYMi9x3TvDwvnhevfYaeCrKcz+PTmK2xrlr/vR/0POAP1K/2yrpN1p0kDc rwJspFI3PRIwGo5hVNvOMv/T8HIX90VB9+nzrK+QqsbVWmFy+HHmGaq2C92t4S+15veeN8u6XfCq nJ/ppNGfkfCYTTVXZjCK1CBmCahjmpRRv440JzM+mlssyvczVaY7QvvMTNvLGZjxrElMjFz+nbHL +qdC66izJ85aukoMPmDad/cqp7Pjf7+nCELMKh5oqinFverHaETN7wU4DQglPZW2FNW8fnFrVHxi ixQ8YHETLAI5CIO529vg8u3xnJ8IYuM8wMywpZZo6UXNbXTIIp/LPupkpJkjRRrkHER5luAvSb08 fOkXibVFyyIqBDtZnAdr08pZMTsj0uZA6xgAbuLPCJV9zBuV024+vs2mtmb97d8wZ+vRUuKlzvxZ XcMStu1xcdG4GQL4lmpAsiGgM54XNczezlVv/MhpzxAdzZSHoWMeDusUHYJkw7UshvQudAR1lb7h Z6tvBoeoAtwEOoESgoacVor7HxJT0Rb9n3WKQrSUvgGIOG/wUbb+6pBWJGcW6IhQC08PSz51OTXo plwpIRsR4Q6q47FeTgdTAi3z37CdN5lDPgw6DFh/5c5a0kwTu/Oo6d6izAsj1IuLFNuZD7eqTKtY U9UqR786HEkIF4x0OHSWZlDf8HHWfBSW1Yfa04B9sQMHwoS+lpHqin0lvRW/KsczEy/Sz99l2bnY mXFazGyyCPWvYrZoODWOtB/tCkCwOxf0MZmxRdX7aky5yTh3UQBHKibWnOqZrcK20xYgXM0P9w+Y vM7K5boRWKERlz2W+s+7zBGScnvSmc8PnMS7lulkIb6fY5oUxeVpeXOSAj7uLt6nxqcJW2CGrrrF VXZNhCH3DGLVRLr2RdTYjkK4TczvHU7l8fhsm+6GSmznaJkyJlOUpis4adoeYSzbuMeftEnXgIk0 6BB9V4TLSpQyjzD/V76AUHk5cJ0wOmC5l11G9y7YENDHIi64QBqYnt1bgpZ7aPNUWl3+n9FFVrt7 g+lBoDYC5Rmau/IOl6StI4g4myjz2M16X8C1h59Q4uX4CGgRIzdHfwb1dHiuELS1dnKuehamlb5x e+PLifPmzl4zRnf+/qToAPrj36rmhfTQcTfebathvQdatQ2DJ5D2ePQcRBUyJdg1go4FrANe7IUj 7dlmfwUM+1VaUx3OmtQx/EYtGX9XDIg0pm1jK5W2XiesBiXmzoMJpJyVUq51GnppiqGsZI7c3uJF VBSzzJnHZ9gNiBnLR9V6VxA+fGmctySd7L0hD4jgQclG+ckZFVRmWasMZJQUA5wOja8pP0aCalxY kBM5yAci6+c96vBOzin+wl/yuWEEs/WwoF2U8wrs/Nw+Y5wmr0ZtsU4drZ872qydqPIfS4Y2o8St DKafm7Om3Kt5qQxdHSwZYCf50MD2CYP7NLzVtg28ftXXMkl3l6rW6KB0Jo6YGzGg75ZoANxEhEWO HXBEC9Ashf3kijWgKjalFYb8f8PGvR3Bs08S9sLNdMn7Gkw2pFCRINhJgMiqwbjSNd6+pXnuWtOS r6AwWrMN8yGAm+ze07S3A/caAJ78kX8XK1FiEcEWOytcxNju+LwrFcoQQnct8GhMpG9HTDCiZvGv zZJ3y58X1tLlOvGTaG8rMGnXp9y6LCQylPSL5eQJvHKsGYvo1Lt+h9EdWOya8L1zWjjCQU5X3ccI ev3x+oEGJkuqj86DtbWNzUlnOwVBL4e0igiHF6/iRWnFlhQ4ca9yKkU5mlz5AlpwHIYRJaYO5FNF Tu2l96PSuACAJ1ALqlCxRs4yH3qGBgQfjSd0vcuOyuRhIH5hyAuLGjx7jAYVIQDLMM7NZOr3DwBH lOyKXLKClGJ0Op1nN57cJvV9jaPL89JP+iKVusPbpu+ol7Gty+NcxHhsnGDd6nL4tGHEKvF63JEs wzEUuFyGrmX/HkCnCT6h+LXukUpNugiv110ehaQcUDwbMHtnozwPh8a0OrdkPh2PBHVC62HkJym9 LVIKC/gwGbkTLVtqT4vFTBjHOXoiQ8klAxKZpSdmyTmVOKo9EHm8MFN+lg0bO3I3sNMQ/Wkdlo78 TfmlemTN6GiS9jjSrxIj1dQxmXM205OCKrD4Yda7yL5LXKu2muaxxXtYZGbcDhV8svYYTxQTQmrq rSQBaatVOAeUh7bLzJrmPWbByi2W//GN11Ctbvv6gJYNpfqqxAUlyEoMATwy6SbSZQcTyihOvAo3 E+KoPNuUANvuQpSsjqVagQqJlxFNDggEa4kztSkqvDCZ5+dKikMn3GU4Hst5MW/60tAyKO0oTyvi XbJms+8zWJIheNWSDng99nIQzvIQbR9WXA6fgP2QQk/WY/buFROCUtVUQxsWpGsvTS/bksQO47vJ gZyh6yE2l/nabCyxTKwCroN2oli9QUQ9OsA0Ueu1361hdZKvQqJ/F1jZMJr5vSUM1T2Ha46nRkRQ Y21OcqyofTmokIm9p7iVrzxs9xyG71Ml7eLVpq2IEw0fynu7+uXKrxxbmkev6RCRfo4JZoSqGVio ncyBRG55mBoWHgikc4hNseujtMWkhBnYpxXPYwOYqLTIjFTtMUn5kjDKW13e8UPi1g7cxHCF25Lp K4pUWFCaMBFXR5nBxDhktIWbP59/repvZ2RTR2Tgkfg3wy1nEdzBzoCfxHFCp7KZGz49924Wre/i L67G4BG73W6l9fIWwx2iDLIgxAeHFQzqJ4v3Ic21p5QCu6nB7YIXpXwha863DAmBuC4zBQQ6Ufrq vpBBtsJAzvyIlpZlWTGCauG2YS8yu1ZS+4Fo4V/wIEd6YPhFSiKuyHsy/4QtPCrioz3qDEdjkhWZ 1ZetXe0R72mleudTIZgS82wcKCjiy8zHunuRoHlxPqKMbIlHUrtdUxODnvs3VkZCIAJm0T/MCoct Evr77LpVnhnj1JL8iRDODeELFns3yu1I6VSlHw5Upz4orIiSe2Ysf+C+7z49N8ZVgJz1bIX4E+Wi KjOxdOnSEUyhUqSFE1tGXbTB9192dZ+p5A+0Poe21UCZuKiXiI81wozZIizurbZH28wm3kEwqyp/ PA8uTLzqDLPCYjvDfwJiohFXAuLCS5+zrukAk00kDwBL+wQ7BzIs8fq2l15547Wmr6xHxOWywMK2 efxx/BsTfcJeki/OADC67nfI+2ez78NHolPzDkO1vK1SMLV/Gdi9E5y3JaWu5zR6kyBg/4sltKEG 5s/d79iOG801sque34pz9ECGpMMF9gls/DdvNZbyAtHGFKi8ve0Xg02eg/DTvRLKzMWPWSh0W4mp tzFxLmH7M8dDFhgNvB8YmruMD467PVFRRB+Qu9DDawkfPuq0WipoEokoyPa5/qMdv3N3ZJN6M4Vg K9SnOWaKrnxDzkdoxouNcrczx2d3bcXvEFMvE33AzIpkaAbzbiVs8izh8niAFuSBPCkQVGy+MQW/ cUtEDGe0uzr8vBf4ZJUr8sV6372VoeCRbQvWqh6STU3vx9l0awCLwN/IViV4Rcwp37ADuA1VPMgJ 3tkEMqkjKQsM6y7d5dS8uboCis2IfCWifdNr4x9Mc+w+TUwWa3GaRxnoaUZ+PGZUnrudEyAvWlYK 1Ue336PLAImBgGVhFlvrNVL6FBvb/EgzvmQ3xJC7WJajx77k0qv5Z4CFX3SIO1zV/Zp4+Zbvs54T 22rQwbQzE4WC97gQBgcYjtT4Ylvs9cu2034I0HJkXzTENxzy9De9YUy0csPVxt74SiH0pFWd321C EmomtLHdeTMo7tkrP5ys7k1xLTuIhgNrZVsCM63FYthdihQCjooScKesicsF201mR3FbHANefidU gL0AaNW81sEvgpVLnr204J60tSTvKG4Rh+kfc9VXkLtCZM76/48rBmxzq+rXRxq+yLVzZX0POWbl e3cadu83sMOSLg/qMixvFoEa6nCvBnAuAZCuXKhUvF0PdDZu0/Kjo0CIVRS3d8jI+j6gVI9ybZAI 8IzsNHlXuXVoJO/IvGQnozkogTVHkegCMeG27zhKbxdNg/+ujTOb/tNkg1bb1ge8NK3qwz0ZNwyF Wx51FTRis760WNc4u2VUeoIS9y2tvHrQNQuTI6hYWJw5VM7wMCPy30gOTrUVZL/nCeiV2Rcr5tnO 44eU2fnuhA9rgsB1fGLe2JiDttMy1UKTKfjEkuPVsX2363A3Lhz+5zsweZT8//Y16JqmepfU3Hs7 Rjcsmrz3ZsyRB3kk0EHR4t0chyFlIkOxc8tsHsulDmbVzWigUX/Dsm9UwDdvG4pxXr7fu7IHBBJ4 p0b11ttxODstFyCEZu93p+J9COTGCkV9Bkb7Q7sKUjSXZGuNP/x11GEJaPfcgkKgZ6943KFQJyD9 USc0aj9lq0ozUDHNF0HJNE6qKJ0aXjGPbwaJr4GvBRUqvlb7Wd2rSH5D5LqwRCChQ62LPt8atx7H Pi3HCSxWTJrGHu4FmfCvvmrtLepy4rnTQhm9e82eD+nTS7vgHZi+F0OFrMGZlg+4BLIVAu9n2wwW 1bzl+hFIYx4OZFgYTwuNjqcfPFzn/q/MkzHycx/8scF2xg6Swpmsv5SwHsgobEGA9ftcFY9C98op BIfI7p4sHve2gX3d3FsFKszd86bbDvnHTqxrpnS3kL+Qo16fpxXIRGtZRkQlghOAqD7v9Y+MeDfD bMOuKFFm1uAGdiO8x/Do3tyGN0pi6QFjiex3leQ1k3BTFO4XQu39GptgLFUqphyzV9Yp/+bSTTcK 5FJIfMQwyAa6T51kLI8Etkp0p3DTLI/apM/MjBCIkNQzl2uOlNLjY7X/FwMv7uaT/pDtyujxcnqk yZtPVenYbGZ5xPYjc/J1p1zV7LOv/mMvEULOZpdb27GpgkpLXOQwEoul2X3YPStWLsqKwXe8SYSn xKkQPaTIvuVdgkuB/CW4jDV9nTSXIVix3SeufgW9tc4lCsHbyG74UIBw/wu00DB25V+JMjk8k97H 6+kE3guhnBsMdX9bfPgcoMqAhwpAL1yusIVz9gCobwfJYABPttqHdlLFR92bnjGfUL4n5czP28BZ DKX5IcdRGXNjeYrf3YXqM4HXfonh79HkVstPwsIKZiHp1qJtbgA0eozaORzWNyDzjOXBWfPIZfpl bz3vwtE1A3GbP2H7CYzZRcCk1N/XHyynkzwzVlJ28PTN49WITbnr6LoBcQ96OVutmwJHw9uDRlb6 CnJN5r2TfUuqh/GMO6JHSpKUXaoHx+Pee/I8kiuRy5v1Qj7oKNOBTYM10NWKXjXmIIU2SYLARixa SLhRj7uHjM19R5r6gCv3p56pxy5Vb39isi1HJUhVlJL6Imf5aVKhEi9mUl7d1PJ74aLqFFB1yGc7 epziLNhznyRAaq0YTrtwv5cMkb08+QLH/NVpt8h2BLwE8kLmX0iX/yhswX1fq8kFO1Ei6L/xJjYA ale9hKfhX8197zd6ZQQjPm+K092e2jiNk0ioFw5oi3YEljUwPmPhbb1cIacTmrvoK0TWNJBRqQIF Xx56yUNmnXH5EIB8w0YyjZvSqF8OdbhZlrCRkf2Ta/vn8fV+vnzgftWXmCPK+T6x4Gz24OaX9Pes kST39L4+3pwiQjkI6NGtjZWO19erKJSWTf+ySNh4yzufdgUcGsT7ZkxRGon2PgIZZl/aX4j//pEX 6nZtDLME8Caaow3UaNs1sWYb9CNbtDc9YMJ76Gh386fiiUO1t1O8VkzpgqNzrmXliPTLI9P4fvsW 6AGSNjtpNTspvB6y7Ur7vlbEy1kqid8OaC7tHIB3tJ+T+D94dM92rW69LmhM19LersKCeijKBw/q JhD2h9eeRYyFDA2bSYrWZZckqD3dymziXY8GuR8zOJt4SbK7a9F/QeWYJ4kntmvJjqHQ/eNyGHOL NwdQQeWskAwtOBTSps0U6XtO5ji2YqwHLXw7lZFGF2626yHtGGidknp2Olbx6bbB/0lqSGS+ggeG aCGvFhrW0qXCbu2vn2lbLPNaimktWxz2RVHA/ab0cRkqavWWAHkSwPxjjWCKHo0eHFLg52JPn8HW dysrGuQzHL+VkiehsDwLNtKTjS5bZ5nGlqeF6qjk2cjvziTdSzW+agnR5/lFMEbBBxHsRbEmcCUs c2rfluUe8HkxSFeWj/EdJUp1XHWHQpka5y7gvrA/DF9706GPmc/lZ3FXSo3ZJNekqjsWr9w5iqxa mrjv9bcd7OiozOKvAuy8j3OhzvmgZ+DDZkcWNfhphIvy6QBwq2XmTN2wkpuQr6WtTCLlR8KNWTfD spqHCwHvZICB7P4t2dJ3M+uDl/GiQIJAtxn+pUcgi1m/3lmVu8q3d+O1f4641EsV7I4Mjz+hGbbY /QB6QL8xgTEdXrWOiw/UK6RpUqpvAnzMCuARpn1OBqqgAheRytsl1kxE/eBeZp4cjlIHQzTVQiN5 6y3QdyR+d+/nzDd2q08M+1CbchLglopLr5m8QZSG2YxUYd2Kvx9EYBCygnhcYfb9as+U3HIm+sv1 mMuYQ88Dihsp/AmPMbsNMweBstZTX7cua461WseUhcS6FYgD/Bj+R/aQ51jnKuuxJD5SZXfbHKbu sLQJmPDaukNGDKUpr61C1qAmPSBRuYtCvqJmzo79msUtlxrYm+QP3ZX0Xn739pFS3Rrg8IiSKnov hpjXR5hpwJrW0K2T+iaZstCF4KUC1SYtfjOMu8p7i6OP4Ar0+KGuxjsM1BC8j298He1GQxldOX0O 2fHTeE4EXPmWrbyZ2VaVkspEFbSbYn6iak5dzaW5+rz8ShzbOYMCCnmMBdJYAHiQXpF0qo5yDDY1 Ex/GGUp8cU1D+KKvXwgYb5eopNuJvXIKYN09rMoC40qr5W8SbSuWKyVlpLJfBhb3UF4uV0O8lBox 8DVmycyKiWGUQ9HZcowuvlXIweNgiKqg0m56FBW57+qAyPADqYDn8EILNECJX/WQP5zxI5eEEa3M c8Xr47mSO9TvWIjvcQoFJzZrpSzCxM5UpizCtSIupNMDSWL2xIuGviF4DwBiSgE7GomuPTEhN7QS JHyhTBOqAkl9ERR0rC7q8qTCRlFb9WPKTVCWs9Aj1ak0PBbn15Dm9SyPLmWGKfI78Y3LGTI2pngh kCmCgQGfcKXI1BzrPiAfzJWRgAhf8QCWCu99UqEho0PSQ+xLcrzLNr//y1wlvxLBM2qdkrETqxCV 94ivwp11VMNsDQ6iO34FXN1FSBtrD0yQcVMc/CJo8pel6EGBqTPcpiPB3xt21jrumcUAF3sBeFQO iE1nuuS3jivXa8F1GVhoXZGIiehBARS5xkK/C5obohzWD2vT/YhDVOdN20gKcxGpu3jhirFSGBtG 4HMFWv/nq4IbkvWAhWGjX9K6ujdbGWVuRGe/twoLRVS3RkLtelySYvGa0iXP9kcmacF2L70ddJDU 1sOQGP8MRBqh00EQHXhdpAYTvaaQ2kMYhGZl2Az0n+FdxenE/QBogVDkKiXfkKFF+YGUis9gB3Qx hZyRxyKbZ+DHwoTixeNuhpX2tgZvmvlt5e1KeJvDGQCoPzvZhp5M6jxr3XIxlezfqM6T3ko/uWUB 6bcY0k04LdSo1QISrN2NO/QUinXPx3W30pfENkvHwooxA/peV33zmUrD8ybzX/QaB2RaxJMQc9cm wioLWTQOsbZ0P22c7hyYdpVWRH2nwA3XJOc8n0zZ2/xHvgJ/4X3L+6aFzxkvoiAHHBT2wpwOeO/C NpPIVoPxJ2wkN+LD2KtXRwlFBRvOAGCJOnKC61RMpyG03RwbUUxh3AjqWIyhnO2MPa8RYyQW0Lh+ LpEk+pYn1SN+8E0MQbZFOQrn5cHnEo2UQUzFpylTwsVi9vsc2lbTTK3NcJ//0lcFraORbT7L7SbY vbhNjs1tF+AD0Yp4MmQ6h5dYKmA4+Ys2RKQh2lPi2PkJj0IVtd2euQHqKE0lTrV7V+C2z8ofqNFp n1iP8GAorUkDTd/0QtwMloUIwwO/PDYokn1YzbdApZ6Xs/DwcziVi7QZJoZcPh9xTIV4uV6GsDO1 CduXh47AksdPieL5FA7kU5wSos3te+7ftl88wTA7ZBOHvknZ62G7zvQSOUgGly+r1U7xUYWZ0eKc +zGJQCkyGG5CPinOlaYBcIM+XX2U746oNQXs1YNUr37ghn4DiOwlRfgolViC5Jb7B67ubyQnHzW9 ivFRe2iGJ4Uog8/MoTdPErlwaM3VsKmCFuBnekMuXnzUTFT/s6MRc17SIsk6g4M6YWM/nuAB2a7o dzq+/3BUTHqm1smUuykNa7Umd9Z7l76k1dRQQ/a5s/ompk8iK+ziwXbQ47qsJwq52HT4JZ2CBQZo Ehylsz8iNQY3qx0WxLMRKwKsbIWKc3EJM/mfmhja/S7BmxQnszxPS28o3ePe7w6kquKdTxi4lxPS OhEk3zV3WaUi1excbiyRoRngFdKc3KjTqN7kztnk5tc5n8TdWfrYe0HtZQ6cuidypXQ6BRsaRF6f Gtmbc3TZ0gXwlvJxrzF7gViQEfMIA+fyp4lC0EpD78MWmSIOOzWHjCHd4bPyX5nupoihOiDIZaSj 6uYHtpP8rYfS9h6mWhZ0jLnuCA+dbTcchHtlqzGaGHUGzBFS/XnVIMA+27fYEPQbHpaNvmVnP5Az /9GPgXY1NAk7OPLt+OFOqsiDsCz6OaHVOTUwOtSYIh31DwVgu20Xs7kEnrwcFfp42azW4k8jBFOO 3+2Cx+JBdbzevbCSPhzpWb7fBoZR1/twMpCVcvo7oGPY0vBAaZ4hauXXh57GVxbI5NkHU3/znvL3 oLinJ/PTRUyZeK/5YOLlL+j5nhYfEybNNpx38grbq8RSzkFZC+YVJVp3XTJJd7JZmFdYXIXLTTcF agCDveAXz89QWPNOz69GmFc3By3cByFaULkwFnBJHZMfEvaNkX16jxSCFB4p+DyNiZc4wlJrHSYL azo47wdh1E78WLLMld0xDjcRBhWDtuvgGkcrJNZBL0szpWDiaDQo7S6BTtl1xtKDLhoiYxIDRTc/ 8ja65kU6PtWmtoRmfeca7KoEgIiTUfzOplG1HoaobgmNGypxCiOO+7JVG2Fwm35S8vLVP3da2tIe mRxDGjVAriOXsCXXFHJzB+Zh+ueLR72VK1wePg4J+6TRKtjreqkg7I+TG7LrLZRbsFMuRcxrhQI5 kFAS1Q2yqPB7fwpeabdeVdTKlpRwGWw1dwb37dYghBzzoIY7wrXxpHHg1tXTEcK/kqABnFTWdDrs wx3GrmV8egGejAyydWAWafl3ercaFYZZFx7kuG8MVb99BuwSy/4roCFZoWPeleY/tpBMGe6dxn31 K7EkpVw/i7Hc73XkVZhdIMhd+G/pAHJoL+Cq/iaSCf9yAsOmWNpHBubaw8iSxbm8eEgT/xCSZnqW yrFsDu4ZPaRQjNpbljmNRZUbMHO/fAJVxT0bmwaMNANMea8RYJHtUA45bbh54V5SZWDhiMOpWOg/ 2HRDEHPpKe93G1zHVZ/5Q7taEI3x+0Dm2HT1orsa8bwTHMfH0TRfINCMp0W96+FJ5lfHM7fwJ+43 ffTEwGVscxg/iauCAml2k0z/ypuPBLuzu0R/5ZUeHkV5FS9ZNH5kp+yH/HHYbCV4SEgPi/KQJP1y BnG5Q8eyGEXV5zAamSB3aYswhpyUn35Egv/sgFV7Ghv7U8DeO4644DvvAIkPRp462GXtSuqDynU1 wXVSd92tyPVpxthCpxt+21SorrfSa7WdL4uSoTtCzmnztM8OkTzikKjLU79JKmz210xkD7yN3h/z RcxRnQBOhGnwIEChR1xZAXxJ9Q4wjwWQeJsQjyuiiY9s88jCyoQQvQJObQdEhXbVhOb6BVDaZWjH 0SnlBY98X8RKynrRePMFPR816IYa1YE7fDEW5cOItGN+lLzYM1ogPlZObN33rsHi2WHKQGhDVtad 3TaFiX9OQr1lKP3/i1PEPUh8nfupTz2PL0zuFrRh62pRHvdK6pWfh8kP+60Epg4q7hwafGbOo6wr W50zpKj5A0mD01hXC22otn2rIeQT/EnQkTLvgWk4GGy7CyWQb3TVPfj0Xc2gT9lw4tmiz1Ky7f6p XTuZZhALeeOogUfQ95gAel1vYb3a76zuHScnuAsxKYSof07N7bUJ6OuqBbq8i4D/gjHUa6EsIakH Ugl2c9TfbwXSTdMvDfXGj+0a/sEhPkbNTFGAJ1dfLOYWkptVolRaBFactgmxsMCO2a9mUPH7B9TM 89RVtuAClgdfUNWavSfMlfiiRSxliTH8gO2EX5hnG8WcMG37knzUh7U4COSr/vh4vBvYvWiYx/A0 L3tjTDZ+MMnCd+nQj/0obRPsl/5mCs9SgB8O8gsRESP2GEvvfpF2M24nrR3G5uRcyOxyPk+eaAog YxdKdcRhmdzQs3dFLlZogAok+F3nolQzkFxRBGldkhyr1X22w7zCgP9biJuEbaha7TC9+Kc9UiYM HtPomPh1rPuY8/UmeA522znTDqIjXYZffkStcCkM6MlgrMTz2Wr9n4LQVpoPvFJK0CehkMWJUEXF lu5CuIPG82eVxA0zVgHQ70NPTFMCZve1H0ZQhIBQic8bkIJckXkXL6vklxsqTGJIpsSqaLX07FDp /hw2q6pSLkdMlmOAc/m+HoJlyz63b0msaAL113iIesIrLZNxkNHjWwqD72lx9D2O4rG0a9REGiM1 Trbr9tWgcVxWFGPhgWtzXXm579WsI6Svc6KDRefNqILHyzN5/br0lTunuOA1iYDDwD3m9zQI2LZP WX0TXKKadh7/FRZjWZQPnspP9TIGMaw4KoswDdON7ioxi3zprbOzA/6GmgzjIIQLoxpEND+hKnMn P0BvM23Nszf3pTE/RCUC4X38ZWXxJIePfs8S3ACtcfOMWBzUmsuf2Ymv+Vx2NcapyCejrdg9udk4 MOEjlKYx8ETYs5eSsTiOolOerFsqYwI8rf4TnqpsR+tm6FUg15z1LMbQqw4bUzIgdBYwXnOrIhcs 8GrA0OlsP8XqXHZ8xS8ZBbS4MeoBwsyY06LmF6g5tgRr5aage4TvVSAg9zLxWXYDujRCUOoUztDl leCfR84d+a4rvsHmzA6lGKfztknJWWJgeR3Ag/fQzp6kLbH3mAtead/xtAvpWAk720VyCgEgyXCI 1yJ1uF3MxsHaaQBHRPBixohQihBTnMMOh5l93iZwc8HQFOKIZQ9D0itXXui3V3MoFJ5jCQqAN/FA BHIC5QHwEm9Begpp+QwsC3L5d7P4YUbTnC9PNUu85mPYxmi06mPQ0ZdK1TQ9Wb78HWUvIhTaWzdY MJPxt7yl8fjsZ8pSo86OdxXIgtsgf7lBNX7ixNFDJz/wjwzXYe8I37LF94OXehDtuqFJJZDb6m6s ZmVOP0gONPO12Sb/y8R6TjKBPbGeGXbKoIz0c5C1T5E3sd3Oyz0E/3KTELTp44mJwMLiHFktwzLh eT5oRVdCPFhuwpkHpLFV4/A6tI+8Hl9loRNf3WhLWTo/Ha2ROJikFI9YDO0/wfCxsg1OwuIJkHzi ODa3+ONqTZApz1dAnnloaKQ0CcrJU3pWxuA1e/+XrblEA3w5upZZB3HpSeVvFXp+BZf+/RarrXCD mqD9ssrgZvPbz4VHkSy02l3lfu36c0yp40sEI32uZNAgiXnuTiqHO2QtJFI0CbVKZ8nQUQcJ244+ S8Amj8k7b0L3yHFWkpg0Cl8SbE4iSQG4lnbXq7eQnYoqXqG0wVkLsTAVAftkrECk/GaBqJlR8ORd OJ7OD+1PX21LOniQwR62vzk48TlSpIO6E4vRPAr4gdZ5cnN4o+MEnOFpMIu7LHhzWP6A0AolL/ZC 0Vm6K0WXFjL2lumLHih9eMO8sY/bZ4c7lTwHjfQ8kx/64wE/W5gGju3+26/yV2TiuKRPD+oV4Gtp dgm4B5l+16opuYaogNbIBa0aGfhtpPQJ6nsWVembwgNGloGJoCC3cuykphBWUL3UIzyU3G6SmGWJ rCMQXprsfvOzsU3zlpJyWO625aAWwWE1xk/WWa1oH4jdCTfJS3Qz/stzeKSbKmWBO3NWlfsW2fI2 Wc93IyqkOiS96E1vBQR1MXTb+CPKKmjRywiLXtU4U/ZWb3ue+Bz2YZxj2k1+kC8siUzR1XQjsO/Q CB2lDjQi1fo45Q0J5wKKwerFFpn31lvZqslnSmO+9z7YHHUMHylSYmlXmCWP5h7irhTgZ5pzwAUm /SuWasz9vK8+wJ0v07WRRsF2jbDjXcA2LtrnkoTySNk1moNN+0XGOSR6dLKwwVbd0TinE6zt/Imz NBrlPLFHY8DxBmEzl6REqlwbWA2bzxrWgBqyR59vw3liuzhsl+uxoMVon1WaekDCAfozdKWTCcZm smv2zV8yS3BUZlKiBw4aLT2qiquTdF/J9PsQGLzs/u+NHZ8+l5Udv23tSbLE2AeYlsqrcm+sdkEO mhYiNF31aw5w9GDANpOK2mN5aIkXjn33H6Sr9JhphQflTQAf8i8y8DStlcMOFHeISZe++DYWkUOC byT1UKy6lwQCBVlFUYhWrRoiOX1GzEEC67pyx+qW1GpbfqROhgjM7itXMdG1gIfIaQYE9Mz5TRL5 qk/XfANMTSpjKvPyZL5bXp+T1vUFo+4a5oVNrKZAwCnMt982mard3cnzDSFi4LZqI8l/SVfwaZqc 055wbgocTYjB8FbZxxWcV0W3lSf6f0ggogEky2cvRZ1bTzxx436TDPMGfwZiiWwOsgYRFqEP0Cwr PirgBGjPgsozrA07/I28T3n2cYyzy1l3jllXRPhnoFuJrAic/9U/xK/SpuEMFapfchhj5comVhC7 rnFWW5ygJfW67x2ElOGvPqIa0wxsHfXMeT+UzNsMK71HbaS0VQVpS/tgRUgewbWSu9tWrvKK1D1B 7CpsaFx14sqyVw6Cjte9URXLcpG6B4tobD2vDDSlapv5RiHOBi/m+ZxWaF+qQ2K2Ua8rWKlXHm5E W9nHUdcTOe3m20TSnP8ptFZXsjxNZZlwjwnOUamSpnCNLeKIBz8SKUoLDEnbyv7o1sw6KYn4gjyl /9p//9HRX6R62bUMJUFmwLwAO2Xv10DiDFPEfJC4szBSnkOT/CLNAGOXBaCKNweeCiOs0rHR36i3 xx7BNINTPJ7WTJIN2vvPhPbQgU7DRUjVunWrP63Ksbqi2uVYpWpH/8QgO4bKBkLhrfqJKrZ+/nyh dW82HtSf/NPY0jrL4gww5tinfsp7bmtVMNbLhXW/XxStqcAkj8tdQArmZtOF9sKjBC+KkMTAz1Ui 1PtJqi9eogMpQkkyGgciVw+UWRL8oyh+O9rK4XMc7vvF/Eqv+5I6bk/UtBV9hRhje+IPHIIZ9Eel r+XC05+5acoh4iJf4ANWNnxtC7t0LYMspOsThRYO4Kj8K056MgW8+fCvvj0/Sv8Sni8JoXl+jBji Ro/C2/eJXWHTQeGmWh4FuBhkdQm0GToWaQn6ALhqd2Oi0sH01ROto9ytMzJo7bUqxBrpt8fQel0K CTtM+uGbeX9tyWI7XZNaSpJLlKBP2wCW+l1VLexlIHdTAQwRerm0punfuwS5zIHqkcKvs41oiWEJ LtLnEs9tJsIZ64Qg8yuMzrXa1uQm1OUIxM0QWs7H2vXVwpu37T/vg4t794qGUgUMTIHmtCe34npT pWSzlRLJ5Tqm6zycFSbMAzGpCXuJ/YwqBgYGSKsljPBi2fDMAT164ar9uJz90R2jsY/RmN/B8pLq ySrvzZpr3FuP/hI3MK2U/2ahg7JkZmQKtqkEZWJaSVNsDIsTqqIfOk82SrStZgD3AAG6N0cd1+oY bnkZLHLFgGlFlBnG6+XTPHWidMPZJQet+T/d3/58RAqbP918Kmc/d/OlMmdfWzGKFJ2zHzgg35tM lY27YPA7FjzFmLQm7H0mzoXHEZO0w8OtuSrOHFis4DBjO0fTLqc2Ph4NbhJ+Toc7nsC74u2dR/Xl xJBl1RFbyRDnnHboOM9KT8GN752h9L2YIBSFP5PFZP0WA+OZota6amapfzeebMdTGwAqPGGRSTpq TbhQaD1Ej8pRIIRahSyq4Wq2RI8PYfAF2peCPTSM3XGEwm++IDpkuI3dqwmGoIKrEZupfQyDdOYk rMWKy+8VE+O5cpJmt++llhFEMhvU1KgdrrovELBrMDZwzKDhP64D66SCbGc5ghCTP2B1zoXUZmhE g2P5ot3Y/HkoGE4+1NR1YBX3emP1AYqin2IGffSgvmQOFv47RD0L9zUB71+sC2cCDsPm9ldqsIsv cscmDahByVUdVqk7zG51EfJQKGR5RYAA/rtrjoZff0sO6qs2o0yiCtJntCc+Wuhu7ioGyjVa2ElO FsTYPUFb223AhIaE+ZzxS4xWhHUQ8f6PiKRUt96fdLWuRBwC8do1kgzyQO+l14ZlnuEUMGouZPa0 u4gHGxPJOWjznTLarNaUCo9fYzevijvgRNDzTf0e1G2ZHItKa/MpzO1+7aFxdHesgDQ5BBnfSZ5S gvq/nkMtBAmdI1pVwhM8Wwb/bQ2/e4f2PnCx7gKXXJ+ky9nsbBL2dmbc2Bl/5ozY0VkGIx+JXRM1 cUz4/IY4kislv0Y5N65XGI9Jjr1Q3wJMSW6Ukv6EYKqOhhTbXsa369wwNnOa8AcjbN4w/U8MXq4L 3yic0nSozFxKIk50AsBY38DFU82cwrGkKCVwp7tsiOgvdQ67/jpREotht5EUCALOwlLW0/S63ERN AXeN9Jk5jKXXse2ll0aAcdwntPJ5Tujkp4M2/v8Ok/xu5+ljqSTuSWyO5C8f5EIUZ+NjVm8at8r4 ViJr+hgMjujkIwQE4qW1/wvedNbecOnelIT+8xAEA5DUZOaQRFU/yIlb+KSwgmZGiKAIc02YJ+zu Gj+Gt1BkWm3JpLKniGc/+5r7xAWENWcEMM2/67I6QA3UeIWgcJhjGVjShstKWhVe7vH4Hks/RhI5 WAdoBDg3FZJATOWiBJFMYqw2d6/RXa+Lf5vTexFMSjlhuUP5a1B1PBx0jd0VelsIKeMfCl8HQbIl 0FxKz2xiHfCusglDeKchFJP1WvS3t1eN68Urr+UFr4ThWT/8KsabEALewjAJPuenvSU8+fwlFgNL /XBk1GDZk+2LB5u//83WoSvRav6vYZ13RR1Ld7XBOhGfpYObql3GeNzSvsQATVGWn2jZzN0u9feP tNZcbiTK734l6ecNE15vrh+XZoLlcfBIysLogpWJ7fY2Ai/f6RE6EsfJYPzKFxHnA5sXIIYMz41T oZSPOXY13L/3PJaBLp4ATAZaWqSbqt4h5q0eVJtJ89jtUlSb4nJ4ofFtf64lU3h6+scFRiNesunI D3zNffqCJyGtWwgaPHMi0icX4IKNi+F91IE1DBJRqt/dWy46ZaiADcLs4EYbilAL1MQA2o4tgiCF a3BZdnVl6Kxkzti/16IdlysNIzwWLYmbygufrp+4ocwdi2AnDPWGNwkoJPzjcYg658yvEBXtdMpK VyfpJzRWyP0E2Ztl76lsSpmJ6vAg+Z5pXLdC9cYPsgMJbzckcqmeD8fzRa1sFUs9l+RLKe4xLeYJ 7Wzb1Thk5SUe/qloXT/tsJZx+ihYlmZW9wtAuIsEAdNViE6Wkhl4s3ca20DWC95Tf1hMgxyzdwbb 56rnLPwgOhZBlvLmyIzVePFgKJTNEEzaZ+ZJrI/xZ+rbD4jSRG/qfPXeSqCTpB5IqQ1kow8W5iE+ ezdjEkhvU+vhN1Ait8KMkc39LWcF0NFgg6RP797QbCYx6qxo2yoczhJfWiScl2Gl23DsGr7TfgNM n/oS6xvHVvP/T7A8Avu7D92l/57UhcRVS3UkqWyI/niN1CHqbgru3g4tbYZ8JiYQozo4ZIhVUNse Gb6W8GTjeadLJ/pdcm1W81oKprIYqrqUUACkFXAf9NJL/K2a/PpwvEsJVD28NAqM708vrTifNLZ0 zHnRoyOnc8S7ogWkmgQ0xV7RAS+n33pvpSbe6/Cr9Zr4TC8nxLTXKLc5Xzlo+G1sanJBbJkqAX9Z 4QkBhO1JEpVIPIOmiA8QfcROSSKoNX1J0sYalpmv9Xtv+Afu0tpc4L5Pc91VMiElH4tjIqQwq5sX ILv8Rzn5foVd15qf2n2tzpzLTszN9b5XgkBfSxhhZrAckFFNZDBLexTydQBG/wqWVgNhdIW3nhb8 TUU3gi+VT+cVw9BUjC50nqZkDgfGY8KkH1BbSSMl1xNXaO2LyyuHGvKhazGJRslYWDZmiRO4JTyA IOjEY0nqraLps8hU34y/ToA9GnZ9xzV/7oZ0BN0mvpeUcYaj2dm4o/ZaikXxW4BT8dsusNJHzv6z O3ScRoEeAjRrROo3xe8lDr8OAsopGqNfRaKeh+hOxqCErY6h4Fjb7GkKqdthWCRiz33oPCh57olv eGltoOXUWG/DhY1MalekH507YeBinw1py0ZLX18k0REEea2ScVZMXnGpiA1fhRZjlzW7fOZU7Kyq id01D2hYzvbqNo/Q4yFpNqmnSFFQXkVWGF0KYuMbx82eCcVywaznxQHBNsmww0q7DGyn639FVvV0 JvUv96AJFvIhuiId2U3uoG2MP9d2LMHeZZIenaWWxGuvGI9t3XGR1a/TR8iVNCSG0sxSngteNgti hyadijSmQJ9O68ZGzhtL5W/m+0jg7/5LVGjFIBJvGLQj/UzkMZmhLk3vJRKen/V9dBHP9ttmkJ5/ rtFFDxRKjp7sWLRB7FjI0ngNc6ZDis3kyDZHsUqVwgK9gM1ffJ3rEebJyK0aXs0otZBI1gzwA/vV YV8iqc6MDSvGpGLwazXunHTG/FqQp0XMy50+vvdbAkfn9I5knOR7AQWUjCU1ilc92hDFUMjPTTHy DeLUPWD72RfZswk+tq1Veg3sSyxKq0DVAcZr5NrZOtwBkcuuWJKr50Xa/vF8IywRUKcUAu46Xgbr KXnaobKIAIUNDFwMSG16BQVR7QyWP+WYYDycCIxl3LTbiJ/a9kdWdKEgvzHHo+c3J8UM8+exaJ89 YKTnL4Qed1WU+Y8dWfuKLI5h0dQQQO2lYXNEqjI8FbDERyjaBVgUC9q3Fp8iSW93kAxQ/N4QWPvb 0yhG0zwlV170BQLb9bwdsxVyVl8fPBklnq9VLI2UGBX/BIQe3X26M1KR1LBaK28keVjwJLQrAMzV rFJoQARVIhOkxblZ/GhZOMWE5ndF2NXAD8Rilq+9ZV9tm/hykmhmwFY4S/j/y8vfTxDeN7cF1U8Z yFA209leSpCkony3g/Lm0zS03RB6CLgxh0162yXC0qoGLkTVbV1OZrwD5+kc2tmMK9NVcJQ/3Tp3 gBbU4f4WQw8S9mHdMix052Fj6uNOF7F8f5QgrIfDnzO1k/XKhRo8YYdMZXWNzzGTfxafV0J3botX MtaXa9+CxYGz3bbRrW939wU677Q7krTL33EysRs5Dr6VmWsRu8Z3vhbK/ETn6md8tKk2I2Dp4sJs mTWmOoqUxlB2gQJhLgnZLwkR0FMNwDJiAtH6v3BWqHfVRwm80VNCmVq+WMpMytc6SFPYIu7qilSE hWKAntAGekMAtnx/VhXXt2ePXwhcJP2Encs8zo3283HKpF8Q3bzL7wxyDsDvA56ciuXkOrbSj6gd DwFt2XFKLvCr/KHJoSg2yVBxoDtF2hM+hZAt6ECAMKU8q8jmrqDI0pM8g0ZqJWJRFDZXVYGoHLPg Qi5w4rckqSrN06KdG9UCdEDmCt8FGMzKeVoUubDphiVCmXJHIO81QjuZ0oKGZYdFOGteX6ermquq X51n56x5sFCIqQ/EORTRX7NlyQihkjR1QpBUSm1QXtjWrmA3WywHbuDXExU3BpnYTGIX6xNjf0HF +OppDlBroIp4zAIm6TgjmNdBAGobqEqMMna6hf5KWtZS30+HEnnVYsGpkoJU4O8iCEV0V4u9PABl uTorsYDdllM8FJz7EUlHdtgzVCBIYrBDyGKQeoAwnGPbsUcQlAsFMAIc3ANYGCzNzmGyQe59JWUZ 9sBxM89yeFsUThZqwgQH5bDbDBr5X67DtXzAkxRN8CnXUuz3MPZtCAg6t7ESCZcdZpaswcHUBim3 gEDmCSDhzhwyLJqViorMQdYHhLkbJB5um+popcdDyjFl3gU4PX8ItkGdr/LewSQpIEM1qsaD+JOl dtUvi+7e/USYr4wIZTfDiOaPyJi3BftgaZs8MdGTLzVgOsx75PFekBhMigEU6ArSyNcsTVNtZl5U hr1NUAjEnbdNYk7NVIOTmDJn9ApCK97TM5enYPPUEPuynFKKm1QZDkgcJdlNBXsqPgmcCRFHci6h NQg9bJmST+TU1KZ0USvvltUSQCSGz/O5UKSTvH4xOwY0Bc28gp0NjsdqmKrKjFnwQ+ZyZuwP+apH Dh9iZfBn9AAFIzU/afnBHu4+oaLUQRcFad6Wo861KU3ySwbPmDElfCJWj49UKNfYIELwbPnqX6IO lyMA7zC8vbzLBH1PXqcZ9Mz8JSj16PGUiqrAovaH6mvxbX2LSNw9D//bR0JyokTnvFOIIZIKzpme D3Skst2pBCfGQWAeuvwLGOXaTZmPsw3/h1fwpIFscSFGoyK62+uDz9YtmijebL5fNNwnSpho/Iqv gyti/5VMLQGKXgJlZdsoF5U/6lK9ZPFSlNs+8SBdNU99ksL4oRECI9wuvHj+NPoW6IRNUYs9Y0UN Mef+UTtv4jpLaj1hv9qKDkYh6Vvi2BhZRYcw9U9VLIeZehyrNIBzMQvZG2eaJTjUbJzUknWhdsJf Gek5O4hDJtg02kVDpr87MIAWlFsbsxlrIYofkC3vbYsvbu3XqBglxdRv7HcHVscBA+67wXGXfe7n Eg9V3EMLfPVx+g+OKrMMIc5T2QPZQWLLHHD1va8O1bCc74r2txH76ijf6toaTpFRF/V7wUsQiwn6 sJf+Pt5uoWrzf4MjazGyM6mpjmC0acSVRGRwu15AC1UqIM80+hfmAkD/eRaQO29seKjHgRLdPGRO HaQTDhQtuwY7FktbOWEezXzPHP3sZnK5o+saMM/N9PUI+cRfEwJysO+EFC5DFQEDp2XCDfA6ZOK9 50s9mwCnzcRiPmJfMBAwLAgiRZuIKNr5rZjq85uqMRcUl0vWu30p5ymeZ9QW5z4ofGU016QkJJa2 s/JTcmWe5IBFrNh3nD0g10a1CEOYhmtZCT4+1Ib7KfE6y5bXGE5sdO4LhaIj0B/YrN+GZjt6DMIB MjSL8IXc4WCZ8jg3vgecoNeNx4pAAkCI2JknJ9h6n8zBg9Ajk8zXC3UGqyZiHG5PhcuMi+kGb9/K Nd9AcvV10fz3aO1zAZhekoltMmNQ126GmALFYEm/UNuOIBspgc70hIZ92IxMuaEu9U8jFRomHOjn SQFVbDVvw42+HJpaZspg4pJFkzbYCdXLpNOP0Ab6jIIA671uVVqw9uOztf7sDubTRfZ0/YIOfYoK eczcWKu4aGn8P6MEaR5qOZEdsFwOVk1yrRcltCw+9oOyCfE75vGBbHJ91osaxZzdcUvsqyFZtvIi soOFZQPbbZdykZgKSLDlAfLbj6UGTCEAe/txkKEz6oYy1vOLKTn946uFgu0x6jkDPZHYcjVBZAC8 eDYYqUHi8BtelszWq0K9qtlvoz8S1DrO/VaMj03z0ofUdSyKZtZR/YLSv84IIPlRkjAd+MRvDy7a KNcuCfLNmmMKCx/mHaiyFaxinFZbZFifLc3LWvENRO8nRlSV9KEVAnq3sZkE76K7mmsQOAPVOjnR TVshL9QkHVnEuRKgKi8qvD0PwOLQJIqMSdvZIDT7Bv3g7ES0oQzgmAzlxzUBdwBwZ02s5BYHWHXr qdkNLZxmKujJhNKlZ4DYMO68spEM7/MqsOPiE9mpIs8sxgW35h4vDr46jYU8zLmxKIymd6YkbjSM 7VM7zNkII0xAeztCgXo/7SDNIHKpPsSs8vfcoi4A09yW9VSASC+KzUEd4dp5laASB+xdGxT3I1JN YKbx2ETCl9gUZZsCByO83JKZkxl9PyYAaJL62RSR6q9JnQ01+6wp6lvD0B5MrGlZ47CzITqHRwGC khgEan2E3aF8xy+e4e6iIKcbA5cbsGQYjY9+MrUxCMA0q0d+XFCFP71LWamI6mPXToytoInLm5f6 dKjjVPSm18r1ipqXykOxenAPYkZ8MqEsmbLhZWVsC30ldHyXZZbTByfFWahA69gZR1Wvrk6vWgh9 JzX9sJlYZOfTjhIodf7R43eOCCE6kyXvsDo4dpbJSq1Ip4YH/lWg8/gf+9qJJ+BgbguKSSeM+ADf CzpsuI0ECWLuSXTCVjzv+Jc51j31zHFO4oG2SdaCg8nUGA2ymHKcJ1NFKkavLtvpfAWse4jH/O18 PwxyWAUYMB3ngCRrys7Rdz6fZWbAWjyxgD7LrFpwRebITd3J5S8EsqD9+DIH3TRCg7hbPzla0Szp xOnG/6f7Wei0KLlvwARunnvrplbpCs+fwUqOcTpC5+uoYlGb6d7NInKJ7d783aZU+DQpQLY5wHyD t5MnRu9qGoHiH76oNQtIwXwUUse9QGis9prd8JZc44FJkLKsxRGl2SUxiiztc16WVWpm6T0eddkh MXNJQR/Q92LZ9y+9fc/7XMGPiqcylG5pEngVNBSGsO90feYteUoLrRunFw/o3DLgzEOfY7pHhGiG RtrMleh+EwAnKEAS20W3QXEgF75+77cXaIed7/wsS3KVc9PqPD4Wa5DRsyKYUxFXINs+bgxYf8YN 8KCy6i59I1VjsbVuuxZvMsaBE/BQhq8XtCxmrMHSbXDfWByXtm+8hEKPln2h01FOI6WmDaaFFpTV sAvIQ1nOYfA9bUsy0DfZ23j5k0um5CZP5pJzr5LN2tp99uLBsxK+97AruNVCVEKOHtiWQ81qR3VI +uTNHMskFOTU51adI2yjgFOVFpn03lb8UJJt/flQj677wpWP5bVjG0+QOLoeGom/xNcoFVhq4MpM G5qmFeVYJH0b3qO+G7bNgtSH8AlNr201G0AJ1YvJct+ty+eFresRLQII/8BYWDzgvPlghw4oYDFv Hqy0/EdHKJYWFHUQfgHq2QQIdCk3n0hhhRn9nLjJgTjXrIDyZS2/1qpLh81BgGOsL9dtBf7x8n2v MR4Gi2ep6DPZp8UZTn7F0+juXmnTBWFU9Z3k8NeO5lSA2471g0U+uAlY3/Yp6JUkI3V9YgcAE0Yu HJHhRmHoUMSqXWdZm0zoIH4Jfgzumh1/M746qO6o6sh/5+5I/tMtHkIcBOKeqq3YWk4WSR8o2ppR ID0qG56Ih87HodzG0QnoFeH/tzfChmcdH7UAIlQTAUlXt0/HD6dp4AqYGQxSB1cYlwJ5tI/rZQiF j3TTNfR6/nS9etmAWrFwEYhWws3jxMTQ0YvrNnvaaBHbezxoZf6/Ai5IZO/divXgNMdW+nAwDVYs v3jT5QgRjxRPoabZLv5Glzd+/upyb5zOPAz2lAu73Kgn8Wdvj+ZAxBN1Os7uWnI0ROM8SWVG5+vv ZQFraIuuEIs63Rme9/tsybniMsgfcm0XqUX/huE0fYT6Jm6CT2e+M3B1+S2IVM0IzlQs6P247jpW OsKSycOxVYb0RmnkPMBFNMivGZ9u7dMzXhf7Tv7SB9DhkSMNPqCgwd6TftwHnFXAiXVCzjd/FDbe jUC5t+PpvqCCgB63W5k5N9NI7cBoBMkEfi+SF89ZdzKk/40D2S15qI8eODKIycBn/Zi1F9qNeflG m85CxaPVNdtnFnL8k2nx2TXMaqKaIqEHCE+dzZiM+KOGH9xUVj9EvGgswugHtKUUrMPhMrW/nLbW CA2+klVpio8ShYS7s9DGCp0D3rhV/75jk2/AA92oPU5rSKN1Kgjv62FT5n/vMKg34pOX+38FFWAQ jitUKec8cLIdENUJni+rUnQj32t5VNkXQVHSVxvmcNZrxe2Krn4Uz855kLCGxgl0vasZZzaRmaUZ o37pxma5rvGMNqhFnYV7qmiFAuyV99QUIbu4lY2hVICL3Hw3D97hqlb6CrVUfObVdc0PR2ZGcsZH ZggIirSd9/zRGJqOKOKNpdXFedRU3eS2wPXvhbXqZJN+/+AIqBYXLltLW3LDRfnPC4OdGSLs70LF G/Kvm4eVRkGe3Wg633+weUItWTqS92BUgl8WUFH2+afDkrxENenu0Cr2BHP5zXKchYUocRKo/N6C qO+1ccx2nmdxS5fQ8IJoGHWRDhDsnqW26N+uEc53dPsTq3hJtQaCJpQIauA+qoZAtHt3MOROL/tg MkUMJYkaD1CcuSW1osEGL5QbSXCXB/6mMkLu6e1scg/zLj/Z90ws5QHHfm9rTNpxmzjoxYkBiToT n53TSjTMxVdUaixHJAFSgLqwncYaXbGyvz+NQNJRTbwpAO/l1lFnO76bFRPgWcCsEVmGotltIj/r 56aRQcmWtsxSDenBenCC6sK6eQWXO9wNxt5e8OZYf/3nL5zkMqLmQgnfmUL/soXeVpXEYJcDAmho x5LZDTVAarBZhxOQjgChasNeFXpKKNTYiNOscXwEqjTqwjolPRwAVIRkMQRd2jzMM4Ktz7hNs7jP doDtgGhq3goPG5mUlkbTiSJjeDSqNTa2ck8KBAr5nMvrqtbOs+pmFUEC4oznlSWCfXWLjUZVXHuS ZxLx6mAbwR1Fd+aKMWfOgFLDLiqc2aZs7Y8yjLlY3+onXl1h3eDc3+AjbOcv/HEvVHJLKtqNMgtb 25qEjafLlAVdBANdu8nMIYyqv/09bFm3QVpwGjASV868SoOkLUd2UA3p7NWvfgUE4wo6LYTL4K6Q jY1ez5jxlKFZYROMYyQoxSk1oHo47b3XVDCd6wloDL7aTv9dzCHtYPE+I8j16197SKUqIw583ZfR rTEiDXxd7Epae5J7JgFVZV+gio27LCQg49JSAm3DRE+eb0VhJOVXMkWEbDR4wea1vvYGIyeFB92R lPvOD0qyA2LB1aqTXAoBuMuyZzFW2opI/ZZ61WaWnLp0DthJy6LLe2nSA1Q2qyG524eNak33GunZ v+IC2X6QHGAmQrqeu9aXLGasoxPdMPlEVZiucekhg65or2rOybeVroWvXYBu9xBLXvoJa1zzy6Ux OQCIyKy+w9wrJA4hoSMcaw1F4QjlouENH8GXJ85lyA3AXSgXNdm9E9jzr5q8FDL8oXV4A4PqFWJz YGkrsZ2aJsTGASQ/0O+/EtwbAXvBby0Lh88lALXfGhXv80Q7N2vMHjarTj5NBkI0Of58JrICIuII 0er5H/c/0C8qUijDHD2SxQPoPyf64kJEeouoz+FEAsbbKOdY5y2becNf3WzC8fSpqN9NYUmQAlF8 dJtMTFS/tbwdGmC/FN7HpMQ8gB+G3ApIkgYExH7nXhFzkLE7NukE2PT+loZ/WJyOH2u5WGjhIi9k rGS0V34RRg+VCQjAzCBkFfGrrH5s0SrCESiNOmYgnwM4a+dC1V2BjWY3WrJjpBMquHKL3VTKr+On bDKchE4LBPJzSfvmu4IfVUgWU0VBQA02qyk90Fkk9NFbrLKMkzS13+Cx+lcAX9sakxkWAlNLQ9wA Q1KD36j3yOs/m3VGiiNipzfxnPdJC96Y8XcSM6srRp+RTDCSjJdwvvzo05W/WgpxmEGif+3ujZv7 GoMCO9SM4DbsdDvIHK3kKA9Ua+aPyb0r5hAzkUtTFQjAmHRMaveP9xDeZq9fHBURA1212CKrKYQ+ 3lylzcz2B4qlQ3mppfO45saDVOZlSf0zTTmYh7b85i1a0liR5jszvEpymaaebjDd92wcxcF1heXx TsrzLQb11tMY/8mvlfhu/ZPIAvxxnECCpHZ1/pbNow3xjcjIAstV09BdClhr8Ry135YmDxN4XBFU diJseSr4kTwZ4VWsenZfqGo8jtjHW2qOMGlMT3DHBzTqdFGXrLHZDIhmys1uLQjClJldXHbtUbxc tX8m+BTwDFDdPXhjiG53UA5h1daKbxxOfYCTnJN7iEqRx2cLVvEkIoh8J2gNEFw/z4mkAIEs9PXE DcghfRQp1RIBD+HLqWT6i4YJ4BZie8X7pkPBWCCuu5Okyp3OSfdwHf1Ebr8uMmElQV/3Q2SmY319 XOnc1vyfx9r7c6oICO/w7U9lUNpzfqsDAdtt0HhLXw17VsP1NDOGBJeHKz3OC2rq6EJ047hjYzej gP2Ae8xRwWaDBRV3eToxp4lvFgJlqMgC8w7a5FrizKr0p+V0zaAfk9gj8SW4vzq14I20GjJSX1rP ORwzrudQOJHBNTw9y8xkFDvx3u8091xhmbXHbz2gU7D2CMD01GLF9kDqLO5LCx5k2ssIzKZYlLGC +lPWF9ISkm1V54zWTTzGxKLQLk+7ek+MeqhnG+5GUgdaVAbL0Vdtq1N77TvTLjdICoirlFDo3HPo V/3VtvG5eHjFJ78RZAqU15zkThtMDINXmymZKm5Me8wWBbR9vtIvukpsiAvTaD9a2Q8oVpvQJVtt U1YWKTyHb2iPMJobcc+X8/LhLDace99iSsOpUDuQf7Xg//m/qdzyquopAXFdY1H5vpLzYle5YHC1 MU1B7C8Akmx8SIPhsqbg8xiO4uWAFKrWF5o3ZGl4tSF82hIbQHZP6pVTjNByzDD7ItHgNYM6hnDr LmycDXpBtFu92vqbm0MI76u5O/R6e/xJPTmhEZ98Bm1XSMUez8bmsBsT2gcLMET3nddkEtnqvRVe rPTTh0FNOTqG2ZliNqW/eJRdQU4zSwej7WXj4B7yofuCYg5udRhbTNcFDFLtHr4+oNOFjhUhviXd VSG4GmFEs+J1wsg1UB0vZVAmQcG1cU5LAiEQGCLXitdydG7K0aFP7NG6Lu6aMy6wtzesE6W+GFvr 1K/RoNQpWyB/S6YW7VRGKkB0UWyK/euROd6RrSIIBkS8nRdnLXkIAQI1VIss+4wsoWi3n7PGmfVg vJYE2/05lk27TRXRpT7Sx7GNAV0USa2H4bA1Xb0ZZWkvT+TRs8r8X0zdT1HMiShEpmpX9V4LNIpG 2gsTAhBJN+YgbyD6pzJoHom3iOIuyhFyiBbRRuogIJTDcUGe2j9VjXXVcIwU+pGV/Gnj45iAeyNc V8X/97sZhmpmcujmRbR93djqwHqgV+lcsJUE/W3Vvcx4lhVpcuaZHMSJfSEjbCtRUMcbe8gkVVjC /Lv563vJbJaIDIKS/5XEMsIQW4TEbzOIQW/Q0r3ZrQTcIzjCvBKMIWWZ3N1BOnTAE87x4sWq5rEP CSlVG4oTIv0t6zTAonJiENVEC6Via1nToJS1/4v0ahKWZ4ivee6Y72L2pHpLF8r43k7N/7ZP7fz5 MjUIZN2wkXKrpL4v1pZlsLEui9T7IWcMTzMw9G0MwgWrlzT43Y01ZYz7JVjjPRojZrkcrHccDtX9 LorRq3QIzGInCJNdgjwqwE44vA/RXauNvFX6W6iMCOtq/kU++cFHcpFpTubxvU93lxY34J5HF0JY QDd9+/zlbwbCGd26aFsEVHvTAOs46co5ntjxyMwk4sQvl7yOeevsfZsgltafi3Ytzj7bzv4Uepvj T4gbhS2MvlgqQ8qGaLVu7rVGZzbuRa32mL/f0SPrHMMDnoQ9taTF8pTn3I6hZcir1eHC7LpwAQnx sS8VjeckbCy0c03u2LTe89xDZJ32/VYZJhgqInKJ0AmC2EzMnziGDIg4g5Il10kxxv1vCLWKPlAw gMeq4QUBZoES+il5c1qP2A6EoyHMyEoqGIAly36gdz7pHoOVMvehs5Q/D2Immh8mu3jpTtH/fVlU 5VCFWTMgrOdQUIts0bNis64xKN1kAIwnln7Ts2Dbk3U+Eij4OeD8xHrM8myA3djPyITYBNVpk4XX iXgCXg/eP/Yd0HqnxVc9KNw7jd1JZwGhhFgqTSPaQWKSaZ4Kfu/Nqmvo2eErNrvtabD/+BkanEBp TvHabd0c+TXpMUE88Rn2mBm7NJJuH2SiuvujPbERUdKMSFaS0WunVJEmZx9fQdbk4NfSAE1eLW7V 94JSoUjPC/Lx46pq6YJAo/Q/hCzlufSRBvV3Yx2A7paiVwj7InlCPSPSvjTbGm+CxlPGnM0YahAQ L0AE/OFaBC91fhWJAK3AsniU0LIo/PyKkPwpNpmJ1E/XllTMf1geqpgxTuRGecygRqgtdDCHekGh tRR9WNhYj9zSx0UdgdJTCkjGoO8QVbrgFpak2ApPVpUpnia27c+yZoGXzRGjRl3SxsdekojpUdnV pZMsASyJwbMF3F64ppRBxc7I7AmM9kATRJKs27Y6CLsztR76vEqz06Sq1pxFZYB8SxXYUoonavUA JvXoXBt4fRFs8+eKhmJ5+FV94tPe6fC2Pl6PLdfbQ91F9kYQpkCku6IGI5elZMxQYJPDCqzW1PpU siFf+ok8CPukh2bMMvJslDImN9laPDig74bnphmGXYMgkn8ujTptAMNOL1MZlkItpPWtS3jUssLg CqwZXSSPYFsvzzw0MiZCRJ09gb3GQ4PSU0lHDcjlloWOo19cXIa4ARhm41c6s+FLCrkv6qfl7mP8 iqgrVBHCcDkxpzjX0F4hgINSevvJ+ubbcP+Gxg/IUXOVXvmkycYgFtwuRJ+xF1u9GNQdbUVcqxBS Dt4rxF+DZQRhgxu0F/rSqV19vbqnSDDm3VPmilqx4o4EHOtkcv2nX6ejzgSF4n7/HgGR4IJt3tYH Nn6KMrHE+E+2T1tLHfMlW6R2cXH57Vul1WHu0z09IuCGh6fKma39FruIU4LjLkJ3WVkVNgpTprEd g0dGYkTnFUKGUX5Hwp79WsEnD4yDtCDLdVLZEzarx++fqSIr8wcdHJBEuDU8hFsNaV/NG0sxcdMC f3BU1JBVyuMGSVE5ZVOy7Vv2FFqZ8W16hFHCxjiXuW8JXLnyBr9zGkurKcnpaBmCTX+2eNAPD5WG 1eUPJO9XFQqWjzjdSn7cmuSqct169Gw4LRZOMB+ovUIbl2N2GpFQcT3o+oLTYdJTDjhePjROPfP8 coulKjNi3SXgEP0NwSiW8/3vUO7pLq3InQv+CDZV/edxXLayOX1X/4hOEAs9Lo1yMyxFXldU3mfx emXhW9uwUlLCXDLed/LoQy9oMvTYDr4TY+sUA6ATZqXmWZfEAyDmNR7L4qDF4aNxpNHWVRDGdZGv h4WSLwEeSF/Ems8ztiAwsu2ecHL9PMziiCJFFrH6v5BZr4s458sWvdSiaHep7jwUOBhVXdE/5xsS JohV+rYcb5e366W23TK8D4OTGU6NjhkJeHWBjBzjuNkwb0yFZjQZzQTqAUN8p/jbYxc8/J2UAcRq 3/peeLBeAnt9IvlnU7ZyrELrWanIyc8o23trrpMSB1hfSdb+Ih5x45Ss+b6XS/YNodmyhW7tsD0k THx4jGTed8c+3WLnCXfdvfd7Q2GFW5xshgZr5sR1pey62LJ0SgX1UrNG/BZc72dieYB01Gb48kfX 2HHEPwhcBeCblDrtny42g8oAelwrKgBOc3NTvTqP+32FXdUlcokk+bzWu0aImhVkv02CA8VipLgR V5ETUmR5LLBl5RKA+Dta9V3VVp546GzgSKAr5WJmlEauemnEnqn4JIWfByIOwWfAzFO5qlhdidjt 6V17MXAfoaDOGlAalVGc8zc1quU3w1pieSjaUwIIIm+htB/jrj4nyniZuvXLdjgm6jzM9Im1NoAf DspTbLS4MXdCTugP/ITRvjVRQExInq+/LsPbx9DtznD+6laV8ZiokF6P6UFKhRLZDaGNwN3teDqJ jXbwOh9WqirLdGTA00yyrTqNeA2QqTpQyMcG+oZcDIeul+wYEHXD29VEBuXbpZB8uNTEiu53/o1Q tN3bGhvz0uLViGXVGynKhgvdqs22+F309r6yrWP4K2oD8CMY5Zofzg/TIJCEXdPIlnlqEEFZX8fu vVo7IDb0ELX5gbotAuFa8SzxQW3uikOf2ZoLn+kDYe9JPa3Iw2mvyhCM72ymHTcZ42cQUenAretM 4xtqRxdAWm9cxcXUOqfqhLgoDe8dIZ2lrSN9DdjJUb1G48RJYJf9tWLDW6marOZT6IBt9+8q23LD PFXSpmu2H5MKAO8Y9svoR0JZtG/sJpZetO41D3ZcPFNBkEdLEwEDt7MQrh5HoBJczwchFEwiDypc BfI9Pt6ERW3ZhxP06jRQ3PBvUrGhLZ7uUoZlo7tBZzkO0dbqKHip2l74kl+dp6i1yGKSOukAqonQ CUIVr/wdI9YxefpqlW0LSiufePYcSXbsHH7Y5bsHbSmkjvWFf4t5XdgimMbbpOGwTmml0HM0Jjwi BF6qrjWYU58ZpE9J8DmxQkc58n7nc8v+GwLAja5jbUMyEiPPuWDiNig8X034smCz7qHQscIm8tgn njYHjbvx1DD4oTquvmBYrEVyL0x+B/UE4bUOeJGJKy4WwM/t3KFLzj3AruX3d/XV257G+Tvisueq irnsSWgIO6PSHa+rdCJnhNAg4Xxug4TGQSVppMySUVM7ZPibCOrOgsRem8HIKF1mTyps7glplUuD IcCKbIizEfd1vWYgOX+5Qd0Zd3uNmzCSmiJbF1XxV/aRH5V+esq2cubs4CNieNg+8xW/ZHJpPNli AXg2CE2d35QaVMtWkQ/7W0S3QXH3+EmltwZyTBrsWDIuTODgVC1m9v8l4npoTBzQMzKxt+MljUOd yVyhp74U0V9qD6euELpLljqFDiNnCtLIAJKDkRsdNqeqR5VJgsRmKovTsZ5gidjvrMf5/6edHwGL hBoc18loEPvhB2g3meesrqD1IODYS5iXSwio6MOdEW66gShpKWqf3F4weMhLJ3QBG1af11RMlgSw MJUHh1wdihcqsPAolAj7ulggtBIvSpkaagH4Jw3IyzSKZQatnEFYvW/mWf8lboHRnFhI2B9es04p vY2sf/3aSBPi8wKxHjYZP5hnwN/OrmCNt/TE031xR7qHJjYQ+8v4/HjG4bnC3YGgnq09h8R21rfT sEKm7P6uHX8+5bMysLvagKbF2vAHFSjoVY7MR/XzehbgJI3+ozCGw02xX4g+hDo7O7XcwqY8MjyI A1Lz8rQCA/5WRsm6qybiqLITPYkHJT0SvXtg11y3JJap0aB/Ty77Ai6DfPw2kRi8J6gKngliYnB4 P+A3JdxZoRbfX9SnudHORSjGQKlxDeeTCI/iMyfismtqtNAvmSjHXubPjkaIXGhvvHekOvEBwMDo XMWipCIbdF4QjIqvPQNQKNEcwsei1ZtxwZ67vd2CRFG72vkIfCEovAA3HKRZQtCg+ZqAVzRqdlJm 5sp3z4zWcehgoAO5raTtGoOAGfBuUuXCcrDiF009bYXHV81+4/gnIC2N/5A8Pf06UnZvj51sPo5P c9S2CdrxcaTQ6Ue/NJybcE4UAxJKZJJn6xEw0fMz49hj9U/qUWn4OqRXIwoXNVaH29ePPqqOak7f ebkN36U28cDpxbvB/b/j2O5VKCFaIpVEFRN24d7Nx5z1sVrCX8LSYU3tNOenP9a4sQRICxGJsrIA Mh5Ox19t9jgbD7hNi5nlDaKzMi3YzBvSofCgBSrl/WY90MpJoHzzi5ymv8u1ZYokHryJ+l+WbWFl NxO//xMlh1Iooh/kZaDUdzBqhhn5L6pMry6ZLBsaWIHWkKJoX5wTHrjj0PUunUcON5Nv7NuLIiqy 0xjjoZd2RqkWz6cCsFvtut0pW3hIK9QOJGJOc8I8F6+fY6zCU38n1G4YT1Ew4k4aL42McYBcNXAM 9jAuO+kU3CbMT6k7y6rwIymloGL63TxXHti+4M56NhTKIYL+JCu0EH+k/3LILI5sYoKHfoTE9exK y2tjl1N5QSIucTiTiDdfzLnVt+XlqA1Kcr1Zu4JgOvGhwlRRGsqae1YP5nEFY/v2xM66Zwt7zt9n sswvUtvHXl2zmIbcUd12KcgANNJ1YPIHDb7hSXx9QBx4BJ0davEehZ9856Und815znzS8K7Ke4Z9 cctkxLikrs7ZRV9UDlvxEWrGTLF07tGxCzr8BgXHBSm8JrewTaQWjagWAJh5iy65+xX9Wn+JIUdi ED8dme82zFeoaWpl5IJsr2G+Xq/fCVupHbi1pgUG5aIK9qWTGG+rhazYyURNpnYCJ1dE7tpV5Imn kZnFdd9HP6ZqPNrxhX8vyZH92ReV8hQNWYfnSOT0gr5S1cN098Yn3SkAgz+qdXEDzzG06gu9kBLU EzswX3dbAFPdBj62xUEU+cD7Q9bJC28C6/gBvBdcG/19FdnujZOZRs1ArvOy+XHtYmJpGrG5jBPB Xu1+e6ah/IbtXEnx5o9tx2X7Qa/CzFVWG0RBoob+B0LEsIsCH14qo27+ybvK6lGFhhMhQP5HiwKt boiOuzWgx3FnX7LNNKxwKo9zLbhIZmlTzzKm4UQJJMKmXfCpLoc6Z/meMBPx9VOCw6LmhM03FQUt jIylN3l0Gjscacc0qLRJN2sovZd3gcMqZl3WeWM+K1h7tpvfzKXUA5c/bHFuWOxfUYaFtIFituCx DZs1V/BCADIQd57DNdNa5s2PGvwpWGQUFiKnPtDmYbXvHefxrdh69jVvX55QqcBqICISgqn/EK9U ya4j9lFjlppThzg3Xkp+32+1yW0CeifG4zZZsxip32V+1BrhkZ9m0AlQi3bykClTALV/GlrL9spw m1BwVqpxNTIdFwgt3wNfGv9izjEcj3gwWTZyw7SuVWo0P4fgFyRDqRMEEJmas5VTs0tf2BEJfuVX CmvYJOF6qIqbYP1Ow5uD31gFwYOnksPWJuWk3Wo/+VR2b4uGY+iiqXOfRp7E8cQh/u5JE8fZ9UK/ arQjyk1/HsXrwlxWgFYo6oGhOUmsPUV+NQa3oy/fIbQZZpm3YxxOgXlQKWy9dgWebQBFR6O/KBUm LtCsbztmPzW3y9wrEiSDr9R9zV6mAlJ8ZX/0wBponLSY3zJhGLAVqEPMctth5/YR0V1QDP19RJXe pNAt+dtb27hpKx29KVV1UhfVkl0cbMTMvjzybJnDILcRJ/xz4A5KSO2+QS5IA7wGymxEClIGNYv/ ZtaxkAsBhnw6CQMZGeQIj6UOlJqQY8IAKI9GWO1YD4K5o3DG8h7nTTqKBkXjapN+WbRYTKu2b17/ BAmKkqX6cl76pKPvtRrarmbb3Q/4LlOT9KxwpL6ey1mSXTCnt2A1mhn0ed42iJooouagG4cPLl3v 6ecLGEcxp6xuS3HAi/Z9dRI69uCZhyr8H3NODhmXKfGN2FduHa/b9uTRvHJxW98K8mluESDBPaEd /z4pGc+rNohSeCfhMpsVOm3CJ8/iICxJJHMfVrWcyG3LBq7IckIhSkvn3CHrecBvmrPPtH3lMkqZ yLJEcNdAv3waWr9uBqA9LR1VjfQlSmfknpd+256D9fHCJ3wnbnz0KM6wFy1DEn74D184PtK3/rII m+53HVV2WpV/YoQ62bvcp7spkBTX+f2BNxBMs6Bk+UOvL1bCZgv8sQ7r8rVO2Cxx8eX3eWHzg32n a0pqeBu5+Z5KIyg4b3Zex9jSaygeAc/pFTCj8jwicSnGHDdolF+dxoPeSN/K6QQoX1a/Wzhi8fFQ G2MMLQUxovRfMRHjWLA33pA7PGtSwVKMd+1Lu/s+grU83O1Bh4Mka1nqxe5Pdc7FtWdsFaimQOuW gw+u/fekjOmwHr1MHn4Uu7jMgIa89kb8+rRh2XOa7/zQVY+xyOxwA3/ZRNmgmbYQjuuxY8YaBqPE UEGY1qg4cPeE5CnfrxL5tS1x2y9GiGew+aNhab2YiQCm48J2D5po8XaahEBvKtJNJmSinhhK30EW w30bq9fHuixKrkLW7HjBzbEaME/tK1A/MeAoPhJle9PwSPQ1YNqrTV/3wfs2LXNROnWJxyusGFII VSTo5T6tW7TY9Ha2skDTQ2srUggN4xI2zTbMiHaNRihMkBCGL2HDQa7Y3s/9wa3d9e5kxWb1hotb iep3Sloh5zaJjCV/en72IPA/hxVEHjiuA+YZKSYMpc9e9wWyZJ86nBCv2FntzjppMhY1gapn/7VV TTw76tmPULld08JA4KQWjePQJ9UIbMEXqoPzLDNioZXcSxUUDji7jh0cA5NdHSU2PSmiDnr9rHkT 4paoXV09jJCRDhXp4bgbupRsSqyAh84kZI3aBR1SGch3nB7whwj2kLDQczo6cPsgb02fpae/Oler 0X8i/t3maHzLbot/ljDxjP8tOB3L3kd8VTZ+UynHCbumNP2rOO8hjfhN9VsNEEuEuBG9vEa4YwGx mX2k1LMC291+3XK90eJ5/JLw0Uy6qpf8MMjSdOgDrNH24HzZrhEnr9I/bowAYmqt6ar64ndPkiz7 XyeK6ThfccVn0sXbRle75ei+obeV1QjfRnvMOa2hkpWV9GZCav1Say9X5+mjJGg9qPvHii13g3/6 HnQG9E9wtJhc7dWfjWBpmGM1TyPXEKGicgvUU1yrWM1fRQUNr2dKEnRofLOfx6IEJAgWqsJ4BVbG seCk6Ru41msNXny116YcAEEourosZIVQIce+m8wO2+nbOaM4erBxIZmejqij4dhuu9vIRLYuTc/7 83Xb8I0llYBZrBoF63lUrJuAu6ZgR3n14wVbujThvK6GM+JhOoaTLo9rUj9bivXnRTzUiSSrxoC7 EaVf3Xucf+PXSdw4FfuAaRviapD3rAtYfWOnx4w3+c6rvlx3FYF0gXqaBS7+alIc5NkYw5eYZfgi rPLzzqT33kBhFanjOmpQgmcSEoop3cjtbTefcM4Y6xJXjz15ogI76OGQq8WIW2619Phq03G9Lp4h 6IPJVhPK4l+IsihE+bb2wt53NoOMXpnjIQcnvXWRFDXMj0heciQ3wxj5u5DccujZ5tyACRveqYcy 7/CRmBNsCp/okxLsVJ3HGeScEU+DS7b9vl5zJ23zfpDr1SupGgomJzJuIgtA5VfxQnU74ibQWjtu 2IQcx39UMnDyR1CX2GPGyyHPxLtoVr16CGcUtklAVzm+npjqoJ4iw+ETYEFeL7vT6m1qjyBMTYon +LlXoqsxW+OB7U5vlR4kk/79BQQgYEJGQmBUo2/bRmaUQAhv8bU4tFW2c9M8t2ItVnQYSb5w5qiY Uws923mVTA41cwYr8chCXs1bUE8RzCJSAZbKzPnaF5xg636yL/AptoxB2Tyzo2E8G5YhK3ruFwCs WvS1LzrhXlIQKIPzwOQuYVNYpvYyUK6yjWd0BixGHuZTu3lnvL954iYZvO2XLtaNrLGt7d5jlW3J dK5BXMubU87nk8dCnYTVPd+kv7aAGLhHYzAVQXh4olUmggTZOiZLmyrZe0Muz+nsQ/xEYrpz8UVG h6EFpgUgipBVj16/vaRqu7mfhYsmE6c7E7itX4iKrmKn2hf7T3dEoarUTKBMtdMDkJobMrdIuOXH KaRaWr3U4DNNbj4til/SOwrhTvU1kZCeX9KAflnGmIEfqmxH97oSYR3QyWVRlRfyeTogYnz0vMw1 /EsXFzD/4n8pQhMm7/xj4uEhgN4JxT8Vd57kTdLDJoZ3f/HyGatK7bEhjH64VJg43A487bXKj9+Q VeyB4qQaJ3lMD79yUO0eI7s2E2Sef1BSruc5QOh3aI970k8o5mL98SjjqYaGaz98MCj+aTdAzRMA F+3xma6YXdsTohcNZl96/ou2exAxXjV1eGR6oo8yNV7Is4lXvDw5utVEoTp/TFUdNheiFv3gM1oR FFu8/KFwWv07hjTGnZjT+Vts9m5f68VVrX50lWbXBzH2596e+YY/xJ84ZD9zTM8m9lmHq12Jj7yI URrwAsSzqF0Um/WbC/ImnSTcIto1niemnLqNP8abNrbwfl3hXI4gSkGfSp2g1QRTHu2YjNK9can+ 59J9KacurhLnipehdzkILnyv7dh7XIXQ7/ZB8fSn0GCvsatShaSumrxM7tVHjQZHU9EsnATcbtGc mD4W+inTNijrlIvakd4cYd3jjCtzTwrhUhykyX7H6UEL2oWvVIleRqZfxeTQ+FzEVEDcAdoiwFem 9WlA59NfzYesFhoF7Q0g9M70umSLISztQdjjDjgMwMuI3A9ot9h6svS04Ta1cWVF1FoBNwglEcP6 PFUP9AmqjIwn578iTbP2TNRQny2LqQN1WgNJ0g4YGr10MCV1qyGmlgXoH48kEkhV5cyA83FlB1At KvI6YVfrvp0LpbJwbviTzCFuSCWd8Q6A2Rb8a3F7osSw0SgfDJ/+yNvyARnudw5i4N36JjBqPvC+ tao1M7noOMfnLpii8ieWIEvBax4uO4Mdqp5SdMgsybE8emXTqKp9H/Rnk7o6X5uNCTJijkpgqjDl fD+uUCVfh4cyuYmIqPBZL3yOA04JFBN1ZrA2L82fkHd/fXUDAenzPbupeEgpInUtyTMvZo/3tQk3 Fa8pgkN4aK7laJ4h/DWA1PEk99QTqUtLyMHqrgYXI4YnNQTGH0ULeTeEvo02qu+WD3MKNKXbLMGm agk6OoEHorUkKXqxCdlh25MDP93yel/CxxB0OiqUw7foEJqx88JF0FuJOMX6qEI7UY3mG+4aPGj9 MjB2PXjG4eGpW+NZZnVzBa5h98onTnMZ2otDZNgjnqS6YhgSrjY5DzCCCbxyrDlPS25a8K3r0upq pXeMHiqjJkt21KM89ca2gAN9pbbMd3hQtH7ms8oS0myBQo2BCruXT8QSYLYBjK/F1rudB5pLp/NE 6pUh87GPwX3tQJhrmB2pw9XPxtQS9DIcVYC/s9eEyiVJ4eCBc0t9Lz2YJqTACI+HOdD2kjryG2wZ IpJaA6yGeQuhZYzYFsDSay5sBlXklQJpJ3W+/aXDNU25iXSAfQJcef3bYqfaIhYzmehSeZREMkNN rKXHAXxc69bZgd/R6OotyfSdoMboNoZO9fof45LgmePJAXRg4oeOUIa4jS8Ng08IYqnN36vCTAzM jEd8egapbCzod+UAmDzmMXefrm5fWixWoatDDvYg+8X8wqOCYUA1r19Ai94CBg1pQwZ83Ju6OMb1 LRtSrdUqATZTQZqAlu7o5A9drvqD/vVa7pu3ZUKur3u7zVZ8/tDjkPN+ENk0xdcjm9NqGz3r/rSs a90FYl35Ak37F6evOAxAXGAgbXYEXtoHDi47iTiVwBRRbyl1Vjlab0EQDh6W/mDyeZd7DmN6Rh9R b56XlofrMwLjLIExKMaQ3yCd0bIJ7h4bR7GHOYOOGWpWON8l46LwKYwM37shgiHABFuiAA1flemS kdCma2luzvTGRJ3nkbgD3xqVAZp2cCjdkcWU8Xe3S0qrgQ5D+YL5N4g5VdMopkWV0pSu7Z4coaJI u4Bht1aLCFShQRK68aHJVnt0pLbnlukIOZRMQOynEIsg5uvSCBZW1iUgd/6ltlEjAnub7CWYVYda DzfX6sn2NZmeIk9C1w0N5Un9zfbCRFZzLQl4VCsOo0o2ay/S8taW4C3tMQ6Uv3kp5u3pB85xkEIo 89Gji0iSfo3J8ncoq7FWfMTo23BjOB9ZROEr75srYEqwuz+MBLPSbUlssrbLFP8DTmJ77Vfxe4F0 NpNo+81mN2pedQpSxFI50uQsW8TIihiTSv3q03GTlCmOmGxw9vUB96Xbg0wX5jsS965O99kdxsH8 9vVKxzDXuewpCo0gek73U8gdmvYdXBvPXg2Y00mV1hhcpi/TEBGO/UmRw2pDXpo/rFpHYYNSBWcI d4AXSac3WtQGiqdT0+onoH/zFztocDkKozFwCSnvn8732qm8aSfgiE5hFPGuIuVJQ3k9ttWhEPtW HwOAtUO7CqX/5DD/S26hGGhltsJLAoC6fq+PFil3f9QInI6KFoinHvDGO2O3IQBPg9TtinjXR+3S Vwoec9CvIe5kXdLQhiQKDtVeuMIc8wALawCgl8lm2NKB3eAs/s5vHKd3y2401vAxlcV6gypPIe2u xf8et7hAl1/JImme9n+T1rxVG9fsPH+aTzi0wduq1fnq+1JArQJk3JMTw1NzeqJeIedI/2NO26Ax A3QahvAWytWzFTHi9OvSB039lzgNYP43LQJUSjHmOzioTjebDxZt5WeLUlBE2wXbClvTs5Rm69Oc DePi1FZ4RFe8ectMZZmhBgvZiNl2CsnCwkQkoxHn6IhxOIsDWpsIYtqX6KxgTkVdvoRkz75MQZRi Aygyg5V8uprjzs9SjM2CV09IeNbAiQuRV24OTXOrzwfgrZQ0oN8s3FLrjSoe518fRPMZ0ka8FWlu lvKXGVpmFSzRCGRFrZzGTZlWQh4YO4/00L3cOJcaG30UwKm8dWEgMlahC9JSrgiRzW6udpBCexdl 5zo/KW1lBfgciIRNU2agaM5eZ2qPMtm/i2G0IRsZwio6uuqIua//7iK3awRqe7n7h2lRw2xk7j2N SDciN2+yJa6X/bnCwoCpXI2rvgOVM5GchvRFkm5Vwzymg9BEeKErhJMN0fr4RXA6ks/PSkLkRrMg jA0KEeeV1cqeW+OHISiwAyRPvMIEwmfJjy/siPJOYwf/objCE8sXtdrGGknM/FDI6IijqNqd+PTH tHt4LlRhG8WlbMeBkC+dyaAca/BeJ89giBfia1fXqp/yOIAsNqhx0cjjmEbssiEC0Wta83HdqzGI j+P/epTDqbgWSBYcBmeDhD/SHpPie5Z/rKXgweB68hlhl6H4qS5DCs54lcozhARG9QLCeLwl+BOr FvP4DQwUO2JxN3OMR1gD3qfFOnt6fFxfq8VjMngJIb+jquNsb/YnjDMhkumfgUTTPT34wlDJyb5E BgTndY/QtmmN172N+s2B7Z1oauPmTvRzISTmBGgh7aoVUP5Z2MK65YG5PyufdkBQZAQhhmExxwE4 NS7tYyUEdnhuCuDxHu0PAXLXYkcpCLeWvThfoNAg9rKHOl43H9X+RM2db5GeMuTgvkYxHkHeP9r7 c0shTbZ2poCFPE8xR/QG3M+5tT2C8PvXj1p1tFM35e0uXk4o6WeZkiCpA5AGSt+QDoEsW4f0XDQW wX62TMkBklNTC2OdEcY91UqDj19ltGRvFiEUmC9YwigU7pvJ1+UCyHylny09upovfys3umbygupb rQCstQ9q+qnz/gQUzvaQtQAxS8Zjpv79DZval+ACeac0nTBHW603yBPHOTBwl14DQ5x9frApRNWu LM5PyGNoszclYgRCI+KesRiHUqzqPqhvxG7UOdqFekwJLy3iU0dLsdn7VjUzsANhok74VJWNxRUa 6ERFA+3OzS3BBWqBhO8L5cM7goFx4KoLq7+inflmIU4bTIbzuONBMZGKasByZ04h9xrzqpyQbURC GaaOIjzSOMFV3hEOmT9l6bKORj5cNim60kCt2Fn7dI5gdB5tadpupwePkh1tYI9EFIRB9/0Lgq1e fmhiQnKOO04DXKwP5fKEY+VqEFhM3MYg9Gb89wf2RRrPBWq5HYL6ava+vosvRhzYiurmOWvBh3Dy +bfFat3o2lSyH6eCjbVjBx4HKFlvJtguhRFe+DoNWHCrFTvCje/JBNgPzeNSK3d4klZ4oA6ZfIQh N1/BfC5J7ndjxXOBY3D7+QI4Boryzde3DXLKj0whU4bTZ/1wGWm/B2112xzrGuXU2+DuG2ZZzlML HHYNF9hHnLxW/BxV0Vo5i7sm7LrRuSYypifYy6g3AaNdzWs/CU3d6MRpdCppVDEq4HeEccgp+3Jd udWAar69PKyspKGxIxNdHPV4K3jWCH9Ez28ja7lzDpRbZG+045BEF2QTsSrXYJV4n9G3E9eQEKY/ ypKJdsHWwGFHEWgzkTkqk4v3g/tBCLyBCGJzzPNjcUMKjILJqmhTWtR+MuGm6MyiewwqCoqNBKSa LFwWZbkyLknVoGdLHOiuVgcuxNqZsJ4w50csfbi3ia8LnGZZ+Hlh7KtUaJkD1yStq2VXpiciHOFg P7I0W5AF0hEm4V+TeA0PLoUB+lGY5R7WYP68RUtBPuHqhqv+ReVvGhj8urIm90+5TzXM3I6FZtBd QNhkNDWMJym64E2Du0IwsbSv/HzqMI40nESTiK5MJpjjGdGF1XQSxsC3WN0xVggdSLpB3l7wEE+0 8h5HMNS0CbEUqP1720gkJQ43Cy7td39l4JgZJmyQsdBfIHXkQeLpgUyrI6cpsD/w0JeP67LNj+3+ OcjHHuHiE8g3KBQjsaetZFEZv3+55t34xYxICxmRgx/54fWL9h01U9Y4H9rlWiwMgk7fsV9WiV6y Hnl1H8w7SiTokDuHsBNFbnWW+cs3LaZgjfTb5dC3t9LDNE/cntkSAG7ai/u42auNlGpF35+J7sBw f1P+uGo43B+j0qkxcEA29xLw489tPAmG5jTFqFMTx5R3i1ZJnVNIQBMnFnbvZyuUS2vrnrX/MbBD iJpYwpeLZXOCFSaK569R2kt9bwwIGl/b4KknqMKce3QCConazL8Hyzn6xP1rfQhtGuvjvKlu3dK+ 4xr3idbbU0Qg7u5fi5+LErD0bWfHtDhGBlFrBd8P0Zvlr/5tr0d8U3VGKMJ86k01pu3BS+H6KOSN v1NipCqqfA3bUEE93BQCld+By79bgP/PWtToMKkZBA/lvZAhHZZAskyxJX4uOAWgFy7EA6tVzbSZ KXC1Ep36c328hhhJAMpoz3F1f6T4aF+jGzCgVW/sYZWiq/EvNyhY7LmSjdaO8LpQj0RfWyqAVdFA H7FS99k+Eu0OLrgOoFtBbCZOHvTTN5YdJu6v+h78cVcqlTA4l3OHoE+Ea6hsUlYxAD7GOESZqBsr APwioLR3IG6VnIT5nbLqPjkl2d+FuySStD9c+XnDLtC1byoPGmIUax/O8FsNYNr7gvME7U50byBB 0dFt4KebSbJVvqurpnfp+x5MYgvbHj3QM8Ht/GD8YcBPez4/W+nxmxUyoyg2YM6RtRMAlFXLatkI sCZVt3FYxhdFcErUoqqxt+83kuznYsRtchVogAc5jApZv+dsdstXwjzMFkYOHAtZsy9nub3cm54T x1Jrotjh2d1s5MXGXqYOUiB45drVOjRdpeyDJVIHWdpaOictT+vb7r+fDjZPIHcYRkP8OxEbzj7P yFr0EDbe8+Ne4pvT44TekyDxGB3ICT5rO0eDUnoPd1ipZe0zEVwklaEm9Gg0UOnCX3h7iO6uRbmv gT7cOVmOdSMI9ffc+TkXqBqoXTUKqd+HcTerLPNExp6OwHlGH0gV4R/sDfDtdYA0jq/1Cw43yqPP ZKl08cJq/GKoUl1G7KdJ8uAep57ywOQCrPlY3StRBTJ+UIf/hFHxPFL1nBBaC1fnvlX3T133kAJH jh5eUotkZCQOsGTl/m655t2RujjwkMlRbybg0O35OoVVFWjnMZ86qwBiN7GiRS5YWdlQj1qO+LQf 7ecw5Qu7TEe9OYcRBZpBflUTI/pvzgRu2QumuOWExF8PE4krQmF91kfeC5+X8wc76EwKUD+aOVyr fOPVlAOvswK8Q1ZPSWLFeAbS/zZKpQX+eAbAjzpvcrAJVqcM4XbQpcTMdn9235+ZAuBGIKmMwXCs uFmbLKeM9c+mUz3DosPyLwNVMDYXulGOWHKRacf0BtcAkSHqMMNknimeNpphlK/f2aGXn+hR4Y6g SbJmpKMYGewjMlcNwWWYuWzHNEtgVtu6qnv9GWyi/7tzlTaJ+lgGzsJGnQtt9gQ+zcG9PaHA0p4i uP08gt1lqcdGckIppk92N3Ll1H4OUaDPuTaweDIpMvpOPnNYCDGbqxeDIeznyupFiwnJZtjEh3N9 GfJBGhQgdB7lyUH2h9bEAcdGa+wNTyPgSDBW9pFvOe/wyDQf7bEFGCUxMWEOUlfp2bJRSwmC2unG aq5s52p+Umhr72sME9I5hDIeX3viWcM+xs/xK9OKcEotCRO4xOH1qt1qI6Tg0EuaU1EUZ6rvvMUA 5ZthTUfvH7QvPUl0xN2u903dwuzOcUEgrZq8XXK4hFkRvpE8u/scqJkBwip7nWfKbX+LsPxqujAB s+FlbDdefvgRgqz5o0lvlwYFwSlv2uyxZWYHJp6enamNB5o+nfDNOalFBRC6H/bLhItJCgKgsQj9 N3Chbd4QrodNL8EWRl6ogrFxvwOGExF63+o4ZExxBL67UH4tcO2X5ih5Gxnar+Rx8n5SCjEXZR4U u96/5VU4m+R/jCQd6pIbNe0Dsd5tecvcc2mk73PPxjI6JKXEBQQNwv/aSX8zGniujOjokYmsW8GP +21Ex/OWqWVlasYk4xFsatx5QBYmc7KjjsjtDDaLXIQrQruGwfQ0MXGIUE/2/ckpzt3Dhn3z9DKB 97eiEoNF1+UnQLSrJNIxpmyfs7BuwwQG3m+aL/PqJ6vihvqXqcMeKpB4zxxOjBqQw8jVWIpxqOxf e6F2hKPV7gokz/jhVvwhcu0WiyB1asFKh++UXuq5SyQQg5NeroR+fC5p41jjRhdjEQ1P+gnFA+Ct D6jSX0yjcuuQSf1Vwy+7THIKjdKFHpSLxF9gXvysNBS39x8BulErCZnUPxnuF1w+v8jG6IN84KNU J4IMnpQoPA0RnrDKcrHYJ1suFhH47Mdb6AUw11Cm4/yUHq2gS62J/WRo8JnMsVbEFX7GbfZfpAgq d+HA3m1VbUT57iWSAoYR3Cv1FZ+hVWswLV4ooUhX8VDVBseMxEm3ojljiyLAurFTb66wIqHvfXlv PLYszuOjW0Nz5Onffp61kt7vXRUm/EzOxT0HCf7SEPXXqCijF5SnBOaFY7P7BWkEhkjYN5UQwsBG r2NHCbCXOh8bx8xbxBRX/aHr9h3Z3GJ/t7ghFRJ4dOIk/V4f34yJqz7VcSKSkE6OOkaq4lEF5FiC dHD5gkWyraEh4Zl/D666BSb3fUbTdz1ObnO//DTDeKu9buaqW4A5urFVP6Roy/8eMavT8jzyRJl/ W0xJ8sidVPr1+RcwW5gdXqE0kPuGCdHsFyGjLGWrgc14zpcbstZLx63VebCk4AjN7lHbg2rV13oS FeexmywORWdPj6EmHD24R56oTaQUnhpAZcbgTorpjbNl9qyUB5INOQ6vVBsL6vo81xo8Kcch346K w131Ww64Ql8vYeLIMbd+7QRUEQukObpiRqeCALudNttB1TB4Ce4f9aZxXKvDvQyEDTVeo4qtYXtZ Q3imByTjEtEi0wsk5YH/+vkwhZmbNs86ZPiqu52Gjqe05XviVQTOCgeON5/a+A02b7AvrLefNigi OeQ9FiHf1DpcfTUJMqzDUGbWaL9Vo/KiYuANcg/AYn985EVaRIXKIna4joFatKALobdu+KYTSENu ZWEoaAJ8suRTZwoOEo9QmKjWPyF8YSlDfvUDFTRz6aBJDgpNbTCyDeskdcgEzj9gBiq3hXqV7Myd f6qPiZ0d3YGf3wt7mjBpqF1jV2iJ2Uu+cpIgbSlY5orbmDgR2wO1wEBog9BMpLC8lEZH4y272tye Gy+g7gObvCwggaOtMUwpLsXfXkWsqHHWmuGebZT+Z2Zq9InfNpkKvZHeHbIMGpPFyyxJjlSh9vVp zRuKMtiMtVlRgdx6glrAksxSsVXLrxCO64NoJm3pDW/olB/plxHPNc3AFWDE9yxSah9sOzcKa0da gy8ZlwsZtIzrMvOu9aUl1Z65OWrShU2Dr/AjliGyQi6ddgLTVgXxVMus3tY5cFj7ulfqyRFjkzRK g/kooF4llrOzwEhDDAS5QsMHxE4TAS5qeDr+UI+QsLY8bDoyfnx36wAPSQYC47MIKNzP7kGa7haa ZbjsOGda9CV9DO+fyMFA7/j4+r+gC2OLqktUhuoDdoUF6TGr5JGhyqMBPTdUuCOn7O/GDemPMS+V kduSGq5RHXzMD1+po4jbWjnlcgDDA9MrWRWZHT+JHzeh7HC5N+xcFJfFHAUbE4MvACLVtl3Lv4IF Yd5/2/ytDVP7Yz0wvkQRZ5L9fgpFmK25KOSDLkp/rhoXKkOzVPDfepCBrfFAG5pnJP0zXBbG5sPD I0dQFS4/qdbxiYvbWQCJr7fPP+3Gx40BGgdJWFpIM5poYdryRXU6iq0JtIDRj5NaLVozzkHsr2w9 MauXZzpzL1OLyBfIqqOZedJqK6mY+k+bPsYJrN7+7ES1jddxncpg4hNxeixzUPbfI27qdF1Bii72 dA0y+gg2qm9uB2wJNBF9c0Lfq5hWAZHuB0p0Ff5hEs8tRKnhap6vvTAlhmCgxcqUMr37cNgsCM3s uJiW6mf3K2eA8QPwFzJaRX4xYae2sy+rDUtxNPh7H2AfmVOE3ysQOVWENXLeYNGQyKbF7tGddm/1 aL120NtcfY6MXp17rGOKyDc8rSlLgoESQPKsDyvUfStvg/S8FzOZLnFnG71Ce0qolWZixotKLlRM bjTcdk2kDpiL+jL/7vF2kleHOi5wcId/74lSj8FLdlM/ImtYASKhjhVlbCdL/5ufOQYOqhAXooiU rYWfuylh10HZd5ramA6APX1LHnPwCOypvdFlbOWMrhXW83Q6Bz1yKGAS+BaMPgxkZ5RPxbN+wl4r ARGVI1wpHQYNcg1s9OFWEnrgRW3TN8B5Mj2JBaOrwIU45o5YeiNbbVpU1Ez6msMV0GPEtkmeCCvj s8wd84S644KszvLG8a86QBRK9RaktbPJjXwoP/eK+/fxVg8YaDfViJ2YXd5rV+b6mNBtKtj2l9kv XhcDJ+mF9PuRTvmHBYE/Ec9V1hJbUTsKlCLcorBRs9HpecuJkHEUbgC1lijb5znEAoupsvC/upuR 8Dctab9BBQw5YBK2KwvaTptlx/mMdGYhFUbvrfodUk6syHHubo5cJMcWSzcF+q0W9eOeErqw2QnW 4z4gRgVFPBb5o5ywCBna9/QuisUz3GUeTzeyd9crJLxyylY9Ap/EAN67fJ0p3OzPr2mEimO1KBAH mtC57JZch7fdOgE9zzv+q0LoFfsVPM4fqpORVbbxNt5fa9h9Uc/dEdC1LH5hknZPZEmoy94u92lV 1KlxPldTmyvTy2JWVZMvNJuOVRn//X7TmdwvMQzy3HkQz68J554eodquGX+KEbftJykvWiWKPGgn Rkc2U8+SSl53uNEIb4i+4HfxVVr6GLrQZZ0k3iU4GD6XGABzH/ubW0YfuhCgIMuiYY6t1ND3TQse PY5MbYAY4al2Uk66prwyDVXkkW0fKFrG36rOCNqs4EQvB/B3uUjbFl6RAWFvwGdu69MSys9zF+O3 4fS9L5rUXlRu8BRtKlx/q2/Si2zCReao2icmDvpNqALf2nn4exWmwdfixnecaN34YWp9qJS5TFtS LivtYHCkimx/Hz8u+2s0VSrV7hEBimw80IS/XVYqAd4NaaYyC0Tmu5wHxavfIG0sycI0ENOz9Ejb NwKnhzZ8h4aHT6PQUUguy2thqw8MUVwg4EBedSIRIb5eBqOM21XS2wURMqr7H1kD9y2NKCjdedwA woopQF1R5OvhbGqk8gSNy/TJ7dqm5MOLJttbXj69wRP25ysGYGh4+LRLlg1JlyIjRDjSQeQ/B/rO 8UyRm2VJKPxchCkkkUujUJU/gc14jIxBSH3VwHdUUiYD4uwoZHDj2fGJKMrX7XL1Zzk4sp+EWe96 gKxdF3oeY7SQN5P4tNKPLhx4OzdiV7FCj5SdHuFTR61Zr0JhzHko+HMjbo9jUMrs+POWd+/3Xiaz BKlPW/iScNf83Lge+W+kVkPFskUzvAm0O5sO0VNyAYqkPTo5BsLL2uUWnNKExAezBwuCk9Spr2Hj 546tdI/hAiNaVDENMjZCLwMidA3B7ygqA7bFj9C3MNdd7G6OwbzEEJnnF8bFN+sw+FhcJI7pPoNs Enj/HWFeJ6jT4jTubxUbczw3/FcwN9azGjsIEnrXIqEpN4acvEKwM1SexEe4zkXZzxNSLcy4U9zE uozRsaP+eZjFvlH2es4TzIMdAfBTGzPe279MLSKzzYtVSvpXm4ZjD0MbvddW3xs7PVJABMMfTlVi 71j+K4zT9vX0dH5xXBvHpYKgD394Og9CLxMod5RI71CBwR44sTXKHUh54bavhpk+1ZpF1rXPjZEz VZJ9DSrI4yil2jmLBz45RVZWZ91E68/PQZbAaFrG3OQWZJV3KlZO9QLib63RTeO9V/y+T+SinHVr hsowYa1iKsMamj5GaXmvigRAf1c09dlNrNupgr7oG5WsTjP1kB8FswnxQwaYOCUtMthD+Ul8M/G4 5gKUfUbPW8s/qXt1Bx4QlCLQ4TprR4qe7f2dhN73bcMzB3w/gsRE1L2yX+4W4pV+V+/K99qV54Gw E3HPc+xBlMX8giylnW/4SVFla60bo7+rZZrmrmoT7OiY1eSWK8CwSeTkNDMzo9hyYqJNILTq+xLO AowjRPqVyFcNHG3TguaXTH4baOvneVsZ6tXzFwpXLda+SGVyYs4PbAxvFwSMxWqwXGRKO7QVkPya IIFYiQBNPC2ggFBOCQ3y2NiuE3ArC8Pa02UA3hObvOrmWreUVD0A+WFigqEFQS/gzJ7a9Sl+rqSn IokEP2HHpWjruE5eYNZtO9vSRLwgABZFJhd9BkuBOwl6/58baUur3mBihiGm58yF+NHHWwAcegvm FO5yPVk3U2OCqnu1N3nV1SvajPX3mY8GdD9tyDtLhMUj824OSVbPB5x4KK4RkBHXOX1IbYIpaNyP 9danKPCy360XHRIUItUAlHJ0Eyk2AQTbldzOuMlP/nwEJKyfi5DOJ7Xck7WSxQl5WNetnj1gkOSA eF0+lHf0twwKOQS+sdD0eQgylGLn5Zi4huL2wlqPiuniqQak5P4vmsuqh5XtjMgNXguWYX119VcI 9rWG0iIDZmQAvDb0/JQOI68JGxdlduPEgSOfHiZusq2i7Q+uCKvOQFEB3tUlJHlCzuKTpYGfOCvn CzrTCNZYCqXLdyoHlkJDd4/nvHjCaGnk5oieFrU3IJlLY68SdPu6wEa9maDgxrKlnUn64E0S8b8e c9h8WEh7DRPeHtDJZKBCMt/TLGqc9OHhdKCFJbeRsI8vUiGMFHn4kHzCochH8xlW0E/+WvkUl8ak PSamGKyAP7CH+UKqRSjsH1l/joiQ2fhvE+ti6/ueve0MqA41VvxK985jg+EgqAq2ctZU79INTB+N P7nGeuIrOvSLw8PVjePkogbILdCAPp8r2G4Cs/JELkPGKGzIaQKK7rCwYx6XEEVw4DZDpetij+aw UlGvWbLVdsl6olGX8mj0B0K403yWXXFbfbjuTkf2Hk3uTV4HWJAmZcuIBRHnJMRFDS5W8H/hAe+P Z6DsfdsQRZdRTssFm0hkoy7N9R8RrQGRPdPhpd6pCXRSEQkwm8abuueEPFZxHDsunCi8vWOllQHV wh8eaB3rimm/0J+eiG+L6QtZ42izPvHP2Jr78FfqLro2iLNZ/4sRdXmzUg29kmsDK55b699rdT8j vSy0G/ntkuNssCTkzXj5Mur2cg1Ru852rUOvwObG64jmibVpVQoufw1LGLnZGCo9dNu2oJ7u16BT 2PW9FJgkCdV+EFeLawGMl3PXmJNQrt0GJdxanX0hiw1WpKbpakmSor8z+uBppdmNAXShWQmEjSRs aSO5LbgEzErt62Rf/ysTrNse68Iub1i3LaSa+kjXDktBFOaF8K71y6i/A8JWnUQWNdI7XfZCXOEd iS32VJHhW9RgIR166yCQCTnp/g2bo6HlPiEmBuSBnvQvs7wt8d0drRarvI0wcb1G51rXjjXa9iic C/f8GIsScFM4rUYEMnVhnJVqQmuQ2hGVOtb064rhfVKAm/KJxqw8forsKEzKN5p/pzgmx5XtsW2X 9KT8uSaXSuZEYQSTtLv8m5kHftfW7xJwYAHqk9p81wnOuQDohNlD/mzl3ay55Pu+6O9E5ulpfVbn dXaV4711x4cXMCN9cfftcnGPNoUcvtoYSJ3N0Mo+CBd/Dl+pvuCu5P+HStiJeXOAKBox12pglUq4 b/z+JZH+15JCO9EnJQYDIyLpNZzuJPEFEoV5WQLxO0SyUrOb0tPXWVgwVvyba1J+1XV6od+qfmed WLF5eBtOQiEtSbYG5XkUyIPfzMc0v0f3QuOGr6qUSfiPaHYBJal7jnofyPQEeiV+8j69JfSjVnPP CLrTmhRpzfyofhpkhEGh1ixXn78H5DDR8X5JsGSKRwjlpUzPzG1YYdtMuo/o+thtYE1F80VaaZsQ RpskQRPINgVZl7DD9KmZtc82idvwQO2CDzZGnOyE1fTSE/PqfniDCRXKmtjbnF9CEhOxiwu0n77C Eo0EhfIyp3DK9x+18FLdyXw9cVKGK7P0Gh46CYX0mHMhAm9K7YEDAFs16OpVlb/hdwTH5RMWgU4U YNWlr2jNjRV4qEw0VldoywIBCJxBrK0XwLay6w8U4qdEpH1vHLovepLVn7oPUm4Lcd9v/BBUCqVC CYMD+gj/LfBCHS+2vIZGKIsPah0C5ti3yPvk84vnMyg6h+rtEIJ+kFT1JeoEnjosoRYcIb+x08cW PZgEmhZ5zjyYajLGz7AwF2u4qDKRnfruXSKnd7+HyMJVii3OOG479844ghrg9R/2xArT8tAlymGO UxxtF6AAY+NW62XD6PtSqiZCCjMOwMLNB9VTWqF9lleGyehtTo7H0nzT91EiCsRPW71fDGE0R0Vn CQm/6Pkgl13hrM1Cwe7IDxmXe4yUHnbdWjW56oluy3mi+R9OBl7NPEuRXvgF+3B+A0+68xHN2Lfq FCRaJfHqzaI3MNeowrFNXXKGigGY1fON1/bga1gB7x3PfdRSrejEcvGu3d3P4+D61oDdcVBgUPJY 7dTMZLMtH3eGl1MQXjoqPGMl6jkjh0PVI8VT0J3owzqBKeRUfDbsz3HPEbXzJlmh3YATxQppsvkF QI/fhFLIMoECw9CNyEf53YeyrKyXcNjuwmppF0VMjkRFFzpZYIl453Y7DicB2UlelVCoFvhtj+dY +kX2+ZI9yAiFzTOpTDbml/QJqmSlHFeVq4+hro5ZG06znqE+w8AQ9Mprv3TWSdiqCU77u2BsF7x6 PnuUieauLoHdKPYEzK5RWDg881LyLUEcn5DTa/YJBWRGMeC7lHse3ezfBCKFH/iGLEuXHY8NWaCF M0wcpHZbr74x+2ACqQFhMWVfrQpj7NYIUJlZHcevI7MBg0GT18PV21YIkruBza99ibHGL9eLqKfL 8buqLZObUtf4IXlQY4LMPjlfPLHIOtymKvYlCp7EasG66CJg2BBn/C7lebao+midLJLR3n8fDBpO GgtV6Yx51AZGw74i+Kc7ux8wFyytFdoxM+TDN3sROTP0dU/QzGLh2GxCxI1IQLC9iK0cyUifOfN2 LxiQtg0o0Q09n5BQlKymEgFh8Eq8a/lg8/4ua5HYJ1RwLFsvlozYtdZNIIKfavpw5oOMtLuPF2ps hxDRRmjhAAnJezHs4rG69dgpkAuYv4hfvtA//ZXcx3Nd9zMnIJCxFD94ioGiTyUslH+nR51e/Fht rbftOlLK9+eVO2RKJJII5uGqNOwjI904zUZ1sIp1LBRDHw1vk+Eohy/e0vB6qfQ3q9jAsL/rpHlm po8ttWWuFkuzCntIutTbSPaTIWv1uvypRXudA2Hikwion27HOWKcwiuap2j+Iw== `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 19184) `protect data_block ESMgvkgh/5ONfNQOpQ0mAut5bUo7KVZeNJhKemIV5vgE8lbIiRZwY3JM+kA3ybHibEYJ7TsjItvK CDEQvFMo2cnx+1NFH7AlMlHsSx4p+hzaOAmRlPYvlXg1FbeQutFjeRgwWuBuX9xCQgImWtPOAE2y 9EJDsZX6qtobJaDLdRyTIfF8e6LXFfMdw2AwD8ncs/K0hSI5WLtObvM+6wDDS/AwBIX5U90KFVkW psPgaQjbx901gzYoud/QoL+Q97gIYx4CNGpCqPRO8V7LW2HRHsMnc9k4VElTAChLlyJpbFG/8MIi eJYtT9SZDPbYgOtgG5RXcWUbLp570LuY9+8q3b7MS6Lna9hki81Bk/rJqDXHEXwkNLYP9n6fU7mS Uy9SAY88oOkw/gQJ7PMuH3L4G3m+tGjKNrZZhd2cTSstazd1q0yf2kmgUl50wTG9p6EG+FRFfv47 OooTUfERwZ2TmU5UvPbf7r9y71b5e87jGra76p269+BadpZHvLs/K9tlPM2SSmLWtR6v5lqTDtwq 45vt0Olg4NiCfRmL63aNy4gJdDhSBCFjQkBs1z2kFChQ9lqVqEMVIv0J+WHc0J6rDCC2L+Tit1jv rIfK7Vq2ABJPDBgCuCQshf1l3zd5Rcgnq9eysaV311+kQvIJKg5k2ttwp49BO7NWh5gTdQeFkrP3 2iZJYMFCdVyqNwRsz8fM7TDPyaj+DCn0B/dojTtQOwLzbecgIQpZkJLcpsOcjINgW/+oY+qK/rPp vBI8BoUEYPidB2FXjswLE4GX3xveqpzvkruERetAoY8xYjrMotNHIytdGE3MYB0Y1tFTNQgczUUw uygkKY92CXOzkVRKyVdVSX4Nc3AjaiGZxQM/wP8lBunhHxUW4D+dBEFN2E7xQ8dAfA5q8pgNlgGu S9q5CB/ubq8UC1IFMcBdtxjAL0dj/FiwyzcNQv15kqHmXBJqU0d/i+S+2fwxOwq7e6DHMhbd5gqP WhaeArOcd+74UEYvtk1MB7Pzgu04sTWvKf6rFqsvdmXZ8t0N5p7O87dQxsCRTM/xLMZVIfE/xWBA WYPD/D9WODuH9uW14hfhgbOItB7BiCaMZQn00NnzTlmMdTZV3fP8Bkjk8Xk2bGCiz0vsiOOVAUWs qMQt2N3u/Srq5UmLrc0xhvmMJT+UKDqMUSXfTH0fpmUuiPGIK/jwpQ1qNzMVRup9m+/ckrlt0bpR T2colIGH7Us9w9AgE7KM3w8MBdNUwkRqIWsMRM6D7IUGTYi+qaJeS/JfCdac7BhfzjzuKWLi5htl Jbw9AV44tF+CfEukbq6xyqZfeZk54bP2RgOHgj3i85PNEnSROoC7FI4m6JSTq9It2b2TqQ3/86nf ceTRtLdbSJiAnHr2tLSEyJ/B2KdUs6FYnd0DEvmTeqjAUqzg5DqmZmU5WQ1V9EFTOPycVNphyAa3 CNUvuKEmTwKaCjpLMh+ZAmxoXNmZoiUWGMWnGmd99dxbkz6pjhQK63opEWXQ+1P0+BKgWibxPZOu v1o9HyfBxNxeombmzPe4KYjTucazbG0I0svvAcyyykbNo45/ggSP5Ck3kEUKns3kgYdmESScNb2x pjxfHEwPuc6mdyUFxgxNglw/Ij2wP+rE/c1af3wPTwpo2asHLLqz+hGsXq/0Zli5fYqQipOYz06g 4cELyWLvjetKqkquKM8tnrhuR9z7V/lydexGiKT0toCS/YA+0MrBOrCuVV9VCiuXBmzh2id7CJ/R hr/T/V9ihgiA0SFCIth7MpViVbx0A7gJLrxlSrOgJ5nU7M2wBTLnJ6e+1yyfcBJ9oKN2lpNG6OfS r9eBe7z70QDYSRBv/u2l+4n3q6kZCKvWAFXamiHhSpTEWB9VkZuE3+vixH+d/zFVaXfYFG3qLZO7 WH9lIcXdO7ZL02M9kk6ypQi2LGa2eEYJQKD5u44cfagUU00MZe17RY+m7fAXEudxf02eFk8H0aOG fMlbpTtefxaYK+5D+zKEhIRQK6g6DT8NQI9LZY2lNTc1wh39F/jvLT/8tBKxS7ktqBzF3aWmDQPc /BaNIcICDmzc9JUlgPhCMgK1WdF7z6kfhzfw2/kYa+dd9JdMFxTq2jvI75kk6QmTmPG+y+lRUOzu 19dj8Y+NekFNmOXmLcL0FQ9v0DcsNx1WGOO+9VvFJ69kO9vn70v4CcGW5d7f8ay9jAxGWDBM/mYY JOawJX41IrRDsgmbpWE+QPRZs3M78opgml9o7HiE62TkPw+6Skrt8rPOJp29J9AFd1ghlVY2/dfP MxuQIkKNjDT8vs+lFh+ZWVIxJ6RKRA9ahz5R5rVX0ql1pWK1XuXopX8oltRVNfQZiTUrb3BIibE3 DJZgzBOrBG7USNOGIKLWSp4MWNNlnK1KUemQcOVg3Xtvlb5h8GXlP0SrcsNM+jezFF4dria4BulQ AXU6dz5cb1E5JN8DMYkuaoD/DsSO+OiRcRHFn5XVlBwxq5vbr+hWNBjmVf/qLWCFeJAyd4VlXe2s qaLIC8Q+HiSZwkeonMrn+WD4Qkl9mEWZbkkWKlfEoqEZy62w9hUsDPFA8zoAvoZOcdSyCWJwOBsX GfWKWYXfC5W2H/qxus+x1A77dWYT7oLD/cfle04mXCm1+NuUyE3BqQP/D0Uaiw/qkUeDDJ2tGSVM BcIytvXSU7d1irq0t+Vi/whuoTgLCtHghJSiKMX+wmNpY/L/gLp8coz6OsN05FcHbxmohnIsGfgR 3OFufQ15VFTSS0fqLW/qkEckGEz3+l5rZZ1/CiMRKm+a5A/4GeWZqQUCnCF7IUSl7cQfVUEyI2gp jVHn+c4+p2BmKN6z79Bb2BPPiPEnTfxA6cKiI7uMTW8B6Pb/KcZOhpzMEK7cjTA2cJ8GDt9ZjepM Nla77lWuB8z2tIIBRF168VF8YMqzqvs5H5zLdy0MDB+vcXQlyDJBI5Xp6inudn4Pd2xWeuKnQsZR B2GKqeAR1L9s3hMSkRhz4qTHFYwHWq+tXyaoREUSO0PiVL5+5UwOQYfieUcWTi8s5UhuK+Ky8+j+ b4eyWWnS1e1Lqi/DSxbLjAhvl14Ur48mDnRxpbUWk83KVbh6si6dqakANQQDqyQdjGDQfe/ruWpT 9HIbF5TC3KYNjIm4Q51vstATQ3pXGmhQ5XSGO1CtPg1HklYwZKoEOCeiJ+QXasMr9CajlyT5Np+u cXlsiEPfyDGTASWGPhhys2Ugt4QTsrKU0qlN2saRv9Afij+UO1bFLVNDcxUVFX9lZYQ/47QhlMDm Qg7PeTh33w+KnwASUJaj4bk7zvnvdqHR/lEWfk3wKQ757tUwcjdXjGFQqpUYJBbpsrNBpsVJS0dH CdEPFJL/xAFoooq1lVjpXGVJsS5E5ItM9Luwp9e0ueeDkgZ8Og15vIBjwy+HC84/ljHTtmRaSIDi TIsbuB3vOgraxK/GWZ4ppVlzSb8HHGpL+Hwv9b698r+ku9m35LzID01WZU/E586t0U0WSrwyt7Fc LBSqlUDIT0GkxOwxOONZD7irkUyntGPdZ7Gr25gLqt5y+/xvJeDmNPe7qqhCS4iMJvy4WZYhy68n 53lkszw5poLSNmPrO4vC0MyrIvjYHAuD+yIWjeqjya5tLOHoaw/a1+aQogSdigW3VcUSD/a9x5P3 F7ITVSJmu6Slrigam4KOlS64Se/jLRRjYKhib+zkEGIRuh/m7ombXgSbwAQzeRDa5fZHWSXn3jbC qf40GY497DgPWlMMxnFZz9EKD1pAOAjj6cp3oFLmOYsBDwijJ1fAJHguTUZF6fUHazwpuoQkj2yg kqgEXnkhc50hKfS2CDid8XX/NgBsR0/GZ1NBnNbQQ21Xw661gmV9GZ60SeZDBer+SHKPe63+l0GW y7M+x1dpY1AVLj60UL21I7Ef2R9PdSwFc7dQ5UvNf2AH2vb7BiCg/xzW6dN7TFseW2zwreMXTnZ9 ZuaMyTDxZOLSZTCvBd+yycD1hsKbe7ajp1CV8oTxD6FtLT7f+TnxgMePmKv6y0oEQFypeDHnn71m 6zZ28UXfoloqiLEhR968Fo++0EnWN8PcJFX2gQQoIf4KhaSyKtuUdw5loUgwFJ82bEj+E/svJxrS 2Yh0MhI7NN86t83tZTdOmQxoDpQIRNJfuvqh461tjgb9V0P7+4eFgaB0uJfo1gMhoVFPVn/5/eGV Qpau4nNjthLpxPrpijhSbhxtWlxja9eL1vtxagz/X8eWIgfEgYHXZ7JncoQW9iEYEjtcH6+59qym LSjjmkHyB9GetfNoC0dPCPo8f7Qhjs34cMAmArEksiXDkK7l84K9OZf+nvB8YlxRV8FXzp4FiZXr Vohgv2Pez0CV0GrPHVNIGl5Mp/xJsR4BRp8htTRhoXwxDJbpx9n1dlMDIqH64X/5q88wtw2tdS+f p5w0jsZS7XQ/PGllAfYZjpNtBTmE+okwDv8/06ueXJHnAPMotzAAXXrhLt/TyoyjFUn+o0JPG4PW DBId/FKWpLQSLggmkdbtGHqdKEZyj3rKM7Lu7jh0ywHOkVCBilzCUQi2B+B+/qEGvv+ZbJ+10pwh jkc2IxErvKXPihiMVPwEGQh7iaR5kF/wSsN/6oa7tAObmVHJyuDWKgY/aICc7814RfkXo0TuT6VQ AIj3IaO0YyzJfcd4/bFIO7yw1nLA7zBtkFc6PyCzG5VYRIGvNpnjF5U1IelbXHFycbWAYqtiQC1m xpZF6QPgSxu6lFv7baWZ/zSJxew43P73Nddd6B6YcaOmolTaPrOUH2DD/YKlJYOfFmbY9wK/38yW 8U4rBa7sWTfdmpUHleki0cSLM6Gmyf0N+rhe9D0Gu5Nxk69HinrKgEW5Lw2H0eSsp/yOzzm4dcda ppxwBGUiEqZTj7bmwdXHHT+iM00OzhZOKqPD+65ToqqEloYf0dH091nd2Jh3Pl2wzDtkkJtIaHym V3EnsZoFBDRnCSu9FQ9CuOV7m+5d4tLq1TWduFoWvwngWihFh0DfqMeBVLcdR+U6fs5Vxk/8gbb0 6MGU4c+XxS/LyA27euY6T2+obLHtw/fqkGsU5HAw2qvo7q9NjbMgPirFl7w3UyUBzD8ZoHKZbAAh 9p00/M3rS+AdHIfN9OBqmwA35479J9kJl8RZMw6KrPApVXVg184Ru834wOfgqDetoOsdqyyYvUlJ nlswp6EiZ2wdQzb3t2I98KAjqvf5X6eCanYUa4Mw5YMP/RQdDnYQearqm8E9F+cubCnCH7+nIZ14 mL6TjfA/972bNO5pulr69A6jfPenuJQPEvfuCF9tQKjMOER1VWan7bY8dT433ce7FFn1DofC31up qVzEymUuJLy26+/uSdYb5F6i9khUqCQeasJk9twj9I7fOGHAOsT9s/iST0MJ811k6T0FZIR7N17h NOISWgKcR4WM1e0eJlHDKVO9/IkA623lzZNp0nF5Plc06gOgl4kKbfETeVZQsafMRLv6lnYGmevr e5ZO43XJIbTcgn88K4l7nxMpvmLkbhMDnh+Lr3IDmLHjVeLM8mQ9KpEXt+0lF7ZahBiK0CluQRSd zzCoVyfqE1okwS75YBuUuOrsiWIxmoommhBRR0l3ry+5k6CNaLZGlKBGDEZ5BAr7DBeUsPNQwmDO sPiCVYAiO8OdYy2j2oKD+WvCKmqI7AWBOC0tcaYqaLte5WtUhrJNZQZWkW6UdJ/tgPdLLFxS5Uss L4zqFQsoHKiX+cv1V1/PqBKGzd+oPDDYathEaaNgfre8J3Prj5S1TFpjuVz+7B1rKjWD01Nn3ctM lYDOiqqnSqpR0vjOavtzfrRx1ut0MZbYOCYKe66PHtevzH0u73zRO5GALABlYFhDvEqdrKvsvfTy mTH2H18XN+rhLp0YW1XfrQ0HuCaDQOw67399tzxM4GHA9E5wWye5pb4l+KjqjVGIF3YLdhmy93mQ 8actgA6uDUJM3ACy9SNwcUxxZX7ZuycjRhcL4G/3UMggSnIxldl+Kg4THnhXc/8T4bM+XZ+Xm2cW zMkhNWoH8SEnuOhecmLsgjpH1rmTMukr/09QotdJ9z/WlbeBeJiaznayt+V9bhS+BZPWXuSTKvwx dhB/pvJhmH0w+S0EAsXHz9tQZpd/nIKfDDrvG2xpMmJvSzOcJ3roJTR8zknj853dZuUD8CiSmggB UTjul8gNJPWhK0LxOqeI8+CK3D6x/0GdHIP5163HowNLZTLuhCX0ZIt0+/w8892mh+bTAkzk2qaa CcIXGHwqvxmAvbSULZOlGYDFak6NKTW1OKeickqM9kbwiLDtKr3lqtUNLhReQum4Gm/ovuwC27ri esnabUWGRmBOZTuXs4KlsAKC3HtD+vMumsB8KO5W3HEuYNp+twqCA3rToAaw/N6AKpDrbUyeq5kO L5VXOFMVLQTi6wRa0b1zPR3BcBZgyt7F4fqapH5bBxdbMx9h4mVrVzCVWqEuRxgrc0Yv/SNDbxZc 7t6ajQknrMBDXs4KBouxLjY+WWk9eO0iuQEvDLzYuGOLM1efqZI70QSgf2jiGnfpdlKIQdThWUle dKYi+wTxsUD1GMOyEn4HWO5XCbG4dwGSyZEcPrjq3BAT1vrHOG4nFjdihmkv4fxbCPztwqh1CLMs FDqgddBbym4ShOfW4o+Y38/bk6Q3AuETj0jHwbafRF9zn+NkyJ7Qp8rxBZ1eRdhVeJzclLWAVLMo dYdvGYgW9oQeHu7MG8UUuUbsj/HMP4bx/dDWjzbvjIbLG9m4+17V5C/Tx6Dt5wTJCpyp+tEaOb3U T6fRzV8FCeGnJ/vVJaP8D2IusbaTVIwTmr/JsHOhyZjKkJhGgKUgaUtEYjNf26iv5Gr7QoLbQlfe Str4ayaqHTrfdk+gnXQPfI2bcqdcGT45wAiEXRGvuKSX9svse9TW58Rfaflj+q8H7ACEh5egBXS8 2Ci9CyETv0EzmHtsscqasHlEWnA8dBkkMOE237SSCRB2xFLWm23IgrMLdGy9gzaH4N8HMDpRb3u9 0y2ZsKFHEI1ADXsD8VUw5vMhDSL1+xhe2iOurCgAeVIwIof8yFQHRjC8FBM0l2YHXoCsZfnCe+jC fDpG7rxwPyhWk/lpIEzGt7MKry3rPnsIpNqAQ7T5ViSFiKm9hZaYQe0Q6qtLYwBgwjI3B/M76gER xRcmzOMOITd03eOgw/KJNuGMuKZeKAQoZJIafJ9iXGF5hK+7bNw4oEvbodSIA2I9CaQHdimY8T2J q8Ct8FPhOTT4VVlejmO4Hd7vihneNPr+LAoWYj1X234JX3QqlYQPw/ojY/sq9DVYLti6v5hrJzkM 20T84yZ9Eqkf+RZYcos24D54UA46m8Pu54PRP9gF1valpSX1SMlcwZ7aQE/Xp+6vNVoXs8fN+bQw QOxTYxiwqAHsio0cF0q3Z8g03r2Uh9caJSV0HtXnxcdyPxfjiJKKqlKurT1NRt6RbkpoqG4KRsTd Hzrll4jYp4pJ/hNcHYygEotTo3Xz5uoCZp4uhbH/FsGWEqQQjRpSL49YCV0lODSlA8J3WGRyFuW9 TQw8GJbIt0B4CMlBEOtZJI9hpFgV55l8F4WaZqsGsq5tbAqyoQlTxgzoKdKfbP+PpO/kSzZWMMux OSP81X7zkYJstdZkcLcwQtmMA+3oIS3vPjxl7djFq14hCuePsS9ZGmuZSJMjwn/KiHVgV0blTSmq FjkA7e2kp3EGRo0qiIq9HYNbAVTkGSqEpQkrMH7O/ktRG3d7J0CYWup/qpN8h+WoYA04fnbd2gs4 FV1xtLWYJ/QPDT8DfjZaMKtLyqb2YOwXdLxYXiMU1HSKOti+7KdtzpqW41mWK0dE6aDHpmNSt6IW wtdyR0fh6Jo4c+5iK35UJcDNlEOL4GOqROE7c2vVyf1/F+O9JzxpD2ryCQCtyQ/quXXxPYb5x/dL 1B7qgMxWpTyboT1K2+8rGx4flMOzqzaZTRdc46QyoyI9vR/UVbkCc9d3/wwkOzYWh9jiW1ghfhuT QHdQcp4OmhOdhPZCvaAQlEe35eHPfrbPe8iRRKQc5wNs90KTKbkDGSE/j0koG+ZqwQKKAaU+7/9f lcunJ3TyZvO3W/7/1wwaRI95MWb2sTeNmQtR00NGBgRbQ3SdLi87IKyYzb5sIhwTJPJSorurqUkM dBvySJx8rf4KA7sFkzGcl7UkDrrgtZWZG0qHinSEl/nR7C6U145a17g/JtN8Yg4haCBtJcf0D4Et D9LF1ISboafAdt1EeUQBto5s7/2l0fxfjwQhL697vysPoJGNTtNxH7l7VfF7g3bXx5/6YKugaoJ8 0CY1xrQCHXR2wR+P4Ig3Xo9Uvc6rJheTyPvLGF6Q6oM+E1Swr6oOfzYsu5hCHpbs3tDMmmfysuP5 oIocCTdMQYlIxAnKHC5cgnDV47IV+aGiJiIgwLEt7Yf59Oj1UzC+52fH+0t9ClTfMO3PfkLgdLs6 P5gCdSZOndliyaC2PpEuel5/C5JyHVZQJ2lPjc5SDUKhRiA0x20iecs1X5gcS7/F2+6NyR77GkM+ ewXVBQ69TqcwaE6SAduVPQP3MuWOv7JVjzZ5Mmso8X9nzudluFrX7nVRVwh3CEF+wTbquXI1UhcL ZlQYbvYdeqoQiYiJflTlBeZiz8znbHPs7WPB+X1TowXGDS6XMWR/JuFyd3VVkFLhysuaH2SxZ0Eg KCz9NYbk6RQzxS1D9+C8mZDKB1df3BG9F+z3Dml9X0k7TIwLmI1sx1SoHkjGj5Wsh1U8unZdqi08 b5NDhaGsGba9UP2W6bIg0i7dKnlG1M7kIOZHdcAumD47QUwXd0isfvei0DJUn7g7oJ4+Rc6eqoIZ S/w9Fx/ZH7/MCNyPq20htGaPO4sO8oAXSN0pj33wg3J8WFLCrvRo7bLtYSbJsDC9Ecwk/SoQlkck tCMqynRDz9BGR0hAmkH0NR/aQg5GLVmMxyeJYokBV+UDE7rjO8Ttm99gY296mgI9DFsBDpTP2mSA vpGZxj4EfWpzmazZKGZ306HNNKn+e7/B+nsWzvR04uFAOJqTY2ThbY/FneaCEZzhtsnT7NC2pfrG lAkztnSkyLGINtVfXVw04EZrDHDqmTJbPTBX2iqjVn9VDAnQf4N/M9kERZsQM/bFxbjWg4fBkawO fQKh+3kcR7kDwyRUOQlQ2Eg6icHIYGx4IXGrD2csgfLW6rVfBPWmGatXWEeNUPc3PlkQI0YhTNhO inuXbLNSvi+HbDaFaRBTx4/qloywKOOSYa+4XaCbR4ZompqM42GXssdDFw2yU5C6aSeXA2vNGZuM OVcKLvvnW+3tRH533XrvoJx7KJq72SgVyK6XjE6IcPiZUHcM/ip6PAjuUuBL1akjZ4ksGdHBhpK5 HmUQUXK0Wnm0R2SIf2AipLKLhfwe4Dmj9uTEcVWLyMzKNtcibTTWE0NHX1JnygG/9hUDx/09egik hlIE3SrM1g0MBybdsV4pKD/YED84OOlkQ5JQnLL9OhjZWpJglDfarI9D12T2lo+CM4rtRYW3Js8Z NxEH0szSvp9Gvi0RYogQ4Y2paiJYuMMXnNyvj0SGRl8tLDXm19buNaTpvBxt23IYAjBQraqQkxEd qATO0iurQrY96yOiaaCjmYYt2uSek1n9zcWaaHFD775Uxl0FQV27IsntTfIQcHnEnEaKpaqE8Vuk yA4kd6jfp7+EiLJxI2iFEsoR5v7k2OboTzLhlw4Kde3kT7fkdbTNwapxg0BVDTa+p/V7gSPumD7Y MPixqaYKHzkf3XIrEycM+REQnIPPLGp5Hy7Rsz1PSshhZGQB/KmjggADzKHEw7qcJ57aTWtsfLtE MOzIFpva/9qmO8nphEDIKAfZWuEW1yMgJ9ivtSV0kMTBay5ALauV78/pR3FAuzKPN52htbi6Cqmk NOPvnGkx6E69ThRusr0sfYLe4CudnfbaQqx7+M1EJv1j8vV6RpElmBUturUXGyQPHkqmzvV/6on7 uofVK6Ajs31UFVk7v+RlFCJ+pXi2NHSZR0Lh/5ExknBCSWvBH/Ybn+s9JIwpq8NEsn3jhIR5yGaG mVrjaRYTUfa3ALSCWr1HiKMDW2iuCplh8XI6HKV6ZJfqM701nM5wfzYmeBPclS0ywg2lMRF4TLLo VhpNgWTeZ/AbdfcKULMVoLfFW+gf3banemAI9pUmy5SFyvwnUqC+acqIiQvDMrP/mHWkjTk+OZh9 Srux9nza4/HWzD7eBp3oUG8+O1HARrzzOEjLna9FMFzEmjvMVy8ijAWO9JzSQsmVHDdsSeXCg1Z7 F0j4QTt7uwmbCmmZZKWOpo47lbI5LwD+enRik2IOmio4uummDhhZ6gVUS5pUz41MIpJMjLCg7wEL zWuLnmKgtMuxgxWZV8KDgtDknrPsWG5iCcvsSAadBykp3Wyq65t8oF+31YVgazU9KOUXe4GgCuQv 4TnvDvSS8ikiCG2TGOI3PtBfrkhEW/7DhfDHvwsizhMuPmXQjzY2HPtMrVTLsbMCtSY93l1EHoVy jfUmoTj6KFM/ldTBu2/LLSAT7MzsjDYNdTJ1DLfiI3eABB4tNhcfoDInLdkQPTAC81BTPVqW/hdM 1hW7WMmadpcC6wRGDZJWKhv3QXURvkfg8G25RcmjJvEwE7PeuRGwROdtE16AUiHia8LFJEFNgDFE dBMFWvFUf4J5S+e+cJ2/l8Z0o9Wo/DoiFTILUBoVw9+grdJmcL7YAwgDU8Yp6UaEazam9OBdXmOR xm9g9zrNqNNlhS+dTvw2Y/HoL7p+96Quj7IhRrLrwL5fJ5l5qgwqKIK5ZbhT6PZUcOGxNA4vZ4Fr zpIcCE283rWmZh5ZnZdJZXdGknA3oEx4qSFQTW1+XN1LlL4J3KWdspiLUJuUl4AbKlGv4EnrtZoF 4e9z4FKjF/NoNPpjj/S3qma+skJSVWajkKxalLfQ4NIfKkycncJdnfRV7S5lHrnNdY0zA9MRPwte vdAT8shjothEqGeLuDnlgoNA/OmbLIF2mzoluKR3l71vBc2hep0oZycK1aqFOUwh0kSA7/bABJya xVgt/nJmZKuj44F7nIgXaqWE9yiRLxPOso9eWCeyXo7iJboYfXAota8udnC7JrHAAZgyqR6af6AO ArF5ge/Sfe0DBWeHj7Yw6dutPeJEwfxW0C5VSYFh1sfJHNmBKdd7D7Sk7Mg/Vi7z08LEg0rsuqn1 jp9zEziNYdTNGUCYCW0X977m2mWy2LOR/Ugim3CeXnsQ9XArUyeVmHFjr4mHzbfaa/68j0AVMglw DPFkuZTkAooOcjtVVbJKgG3v0beRh59pgHLlC1NFNTvZmKQvL2b2FQjodX9VH8IeQyAZ07lNSRxk UWpIJl6WwMKG2eD02koyyiB216DQNpcdogilKknPkPBKp4ZtlOPRH9S66SyfprZEWiVPE+BJU3eD iCHMSCI5AIiBBqL5CWiH7t+L0WzZDbZQUwdhEW3raS3JYX8oVSTB6wF6xejdHr9tEFfk88AIlx0m 0nexGDswVxiehW8SFQpAa06661eGJh47YZ3/5uNTZwK2cF/TtTONuYn7ao8FIxVDsf5EOPctNO7u z7MPBuy6deQ5IsgmQ42t3KgpuoW6pTVkAYoDVLd3t//yoA2/tCKi9PwJjBMVIYXjliUi0jD6ywsG ocA/p5LuntygM/goay7Lsoo0XwVP6jaLZGUu39TKJ/a5dEem7kd048g6iqECPkXANLDLbZGFsGBv rX9fO9XQBARiMwHDRvkIEIQPG9u75dpYZovrdWbdlUMB07Vev9Fj+J6Ka5yYfu9qTwtlrM2O5f9h G+ArSTKhsPQ4wptd1L819TUsIIe0wTxClAfvssligS82yQ1k8NlYRYchMWGa3eCOyWHYGPcNRYxF eNLxCuMc4CWvuq09zRsbLfH44E5a5H+F+0F4UNfiAysBfFNGp5hEVcOiNrA+3J8sn/F/Jv9WeSk3 lXpWa4QyKqah8mzE0atKalFlvWzpuf2By9EI1ctIGR8ZNehqgZmyWLkfOlKwV7HMjwLr3n5Eg5r0 FSFoaBQqFbR3jZKLgM4zhr5berD/6zle3HG7FkFcOHhh8fXL5BxHxyHvM6D1hJLeeDdaJh4Z70wR 59nynKe7j4q7X6ceLNMT21ubWlPbRMs7UfSnNqA4Nc/IZwKvq7065l2onXI1eqTtRZRUa9Gttm4C mUrjLR6N/0Po5c/fmKz5GP2kAtRnEWDscKlB79bk2ukQVA4JHstdc1m+vH792b/ixgU1oXs/gjrp XtXVQX6eOuFMU9W4h3Uq+Y9cBejPiI1NN5w+oQT8Daza82IjhnD1WjvcgQNpe5K+A0s6ObHhn9a8 gn8j6ezvrBf0rBIml+JDJyp46lQjYynokssOBA9H9ey5wKrGcsKMrSMd8GBgojOCaIX+OKZqMZd/ 44omn9QTd9uUmPI8AAqyQAqHcTK/0N+/tpByuqNlsEVjBeym/nPe+FZj8PRMGetPJfrpjsfdpVZj bA+wp4o/EVM46GNh6f6bSa/P5pdXzvnNG6S8x94QCkw/nVenfhjBVhhxoSgQghrpYdzchmChS/XS d+WCkjbvAturL93r0zxj20NN9tsYodubBz/+viwmelSuhSKPmgSBvkW9wj4pjOyELCkQWjI0YE3b wAtp157Lw6gEtO8tWsLMlXXDpQZdQIEi8fW5n0S59KCljOta0uNgNh4vmfWppj+7LbGPx6ofgEW/ wGc9Vu44Ryl7JKuURE6pT6Hv6aWcFrUMyWb6tzCDvn7sAZ52XrHdyLgRWX19xqORdTQ/ECpFKPdC PI4m0XQmbg7PWqjM0HZJofWXzuHpzaIBruKDyH6xD2KD7LL4lEfaz+0957SfrlfV/YbeqTRk1xdH OmGitc9DgMNs8EV2SdDfm3xjqDneElusnhtjsCjzCsqGIMhIUClD+xOyNZOAokSIOZrHcmWAlOE1 BBRgKSc7yNYefBRl7uSy9YbJMtXYtL8Rx0XESfaX10LdZmiojRnFlAkQSU0RyjUPNEtM5jQRYRb9 X7tS7qdW8UhZvsH0ftG7QN7DVMisz3V9j8YBmr0Cn6A4R8HjlDxROANaZgMinDt2EfjjnqyNHXXA hIrswYyUvxCLzs/u0OConow5iN3fw7qHWg3Ohb4UwDH48hv0WJp1gyqI9o2kg/tdsrCVldNLrWtZ J0FuYtcFMdX2CSoZXifGlIFW4aiC48Dz7yUw4IwO2JKgcpWlqsUzuQmo8RVhJTejTV8LYaZw4ji/ UrpadNQ5gjssQcqqMsc0p+VUZysPM5zpyppVv0sYsf9l/mwJb3s6CZzyXk9GgxBT6xrtFrMczILD x23NT9UaUJFW1cR29ztHQrPPuj77++S4jllryihyucaiNb7rFbOO6xEvDIFHDdu91+4BiWuWK1Hi YCRKDMrhWLgHdkayJJfehhKAf+j3EWfz+3yzfAbImIvgKvow5z07FXjnfvdAwYzAXO6Y0DwR4KhZ rNX0j99agJ+EIEgziCeHHXLfOnSXl0Gj4H97nk2UPFkeN4//Zwx3jNAkM4Ue7gd+cfsYQdvgcugk M3TriasiVzNcgrQ1gzP63lFXNpdy0pMmX5QgqISUSEceYW1H4CY7bRpx0HMZnLfEz4kocW6+rorH mlFmPZbb35HDgM3RP8u0hd/kY3vgdR6AFvTNDf5YKH/kBgiyR5VGr7c9PtH/HukBZOoX7Uv7hb8+ x7RO8Fd118+mKkXjz8OZqGVC0wIOHJ/SacnOSA1A4y43Xof/3OJa/McbwnLBGne6CC5AAAKxM39k npTDRP/LjR19AoWs0+8TxXrll4930LG90Sm2vnAHvuDVC41Hzgef4Dp4MHx7UVEXke1aNoYfDCBv myo1+E6elmVUtjTf/wU3edItaoIBK0PXCfFfOJuKtp2BgmXUD6jJ9vw7DpzLi5X3yQxs7mP8Ajez QBUcPkVFZwrcKaAGtEVF+TqfLYNTP/3oG+uhl91vXPjx7l+ofaAU4XVpvu+P/4jogPR3cP0uu+2t CeM7fxm/KCu6bi4lc7FFhKmSMcYBjKEPkYg/2AUnW2Yq9Yj8KTDqPIrcywVkAQwWw5rj3IUVrR6x yxTUEGqNOLd7QMMFTpfVguG31oAr+dxvf5mAqMVM72x0mIaHanEjTqfRFa7Ibwf1aK3DSRz1IiCE xtNJ5B6uNOeFuMivk0ZNcWcOUVFOFpLlVZCcudJ3nZc5fpk58sWZI+ZD7ugkoFxa7OqEpkD82zHl 3TjZ74ui8J9vbVUkTIPuSG8+zKvGYi8XLMxf6n/jBjMtcKDDc+R2ipNktvMXIkUaUORzhfGahUz+ C4b0hNmxxECvsGjjqPqiu579Y2prZ64mFwi3jLSLSX/kzqr6uqxc11DsWPtN1O5uq2HRbzDKIJF3 hXAm2cV/rmXiqBo9C8qRyVmApkJ5gj/zwdOQGhvViK97aiupL8O5UjL8O8w/Maxetu2bhHETiCLY 7fUQm0DgrqhvsWSD3cWwIarqMedM6n+q9x5lDZmIGKSSVAVloI72pQZm3fubKUC8B/AdfORKnqfQ KeTacNBj7zjvMZeOkYBqd/hgM/Pw56rfwbnuqmedkXu6pCeKhypEA1xHvlbpxlaOjRYv9PkMOphO /0Gl4hWztD5kPiJyQ8yVbyBwFutNRHIGHz61HJaSrpTCrUl9NlcD/dNuWT5fFgMZ6ID3Rg85Al0W ddHWC3QGJ6gzYcMr68152EcFn1a98ShoVnf896y7bLAE+I4kWz5k5sloVhFAlfRk8mltAyFFzMqH w3UjtyvS4Q/YNqn7gvuqhORqWv13wdQ+zYKpjLiWyCuB6Gn5CrEZCrzEUPpfQbprKY+Ob+aI1+vY /uQMf+caSJ4+UnJ24pFsgFkSqWx3o3lciGMcey2eSuK6mtCjWRLJBvwShRL1sF6G58bPR9lfm8Fp XBioqDeSNfKfzPL0MHr8mMrGHr06qVSFrXNaLmxna29woJiXZf6W1JGqLdzNroa/ATSn28uY8W6N ZWUwCyYQYIkop5Dgo0tXhYb/JamPLmTZp6R64YokjLzqrvxIb/LXMhArMJyh7rtpfK2GaatFmHok NMyvYPqjfclB6llzhcBEnQGhDs1kxAmcq+eEAUxPDkpf+bvL9te6S95SfTYWUdabbnCUdJhRc/Xg Pfi4bxVk0YLW8taqvjdL0QDqpMUA9xhEjdmG0xzdzlhGgmDyxhZ+HlXypcr4AN4aUzqWl16FN2KN bfIQESgDJLKMSjXU44eNAY1CWPHDPgr23L0B/0uNS1G8UnG2BdBpHVuA/Qlddh7os71KSSTe+34F OdEGUMcHqFaT+FLQ+rC8G6Z2tWNw26XZnGWPQXJwYzX3Smx4gaOHribSdDFV9HE+9GSZNL9DpS9M zVNWVrxdMdF7QxxTFDGWuj09USlvPO4Jt1k+ov2X8hd7BCPr9TZ+13srzKITkaFoaJ7Ncw0QhijG 2IxdX73NIRRRP2uNhz+WDcb4e434+k7wiU8oBYTpkrgPbN/KKVnakLcCXK5dYmhaqx6Y0/rxH6tH Cl5OW8IGfOJgqcP1gs0Amk+KYuip0Z4zo/FgaWJoB+jlmaWYot0dMu5N2qiZkE8WF8l5BYFbiHCm eMjMFlKooEdyRgfzUJq89COzTy5g0YQH9gqQqIeXOC5whOrbuTNsJBEwyy8UDSbzF4mYPMkBKn59 UZL0/PAmthShqyc6IMRRD2uW+4s0tokP2WaMkujQo497bpnc24IWvUvfBawQEeSOiwLYYBahKGi7 jEQz8yT+f0oj5VLVhRvNzeMAIrNd0lM/fuw2iYy3DK1lYB/bqP3NU3HDKDIhRXXGqnG1k58R2YZB CPi+/Y4y8GvgD/uafBCOZ+6kn8lyXqgN2YYjFHEndX62s8wYp0fXfQzI6pShknO5KNQ1v+inqgVh 0uosZ+iFo4zmYN6dav0qWVeq6XYW0wKK45qXkpGa9u2Z/2UR4AYJwXs6PobAL6kJo+8Hcn1GrHh0 r2/FqWHegz/mSsQT0bHad6zotUQbMRzXyHyQzRixsSHeccnbJlJxPthT39foVmEoo+K0QtOzaMUW MIRcas7GEsFcLLqKwS9+FlsEwRh0BKv6sQTiznD3kw/1gbnIXp4HwDEFS3sYn7LxHyeTMGJgyNDW /wb4yfUphOsGHhkB+dhyLp2dxfOntVkDBVfJg0QlEc5WfoNzFTP0l4kGBNYwz2ScJ4fOjTLuv/xy qzoIsIvRvnn7FNs7nmz4IriV8RnIk6vR6jKg8cfpq4AY48Q/TietFXu+nbkEHv0+/id9Qu4mfx2B FtHFU/yPLnfjkv2lfrB91EI1y0TVs8XuiVo44N27pirWnO4dex0fKXKbyG1/IfwEf5jL6HZ/a1LB WkHdO8Tmns72lhI8eosndc8wuPGKntN0NsODt9xcHGUKCljlz31bFlxTfeY95TglkOiHr6XqlPfz He+we+EUdVHi2HNNGx+NvbikFly6SpUG/2zGN9BXIufk6+qELWB5XbDj49NYrM8I+IOK554JJIwj x+F3lnIkbQy3MbP5lgmJZKpAygFMkXcAcmzs2oAZNWfJMl3TeYHhBMhkbO+wPsg2Z+Rcb6gp2/cC L7g0KWs4cpdV7kLNjEbN1kvg68wciDP2oUNScfm20amlz8trBIkx6AZMEZjdTDSXjMKPJG+7O7IX bU1F9R1py+hYMmb8kE6ZTg6L7moygjbnC3qTbm9OF5kMypfG2WSo+EO8tlC/R1m2Nw1Tm4N4+Jkp HyZigWgD7P9kqfJ2zZs8t5SWtdaoRpnUZji0db8HubBUxl9bdyTr7soityAYOLXN/ghh2n46pxQl IkzREp6YDW6gSmkrX8izb5keGs9gb/jwHO35bfdtyjkcX3bgueTs7UlpM1ElibooIjz19KXAcCYw pSTgKgx56ZNQxHNGp95f2+KGh7EliPjzUZJRQPSj1T5YYUxMNtDF5tzddFnXz6cN/Wpc16uu01MJ t6rFlmKZ+CBVIhRxQ0bjPqsk5aXwrcEy6dnxWA2h9T3TIhEbk+5Elzg6s5Of9qlKNZ8L1YCML3uF kpt/P0wLWHXYRkiTiI9E7a1MYYQ6wZALRcZKZu3q5NUHr8UZvb8Ffk2JTgVW2GWJyMJUWI8gNtsv LV0j34h30LY7spH1fb1i+IURY4NlyILDZk7MCTdD763up65xgiuABb16VdObwb/GGw8aMLjEXMlI gvdYTzvh1KcKbLCDcFw3Se0TlTuLpn6A867ccUu92eg2ajgN/NzUY2AOlc4buS4gsTlkOsjrg1Us UmUOKKuNGUiCeBx5gn2Y02AtK88u/mPQpKS4NF0gFut3EmHkHd/lYTvnQnilpfGwYuntL9vOAMb1 7S58pgIBNixo37Im88CXGjc7L/40wKoR/VmVFCxeixZ4gtmUzV18sjfiqox1u1il50V7OkMZ69X6 adHUs5T7R6QijKKV9O/4XlYSdZe4eHB1goPr2MWzDSyj9+qdn6cTIm2M36NYvDrr2gZliHZN65P5 CnqNg3Ds8M/8KKevZxf53wMg7Moex94Qi3UYhXCxUQCpV9r7w0UXlFPRMFE2h+32ahxCb60R4LAV nBruRLE9jZqh07+eP0AwnlILkfJSrp/v8xdOAB90/0K9teV6X+KqbBswJnO5+NA01p6LnlAX89RO BHw6O7+LXZ41ICCm6mYDPomme7HyBv1V6PH9bSZV7EyLdGpjpN4lqdLQCdssBYu/rcjMSgxE02sb jELjMrwDm4uQOOe8yp8Zn15J8TkKdDJVZ7IGFXQEi4BesCBNxgwJuUF3GE84mcsuaZUSN7iclB/z UAKHUrgcdH9sdMWsewhxiIsMiwzEKC5YCicwHbJTz6gnu72Qyn+HfPyPP9CAKdKd4s/YCpNmK79L RZZMQlMxf2Ahwcxv+2bZUTtyNaAd2lrGbtK5TqUsr+mg8cS8Z5MqPgf0VcNcwYubQrISE6CZWfD5 qyKmYwScihDc9cDRxMg2A0AMgjAOfxNbpxlSAXe+/vKvlOcAM1d0LKQpy3Kjv5Ecw6kTpl1RpOsO 8qBnhtoJj4odBnvgQg381vdkbETK4+IvR1s5rrPvsloA5rQaPz6c3r6sIrQqN58xLoxvF7RHfPpW g0g7qyROCfRHHQgLM4qlF72vHr64TToBquvPz8HWbzQocBDI3zk4//fqVj1llgFbHFvnz3ODf8Xw 8BIewZzaVsG4aJ0Nq7lsSIF1S7w1OVhwpNV1qQhEgWwVUSOgPWY2xO2/qdXjHlD5aS+zUyw69pG3 uVZlzW1LcwP0fNCKKVVO0aNcgXUc1kAWpAh1vRLYjk7boSHPWrV5QqW2tnx1+umZ+FoTpFgvQ1VQ zHoNFV1rW5vtRnY7486Em3xUjBCRD0yTmR5YJOcayD9wNZROId6j9sS7v/8+D7Hre1vBd6g5HVu/ hXyGCPzH118ar8+A2alz1XKK3OWEn+NeXFBgSv7ab+l+6o0XQ09P36tSG4K+RqV39Lmu6oKbeZGB NnOAts1FoFHzPyziBySbxGYpaNAE/fL57B3jE42VA01iNtNwHxGXcJaAqDUjvMy0/EBAdKQiXTD2 mjtgJ+N2iRWR/LjFCwVrioyOeaBEAwP2QhQiQqxnDRRDGLC5gKqD6ZBfF+R1hzGt30aSJOLAayq2 sQjPcDsoDMnxt67ltw3Yixr39DyCNzDzlVo7RF1Nf9SK+XTXaN25LC3ve+f18Gr7P0dfFFkFP7yJ C8frq2FDsUUJVL5CICrsiI5K9SGt3Jrxd7Go01e7GDPOWe+t7FeZ1UfBCt+MCDsU3H8/J80rikUw ENqbtyW4d9kY++2eksLpIfHuz94yopbg4Ts3moZXrZ8hpV2nN+VF9fOullmuVxfr6k6YFPuO4voV VY46piC88mBKNlETnLHHxJ9Tb7Ld2zmo+gwpNPTyw7XfKgXwScol2AAvZJMItxsycJvcI+rdL7ac nS5zyEV2HP2tI7Y4/Aa8Kvpo23P/Id/qR8IGymN9L3YvxhSr72cRR4yczycbzKtEYQiKYiiuLncY MdZNJ24Gi0g3oZBlzz+5mg97c6hWGEMoKNfaPg4c/2W6YGwbD1XHZjjpYYE0u+uEL97pE1vcwb8Y VzikPva03QMIrDcjYi9vjPqckOqHifYuEEng/NWDCeDCzYBVgr8aB43aZV8SblWAn+2UQ/HbBNLD /8Pxv0oz5Lx3Zr7Gybp26ktOyD/BaVqGBwdzvMBWO5Pmv3FjQCBYWUkjxpp6OufnNeFGgenfkpGF cqrSu3FNcGU3P9CxHMUDK8KFUXHzQs4xZUpeku6A5WHOJ8jO/x/A/fYE/j/4iQYPexUHN28FBOas MR6TblydHoJghJUVk2hswbn/wHKzda85h2BD8tD7tW9c5W1DdksZDraYwmiPl207llHE17AygvuA Ft/B4gbMlRtw/7dCHmPI0ov6TVQlQ4gdjx292EQZzvlDHEICN0C8j3VP585Ucd+4OjiNpvtZ4cat fjCdgqILsf9su51NrxuDPuHCGGU5Q7vwLDu224g+D7FTuz/iacc8I8pb7JxngmSSuiVHfYgpv+Sp ZwKm/9km1Iyfhx9B8gRar8+B5wNO9H5IIVbJ3B5w6EFO+mtNMiTom2HjpgHPj79hGPVQvLbSF+4X BzrRITcgkbfazlk3fQXhvwab2lAjqzCzsB3SDCbQFD59QOkoumlznQPVPZKyE+pVHaK97Ky2T73F OnPsNbbl07c01tzBOlyPaEF9kuSHRJIAIm9XlIiii1ZpgxEibxvavJZqBaE1qoiRn+OvE/r1XIUH YBxw8gkoyWaxnouQVg2LXcUd+a6WzkmNMwq2Y8Mk01Y24aZHTW2KA+EoUs8RAuZ7dkrwWl3mj27K NplG1+Lbm7m/Og2+JAaqmw4Rv+wmSurpbA25KNcJiyS1+s3AhD7Na4aliJCtgL5OsGCq4ueZJgHi ZXKcw396pbroEfFRz0nSANk+uTj/CO3m6Ocjbcu8KAJffe7+F/v6PY30cWi4Fso0Q2ShkJjxXMzi kryEeh8nIuawN+9FMZPg4p/ncg1bwwJJ8qiprTfkJR82yrj0lxyCwZdwsJ4V3f5DyV0gfBByJDCN Aq2Izx/5Q4+7KpIx9gLY0/3IguwxzT79X8DAalDpp+2QRzXA4npkxkQ/u5mYPw3DZ/EFq1fazBWu CsMa7Reg+itzQYrMPQHmSisbPuzmTilkZk+nPd93EqSfNcm/Y6uPjsrdE39/0Y0IiBz+rM2xucbc iWQxcy/utT34E2fikTSuBJcYzD0++Hx/UTRNDddEYgRufNmmbcFmnOVUL+mjoWDQf0IC3Zd7Ezzt b3sEIlKmIulsxWZG0RS2/kKmGFRzR+E1qprIT+YNI0nMCAkH5qslsv1uHJkDJSyFdkZ4RIiuknxT WWP61t7cYodbZaqHjG1lQtsTy4uYcVJrKiop6Zq5TtI2j7FeB3OYX4r8mjl6m8y+jDaOj0gpyjXp /sewn1G6SyBrpOrHSL4nwLDGbxS3HrgVp7qa6H4vH5Q4OtC+gq1hqYnCAwC6Hyb3Cgq49z0Kcgkq WWtS6R3u+7b0poJ0R1F+UKS5YwV0Uwfq6pF72zY0dKzwgq2cr/6nG4MgExYkrcGFOM2O2X5rrTYz n2P5RAMf77lIpHlMsCuNvp16c+GJE8bU0O2nyITfKWjiUfcxcZl9suSgSpFREVlE6UHIHlh2aUGu 4Z9RD75mi5Cjbm+++XP9ITakHiyliq7AHPNpz5eofjfqfdlXpJjwY1jYxjFraS6GyfKPuGkXzGvT AJAwuuXHYhNXQCcBHm46UBMXU2nuLN5XGILplf/UhO2EdoPMb5OhTiGvbBdVOuQevM5IpzbZ6npZ IEKzxQ2DerCTY3ykac6Ada7ucfxddPdGGAYeXEAZ69VPFdchLILrPnFSuZZdbqgmETdiLe/GosmK bBsZv9pZudvxzatPkAhjXf8nAhQHFCURJZhnWeavwMMpAJOnI2ahN78m9YZvFElTGT1iT07PgzM3 99iYRNDbIubpcKxR/civ38YZgLgDEmHuCwmR0vDzQ+EyCTEgWdzD+bIRMgHPWFjGU0Z+OI0ABKX6 a7KAeZqC9mDRZ+b0idLxkOvwCbfwacTFyDKpSbWzT/O+oDhMdAO/7TKPSSq/7cNWGtuGGrH+YF4f lIrIeHyIKI9m+VGqMgbHQX6JAxlV0rI6Lkb/M+0pC1cWl9nq941uztNKuCaKR0scrSHTtoVOCg2S wLriy8GQD4hKXgcXmJTygiJT2kbdAzc8nUC+hYrchBou6rQjZuRQcR3uaHy7iJBbWg/LJpamXEPX owx46ABwjHS6CRVWgT1Fm251KvEqtnNayn1x+asAL2bKkmYNvsvPRX47x4WZleZfjMrpGu73cJjV ixuoNrZpUjdWFm04DsoTpd+jrn0aB0Dl2//8SZ0DqOa9HnWtRjBz1HXebxf/8Witb+in9zOzBpiv JAwniTrsGZCdODQmSaSqPcrK7Bg+4yRKHK51qgPvbT6QcdQiudJk1a1sytn7SSUIwMx7s1eEOBsE KhS0tCH/So3fnmw61bS1xLPoKqBh+hGU2JoopkqxOa3IdH/lZ7e/MoaifnwOQ5PoW3mCakY37vxy Hd0Hdbjn9//UIj4C3JgruuuNIFpub1x6ekEel60vdBIH2dyu2yvBngVAzjsehQzJBiFXi7ZYH9jb SSouODJPXkrl57vW8iZLd2Pp6n17+os8IlweoUwyZCSVFnhxEecFtwWUPwDcMTnXl328Hk7TxpaW 1+y16JkFphHQ1Gek0FvUb1b9RUszDqgJouJzBogIU8l9b7gAWkV5/GJQ3prEDxbynA86TX0/fxjZ QKeRkLQ4yLm+5qk55479smvSX7e14EsQdf1WNHmpWDCy4m74xxirLSdjq0fGJqugu/9JaqwwWCNA HypmIE0YK1Y1VKd8GA0osUXnwhaiv7N+EWHjK7mjdbkDx3FBNqqTIROBDYvXOATYGk5/kpqv2IkW zY4iXBv4b1ftrPMkWDev4xIAj+lFPvGetMvUqeYZnWAM9rvxQteJwW0zGQHn4emQUX476m4Mudyq nnt4s4iSa7k5+jt+vv/R+++/gIwLmvbgDnF4oZnjkXIrCJvcWyzALLhh+jBSuyjd6QAx/XJeP5eu cXLm3rk+Jn/3icbx+AAWqNwODiNhMN8GEuE1Wiy4VA6C6oac6Mc/g/wBNN4QgmTpMPBIcLo+3nZN eDsOlbImVWEcvCaLWqXMXlkUt2uDljjRUMGCevp3+zsgeDcnn7c1h+uB6u6A/R/l6sM4zAC6s43C d9KNPXdkLgTQGb3avyu3VtPAA8bitNIMt61FebqbCFUH8pDsLD0EpYjwXAHNy94mYGm1n3u+Vuvu e75sGESNvXKX0lhds35dFqp0vYlvUMDajpcPSATqTh77CzECNngAg4iCXPKZI5HHSYKRAq5WSZcV H+8uVwKwN4mBBnluN8oYnzdj8PgOa3mZAm89lhNGwMRdAgmkw+9gSYGDfqJbZqzt5DBdmweH2JSe AsZAsXHLFZEKZ7SLfC+CzkOdURcyuK9qw1aF5rw9W54AQ43gQGwxpmAi9YRcLaPzEZlCgESpQ6Tp xrCslhSklPBDRs6us9OlHYsCMCGzPj0Vt6/O5iwRo+blMeLLXqeSjvHZilGpT5NcdmI0AISCVvg/ 5A+9qgcLe7oAOUmSQerbE84dq5VZm+H/2KtBc2iFRCu/E54jBCmCxENweOBQ7/+uh5J7LwNh+MuT B8IyC848wJCUWajTHCBefJMob7JUZBXdfkxtOrIQsy//+yr0PWudk9i/a1kJDi3WlXUfBglJqt+Y WqJYxs3d3kIdQECcTgy1juM7ax9iQTgNeHu92pfrqM1Eq+FGyiBQoiMLzjzNYFE54bxX2NIjoRe4 CMj+S7zLSRluXOVjVgEwjpyiLS/CPo/O3EN+0ssH4NJ74KxefepZo89hBBIiKDFPp2XZfe74tDYJ bvaEyupS8ZXusfA2+VyuErcBDG3KznHQo1XZ7I5Q2J9K39ProlPnzABwHVTl355hC3IXHlh+7PKO rBUYYZNMvI7ctl/ooGLiGyGwzl4W20I/7nHHpJIfpGXe1T9O8FgtEZLvN6UVKdePlrzehjTOpZbN 34i5OZFZKlKvjn3r6uE0WgC5tIV43cXGf+LToSrKxB4cYSHKTsJTVPzUrA/5Vsj65L/DM5MAgPFS +04vUqCar3lBammQGrq1h8daRZPMWbMQolqayUjD2szKucU+w+NDvoQXig1c0dDE/yyVqPOfsRLi wYaFVsDuXg6tzLxVvJwdlRtcDT2qa9XT8YUfDgShPN8qzyXzNDWLVvRDM+dbKEbk1SJTRc5IDtOT x93d8ZKXjAiCnLp1uIEhKfqqgyWPpCF+iQjFZLxobTY1QdXXWFHLCtnJfbV+g4xuszDRqJqdDV04 QEgsrQjaCJVCqjy4XI1hdSYImgKK2Pbbpb9VBIsvtUdmgZ1sRzSbOHUuejFuml/y0zyJegMWH3di 90nJREsSvvYQRpMZmyy9TtLS+FIGpiLeC9tzeVl3lmT29Ti1PvwsuP270Wzkd4USN3yc3fvFCEmp OD/OXZLE0waL85i0TBa81wUQ7YNfddUCXF+MuJ8lycVOdT8qyFfaKWtaDaXI9X7leSguMSl2gtEQ PET6qiSYP7IphNIE9Ck/OSWLbo6j03TUxUAlW9qhYUupAuVn1gSd9gqSMKwMR/Jb+wClrUwE/7PH LcRANrRhfD6WKxUaLC9n160JA03307v+lPacjdEaZ+XqhY/Jy2WJroDiL88LQbVwhCCbtqv3w0fL KPg3myrhkgnurrxo0p7+821ngpad8lW7fWGy0S+MKiEPE0yS9ybCxyIdSVUiPc0QfWdgIZ4BUT7j 0fLWpPWWS324sq/Jn+eXlSTbUkvuWA1xxHkB0iXIAsXU+siNvQiT6xrkHuZah0vtfw2Doc3mgNOi bQcqSaUa9R2QNOwXATYoAEQbAMScFUqKVcgRMeHtsuuTRaW0Twr9pCUtNjdHanSparqqtxB6W0Si 5PakhKkv5gkJF1Kjwbllif+6e/AiUhiKPMGO9pXClu2cfPsIZZhBkpYKqm5y9C5Z2xmAIr3HKEJw ZI0efDKb85Zpq29WZ7Kl6HhClvRh9TEBQ/Uiqm11XMn6O/rFQpLkir+DTikJRI9WDTDO+WaFliMG z+v1NRWGHiuLlH5o8EIFn6sLfs4HI15xWZ6Ap11hWZgpq0aS0bvmvHD9KNOuukRGradwqjVXxUSc HGSMEg00QP7AzeA0CZaCdqYov+SMJ2In2PXS3nBkTNzGo32XsAbTF4sA6dYCE/bSTbEsFeJGFrR/ fwp8Hy/3S7gLEnRfrXMgC53SstEsXniC3pFm+alh2UOZNgYnJ2/QfDHrhvDF7n3ZeDPuz1rZ+jvK a2fNinYr6Quu1OgmabpWvGLX/2yVK4aW5VFEtKuvM+1xTHz29RQBSEXPwikIrhElsvrsvl7Xsm8J gaQzx5lGN2/Dve4nh7ayFla4q8kSJmU5jfHY9ZqkhvvtjWAhqvkVDMrSn3QjfAyRfvI+huw3gOER 8V0xssaYuRDFo3/uZv/fMp9jXT8x5t28tnImrqtUQf3CX8jhh8tf6ZprRKHfdB8lv5zoIPdbqv/a 32ZDv6XsgCkJudZhXWfzFW71f3TdPTz0PHduAM47nzaMUcy883+b+0DE7oOMFXMOYAEMYn5jl+iF vtx3aahEPuStEqjJQodvNTxAgqY//mQQMAYohXLGmfUOzu2bxyVzGnf+DAKNBxAGiggpWIjNLKHH asZYApONSWVemIujClUGBqjLT27KHtB4lXaani053CWkqoUWww48A0jW21LVjqKJm9QHlZtdd+4C it0jx2ifexGtCjux12C5K4oG7pB3LRxWHGDMBtkVxF5HmcXUu+h1AkirUQPvfrhKkJErGkEzQK+/ KGB23GSFBKiSfsfKJ6x5XPGWgBwL0gEdFum3UPVCLNLsbBxo8BgHgTuTLkGfgjNjoRJKATCn3y+k j3DwJDoowHKlnWrHh9ws9wJjkGRB8iLZ6pP+ESKwP+rcFr5qdKkPmaZFsawaxmgO9vyqj8wkhU1V dM2W0PoiPJyAuYfQG47/BtRg6FFgHwe7au/t8+fcQ+LvEfEZHsM35xDcy9KbyvE42o0hcmqDnmsz HfoeKbYSRfUNNsJPJPIJTMv/1Zx5RXbm373h0f4E3oSjPn46wHzoWexzbX6lhLllV6/qkMsXFfGN V8h0NMu4HmOSH8vY5/47yALFZNCbIYrGTcFl3Vpqbn2tGLpoH9ULG2CvnML0pdAgWkeTSlX4p5Ub fG4vAppGvNUvfRkg5waIBd8GlYQf89VUdehvE06S/4IMNT5ID1d5Ikbcd1HcKaF3kXXmqQavR5Uq XrXGKrWaFPv0UZ+wn6AA7SfB+Ab4PyhiI0G7wjrjwPtf7/K+4kmAFBpG28Noc42HXuUrbogQI3Hw ktLM9OFaERDAreIOH0L6D+ipSckCNbpYan8rj+E0B6BRRGynQw+E/xUEt/oIo3EHU9lTin4byKQz x2eJeXeOahbGoE6VcD+hc3A0IE7xnNw8fn4DIshwX48= `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 40272) `protect data_block G65WjiTr5H+4mfEMAaPn3EeSlzgvRpun3RlRSZ1zam29XoQzN8QTgSCxL+VYS9EBubuGBHfGZZXv UyrMp6the0N820U/f8065iqEYjWWRB+EwK76PKbWdV6Mmx5H/7YUkw4r/2QfCQZB+dgswQujGPgg VzpwhnNAUoesgvYf28RNvI7hV6g+WQBaN537XE9VK1Dx7k7RqtyId0dweHrHQRPHVm2AB/J6KOaX PWNqHlylwZaEiimrevWbPQuldig8kq7xLWTZaZtIDXUDnLYXFePqdaC4rDiXc3N9YbcD4NH9qMVz IpVZAODv5DbsdC3UBKh3vKiNMMA0evd0uO2eaNwhpjiZiVhZ2db4TrorIe3KBAxK415lpaXKIhBf FHjC0blMOKiMw9Gtdan9YBweaPjPPwdXIqdJopELDIe/XeF1Q4PUViyI3JB2dw0+hsMj3pQepQas Otv0A/gxqzJZ6BrBv5KS2Lc3DbR3RpnKDlxyUl93FFEKHYNSZKrfOzkVtsPASbt1QL/XP/a+CZm2 4t32thymoz/MQ47pFg/jlkyZyhMZ+pinsnHmHTgZ0Kny28LZ8JNIZM8FPmrCj/KA9mP5o9HPBvtT ID429sPiYQkA6SlOfr/DWGEi3Nq9Umwc+46EvwnbyXOZePfXg7x+vCmXzpxw2sUKJ4+vDqKeRN3O o61X4/3bkLrTT9hKvvZ7Zw/qklxlrwojj8TxoIADt8BcdD9q9gFyZJcgUWcJZj5LRsSs1b1e2PM9 OL/k8qCWbU3agnks7Ox4xN+KAeGYGcR/W7dk16breXrLlgpbkVYtma4r7DdxiNq4ucEUm8QWYmbF ju5+pbPCNSnLEMUpg7rvjWDAQCONr+vhLgElqiTTRnFVL/5w7FQAEAt8vxMSFYjKWqkob4XHP6q+ 9n9w5KIy3JAQpubucQZO8D3BddfvDcoilVmqH1yQ8j7CtRbS02qyO1yuSBk+nqQG1blA6Mk1XOqm hsiF8ITGcG3sbDbftgv+hvIXnI9MO8UWPcAunnH6rq7cUDNpTvpaVARLtjocC8KqZLSJvOHQCtPt 2nIoV8J12RJox9fyszU0VXjQG/kNKz878nZpFaMjkcRPdIwtiB9XZ38s1hUvTCbve0j/+3TBYOSG nlwzDNjXh4dFK4k0pBRx0FU9v7YT+OjrtMqZx/uGoUPCH63RGPfLaMvrgmMNv7BlaQW69Z7p4gIC +KjCp/UvVlHYsJnfmr490rH5S2PBHzTdZk+B1S1k2wMWMq023mR/cEEfwk6Ya+FOknntycwEXzwj 3+3td73I1Tz3Yj1t/eyEVaxN8V3Hu8iNhMvIfW97D92EOkrX8w4bWm1a6O/XdYhWGlIJFn4vokzJ kfTlU/fqdle2mKi7zXaGFALRq8Cx2kMm9uSvMXn4A7ozw8k6KO5z5swwM98zadgqMhWpZod228+i jpFp2Uql3tswtb0WKynSSC5NZoqep/Un2F6njSah//KEIwiv8++NxrKbqoA5XVscKYn9ZhTrv+aQ P1SvSFhaP3l7OYrsMsplvFydTEp94/bAzxOftGoa8FOwg2TKtZ7dnaVY3Df9hDXfrD7JVNSDRUkU bOkt9QaVJFhWxQyrn32vxLPbosHly3YnO2rqzENO7haIw1YExWfmDoG+OB6s6UfW+8AUae/TwhtR 5G00dj2GIxrvfqCvyal0Ohm9KBPCyYAsPfQX+/0vIm0D1QVV2yES2IaCV9RxmRDjCXHG7oVGhVxj ie/XlydAZjh85eulvPDWkGAuk0S9DCzhXYpXkjLD5fwCn0f0rMXzLxqzsfgg3moPk4WryMc1s2K3 WmaOgLnffwrVmZE+e8Jqo54GRX0mnpz6jWQ/UbVpgVtBDpXLgCtSx/STzkuy40xZG/nEu2+tVEgO 06qe/SecwO45tbylZUvVZvKneS/Smkr1Hyyh56qka64GsD2SB/snz9em0M7gUJdTzvhdZ4EmfgFm qI6kcQMy3KL2A02SYU19FBEzQUiZ7mw3YyaGIPhSwDFiXzu2eXI7yNkJWshg/k4R4UzJiZG4WJs/ L+9KcDEB/0mR4ewwG+cH8G4W0gKgvfdG2kA7mnWIYg6GasnS5g7wFYZej+3xZNSje3Mr/LAXkYka rYNjpFL5WLtdEOLvI/oueawF0e/MLQ3wOiF8Fitr37A+mghgec6X0NHjBtnzJXkjY2zkhRnuRUnZ E7X9H+lUls3YqonLQj7poadvLrMYzHuQGii4avwzRlkv94OagIBfa7MOND2ZrJ7YtppFTPUyaqVB TXtXh31xzFmVZD2w/+C5hdDYG2v/yxZMZY6u10Y1lLnvv/04NzV6eSIUghMrsK9lyXYhZa0jpjio SAS2CkvOA/vPlhCAwuYzA+Wh1Tv29jrddhGyJQw08E+PIctHkhBcCi6WW2rzdlsQWm3ymQ4t5e5d 6rwqmLyuYF6chDQibdThAbyPevly8jx2IDMlsWyp/wUDEKi+tZTS42FsF8h6tTwcQpX40EJoMtQu RzJZTnwPZi8dIM46WDgDV7CK8ChnoYE92Do/OCA+me0awdQSVP1wxjetAXfCnRVnjqjBVcmrU+SD QtTmHz2RZesz3h/9m8ftgkiaeAF8vN+CLKmRg+iCGyX0IHPaXAtRJsevD7naVN7Y5fVlIWnJIN7z qHoJ8BUxYUjI3QVdBUSkQFovI22NCg2JeBLVDFxiCH1v5BHWyK+g88iZPgPZzlNNIumhJOjnwdKo JMT1RowZrmz3ffvz+HlLCXbpR0BoIogp+9H9VfgOqJj4Lw2lU156NNVh+Y19ptClukPV4QoNEbVY yZtrFWPbOijQcHyQOvIgO5T5Ng+1Pw1QNVxJvgTd2KSMbrDhnYcLN0eX3sRuPw66ErbG5MwfPBGv KAFFvZ0qKKmH2ntL69zUTV48ajftZKmFiaz35Y/4pyPHn/pr8cK3VjSfpu2G3xQRVBPHKR9lznWu Drtq0ndr08TH/UwyY3SAZm3kKNndHeqMtnG/ymWCJ1oc5Gb9hRiFn8Lno02hHJQuLLoWCX8zY7mo EluTyAqL4//YkiT27aKBfivhLhUwTFs6HF42wE/6SXf3Epfb5tKSaCELc4SwlgUQM9rvDxJ970OT NMB8/B2eAyLxBI3gaeJYDdVeOUmbxhcnOqWPsdJtaDRKVXXKLPTQUB8+0X3SBpQZnBTgwv2Sx4tn xDUDo3MA9xitqWI5JgUllCjabNsJbE4XXdAuFPTkb09E7Q+PhUz+9b6a9mBrFTz7SBjiYy3HuDid iEnaSbos6u/0sP+MgT1ajd1f0lJFJ5IaMsv35mDoCmYqij5HkTX9rIqfLAUdgFojmWri8VFklfok TU91WfOr9VK+Xdp2N33lKQpVHtOV6atyD49j7nb4vUsQIwYQ1Nv/H7Ion9m/HNaqzHPByswqUkXj G4yGO+2+4GejEthsion82leGIoQwyFV7gT9FcUpYpoeQ2p32sbPwO4n51Asi1bsRGcsIU2Aw+D2e ESHxlRdAWJnGgqQm8kKqx9eE38iFVEcxR3yLQL5WKA6QeLeeB93sQ6jAndS3iALabsv/OdyW4xF+ 8g2bI4ZrHNjGgmh/0FgFFZo7YmixVc+BKN1aCEoDJnjzRDFaSFpwvuCJqpHHUxEmmJIVgOmFRbKY SLc/qBfSIxS7TpUrVaUCanpA+hYcFJ5xKeR4qaYMgpHXzfzUou9qnXn6EhVKIo8oyc4FPJFoOvCq OW7gh7VfR2b/j92rMcsl2fKm3n7/AfUOAS9JocWGgAAzGFjZJVpqFN4qHW4GFoVPKp9Fq2AeoDM7 m+h3nO57kp6PJxkcZToJa2m/X+ilYX3pxre59qEoq8bNQCSYsXn/KPtbmBI66s3iy5lqD5f4Rh/e ooJlmzuaLkha0oz30A5IoG0De3G0mRNxvOUN0EMG0vYUqIHUPDISUWgs8SSdT1LpTWj59m8HYref t2TL7HpKOG/5fjhxScIjddyPhwI+ITMGsB+XDyUd6ukk7NFw//U1wcMoZucce7g/vc0lGGhVDNQs UW3totRUNNl8jOTHO5arhsv4HDDAzrX6ZvfecePBTqg3OjqXaorwKwXYVo9LGfvg78Wz2/0uH6gu gm8VXdP77B/vn7gNyvEIojTD73v7JNWnAbVEmd67ZC+yPcAdN+dR9CGP8sob/+e5CdNSzSbU+B7+ d+NE+Au27fOH5aqyeaKucdrIU058RkVxxyN582g5edaj4EK2OvIiuwAl2z1g6qwL+a0LeoZdnsTX QAwlkGaTUHU70pr/O59G1+DG6LZZ1hqsNhfAI47fPNKYyqhubyNDKXHfmOfesBYYgyVLJeA7gRaA HjE7m3lxLdFuAt/ZIatpMBMrMey3pWf3jFdGmeyQ8dSV9ooYmp/gNUF8VL9Mp+5sM1lN5qjCfWfK 9lojYB4paXzd4Mvr5/YRNZmsW6QehDwmoPqbOcTfxv1nX3vVEInuiFfcA9v2Cqn39i11s+jqJYDn LWbfnkEjdcyXZtUso8eTBS1ekwZBfaf/3DY5rlEThy8yCDptCwqzN2MfF4HJEgFswdj0vu76Uztd DuhIuoM8pxEChqM+rhIfsvMBZl0EG5LL64CNz2Py7+SLRsshy6KhjgOPqv2agbLnRSUFKwj8v/3G YFdslsLDSI7zZeqESupf2im0nJbROyQo+ZNX4EIrr2LThotW8/w2rJd5YagYvidM9P9VmqHBtC4l GzL3QeugqCIbJBo9btPNCfHfFv9M5yToFErJ8ursoq2vJESuWPylnIBbspoG8Jq7QCMbjvjj2nfU DQw50sbWZHi12pqcqu3nJLyi/FNs6ETe8gDmpxvio+JqXVessJpU6DOKyyAEAiGoIXUEjA3zpE2e GK2XT+7gE5InVKFgFJEQcCaNhJxhJMhJzXEaFZU/4V2aUno3Ih3Hn5kxeRLKdnZV+eabWo1qMJgO OgYOWtR1V6Y8thLNo8LN3kWCcwapNUXPt++m+VnAgwxEyDLI+PeGqFga7JBPa5/E/elW3TvD7Az0 IQT/tD4/Sj1xDvK5lYIucZ5HYzrd1BnHuzJiqgLl5uIctUkJNlRjwSjL6ZhGhOFYIVaNthegN39i npge8Q7lGqLM8EptkJ8INLLHQy7z+gi3J8UBSSF1LpV4q5dO+MYgsPKEFnfnnpoQ3f0AyuHkWgro 92bc24E164BECCTI02QtzPU9xgcMGlpx7CG/T2D+atG5YGx/MHiTzs+YVVL/O3hyxVjWGEG4hRg1 t7+wzNh4KiN0pvMa8IMeKYPnmuZJ3aDQCJVIxkoclp4mScMeu+1WZ7msBUnRC4cQhBqPF+DDvYLI ppH0Jk3w1wxhLkkWojinV3FVGuLd5kCt7m99Xuz34nlFhXvP6uCGQjKbB8KDp6d+tY5TCXXz40NE eza/rPIykrNhRqwA1HXMKDxN90xdblhb0nqv/kQUd9xIADCuecZ/v17FM7VapQ+KyYNQFGiPiq+7 n0D/ky46E7eNjclxBb7L8JYqs5y9Aqaa+KZ6vA8XT4xql6EaAhTq19i5msJH4RLWs1oAgX89jXmg 1707nn1lB7FdVpbpXeRqpEdV6jM3ppcxE9fC6RzkA5ol6BXwAeL0wahsLCBzGtaFhDDa0oTQpXpk al/2RpPaa28mCTsJqblJPtHHkX7qRq0akhxwF36gmlGlka3/HiBBmzJs+m5xylxUlhYZmkm9CNdh aEC6ATGMNMz5HlWh4TpMKtdTnHDRN7u2lbSvoyzH9HoJ19MqkM2H/sF8zXVY0PwLyAqyDRh7JHfh x3MmS59ydBjm9SiqbLRkvO4vFHVy58KPWkhLPu1wY0kl9Zm9YioMvZAsBIfE/bR3A/dxKt+K0W2a SYO2H1mAlnDglroEer8EsN1Si4uAJmIh5bfv18n2MY1A+ISogtZ9mwcFy0hF9TSkYBniJP/nh/04 jBXlTMTqQQslnXu6Crha8zKmDVMeqKGr7+QaMZA4sUKkF4D7GHnGFPrYw/0NCAs0Yjhin/uYtc7H Q4IyeX9WwmhL9616I254ZLP573c3d25U3CudoduWFV/ol3728kqH/rXa4Qy8uWLgWodZR+jQBXEu 6z4ZCQaCuWpHQW4PiDv3lghaLvqctwlzpEYGkyxbPg9kCNnkl4lk+Doln6pFN+rRXj1cVCWOsJDs KNi0fuXFHz+MzBUkjoyqgUOpcDhquBLlAK+zqSNziGd8TdG749pOc+fdtFaJsjpJ7QiWbgGj87UO wCQ0sfEStFKfT+rbn5k+04RRkhOyLKYxH4M2RuOYibNgM+L2DiQsK7o5FKkqc9tGVPwg28R+LFry xjAk/uqTOhg4kDSmvmTn/sY4MSpl1XoFx61v2L1pUPq/ASvcRvdoNzyX2ZbjeQ3tHNY5hMryRIcM sCiYATxKmyXFEmpFM40o9R22f+7U/0o88m+NvaMXgPmGpTBwSphffctP26I9bXMtgtnV3PspMMeR Hz5MLEyisFhYqOL1m0jkgHG1XfPKi0AQlLEvxYqLCciCXST6+IrZkGx8G3UyHH+FyE3y+qXfw+zN UusRqxWVq2mSrPoBGANhgssjazQ7iAwieyBADtqqFzm/Mr9mHQu6ZkY1d73UqJU1cA5S3Uyi1jtD H5aY+OUetwQ1i/up5kvKHwQut+WMylyU9iu11ayZEl5orvKRJKES3X1JVViR9y6Rq4IdupcVe+I3 xI3p8ZFdminyrLAeoZiyogKBDO3AWwZXiFuQKce7FGiVRMxt+UKdvjf04fz+Xw742YwT2wpRvfja x47K7/cE8WTuj2QI50F2aTNoS/R8Z/jysqOqnsTu5gAivmz8AmY1naebavBkwJTi/8gz11CYwjX/ I6NTsUz2MVgGFHPa+5Eloe1NUOt/1Ze8JX1TBL3IFRfoYlHutSIMAF/zhipJC9tnHynjhn8fhC8f 6zrHFYW+KPizwEJ7CbsUMokiaA+fpril+muzujYrYkMZKSX00JH2xzXQUEWbF1GA1zU8u9IjJsoU 4qNakjrDt2DnbX37JtXppZCFfUse+P23wxeHcyAQiyXBu2HhSxmE8LoSn1kZVoqHnpt80DtzqRII SygrW136Im+aUEa8kEi4gyTnwpXvbd7lnJD5Xrsp3Nq8emTrOTfxwEA678uN+svQJQ8iOOiFMbu+ H/d2Dgn2ORO3la7oEn56RZdfoJbdgZEwRkWoDwO0I5wVc5dMynIW/IYoyYheCzxfrnFizje1bJFS CzVlR0jtzPz1jn8OThp+0its5Z8UaQgJB1+YNWf+7l4BJF1cTQdNQmgWmlNeL3z6zx7OU2eTshQL uc2RbQmI5Mupur8Lr8nr2im+6enX4n/TDInCjcLwD0xjT6pPhz4HDAYbB9keHwprzyGL/Ch/YDhg f2iIFRHCHiGuqNsXnojugzRAApS8OuT6ZwKLCZPbz1u7N1a30TDSCsyV6Naps0gTqg24FA49qXxy 3K9ZReZ5IpopPhet4+PT/sB6WkQLP5Wr4MH31NoZ69oFjywHxPx0DfhTsBNVHBiUh+5Gs0jXZHqt qicGhdI69eN1ndOZkP4pnIYrI2n4J/uunAYI6k6v/6RD8Zlj4yxa/aGWc/trzmxAJsFvqpda81H5 JgSYbE53+8FqJAkWnF8cGuq86gzioUvzvxbRxYRpo9ArQ/g2nAYQOL6V9y1mM0Uw2JgKRLEtCCkC 3GgJpsjhMWm9QzCoKI9UVOXZYf67hNGkvcmwpRO0m+iDJp4fhW/2p6R5k5s3830sDfyrkDpNr4sW //W/Cld4KmeqVtgqCgzpeXKd4IbXzbG65WdPQ42d2bBD15p7igYLFkufepJzxLJZBDdnt4o1wQZk gHCC9xVPxuQbcgpAZr65wc5iuEB5u0d4giJUTfbeivjPx75G7/5qDPGbpe8t2rWZLS9563yPtzMo EC7oHV+nqVuTSQycC95zMLwzLzV4lACiwwRryuaRb8F6jyQFdwcVmOrtHKuqY8G6/n8k/qwhftRq nWL7r5Ux/rgitvUcN5nw9ZCzOPzFknZW+wbXfr3SLqp6ZozRXLRItN9Uq3rEJpNqu8aoj1ikjncJ vFhDJKjR3f6YI7ipPe92UaYfNb5z9r/aJZ9LD3Qz6W2/64GFIHp7x0iocj3pN0ZcfVAatET39WDM 5nzX1+MlDhV7pIClJ3e5P/4mFefbfm8nt4uyZrQfkRrQWiuEehk3f3fKuHNhpJXjadlOP3THk1Zd 9eml6SqdH4LQRCOKIuYx5uGUx2CjCVZmDnadDNPsAjpMLLofWukwtLrYTudhqkO+SShnkWPmZ++S rGlEEDaw+LorRyq4GzthvTsgtsaGPeoizAQ+yeTI0FbGnBUAeaiEl628zSo4JvMOpDTGSfcriGNM EoAAXN554Q5zO5iZmOw7Mq5vBWfn1+jSzvrGKsdGoBa4xFwfGh6SwKd40u9U53Zey0HyPpUzNLvy mGJYcevdWtNCxB8uwbF2Ew4YeT9jzZZVR+48d8jNzevical7HSm5K5OUV9oPBY1MLn+y1JYc6zTT hPPCG1rcuQgkwCrZLOrlu8gblSqSbYkQAs+kgNLXvOjcU7D+2V5BuNKUbRWabnmvqTXQdpeTZHrK b1UnxSxR8gj3fiMZb5xfJY+KE5o8T/rQ1dQF8pbDEK3OhE3vq0mLt6++cnfpaC4RIWWHT+dCedxf es08BXQqZ24A9jTIFD/YddnvZjWOAfb7hM4EOWrlZf/h1FAVp8AwBXMrYpPurtmda4BNDf+YsJ96 nUoOoJ3oxgJ5nhDVCGuShRts9Vlyfi0By/sYVYx0rMr2QCTqAoBcoUo9nX4/uJTTFv+z5atPQy12 eWXW2IDXsO6/zUBVR3CC4v3Vh3nium/cq7wyl5cDxQVlltXGchW+HA6qsxaC7X5ftDgYL3HH1fMq tHE46iinTu9oauw0HfTzuCU8F7EasnRSWrQuXy+h833ITU0EyIBlY+XWgfAjiGe0QetSIFPiFZIJ T33jVnl9F7qyOr+KyTTwv2Wi+CxzjI/PtJ/OIy4rIrEA1FLg6DROTwnwT4sa1AFf6JH13H3THkmJ 16qrY8vg4cUiRNmIRK98SJ9qF/yHSyPhbM5QlUblLXNbRtu6GHMKe98egYIQc0EmYVIRBWgBkO7s N18UCFA0K8jCQNMd3zYVQHV5c6qsWWyZ/ZJNAMd+LRfD9OC726xZlv23utA8xMJPliYKyWkwsVTV IOL2KzwkJhVoVbQWjZUvvIL3e6n5ps85KqyWO+O5wi2WclFV5tXqNgk7+i2yif2F/9H1lfUuQHtO cKF7VWuL6FnY7t6nYt82gv8u6We771QoO4WADxCW17BZFAwUD3K3WukGBSNHgcBwUJwGOFDinHOQ x3eh8uouOAzagdi5WycRcO2bFpIBVAaOpyUTRSQoT7iAShrvqT1UFM/z50XY+1gTM8kQzmv9bZNf fJBBNfYwOY+9PVIxl6UXJL9XrNTgSEcySaxuORsFG6rmrUnuGMEy9I1K/XBF1Y3DqEjo5mlW/ZdL 6rwBtmoaxB/wzsCt9BXQkaIU+AFHTGg9ZrMIGykQvHPNkXvPfSIoPNChRRUil1tVAEc6HyDUdyLM 0mYhqJzYnlwHlqw21tQ+8nXCRMPg1WLJCAfffQYGo7DFdEME/PPHroVV4sFWgyjIMC/VTZA/x5lb 6PVGXiCcsSC7zBzCJg56TdIhTdOuIxrEB9Lr3IsCmce+UQ22awQucK+tTEwPXV8A67yMuNbZRSlg IZitK/zo6pn7CScTobcqP+tOR2VT8FTMoCx2QFC7c1hwI+Etd8OgZcLbKMx0c+obXJEfNG+gBAKj KsrSAv6M/fbH/F6wIP8BfVS3U2aJ05RYgNU2Jsi2Pdhne78n36V7iJ04RropXmmeG2pfv+ZEg03C QZOn+WSTc9LIfa+dvs/bTmrF83B3f+v6NZxxmEFqKDtivyVLEi76gDDrcyYK2Db9P9hFONd8ku3V Z9abznwKOji273d6daUZDKLDdBC8lundIBq5+YHu+iBn3mhMAYpf/nLfXI3ztmVxZVi1opEIax8i DFexOkeoe5xFCUXrnZgsdoWEhD9AY/9fnuC5/jPa08ZWGa6Gk9qEbZ62QuJhbYmgcRlPbTFmw/8W M5fhNJQFZvFAd0QikIWbNfIqxt6xVgSSJ88ILWcbz4isYcOB6Sm9lwtIzeiqfhiNVp7AoJY5nv3a jIzTUOPcdP+ZndGCd1Isl/MVaO3YNTry2l3TIIdd2NKxsU7UIKjyGXoXyP2Ln98aYMKwG0jNh0UB ED3xI1olOpcjgFyUquxFMG8WVUuCc41pVofpBnZDILmUzRmwnBgcNPsm6xp5hoVfz4n3t5wZ4yFj +Nl3KavwFvLxc/7e8O8w4882xN0U6wqHE/qJXYjcdXfVDvk17UdD9k6lI6TAWcSTIkRJCLAcKUgr NqmIjod2GO/q6LdfcLmkKIHdTV0jmHpif5s6PGs/eCWfUolc7cAFbKC3xR+fO4ew+3l9UGgvijfe FNhF++KhUA4m3R8eldPL3a8/SprjV/IHoUdutprVxo7Rth3wqwBifRO0yBAXbZK+1bcZKV0nqUbt +r0nytgf9gT+ISCpzvoJRF1j4H697uJlWo/ca2XdZM6XWZs8UW8k/umAVUozxdx1MSas3+7FVLAl 1k559IXHJuvx6CH3I7jEDnAoMAFqI0nR6lEU7WfWJil/mg0gQNCjN+APsC3i3VA5agzTDPMoanBS thWQ3i1WP8veFu1bqIPa5Nj3weSFtvrGlIJGPUwqyr8jwvEBGM0z9s+nyCO+m9/or8otX44bpTUO d5GSMzXVFU3ZLKSrgBoxb7/YZC1Iz6QRRALMJJj9/NYPGaUdfe9+xA6xMTVhrDW+CtZe6G1hntcL kdkkcJlHZz7qvbXCedXW9P4Yks7vQ6KoJwUoYOw2qLrnZv9QDv2I4fXSgw49bemGIoyfO92qGqPu US9Y2AuZ9qLQ1P1XrAmrYF33+Q28WK3zA4KEAJQObwpbuRvz4sY9ji0uNtY+IS0ScwCec7Utc/Th PvwyysDuh6KEQIDjHgYTf33F6/Vw+D9PNyOE+CTkKNuecPSxloen1W3V9mWND8SUBcxQwtTrHyYX ecW8Ly710US+5t4l7T8LL2ouoaka1qbWsT0ZgjRLFe5IkYBLdm2R4rlBU1G3q2p9P7Aj1kJ07UIi Bt/LUbI8pwkrGar29XU4d/dbxdcvtmhDoVA7KcLX13J+n/ZdyPN7kQ/qdRTHD8UzeDvqsCpB2Hb0 Zo4Ksqku/YQrcre/IkhhuxpYMjP0HWdY7TbAXkoR1OlVrXHeGKHjeh2gWf14Uezkwg3VgqxahV7r XFgRI6ehdxCoLmtGK0HGWR4qOAaOYqvW03u3tNDMYaL0UKWyYJvx4wsecS31sFIirBh6KVcN/AAO B+IiJgj59LtJ4xT3ZZvttSnANtVLxaKSGz/xA+9VBmm06rZlXJl9gSXUmBNECiSoD6F8c44iPXeb 7i+Nv7BGP+DlAM1vWUIIwl5FsS8huNnVlghKjUesIvxm/uqOKXt74ZLX5cKPvj2AVeh4yOoawC7j 5zF4/rBEzvy4wuij7AqSI+gCKzHkdxA+Fivlc7inlb7379ZIMhEHWNP35L0O2BK2cAUN/jvdfnJ0 qh/ylU7OwyJDQB2rpZKFAts5n56yeS1QNZ2qFE4qjEpA9A8idDS4ea4FvC5jKRrVni3LlXch0ymd 5yECWPynAaC6yVAxBUY/HjyMqfLHL4HNwx8qn3V1Uj2Xyvi/mik7R54wZX1rti18na4xN2BJ18YP ABxUPBiepmoM71Dl352FZ2Gl4DTzhKHcGX8ISfJMtFKLalVezmM4mbrtapUMb8VTzwqR3hHsBk90 ZsIdolC0UmqPjVQgKTXIIHkYw1VnR29nZLkkI6kCnENMMJ09Vgmm7DUUShAJyO+oaNn5NYhqWGta S329XeVdQlkS6yUZb2GTeXth0/a3zW3Z68lB94I8RmTrJXw2AHBwJyxFTy+DgtjgPCMNqygYsUan ADIYonhD5YVQHP7eC9XC1OO2ZPPZkMxS5EPtr5hPV+jGRMGhtLliBHIRq1bVbvVVY3dTUPCPfFyG 0mIjUmjQJ6Gtjl08B8VdUmcGLJ/7Vx88qs/Gl5F7sHDaW/AkePJon9aCugN4mdEm3ShM9Q7vWDHg bmt1+wijkU/ew++jaM5STA7SeTB99blI5R7787jPeY8kfEQh1h5/GsuyLYDjlam2NVB3O/nhnw6S lSLFEvy7Rk5mPz6Ao7+5tswRNlae4IYIu0FfEeeSDqU2YfvoKoHAKGmK+odftEsiFwaTb4nQkiRa v/zQmuusWNTd5ZrlXhXOgvb0E7Go4cwTSiwlwqIh8Rb50XEp9NjrYV96huXQ+nFOw9UeLAvn4P7n hXaChoPW5Zy8WS9QwpqWs+QALw37i+My/N9EmCnWfKrUNe/QRyrVg7rIWMmD1LcxwSR23lZshWt6 JdOrmsASTL5sn2ito8OpJQn/4mc3jUrChrdXc5s/HYtG9xa0gAt2KSsXdgv5EP4zXX/rL3dkGAnr ijj9GI9cDUdqBpKhyIg+MYkOAKbkh+cnwEsxVBGk2VwWrR/VfnZDpChV2fF/qN+LQVj5ZYidGWmu yuyUvSgo9UkgUxfbKE0SYfmyjjH387ua3OVrjL4vH8ek+clnUQpL5TYnjIiK/pKzKFXW2gUXCLOb uwzzdGhzq/rub0fHVRa8vZ7kcXNUWPm5+n0pzxKYcewkp6acMm9f8J/4mEm0NrlbzlMhxYQ0biwi pU4TcGPRFbgpJMzKINV5DiJJg5sHpyy78+R/pF7ujFn6h0goej6O+PBwpS+12HuXXB15aqT0yyG7 DpY2LDkwRpM8625JP1Tdun4hwAAh/xqKvKMCxCNyb1v2Dq9TtsBkM9pJcHIbLNAaq4xM085+C8YA f0MYbXGjgCQLrLiqdKu0cT5HfkbVVTEd8TRiv+n/PkU83zXcw93RectaSSBvL+GGG0Rt+T2COzgu /Cl2Z2ygeORGVXaD3c6N4G3UlrOsiYbwr18bf7dbpa5+2E0zZFfNpAYaYaGIt9iTAjdW3k5quJL5 pTJbc+97udDcZ2UdB0pcWAJr5QEaLGTYdHZkymF9/XtoAuyReTXryLOIhK8XFPyEah+YezHechT3 SszOu2pf1NTzxDcRyamdKndraRJQftrCEeIojCOVTpfSE4ELe21kYna+l8433/9jeLVeJ8CE/CQd rPCXEIpp0d7mErC6qfg4C0+Z4mTNFTOh2XU4Vq/7fXV0iuYexVr4byIW1IYWx3AD62JZHys1WaA0 3oSwqYoyC9ecNHhKe7yMaMhQm1pe5o3epVgVujkMi5ArUYfMzq2WwIiIKDmnljpeLCG9kXD2T0tF dpdA3FDkZt4eQD34zWeQvYTL+dc2lJQkA96xJuLF1gyrwpsfk7J6rjHtDIsC0hqT8FCpzkkf5baT i5K/NjH3uC4sbMDiay6rJalZz/ccfD0fwlhPjvRPe5htFjn89suZ5cgfqV4V9u2ExGLZ1iPu6lzm CrsJCdMAqvloS/vMnldaRFtIH9+HiCvlq55bksdWtc4kBzrBpV9U6aQml+9Ct0/DYB/lsf2nAhXv MueloqN8QVEmSl2fNcsSZwmPVXGeqiP5bSt/MHBfEX2uhZpmWI4d6ruDIjV3hkrLwNQ44t6ACOId caoLYsLaZT8uFvbG+hfSG4++prnBu9ZtKoG/49DT2KWq5fOEEJVFMQwNrCYTdPCAKVLl3kwBj+6L 1RigYV4KAi0HdrBLhAM7F7OWwbzMiOzPRwbdjKqVxn49xrCc6gGoiejti6Le6wWbjve4jffcunmh TyF9p8v/T5DyBDpk7Na3gASkScng0SVFPN5/us5uYXbphY1OuzsvIasawfxgwPxRphRagww1bJqV jgSYmBEWz0LPMk4WXK6Q9EG3GQXk4w1rLfszMcgTP0cleZZ/172/JNI8f3zlihioGzYGv+DODChz PiIudNMn4Br/O8vv05fIfNeUqvLnSq9D79cyInEJ9EqT9ZIeMt4XuhF6IezQAZQM2jGrj+ML5M5G YcqgQtJ7axvxda33JE40uYGd+RJmPLCraDGAuGHlQCzNEjjKhm6dvTPMxuuI1qsyKZlQA8q4TSla 0Jx3WRnkWW9peFXBxBT+p4yXfFsjRcioVBmYJ4FMZedBYU4VYHcMeekkUj/Ag1Xzxn96scOEK0V+ dJku8EXfammyF57FI2SkfFvqvbXMpp25kTZQOrGzIA44fAZVvxAZmHDdDHlhMcJM6VJRjuY4LR8+ QPL1JqLeHQ2fnkeWU5g4+2SR12m0v12Lf1/5qVNS1MGTgm4feuvDxS3zSOodR8U2PgWgzMf6+P0X 423pxyREUfVX31FO3dPJ7/i6dCk1NVvNh041AcYKL5FJnbOzYkLMiCBYkcI2rA3nK8iOuL0Xn1m0 68paW8hPY5Vm6eRQxjPKbEaui2pO17z7f07sZPIJG0bvVs3HuM18/JqyfxYCKQ6IuxhY5k2ouLsX nZE8b3PZeicr2174JbIRDA/RSbumHdY8DNyq/FhO99XzdfhM+R0704QExQZtPc35n2STpLJAEFaq SPkvNgND8ke42Pvu3by8GvkjeVeXMXERLjp90xNIikQWdrVXj8Rny0hvaC0uyy8lv5w/pV3HMEun Drk+UeR4HKI2vJ7Uus9M2haisaIK6ZU1miv+IdIYD5C90kPqCioxgJvM81jJtzzsGKtMgLs7AgKv LQd2c8utY8DMLP+aBh0xEqBq4rC798z0niyrthHYsN2NvjwkDtP6Dx0eJJpLveEFEsiu8TvRW72L z43vr9ILZvzeOKdot7LIzh8rfE6r9ZHRIbR8STxZg0ORU7NaJWGL8KOKNjsYUdRiD9oBS5zoxQAZ nJcdtVZiSFzbhiEsa2nMw05i685pyqdnq25TYt024VuF25e7Oxwl2gwYBdDAKInvV4Bxp688kote Cx+e8950BPHe0gEY35PlBTGFgTvlM6NlWnR4slmVUMAy0mDxThJvBqjp9fhRrfNWYBJzqS7xe3gn EA/sSqkmdKxvyzV9UUoJAYKeGVHWObbsomKAq8FQwHHfmmBxJq8cpK5dCvDy6LU1kecbRGRCEAOy yQswvGVwdw8DBAZZgPJEKHvELHQSXXWkrEDlz9ubNHWKLCtBEG4r0LDBFbPWJygmTzeNJdbUdLj8 VpZ2hDgg6rXZZF9bzrYp4Ndrv2KSmWlZyEFLhSBcq3dcTO4JtpZG9hwNRfZB3ifEpUaRz2PPHquo uviocCjfsuWfeTI8Lnyp0HWc9SCFUVXSF0/SW9A9C3GeFobWpdR6bELz6GkSAk8HJ3TNLjb94Rdt vMEhe4/cbYDf76k/UwcUIt1LQo4MU30CYwv0oqPFHa8WeNTtbytLmWnzMxvqHzN9uX7c7f3DWGQI iu8zCdSiA/oz2cC4wCmSRfdLKWHiwPorLNAmd00pk2NBaudmBwSxob8Bpzpqa6TZkdLT6ksdRkXA 21RJn3b3N7vQziWxqDSG7cojxyZHFLnOl4ww2t8UeP8k//fqf5P8Zzl76ZySl0Wqrvgj7/VwOTVP ZmebHgpZL/iM8vmREsA0I6Vf/Xhsh9/I8Zw00bdJAPXHJOP2DzUinaip8j9Eu2UtgTDkMF5iQPuF fIQ4dSx2kPKDkOMnSP1w81wkjRMVtoJxPYd7k1UtSVjKALNlscHC2dTE2cXnZ0D3IKREosw9q+4R rixFgltxB2WOWEx9XDzca7uyORoq8P3BfQzN9Uuweq+Y32lbxDZo2O8WMuQM7kNuN573HyBkOKdA 1920UtHCcS9z7oqmElAXfNdiTWM/eLqE8rXJbbI2rWuKiz774yzR7KMZY3qg+fMBnwhmSliVKiKk SQioeSBSQFjy5bUlcFLg/nfBHZzYj51dI7F/EIbVLeFHObDtAg4yvSUlCspOIOZw8oVoLwAWBy+Y p3Kr6dEnPCQjNe96dkjVFDSo6B6vWZ83JPv3dxUAiq/HW6Lk/qG9wXnEWVwnSvVi5qKzIXPggUT0 Hwq6jejLH6XuiKrZip04IOTLSVnZ+dqUjEXArN1R9GVf3QvSTP2LSOnkNl1oAA3y9K9B7/OBQlW5 Hz5/zqmIjtNoC3juUQ5W3XOIGx/8FG4c6lZa2vtb6W2TLsGSv3onQnhhiGFks4ye44Aa5tqJOQYE NjFgUYD0sunoLxSXLZfYnkcImDYmxtY3j8bptnwnLSqPRNGcYoMXzuzYenV5Uz1JiHSLMJtEEFOG XLIOeqZmHwsjBSUazwo36SnnwwORq6PfxEtQ8cBH5RG0Ytc04rxkMFyhTKBVnhpc7lpfPDt8KxSh 26Ah0W8IIo6M/Hb8vo9Qvx/KFLbKwwQU145FJKcv6V9BzmzsjUbSNlvvcCO69llfAIMMAJsEU8wo SPTd+5nJvpri2t0I8ZH1JtlGxQBN6eoHy0lOH8sMLrjmdYVtrW7+oonbrEby/WFByKKkaM3tScuX 2Cj5iIh6Frlt6dQRkpZ4Cm2MLM2dbD5/tdNotcnXawWk89UYn1h8JBQd904JMeC6M9+zffq4vFmj q2HetQGAxGI6uA2bfPMXR9UiETA5FhZuRJjh/eVipnZjAXgvqdU2POWbNm0OZTogrVvAcriWh25D c5o+ENWfQBChonEqnqvTLzn5dzNjyJ3UnQi8jM4wPDYSctOn76JIjUolvPE79HDlb00Pwjier9q6 gfaIV07LGp9N2u/pFt6+Tjc8Up2iUcftjx+aentJTERNFQwjEiDWh2MZ+zIQ5/kDjRypatwjFSBB zUPeEldu6UiFs1ln2F6Geped5OkIQTCFGOoVbogONGf3je86YMaqmvBV1cn7cHSD25/fFBf4+mBB E9HPP5b/mgNVN6mXe3WjEkjJ+wQ9vnKf93YZsyEDTpxDp+Fkiuhf/ZzI7x3i22QrpvClkHL60sr8 s6k+JCZJLx66mc8NLXawFpUWI2wCdsqbXCbUewI2s8vP8J2+dHZDUz+43QUTg12uQ3m5CxqjTJbw 65ixoREHcGmPxiqmtu2OjP7jMZwVEykqdz7VbBXemg56m57jbea39c9mesygckiAnLxKA8QYdOBr zx8Zp6aGXNEM6bJVTEd3OD4vDUiUC8Bgbx7naNjOcaK4MnLyWZrpz44B9059R8CkXMFXQwUrW3Nh 0FkVTzm+NNTmiM7zMv3teEHgu7AQMhuN0BSa70YDvFVmIq6e31qEv5AVB2PmhYDXr3CetG2io2lB grXHWwxQexb4DSkBcV9rg31jKWiT3hiJl7idRXB4NKZpev8DvRn5B43fRbZCFaFg0ZJ8fxtVqK9E wKe8cLSpXyApRuj6s9A+Aw+i/jjtRk20XKQcXsiRNlEJ+npjuJ6M44qs36I4JN8p+FynReS5X5HE FRy5T0wtQl1y30tCVDVpJPOyE7lHG2Zy8soVwOiLcy0CED2a8lL5OMX04cJUQG76fVuGXE1Dpnha vn0KVAtgAOOBhn8bfDCqQUURsX5+Hq3PohLcy4QpnNVH4kWpUC+5xJz3f3z6uOgJxD4+c+nIY0tj 42wmTfj8z3yGBmKinS/eJzMwA5lXDqIX+gGQP/ZlLUTmT44UZ2Zn0iSZgDC/kYngrHOnbQ6krMX/ trNO5AlEz0UhKWFkRBhapZTaGTeHuNfwmUC7ke/ylXnPNxGt+OZyWAnfCkKYXPtM/IfXMkbHOoMO LJH5bDZGoNWHkDHIkWwFtX+RcU00gwHhlm9slY8X6wBiy4mC3isxdmlbiirhw/gxXvcVldS5/lxq agTIDCIiH/4j/RkeM+2WliIfKocy4nsFq2fEW/dBihslNoE4RFBcPwbaRpwZ5hepTw88/qzWQk72 mFJzUHWyj1pOW/MzAWTWLKtWNxYgWBBZ50NasCf3QlN44zFm68MRHM26XRN385m17T432pS5fXXg gQ3k+yLLNdb0TeHY70BA2M7gy/rbp8Tqlsz0yBL/fX/G4QLst3+Zg+78frDZLdF9flDTtzEWrGvm L8cL3Ol16M6Dx12fdQvS09TYZ4JhQr1vzTI9SCG+LWoRwECovZDstEg21AvkraYwjg3MWFXG2+NN IsC4/pgbFn67LNCMrAkw5+vR+mRaD5vAlsvAVvmtkqno2RANvMeWnJ83vLPRfo82FilzHhXdMFi4 LqJ/G/BXK4eYy+252B2aLFoDUxs7HfkGnyPIYSxjWAl2MLxC4vOwLE2bsjOyII/bpy4QnL6pWQLL 4iSFyYxqOq2FXJLB3n4dXfNc35M4GjtU24tbc/vXB0x2Pr79+9eBeF6emDzI4JwoluCC1kbcmvi7 aJrseUlEsF4acf2MxZEqbkUiJu5x7+lpMlGFQJ7R9rECSJEuzDq0xdUV9qHD+F3tsFYQkB0EFPJj 3UH7l3Wm6PrhwCOoXndr1Jgoqqh/pSzAjuVbJ8D1J0M6wKcHdntMH0zB+bT8Sxa93Qz/EnEYQEwh Id1KDs4esoWRYBtSy1w70etc0Ohmhzwm0eO6SZTMTVCY2q0OsNYpEswVFgiSgieNeY1//PjuYCPk DPz8ZTlxalxYI8d7ql4vDXNn0zw85IE0Itnla2g0GpRXUbkmLmd+wM2+QxCdwSiShGyrFqnLl66p 5BZYWgtSHyd3YcAMnUF6WClNsgg5Kw88dS6oSdpVJNhyn2TZXB6EWSYBis9fVYMRTT7gVqs7YiC5 5cY/bNYJ5c/TjMdAosZSf5MzS4eVlvXKlN6PmBJkdHPj69lmJELWCE+cQNOdASorhYfjgDHCoIF+ rrqJLZHT/IW9Yj82C0Mrf8C5sICyyDWAov8NQQr1n4z9BDSIhUCIQ+S03lAXvdaycbvWwylMVev0 J/kTXlht7iaRmQRBF1oCnRvnocHWt8i1ADSvSGhlo3OiqL6DSZ3P5HNkVBKbn1Ky7bNM5+/fQ7uF JOkBUqEQzvMtQpTxk5TCXuiKrp/mgiiRKfeXXsf3DLpZEuJt93aVZQBufKoNyDqTjIsBvULS9IU3 7LPEREV0Os9abq0oAih+g0bDQPJg/FiMpPzm7dslQlJObAsLOaNP+K2evDUHSYSwjtWGXIKbgfe/ hZ1N9OpJxpsXU3jGugBqDMwr4tiZAaC1mXXTVpsEWidtJvN+TKP6dwAF4STG2/i8yT3zQiczIkiP SdvyTKKQsVFAmgseRagRcLFB3n9N+TNIVJMSTZ0se+7x/iQ+ry9Zb4CFDVBW+n+N+MD+u+9IH+Vx ZiqjOtuulEEpQhGFW5+TAQxH5pQfWqJfN2lXl0FaB0ya1apPDa+tUBwf77P5DTLE7D3g5gRAwEFU 2MB/9JSK2f2O4ia8QFszWyswdYpASTu6OHXQI4uToaD84NheMN+ZlTsuZsmUzWwuunNVtSvGfZdj d5FXccVA0NvGMQZzy9hurAAtVQkkDEGPa6lz5fy5KtUEAepgFB4/UptJGq5EvqAU1z2iZa/8E67s 4nGeonfFyoFjdpp0XVuNYBAh6gjQdkrhfSWuZ+uW4T57c8Ej7e8NDWqEbk9chCZXu0bF79TSS4WF hbeBNr59jQu7lJfKy1TJtospCeQKmYpmZDv2jm3xXi4Cw8yDVrkwPOznTdNTARZ5GUPQFumBwEVz 19CCYko2EGPnec2kAh3OHW96BIr/5UoiAddF5WDkVddTVaMpCCBiODyYp59aDTUsGaNNoQd2ukiC nT5zYj5xjTuCsIBPxm525X2nvRA2ananPQ8bmQ21iMk7n7tab72Yq9NAAuSt6poYPZlz9iAmeQWS gC0pQgCaz2NN2rpZZk7XKzn1+YpC3kqnVlQyIx7CO40ZfbHjTnUzWADwS9RRy5aBRoskGj/MEywI +9sgEbf59S1cUjOvrMAGgUeOIVohdp1c9g/M3Ojmr/E3AXR9SX4TFZZRCQaNuF3eu0LwHORwz836 RMf5ehL6oz0zZxH2DQadx+TJhWhGDnqaKqjawaI7XSEcGLF8bJchdfxmZ6JxgE9ZiSMmS9RPthQ2 0CxwvPYdaZdcDl8ZZfY4UwRSsB52wfSVdUItVAeckgooF3fEgG3g36HWJcvEgGt855uF/7cipsjF vAWcdrvnLi5FXPJMWSAcM1F1pktVJpcZZudmgXgrz7OGhnmbG+fc9raw5+ivg3ae2tqUt9kUinr5 MTH10ddkKvWTu1x4MBAHSAbRX0XZ2W/UYsUpNAgNEorKhYfHE9Wt61Y3EduNqYD3+Tc2zWeLqWCx mwkIE083fnX3wO9FKX1ZiPGuAM0o/eg25eIoQb9uVZH+vOsSkvzJo9nW9BP8XtPlN02oRiFxDcHz RlIObqV7+FPmlfGDCt/8qBPNC11ZhC9C4wt1G9mc3BgG0Xt8EWfUBmjMp8PeIooEg8g2k9kzZ0bp VhIJyxMsWmiUtvq+I/cxVrKTu8Ww6kkTq0Oj469E0siJCWcXfluq8eq+dMqd9WxhRHHrNcwVe2kb oAYqAY3fSleGkA5QlYxwYBROT+ePU27xJvm+8WuOpXfe9CndGobi+GLwPwliCdtLEd7ntsSaNsPd a1kOkjVTXJQuxYcjz6saMOztyjulS/XaAT/yg2+RbaDxyxt/3/7/vJ76yAPgM0lk+5DqQQSFlYe5 iVMrN1ANMqIMiFvH8mVJRSq4E8Rf83h+Zx4QZnSDYPmQ25MWRX9ak/PVsHu8cFJP75ncjuC92MrG HkgHykKAxZqcZrglObx/UF5RHUAY3SpJpn7x5na/+VUzRI6D63HGcO1aIfnm6+OEsEfvtT8GAvKo wJGtlm5RCIhsWjUqh8X5GSkmldGBGxZnRQFr8j4poPbgkVuJ1jAABzL/e9sSAllBjqc/Vv3rKCRN qcZqZr5uS7kc3kkjumzX0LU9lojdfD+yP3oCZQWowa7kF4L8I2coZE+wlfAVKN4YkeHWl0yzHGCe 0eo6zrxVZpFfhc51ynCWDRJ3Poz6l/fujrB5PgYBXAZBw2WXOpLJDU/eYdlw2HvDD7saF7p4f+bx L4ed3dteJv7T85y9BDn5cJCTZWQs6/jFBgrOlHwypfkRP7pGCesCYOcee7GWgAtaIn4g6Itr+rcN uNEhLVRNnh3/XYMK6QUArBXK+RHCQkwk6mZOP8kylSCeaaVePh8bMMp7MHAFzEXWQtCK1YuNM8AF O9UPuITZnmpuN3ii17w3i3uHUisJOngSS4Jn8Sji5sAU/GWhlFkDwvw1tu2+l2ei0UIgm7aqm20b USZxLnI4ImlWNvN8fdvNxYHVvt9tZ7iLWQYSZVH1gkFpPa8tjxfxwc91gFz+xE9FvRfHYHsAiCFO 0rpb1McyvAaYnxvcIGEO83lojjvhIGJFjCC6WtOjgGrLUPJgio8kjvqqcgtSl6JtBlNsVbtGNTLk dOvgRlhmoJ5gCNf/SpbGkXVycj/eUHDJ4olFbTx23BF5ac/mSKsxt7S+zEosCCI/bHAr75GV6nlh 04b0Q/B4vNWWrJ8lwBrWxRKagP8boTGSWhB+SDVSGrDnfMFiT4RcDzcZ+WVkcdHB4R1gECIsyGE1 Cmoy0Gx/+8y5CaAXbMpb4M+W7XAvMm/6AC5L6c6X7wG9Ky12q2xhw5ysCIfibhA3jtB8vsheLf2P /u2k4eD0sL5P/ZvpgXI66jPYhU7J8mwQwLknbo8NdlndgGxCngIwfsp7BF1PMR7Usv6XTrnPPnfp 3zSNdT+4/iD6GTdvvDcXUzbujit4/caeym3Op5+asnU6nXUNNOwXb9LDvlAPzvRNzZRW3nySXIVP RIsl+/AUsUUxnMpIFrKv1/ebd7jHmATcz2A6CAoN5F5L22AlJV1NYd9kUQ+R8Hz4Bd2dfuKPGJfn 5bf6S4B0/cCH7unJCaEapmhP6Zfb0Q/WkZr9QzCpslvkD6/NebGlBzwSyzD5JVy87eZoYKWjlkKJ Kwj1kVbtJDLMDuZ4s/xadL+2HqXlN/GcYmLFlqdamqX7owf9MBvtw5tK+rKp0+ZzrbFsaM3OSSle V3TVqWSfnEcvf5FOVXjZLyoPkpxIbZMFCBTkOSkQSz3SVswj1K6Qe2DT7NHY2VQMXCvk6/hmlPQP vkrwXeOFH+HUTPTkfFlBJ2qwJI+9jf41D7A/gQrw/2Ov7g71n43szB+SOclLv1zxJyBlgMf1ithN fAPDkuxrwpmICpIknt6tKcvsyL1c4AVLdW3AvMhwSLRPN3OLHvkTaUllvKT8jDtf29tcuaad9zIX 7GBeytCyt8kqmcY06lPlcBDyigVhZ693vvvN9gnmvkl9X6hWkyXCo7xghCMLzGMf51HC8BVFZWUa yS8W5XhUJ+VuBTeXhOnRIctP1jyTAZT46EbDPdJtTaJcEq9TN0IfTDLjm4PjqeCxCNnhjBbU7u8r MQIJjAsuN+Sm81GvnfGjU3p7RgmO+kBSwxta8NswdGscSEdcm4w43QezZN9F64wiTBbwkEfEwXP1 cUmRdPaccnQPGnyFCSwYkDxcJDhA4sjkFXFXa/ncqzEPSCDXDvWZmI0Yin/Oysc9fdrB3xW19YoM zr1UbkpOdcnO2/txKNe0v+ToPolnF5QYdjEZgClHYNDnX7WotQRl5fwY/wH88bHIno4cOQzOT0T3 fhvL8d8/MJfEp8TmZIHVQSX9ji660muv4jVCyP4SY1+fDUjUv7321jKcLxdVFVTnHz6a0AUvxuAs o19bnjG1iRJxfyd9eLGT9K+Enx546P5CsZsK7loX7Wf1Gd+TpZ7g/9MpJWM9AfhBlnlSmY9aB2nY fomOb/7tfUIt1m7pn70fHujeHAR6vRqxN2ZRnECvvK88Olj5xRfqViRBs2jDdsOejLM/LDR0j0SI uCbBYLioUfIL2V6DytWW8wd+PyyXO7GsJrDJefwQkupz4NOvFdPANRLiZMIWMoTJ0zVC06NQhJbG vtqaXU/Cpt3NYcvYkTP30a2SZH/2k+DOaQM8BoVscgQRM2TYrlYBddaO4rCl3dZkmzvbFtCPZV1N +7YkK13KibsxMtoMvgpGuodH3bz/t0Fpsp7h8DrDqI3MFtlrJu7NZyxPhN/qvjeDrB6/GlF8krnr hIpim5dnCo+hiRxV7sOtK9892o86hcWK3sw0+Roe1bUer01oL3aTr95R/oEBmigr184TKDInrR6G FNYqhpANAlH33wgEshczKqfwLUn2ag7Ykmonl8t2JSFIC7DwZOa2guL1sLQlpAeg7Xwcys+R3Iol mJWiGgQla9Bk+ze3VsCjTTSDM5vEcnvSHBvO0/e4fGAIxniGuyv1wf4tnc/2L0xk4aF2u8UJ81V0 RiNmdNAYQZe2PQiiiqSjJ1SuwmCD8Vnds/vjxqg05neM343mYzzM1s0tGHKWkSXUStUX9miAbLsy U0rEbOEyWc+4uC0DH8x0gu2hH1xSK8Wy3jzSY6hA+HpPV8yuFsl+y7m4d9UU7EJA4FLu3I4OxBMt xb+m27EOeZBA85iDs+iUQ4rt4MtQkKfCxJ/r0TT957yj2PnNgQBgkfmbYLsC805vzPDxDskpJ64R Yvhf4S2VlZoUxxcdOqVxhwRMp6mXiQdULR1TYiHJ5QIzEQJUY7QAQhxuIVlMv0qPakB2GsWDU4tJ bIjw76KwX0zlOIU1U5dcNmI6YgI2dPmaT7sxb7LwqyocS8BH3UNZ1yXorLbxUFihzL6wviC+BOYV 5MOVTNlcsdnmbmvVRzBzvqohb+GEYSbh7TsLDxDpaSppeBayN3qEfAijAghZVkx34vTHYzgKPImc x01bDQ1Y0TIpLwFeT3QPsF9knzrLkGlN8OfKbvqeFfoEGIunYDOGZ0sgvGPOCt/r+rSY7RgdGYjw WZT9yJw99wjm+d7jT2uKLGEgSdixUe6H6gtg5c5kb+qHqmEKIEJd3cjF7Z/m0wB4CKRiUDH1nRCh bACImcPBU5g1NkoFW/TwEY2ToBCicr+VQQxOxw9X9HZkmQA2FJR45UvnTtHMDY9m4iJfth4L2lC3 UsFaNuNMeoebeA2IqmkVQEjjF5z3ZHtjPTgvP+VWhl4OSHWE+2St0BBh2l8aTDDcNR61FL0iUYRz /oSEq+7szz/90mGUpo2gp7tVTCQVBoTVUODz9iJZAN9LaT+z/1vO4XcipPdewOuXerRd1OGrXKft Aha7e1606pIG/DkjYnSMnFsW8JJkWKwOHecDHOyhrKJSBTL9/1dalz3w3cZUpyFjwdhLl8ROKML3 tOkGN4zI9I6InVDDpAgbK+V9M0yFCr4E3MdnFX5Q6FcjRaqPPgqrPIvgmhMt/XULA6G/mREDCa6O K8GRrqgiaslXLwcbq1D9vMyNUv3OZsRceNrlETX3OFu6cDeXazFEZ5ITDLiYvCeEaJi/EGwl2OAL spxhlpJxdMLLCORu56BpG2pNGOhzkEYo1WXGllDLnXXhSCk6d09aPdgqVCjcaFsJWOVoQ5QkiwHl NQEBRFVEXWzG7PKAHeHJ7Iv2Z1FDu/PvyBpc4FyW/kIAvNTK4r0BL9HHfSqXt0532QHDJY8C3RfN 1chh5o172jt74eJiVyPDdPY8pf8Afpc31qyzFXaWNcok+2/s/UUwdtYUfuh2jnfKGg/iJ2Y5mufq hm5L+wvKcE7vS2rcAqZSlzoiPYXJcaikQNpBv1d+nNI/Ek5Nm6q9p46293ZUJJjiHKidfzXHz5cQ niLl/RTbps1dx7A/7xhBfllzhfr3F1rMb4yJV9+1T8oz5L5+pqJZFq58JBNZePOhGyW0dUIrlj1W yoTGI0ZRDMNIKj64Qeg6zB4mZXK1piiN2Zw3IOGGn2O2e+4toVJlzr8TghKxOF47zsYCkFYmO67I m1clujMPYP6PNNi7K4Zd4G8iEabFHLg7YcIVfd6uRwiQom+y1iKsqWZCQVhGoIRjSkg2uAyQP3FF hyz1TRmRwbfFoJGly1F0CD0nf+bxCSESZaSN1wTqum5x0VWVp07et2YzKaOaPFOJRE3Ha+F5CKTR 5gy8EVtoGEc4utJ8zU4XYZ8F5ybgWcYpEPJia8dz/ACudXYMg7ZhxkHrtBzSCngVkhrjJJryizeM 8rUKifMjkaLyqQbgakTpLKysqdtk0FeeV5RgntUfcdALgHoA3fog1h/y2rXgoYAQ+PJQHHORiml3 iwv9ZDU8t/2MS1hyyl1JLbUifgE1zRABeRQRXhrl+R1zTD9hwv+iPgMUWFiRWOHpbmFAADGr6H7t NnN3ZBPJz2ONv4NBaRMnmRoCe505Y548vrBLVeSa+wpQiC6D/HKv9sLH541GdOMUISWSYXB2IKzm Ox5JDpo5ENwW2koaIbzoUpaXdaD5tW5tGmVn4Pwl5BgzdoeAcgY59iLPG+KoH/pP1lFDddEnR6w6 k1uA+cAqfNfXTXpot7Anl4vKuzvJsiomljYKV8m0A5NvPeVJvhT9ZWWatd1QpPGUrPvoYHNl5Ms2 atCXYt20yfWI+FKtpZ+bw0wk2MgK0UJhJ7haAWZOnHQBYGHl0SyzK1eYDlQjp3giH555c6tHMhDW 4656RdTwFrtB0W5lhbt7lIyQGaLtzq+Q1RNQVS8xxG5BnOL05eWC6k+BYpkIGi3CyufiOqKmR7Xc trH8axnRuV3jH6SQuvCXPAfwXvSS5jhOmVpQKV6zbUr5Ugu/m7fw8NhamEZukgHl4USl6j67mBGG jm2pO4nytf/AyMQ2sn7H1Q14Yad+2cDmlfUHFIxD6tHTnb5+BAru0+qvCWCimVQQY5qBZOiK1lUg gFWZ6FCKUhHTodYExNWvb4j72E8fxKtx4kb1wRIumklTc+MifhScr6UZ4Bg0eV98a2LNtMTFUftV wNDeQFtR/673vs441WlBNouc3CM/HQYlZB4bmfV7UHuWwMkMv2SWwZlE82Tl8URHRJyXigXbAlCh kwaEXXkeMWS0Q9PRrEUUJfBy85XJH1yk0EehETcVGYebLEaTMkPIzPySGV9geMXfY4OJ3sKPu+iH SY7ExZO46swDPuqaSuFjlcUSM46sFy58z4IaBCiDuDKsdgufORK5U2ggVuaOqnEfybjAWp5hWO01 E+s4tmSK8ZCHI70uF8ovsim4djixPs0k+PZPG0GBqiR6iVJvO1F/ddLY0I7uc+KXRnthcJR69cER sXTGw45tEyFnfPVrncsqhR3CDoZJmJZ8EmEDLYu4ufw60lNwiJxpdZnYKZk/ZcPD8/J4fve254VJ RCKV5Xn/RnZRaKC4SteZSr6epGUDRDyNEYpBC3mLyeMrqb3MAajALzgsKcgeefGbhxjxheark4QX Ih/F7/H4eOXJ86YPqPsppiuPdIqK/lyR9FvhaUnM2AgSi/ly2I5bH+A3LvdvvbzsdINvHAATTcQd YRDMc/a/bcoQrjY21XAQ7XleOaRmXaHX1bMJh8iGe9p6KdL7CDUzR9ydoQkmfDMl3qB8iOX+yYgN gFeS/v/azDHSUg6+aQ/gqS4uh6F8aRXF6jDwbAXDuxJ6s/M5hlWLAUkAvbLmKwsqI7WBhcJS1pDI rh51JFPzwqRpQ0qvaJARBQv+wZ3/D1+4wV5+KkJaGkH0HqBXA96LdBdmGiK20zLuThu7b7Glo+yH Z1m0u5I3w+ZepZIvq/ONLetQ0gEqaRHxHVZXLtsT/3j3ddFJZPCZOUmefVW+J596JM/bQ/ETVTpj AQ+39pU5d86mWtGPkiNlHGY2azfSTfIGo7PVkj3FLSXCfcOjG1lr+IhJiV75nxldRzZWAM226Unq zF6cLy3u5dndj065al5KLXrnvfXrKVIrGKKhKzz7zjT28V9kbe3u6deuNukcczPdUmOTcPYpztfS s30YBcwuV0dcdLRR9o+v9JojCw6OzzOw+GaDA0+fyCJ9PIwcwLTUQXbI8MVeG8EyX4X+iV1EJYkv PdU7DFe3KPS7W6Gcaj53HaduEHDDGHu5DpY0t3lECiuWeArzumBhgFfR2ZGV/7D2+nESivKUZ2c9 am3nJ15iliWONI0rPmuzeSg9Xzae+b4injAkUcM1079f90MfU/Y0sIBz0AUbXx+XzJEoWVCud+dP D5De1ZNbSfAqeDqAonVNlHw7n/5wepkzcFLyxZeaDU88rv/o5Wo2Q7gh8mI1lW5bcW+ys6KGhFma g1HouzPhZn+HsmenPQkHcqD/AlV5fMEdLu0J63XBeJxbeM2NKSsI6Xu/kTKMqaTE1tsn9AZGiqIk xMrLxc2mAtOhTbQDv98jwzLgeIUNtDkNdUp0q5Ak82EQmDdKMqAJTyy/OtLqXrNsRAD11R8nHWgO 913nhvo/RDnkKW0ZA/apPie5AINv+9yQR8EutUwe5JyOgZRrS80gvG/HQRW6if+g/kUnb+gQDr6c w+XBo0D7IY1C8gZxSqtr8vHBYb1f+YQE5duuDbtj1Cs3vTITgisxZal4shaG4BOAnV9xb1Jk+3g7 RshZqn1X/Jft7/gqtPq5IY8bL0d562wBljuzdkzlSP6b0FoE8KAKNGIxGQiS1bn78h1EEICNzk02 AvtPfCDiE4E74emPruZDb1p7l7Awik11DERaBuGzUsJRmDy8kvX78t4t8sqR6z2fwjXg7muYxQE0 zTZhKeD6hVxB1fBDuLq8Gxc63B2rbZx9ZlE+3W2qMfLF8z0IqkQ7kXQRZQAKv03ApQW2XlpDeWc6 WxTiiU6Hz5Efho6IuCeCrtbxB9bwEsasibepNqrMdsYXnJXovxLIzfQkgp9h1m67oh4U0Zt774tP Pq1S0mqAFIyZwDA3Rz6FomJtsJyH7lf6iwFFUPH3hiOkz9ZU8pBd4gK+qZk5Rpja+3rRok66XCVR IqRaJcB5BLNPincHS6TDe+ISUWvo5K2uHDmi4WTnuuq6XDeoyv48DVwh2BzauJEna62GT1vVR0Oq J1hZpj8ffpt0alY0/QUxU3NeKW91JOyI8Bow0LNfm3NncIuRz1UIzFnfg8XImNAb0zjHUEKvYSvq B/itdHTmF2Rs7IP7/8/Xwp1WBYDEPrFLg7GEurfEP+NbKnN52Oug73QeHt02azIQRczubHJwNIr7 s8oUWmoVRbgGqLJNMTkRPSsO7qlxsrlmdnYfg+/LjrGN+DnlO/Ux3pYQBNpt+fahvs5xUxDYrNCQ xPuwahyyWee9HKXihokRx4A9Ku9A/F9g6hC3mhCHT7DPbQPxT8Py1wahW0RlmuWRlwRLOB/o487X KMGGisDquQp7Ue6pouVnKUalDum1Pw4Kox7h8OwTFZG9s6LCy11t+Yj4C0klEr7ZX3XYnMTCdMRS PuTZ1J/lqw8yjbsYLrTi3KDTo1QtwNi3KLJ7iX2al2Z5mN7M1uHo+atq6ZSUXweOo47zE6W/J+l9 W4S4R3W9JRJbjYDX0FqkkyMZQHcUVmD1eAVb62UTWqsBI6ZmoFURFsjIO5scKQYX1lSqiFefP0Us ZGzIk42lS7ODvnG5/ssnGa8sdZN2/9PKbYHQzO3aCWa1PTIzo+uBmvfF0kRb85jEB+wd9TSfYK92 5YpfHF2RYZXwPrme5fvNcV1hFixJMgiXt6lh0oAYTINyvOLHZJT/Wh2tY3vXgkWWWVwfRrH4wE+N A4FeHS7goqQFOZwX09qGzXemW6idaK7e31+wA+S5xO17ipWECvc+X3jmOSvAgbXp0Fnb/FPc0Sb1 ZUoWhn/oB5feA+zUScWsov/LfI/K8Ho4ooLBrjlSUtpZw06TtIf2AFXVSELCttvgSbstXxmvTd1A rJ4JLV/y6M+EVjoByelswR2mXkKRPMKWrEAps4jDHQ+/yHe6g09rY5QNWYz3BACyn/nBFKoBw8LR 7P2pZ3S+acHtofCF2ZpmGaxlR2Qww1KhXlWhoESywNyKA83thGRjPSW4cbNmqds/4iTU1ohVKeYW iy1KZmBUpv/J0aJTWvVpalMN8E0cXSU40sHwhSFb7ktWsJ/bColr4S/9gJAeHkFBebm5+pv9wwRE qvG66Shgyiy2PdZ3ZJa8ag68tAqVTPACb2HBLU/5JDRL9el2JeQ4jhho6wxN3ZhSJFmLGubRBL5T 6iIYEgT9H1ZgLw8JBv5hrIfPVsvax2g1CXtdWgxa6ZqUY/ja3tmdXrrHQcUErv914Y1/Sw8mH4G5 +cHYFOecxoD1h1Q6EBNPVU9iYG1sL5IgHg5Zm2u/+e9Wj8zf28WwiBluvFR8s9Uz+4SSpq3v6kYH 02SVuAm0Wub/nRVEpvPZ0FKQHAuLk4CFp3PLD1V2IqUdyEg99Z1k8tMRUfEfp7z5/Yr7N9wWspFu RfB/hvP3A1Nj+oqbUWI4BKKLOJOXM8Im7Ns7mw1P3kPOvUd/xCPZtvnIMKjTVg3u8khd5TGS8sC6 7L53PXriFebjvIpKppXfiKu5BLzNxJV8GUOVh6Ushuwdc9/4zGZl5KKIEmpfUk23UpQbFpAwBxOO u4UUawIXniPP5hxzcv43sOiFpiUc7/WNK3IFfmKsJmeSNsCs232LU/7FTYAyy63JNcx3WTcdXuZL NLnV+KVHSErnY2ui8AfxrzBRpuyDOHvCkFXDwphxPiR2sbijFDbGeR814gTiuz6VBM2rdEn9PBt+ BG6mtkXnVn25mfsDvDDOqUE2HssM5UR3BvRZLSgpSBRf4u+xsAcOsteNrSWtQXWnkf7Wy8UDFUE9 dEDrHFJwsabUSg09/3APPOwlIy1vbgDzbGtDKfbxg/KJKNzBFigYDMAATc/o0J78guggfAwHLiu/ BP9UQC7mLtGcU9/QN12OimcS0/i8Z0NtfUb+X4z6nXhGGAvu1DL6cmMCGkkxKOLHBt6BrEc3JOLT uHeBQUFN3I5asYnR8BCp18xpVHNUHIqu3/Ykiq8HTrOY4wl6vm+LBxUEyf+IC+tChriRs1quxIIa 91imU1F8pxBSKvKcUxHWVYTZ+CziD07YiU93Bn49YNiljTtn0L1iFB4DGHqWYg4PDs8+LKyPHuEI scffm8ZwygRLQ+RiFjnF09lVjef3uag87OAWZHkhNA4tGGDe/uWFqzlQ3lSalioZfRwBLAwVyyhc DtfOjs0uBNKCh/NkmKrCy52tQudCkoueMcoH42dNc2iimLY2OR11h8JW+teiDmHhNYOMYCXqcIUk rZME0IjOxwFVoUgF0Hv62tsbGF+CcbIwwbis2iXORO/I2fsbHDGm04I+lVluPm4Z6qYwALTw16rn UAHcp1bB644Aksj2f9NUTnHO8oy9aK6RGR5HRcotMV4tWBlrfloMXagSsvmI4q2HYuCiRvOcL4Zh Z1y6fnhg+ZN497KGv66c5QxTeM8377HCdNTi2snJegUELKLLbxZOG9ii1V7BpmmINXtkEafiBwAF /Ll9h+lIvbOX0YA1kzVnMFjRS54pxROAJl0rcbDl/SbJnRIDvoDasBriw0K1zOAQXxJCg40NdhWw mrnnkV3OaMTYaRXEsZcqt28Msoo/omaG8Y9V+HAMs5i7nRh7yq5NbcRqOMdteJjGLdAXksoXxrnB bdPF5Jg6oOsMb77mWWTdN+JI+JHJINykMSFx69pq9L55Di45WpZqJN5TY9kKlt2gNmhiaUBpai2B x2TEyIvguwz4yHFxXPziYCsN+9UUAlr/nK8GZKwGedlnBZHOnlL1EJpk7pt8AjPJApBOJBOg2K00 OB6zm+y8j4QIEAsdYhncoVjVLulb/7alFjp3W7ssPO2o+NbuFeOVlazGecmu6vc9iWGlIUr4N8N3 rCEEo22znpXVSHWsQD3qvubypEtm9NdhzTxvTtOrVOLzYHK3JzYJItef2Spn9ezFttP30C8fMgMz 6U+DnPP1neY2bSFM0Ub67Yv8Mg3v4PUOLijQy3kjAe47L+v3SLjzpACLtAPiMYX/4WnkzBqQWZlT oS+PjfpBfuKCJlbAodKex1yQMQ2c18sARy3qJ+ObRoS/GeMiXcj32SkBU8p1BWhNUvhFydQedvTz yphyOD/u5+845L5Uh58ZpbEbzmVUbSM4YEF8LeKUaxBgOIyDPQUXCQmcpE3rv7nDKJozVAqu1z57 g+cKfgSFZVlvwyBCawLdr8NQ367xTDqMLgRIeMGVg9Al37ivWYlhFuHUQEzqlescKlYe2qIlG2Vq auU79GhZyV5LHdB5zuJgB2pzZ4CHodGJm6KEDVPAT7S2zbpmd2/OExwWOw2DDBIM1YxV0szglS/s 6+b/2X83f/w88rSqx2ouT8GyRc779GY/Yo2bTVWCd+BfH6hIfBtHjzJQ/+45SlCjAtwchn2mdgTm C5j9U4gxBcLo0KMUN4A6Jq2p5rZ5Bl/OSVIKpNCwzqORiDbmv+RaP1yrvMcdLM3Jb0KjVZKE18TE JL+nO9zW1H7cksbBJW1X/Kz9tWhiEdpM8G38sCMOJPeXJxUX+JEJfTBccyv1S7+0v2oEZ7E5uB5U eqLcHpTgsJK2oG2Do8Fb+zQYRC+cBUvazMDtK6OuZnJpgCMXUUiz9NiWXT9OcrYI5m3rwSLEDgSS PesiBiqPDoJLqPka7RPbGVZ6bnZI2S6ZitZlqoMrSIxI4FYlAX22k45AItEu30rnQ2J1L/8wruwg gCgJEwm6EeYSpDEmagwUC3sWFkIkxgxaXW0FnaRByhCo6UYOCQ6v/PqAMsGH2f8hk7IpwBA6xHli EkKj/44hbMREirDLSBdH25j8ouqI253Lw2biF4L7YPNqJPpbxnb0OSjdFYRnTtU30/w3NE1ipmZ0 +ex7l3FuSD35PnBXF2wupiOasrtSPNnLxKvID9ctUYv7TeKkr4aUesPwY8B5C6soaB9vCwBR1gU4 3jDASq9by6R/cPGDTbFNF9ZVT69xqeGsus+VJQtO+/oMuyoUP13KUVd/xzHCp+aMnF26LXYk3g/9 uRiZRtyaLmmwB1kFwYpqRVYTSmV4a08uo9Knm8dBrOW3FmJWk/9KbJG1b5QWFMtmdFVX7iFLCOSK 1MShUMS2M0lGwAd8/GfIqbFU7Gpri3cW8AVpg1DfooYo7xPJ8AP7lI+nQ9T7Qr9m5E/qxRW5M47l gBm+ox0IJCARY4qak6bHDBxS7fJpOBI/wE5J4L3edRxbqG4dwZ2XunlmpwQpViS5dIaeqi5iA2G+ 2xgjSctfeMLdzDvuFp5Knb/gD249Y2MBNLd5FnuyFaYfbktEzQYCuiI7KfO/2g2JCdUrXp8L6ICq KWi6yTdsKFhy8E3Sp+Cs9OCVzx4i4ZejYX5yPhAOg+D4CbiDuKjBcTfLj25DKRAQd/cuUEvyosUi mbTFeML+PE24ej1sajJxYFsxWhnur6CnXlkgqr+3e+kPKqHUIOr2H9VvGMawpXIKonNN7LlNxQCa b3oQtL6ugu7Ys0Ba1ghiKzLlHdoUDP9J4S3YpkU5iRdi1QwZQJas/95QTu9hk3Z0eTWXoLGCHDIG DNWJQm+OMLDbTE/7ko9cEu9NhOUUbq0H2GMTjtt9Z4U9eexyhaxdtbrVUy8ZFTQAQjZfH7ZLQOqm IdJvdUtNGg3aszLh1RSyzLBk0sLfXAAaaC9GIAqClAVqIfcjlY2vwD9pT2yGttgK9oA+jjQOLZNM g11YPooI+A3YrhvDNtpmAaSZeqVxlRDdp9q2QY+RimvpvaUk+leK0PPUd07N6N7NvpbJPSHRkCRU RhAqMGgRBOUmLRLpGBB+tZslnjr0W5h0pdi4QKzu7RsNZoL3HKn5YrbPjCg4ZVK2pTRYCrhJV8+t oq/BJlYifjXC9N4VUCprZQkOUWNCPE7TDKzpcxiO/hiJMkQ0jh74Fi/oAX7DmHjgA/7KYzOxSMgJ FXlHTQB215R/bGMecc6T1tlIVX+kfTY/ut9u+JZnU1AN1gZ62x7cDi9yXSRYuAQzYnwPAlivmaiH 6O/u+flcyKxa/j2DoDhqgxq2Krcqej/5SiUH9doERbsd8M5QJRrhJ1suXN7DYTcL9U4kRAZ+0I+k z9yi8F+7JjeDgAhsk0COITJtkW443MVSfqcV1UYPueW5IPo0LaixeEpEmDCQ7eVmje3wD7l/doRp vGj2SC512dKyPShJxCe5/GSwAtRQHeoYB1UFYnQKmzgnipBlUI8ycrXIHhhWJ5EJpZ90Viq7vMvf /+OkEvFkPIB7F/A6AO29tGJOqZtV50rPnxRLSkeDx55zUuoYsEdn8N3HW+2oZ9vcdWBU9zbNYj+K Pkj0PxZHWR68txkMFnY8sKiUX9jGhLsg036C06SNAYSiGbbuUUcXquc/BU6+NOFPd+7g2BBTrGS8 5APK9zLmSIgHCutc1co4ZHmsKvheVTEY4jth3HyaERd8AFr76b8a0BPRW3s/zZhMYcJxVasnr/lQ 1jv4eWLWcSGBUlZfyaDddOEZBmtCTubtRIS1zQ7diKJuy4d1eQyJsk5fTR6DkHOKXWa7OCz69M+U 2UaLaifSd4AifzGJrS4CHF/3Ut6wZOjBQEygIODJK0fSTzeJOsayS3YO/j0/ySouH3v6QXfRngFQ vExgeJ44apoZHAjeD1DvcEFgZtxSycEO9wDnPAU/V8rH7x9hTyVjSnCSre/hv7g1IN1PKYGZJz5G W5Pz9jmAPi5zP16pkWj1KdUXh56HNc4pxQvvqZMraYZpmzyqBYhAXu7sCzk/L1WTIoU4JUCQ+gOr zP+SSNBB3ECYV8+7vDs0ocPjNK958DM4fcJnLsj2JT2S5e90pdYXr0/tYmvkm7Y8lSO3e9pf7SQL hy9JpIGjEkZk9y4GsJyguOsW89iLvTD5njKCDqCS5V5lyVHofjf9ED5iSSuUN6LqN/05PHbz0OAM SEJx4mOp/KCR983WBP5Zo7zE/HLRhniBsiv+4dwSinm2hakxG/2eYIM3HnmgVLTIoIEATjuNnbBJ UTKlV0Vcl0F80Mgiux8sb6NJWg0ZIE0LB7LdgzVTFHp+p/4s5WK0plSFtD2khq6Tk4QDR/14Xdzu PiZ0NTv733tNZb9Iz3G4cBiJcU3B+HsISkeeNCRvMY5ZD6FxTM0N367gpKebswM3zsKOqz2sXiCZ dLD6mLY52j7q5Z2FgbGwEhvG6oopOGa2wxzREHIEdPoWGsilRPLHuev3Vi2ppd8vlDJk0QOxM1Hp tDxlWeD0IIdSPBZRR9zYfwgneOkNmD/8qtsWMPlaMJTJQ7Vs8PVWAy33W700ap0D1+xr6B4SWL7g pZRCKC3L5qp8C8i+1D07Qkiluy9+jtYkGfb/S9a4fCjtnVkW3T/tljLgkbUcOEuin2frarWxeDxc 4/yPnvl0uY9qoXiYRjmDEakARGvP31EKSPkZx0YgVU/ONpVLiTAlGu7iTgdluNz5dLK8xErqZC9m ZYCazs3kxWwlMjx/fiPqTEaQ6fjjrbbZYVOV/L+JSMV4yB1C7Z8H8oRS4ox7FKpX0+pOhxxs2s6L sbVoWasUgxQTCBklUMPjcuip/9xAsWaWlmHZepe40wHcJWJpp0F7zpm/nTj8wtzXvv7pCECDU2Dj 53KL69gxv/ypkuoHaJIPeQnaOS932XSAL2rbV9eEzpqXh7FItBLZR9MQt3zx6O9TCSavfidBQkUb v76Iw+Gm7bKE43mYL1Mpo3U0JJWw3Z1m2eSyPkl1Zp33ngJ2EAN5wG6uMB0aywiYWLJtOGQsgO1W Ekzjh/G7hndRwZut+jHdYG3DFo7TB+nQZMu4wxBotv5kkuKCRct9jYDICZ4PxY2jsngRGJHlzzZV 4/gwbgjC9KEwvZTRKWuNWDoX/+hVMysjUmK3z4SZhIO0odQf9ezxiNGEQg/Y1x0vTwbiSxltPHUd J2wkCud1WJjeM/5qwh0ywBbSM1mG6srRuCfDZfZ9xFjHWwhk1INYG8e2JBhrLz0jd/1LOJjc1Ure nvay8Yn72FNsBkidTakrL2cAM1Wmpr4ZQQYuG+ue8BUr3Qs0SUM0elpRVWnZUAkJ0Y3oGcqpUlQc AvGRVQF1JOy6C/cjhp6A26R6fP0iuGFaPnW/35/tPfZlIP4urIJS8DBCXlR3ADg1b4jYWzlDANw4 kGoYMT1lM2H1itokVH3dS9SJuDKfhqyqnDz/ESOoTNkZCDE8yCR7gCWrFupg3EADdFRwNy8zCOwS 7jv0HH5FPafWOFWn9LI/8rCMgbAdZBkg9ZT/+mZxg1dKnTznzQHKgAnCJ65YMV04NvtY/GaopHF0 zahowSVLjLZV2dFblK5e3E4NGV45xh3yHcpeoh2oapRvFBrQq0/5ISAaetYF55+Slgg9SM/nOT0l 9JJc0tttZJLXg2fPDmrKPJ4SQa+6mK1nRyz5oRhoF7AIZKfZkTUM656Y/tCOBqDeXu5mo1iKZa6K awIqKAosXJAO7vJ2wn/ygsqvYSezeQR+lpAYRYVFb1HT2LKYu899FMoU6vthRixxxoZFivYQF1F6 /hJwPBgL7hL5EQdVdJsYZxmaM91ejqh1qsiqx29eQbwDzlfurnW4IHIcnWULC0uSw1/mee246Rq2 nBJsQ4vdwPYRRwcXkU6snfu3iK//BFN7cisUpbFV8N0Zvm6PkQbFJeNMGwAZHe5EN2ZvXkHytYPj YlupvcNdXlZNzapsH1iU9Z04uAivpyo9lnZbFZbiJQ/oWF8EQKB2kCUxOZ7JpVpODzNyqTBlgXRL 4F+MuoUJw0CBSWDUwNmu3tDIxWwAjtvYFVyh2jzRPfO/T8DFigLj7jwNyxdLUGAwBpwBYQyob1lX A4MTbf9rEjzFZxXZI7Z12f8+uoe0OABJMk0i2MgIRnCpR/cyC/5z+/LLupiH3pLWg2TN17cxus8f H9p7uO50s6WB6bHKxuhnmLEP27uZJzJArngiznRwEhct5l2KEgJCXsyP7FSKYk28bJ5QdTa/2PST XIY966gtwUi0NISl8N25iLQaBONIk3DeW6g5wbJAP+r7EROwITfZvAxDguvoMMWPfWW+eoIUTrgl 4nduJRjNNMwJV3QQN8ztYoQBEXsxLcJlwV5+0obGNIWlV1RhR3SYOlHy7KSdf+gateH2muKrqDvm 7tpEZJzZH+sMq5T7ljGLdVbJWwA+6Uoww1U1i8pSBwX1Pfn4urmz94aS3Q0AUePuUJtIfKKIRgRy c3lOW7VzJOX4Jv53a4jKnyM6shT0rs7Tjvq7FUkZwbjH7jPvafFa3NZGzgxgii1hueVE964WxchR zA73lHbM2vaYuZGCG1Ibb/YzBf7Tr2piuEDn9umZInj5sV9wBt7iJb1hPfqRAG5ObpmdgHcx/xn/ /mKTkcKPeu4hOeh7laNQDilB/rcXAdt3ijNWadVvfki7SfxJHWB8TD3Wguf5vORlsA79JBN+rKI0 605prV48fRgWwUuLJk1jz4AdeAUWP7R8B3orr+wnjqVNVI/5c3DuY3W0Hx1IhPO5WdUbLjgTaS2G niz4dC/Kl+FWuI3DzknuXQI1Ahdgt7+rg4z4vBTVemott6bUr0d+9857kpbh8Rhjyz1pPl5qwNk3 pY3uZR0FWNqcYaxt2q3gchZja+mGSWJdujOhRe5z7ullq2FpJXsv6ZZNy7csVVDpR6Nd2zhBrCi2 7iq1R49i/n2pB41RnpUGli1Rvku6J0YTuoJgVRFC6e0/1EpHtWv8ahccmprnR/XPUsmSDbw6LysL AvY+idcc09EXgu44a4IRziLVlhaOLvCkyHwI7ryZkqDloP5CuJ1vffa4UBghpmtuKWKdJTTXfTDU oexCjPOvGrZvwzeDJ1bB6TTQAfXyfaiPPJAgl1AYwWJRB9QTTlpk4x/jJ3OlBzMFodHvqv3gsUNg l4HjBAVU3TcHfFJC+yx1kTyUbX/IUsNlgWyLGCCCn1FPro3UXbTQAI1jA+n8YnppPdkTfHbm1hlR Fl0hPrw3UpTYytrQ6T0JEbfhFp39rLqe+ZEZD+dCBvvwrwQ2+azHmtt7GA0p8Z1i4qK6BRNVdwmt 74XHoIhHoy4puRNhkN/QMHpvGAHHRrd3qpOCwZ7zP2yUQI07IpfFdEyOrrzTvxW0GZIwXZiKZ5J4 gg1Zd+SIL5FUiWviWh1coINkahfqeKN69hIqxVOeSpZc7oXlZg3NN9M7esNlEbx4DvQjRgxO/6dG bfZbpbIay4PUOOdJ5dAZs8mqjNwxXxdBB9WpX6uYnX6LrHKbQdd1StDwPPMxgkieRkch3WmcoLTq piSzhlqoQtuK3rDf17fCjSq/EKKG3KHZ05WnwhSDWQpxkgWXyFAzd5Y0nn58jD2VAazCrd1W97Pz IFzxbHJtBVzM7n0zxQrXmzK9b+6WdcAQuB9AcdTiCwKikhTRpY5L5FU01bMM9ilT0mqpkWiyrVMe PelkKAQTYfV7lfxHT21//qaOFLYOPIdBvgudiQqVOl7JIhxU6sNVLfW9HmDrFcitwL2ClylfosHq jf+D7wyI62lZK6iPTMEdN/cjNiJe71mek8yTrmEMNlq5c+tfk5kd2PpwFiRMHGY0ekepNMhgxn3u XF0Loln3Q0H3xswnV9jj4afpIy0ac/kn3NIyCMDfR1YkIYlpxMHaiailIFtS4hpD5dF1Jt7Wt4F8 00A/q8/shxjQ+rWm1DyqQN71fCp9MkRFRVQmQICkvMGNbsOiRtM1U+DMQV+I2GvK+U6FEadnMYYy 6UCwT+G5ZDyR0OVCGXgKN9Y1cVpbI2d774DJA+pXMgi7khSC/Bdk0Q3k1pg9W1+06g/vWkII8fBF Jb5TeZkEPWSCJSD7lPK6yDStkCcIyAUpeyHUn9VZlutjDYKle20HuR+BCEY7/dg85BrPK2hRUxfD fMtdyHByR5QytGhherHitNatronRyioiB9oMdiO3/n1iiga0KlzPH/fJ4qEr6PNi5wN9zy+Klkqm mv0cdAu0+RbaBZOZFkrqLXodiRJMO8w0cOS0PIlyyOAP1LV91dgMQK/aYYtojj6I0y51Z5QrFjF+ l8x9Q6fE78E5TeoFICsJZ/+fIHxxGlVVtG+oNHriOK8xFIfrlbPiRLQe4WkG7hjpIIygIlt2RFWV eE8WS26iY7odH6z49dIUIDd245CIE0uizI4YnL2u/hCV0iIU5anV2tNjl4021DzgI/uZhVwkewQP QNpzQvPOjhyd0e+75eZhPWw6wof34AbvcR7msfj0Gij+5ibx52qakXZMV1G1h4sXRzFQQk6R92Gf fhV/g2hOAr4mApEN6ukmVMYDqcpNF5vX64oI3NCBVIA6vLnI4C83dm5jdab2diZaTyxRUZMy242z na7+8UNhi/E0WwMgXmUbcuvGzvbgd851ppOQWuuxxxRkqoACNgfLeNjrpsnB8xRvOnSek1HN8XrX +TscSuZS7nCbLWj2fSMpqkw/oBEI2N45uyIUWkri9BAmEGYtafEaq+zSXwPzuL+TlnLsekRyTcGM hh0mWgL0XW7+XF5EUH7/2YUw+e3dw38KMoneuKJXHs59FTFmySqHPOmv8bZClSobOIiPWT9HDBsu 6Q/9ymLy1y91UMP2eM685p3kP0V4/5lt6Txed6njl4Ny7uNLXLna3I6fsjiDzbmJCf9y79BzgL9W guK0e/hBII2u0JNYeco3qHEgd1uciICGKBq1vfcoM+l9wLnnHvxe/fzTOfm9C+eLJ5iVCT8Q0Y37 lav3KCRKEmVg9EpIGjRxiI6C6FWAN5Wc2zX3RWEPkxZUfNky6+Zur4eEbNpWMA8q+uv4+ZypaKx+ w/0ccqH80JDUrPamx95p69sXJO72TqfGeapp8s0teMaLQr0K0IfvLx9LOD4B3e+c3T3EoKmBjqu+ ovleY4E42NSpKVhpkiWGq9/wgSq1aIh2kgMHPKWT0ue7TxyrkrmhnW2EV9Qnx2AvEEBkmIRmiQwk MyEuBI2mG8EK8CKrGEl7FW/0My+A4RuAwS+Sxel9G4pMwCpLFoW8VkabB/nrR8fKZ/O4yn6Wa0Re GHgMN9KBQ3p8ofyvI1oGBPufSceVZynGZdrrMO0GYWFUKjgw9i/MKc1BN9KDd6UoTom1Ua0nr3G5 VplgTEJTo+PNKUAvnUDHLo23vchOSGl5uTXNVdJz+tgSjbFvH8ocAUYHK8MTC3FQxd5zU1cyOJHw hgAFc6GDogsBjRRp+JYMFXGNWQeHa2zvosdZlH3MTVjazBu6y4HAAeyTZWN9XfNpTuowW9MLg4Se S4rXjvAXGXnMKRNO0RYDnKRTVTtBO62l2UMZtZ1ek6DMdQBnMpVkQKZv44lnAAfPaYGqDTw2MNIg 1iaSi+8ksfueItk38tclEcsZqlU+a1MPyRajn8+GqBucfUazRhznRlOb1yJPmZe+gCc3LtmVdVqW xAiBTjYzqyWOu7q4rBudbIklyh8TJlQPx4sQWCr8BMo/HM0csPUoHuAndSgrGCPbzurdEUrstSHw R5oFe1dPhx7XgcIqOLNXYJcW3sNnVkova6TAXuQMCI/SKFoPS/m40sBgHaQEpyJGwQFEFGAF9D8n U3Nqk7l/E38qZquWfH+hH1t7F3f66u/Hy5VnuH3D7G3rLBQtmWuzxKK6LQv3VZXBPQG7Vkhp1wXx rgqZX0O9AzBgNksnqjQQggooV/AlZu+OmvIsjZv+B3G2zxeA5U/vipvnc3F5Rjl7aPzQp+OPwp5h zPZucXQ4q7e4XfH8/K1Zjd4PxN5hD5XIsrw1jnkOH/qR9QMgU67odjbiZJ/tKUnNtuTgX1At+QB8 Lg+ZOK7wh0erm7KbvdO9pqh/fJG19jz7LmZ9tbnH08gqoE6Ppfripk0IzpBEA39Rplrz2wHRP/G8 FqY6tjgJ4/g305oBBy19KCh5kDY0i6S+EXMN2CEz4ASAM//99s9oJzcFjeOQTSHF4sY4nxmMesc6 PbfarPI/4QEpzq4rzm32EJqf+SvEKLq4eAzqgdylwQklJBkx06mJz+J5fQIBmkHW3Ggs17lFUR/E 4I+B0OTIiun2tClNonDgOAHAb6/ezKPoTLUrNEo0t+dqQC6hGG8j2pe/4ncoD+aHI8fdeCn3z6Vg d6QQEdm3QAJ58RELpZG46jZPypSmzFBxHYRXlp9q2MdEhRLM5QQMu0IOsr8D3HNUi/WekxOKUaOt Oy75ZvhA3cDmc/bY+2MMqOcTEanTGXgB5BGjbNdsgCSB4NL6NCZuvsMeIxAp3xOrrR+hzz8SinaD hvbgkl8FeWBLY2w7aDy0MAV5sLY04oOMXii6AAbFK7IBtn+Y/CPMfgno5hgipfj+uHsxFlyCfUfE q4/OaAydCJX10/G+z3WPnKYLQbaf1vymBm1s0iKO00zZFO4q9BdwrVlD8EiiD72JIjo3K8pJNNHc GGw5nXYqVV0+42EACpNgC+aqb0TLUxvuY5ZQZ+ugxxb7h3svcj4kpRs05nt8JE5z9Utah8rler23 k7Q7Vkh/KPhHgFeMl99JFMmpW+DyeQ6shRTjWbI8Tj25L1OBenUoxUA8Z6jasId248HuBLsrvwmy lvHeEr6utlRDI1HY+ZOfBd9lF+D9bkJnmXBuTyNnuJaRACydeMnzDROXlLaYkOMXKiXw53EJ1iY4 Fjk4d0M39nN0NAHPZKPB3V5JQyCgAiN0hIZG6ilLMsrt15M/OeNm3dNB+1dVc42NK4wSLhpRhKPH 5cCtNA//cGOCBm8BLMcuJa53HJ9PFUMDdsETY5VubMfn1BNrNvpHZbCIx54AIWBHYiRo/hor/HNP jobfw8SdSg7Faq7thEJirlTTY83LcEp4JhNd4PdAaPr1p3OnNcTuEasGGVfc38b7IPOSdjb+C7yL DcbAp5xSONGHCuhDyiymS2AEtdEoTT15StWmm8DR0KoA0FJdmExlWFoN6zaoTZogpuaQFNT21gl3 GVix6eGNCQ0Lp0smAwVzQYvEZwnAP1CMI/ryNCC6P26TKEaUL+75o/2nq0wg0FN5W/yKtPjMtZUT 32kTI3oTd5fRnj5oKownRNQQ/g1JD398d88Hn3BgKfEIJrlKioPErz/hCy5NGCnGBiaxlY6Onj7n +vFuIDmpzBj/T0LJlc0JOl/gCArvkZ8BgjXe4p3Vrg5F6S6hbUIOi7ZCFn+yzKafxIeFE/9Otglu VmvmeSBZw7zT3STZMRLpMBHYYeS5mrsMx+WV0wuaM5IlH3XBzR4en4G9aAmRx8wPw0UEGjBC3f9V TIUmZsSFzuMdpKa/V6QdGkLcjvRN6b2zqpW3OkZzQK0ZEqWN/ioeEy6DK0zi1hSSFaBfztAZhbkO 9uVfALgfIVUrYqsf0A8qcoFUhB6ZPLCJFVsYIAQ9QE1AKX5pHplYT51lah8jGEVSn1bvTicg1fFw 6TVwU5slO6qa6ugl7PF0MQoEZfoG0j94A5H5KFT/XPc/VejYqtUvTXr5CrDZt2tptPO6HUyAY7gX cSVXk4HlKrhIFp+XiQs0MvXzLTDKCMe1YGEwWiycTIIJd4/8/KoPsX34cYXvcccmI+KESVKPOHnW w1f7F2O4RAmIzC4r8Bb8dLRE1n5Qu2ytZ5d93gh/xgbBl7wFAbQrHlMA4XE5PHNLvZV+iYI35RJi z1FLLlefkkDXKyAkp7RB5YwVPRes3xPyoD9ue9WSPNz0MLA12Ko8ZxHM70x0d5hhLUrifYdM8DSh 7rULHhr0wX3g2T6rEzXmaFnIZVbgmOIkB2g+WpxlfFdsBm3BXn7VrWMoJagzmBENis9SBl4CYBsR tDYDqI0Ks+B9TaTdt6xbcMEzV8FeDNK5NHE3Eiue/Sk/+FR9TZH8Llra5a2TuKBAsd2TpQ5eIFTE 1jQwD9/TcyIX6b0DgD0z+pwN4Ci2+iUnNbUqDwUELwFoVO+Gr7V3M0j7j/oTk/r6KqazlpTttM8y OQtK2HNRjoqEecsdE3QzWvUC1YUrCGuSOEJls1DcGHi31SR2j/5aFq8HH0g1pX+2acfKNR9xkWQ1 dclEfkXHRNRVcmODVZLqJkq4OEgtBfgtMyxoOEWLZ/djAdn0HDIPvWG7rerA3Z+vfUkUZ1GPjbXJ wd35ca5B6AueVSjHygD22gI5l2MLXW19kNS7xtZfZOD6p0sNf5IxafIhCssIaedoNX+TvMwvSc1U 1jh8eaLhzwXz/o7tws3g8xqef9w3Pa/4h4RJkzfHnJxoFnupuBVNrL1oOZw1s5LR6XSj0QIlf80v MHPUIzLGq5NJkTpKNgLcdDAf3hGvClk2MEbQvKxChN3+qZMwQXpGaGeL+Id3UKvn28IGcyrJQ8dX dc417yKb8l1bgqC2SzMm3NICTo3ZC3RIRNrgRIMwDgrB1uPZI0PWv11OA8Q9F87hZGi9K4RNiYMj JaTWYtCDGi820QrUCbgzoah44L8f6SRqcbI0sKi7aHNFJO195IG254SBIAqEXCKUERtuMMTmJdnE HiZqu6DNrX2i4B65rMn9CkllwMq13tcVOh2NG7iDH6Zgh+uVmOCLcbPN9ra/ric6IE/2F3mX4h2r qSaUCyaWUp1VKB6sAuwSZ9XHpLXsJWKpiil8HQDAGTGlYdbTh6YVoW+6lacSZGRxM4vFPA33OYZC dkco+juOTCsWTS5kxQjazaHisvZ+YRZeEBsipP3uHq3J6hyuA2Kl5ABUNfF9PVf7WSEbSf1q8X3S IzLjQ88gJrWb/iGAY03RqBa/kfyHewu0NWAL6tuoHasXgjcnDLL+epSt3EGx8+qlY9ZwJctn5zef 4rVwTs5ISI19FPJ6tnXCpbUz3olyII+hM2y3S4iMne7D4P8fKR5o4Cvp4Dz0r9sM5Tze0Wt4H4ea lmXWbL5iPVYdZPNv+byCgkryRjWkIUMaCdng3On8YoZJeN1yZCWLVAew+/iu3LJbrks6JOogFEKY B1ipza3yAZ8Pycvryc2RpJ+e9S++6HW2IoElWPvgqREO0rYOlUXP7mk8ePYiC5Jr3klZgzzD4CJc T4Ar74rRR0fLtbXG1RW+FIwRbsyzwov6OACjG43IUBZOGsc72Ay1tYMz7FGIK/I7wyldCVaBJYH7 sX8XBnRsCYcGeAD38YjTV/Bz0ZGFqm4JibwHI8tvMpQn7seiViCsNIk+Xf0T8VUdif1+qXQVyKGi AbPmPyON2FENk3WJa4LPA1Xdrr71olmgpcmwCPoC1pLcu6hLfG4wKRyILYpYtToAqLkfxq+4c2xl UwduTZH2Bj0N6fQDWqRnrxv9i+KvAY5ifSEbOOHoO1Bzf0u/uuXJL5pNC892z9VIhCVX9b5trrv2 B4hIAZqyvUXdBIj8w2e4odQZapRH4XOgz3V31BlatVc49okiI2qtTWgW2g9AKBZxR8yZFNjBkEGK Zs3MFCEfncqm1xfh5SXJLE42PB6tGjliv2DL7Ail8mYV4l9uKf1hnZioDzUmk4o507Z8sinZf7Ma TQcFHf5WKheBleXNvKYYnaA/XBjfyt+9L8YEzAvlOoDZ0bl9kYIIR4fJeLoz4SytYLqytyeHhRf0 MzfsMYlryGD0/KoszcAjN8KhWQ4Y4oHXRP9h7tLXBaP3JxrjXD1J3dAQot5wqhFAureJqh1aZlU8 yjgVX4UchYR6g6EAk/A9EDqQM1juVLoh61V/EQD3ff6S7tMbuDHJPh40HnnDwMGpwq6eSydCeP8V wSdT8FknvOTeg5tBYbqr4KkHEv1BqasacHrM87+hZJSNmUfxL1kHR5bkxFF0yDpznTRVnh6+hl2X fYIksVpmk7Qe41CkdKKe3cC7LespahVz8IGo6se9rfdVGJcZ0a7FAZCR0UYMGVDc6k0IqwCUm62R 9NWvm6ZF1y9zlmaHU91NUyj4/n/XAlw7WUcaGteQR6CMdybb9hLQShosXUfX4oS9JtjLg+nJGsHa M3nLTi5oNEYwnvLRdpwbaogPPqpV7zkXvFndQU1L7adlQIrKg6d2nlNpdSr20vVS1JjWnIWXO2+b zI3hpWJTarrrbjBlDNjTnWmQfh7H6UUHQ+MoaFbZWK5t9kPZgDMEyRpjgjt6FY6eJ9QlOANs+p8X kdTbNgTqJeZgOhkj99qwWvXBUwJj1ZfuydCCt6ZcVvnwLTLwKwZzG0RjPyYDvpJuD/vK7Wt6megd 8htaKDNDrqlef3NmhvDkyAw6S2c74NN6MHOODW7GAY9y2kpxlQgq212yq6+7V5DO5sb731hc8jT1 ntff5R6f98lsBreiQ3MwljdYnKVID2BR0ao3CWFFtLiudXH/gJMl66NDpJMsG/TEPouk+I0OgeHH PGGLLaWYYIHgTFqUsmjvCXOD2a/3TgaxlJSdFKTtvIMCNVuDzGaU0/5ueuczOlMtlkUp6fErsDoh cEuuQtXrv5GAKheV/m6SaIDsErvEZLWMya/8NjtiVKGgANYNniX3x6y/5K3oRlMv3OE1StihTSJM tBq8mRDDNU/rmY9CPkAPRuAy2bdJrsB1VCf8yl+9DqAwPShb6Zx3nT+kdnF8qesM90vNd/dCrpps WV1mE5l8gIpGU6hTVqcd1eihIwENv8jGjJAx7H1X8RooA4r+VxNI07mK+JddoLmKFdhq+lhKI/tW UL/1/z9Djhhp/IF0ux27aq36XwnclmlWzvVObfHZKvltLOjN99fYChUn591XRqfoiKM8+SDA934A Ime5Nnd2KxtTj8mKCTrb/8ZgTCkmZeKHBvy9LnGDAcjmbJo89cIcxZE218b3p7zq7bAlb8Y5mKkp DR3IW6Xwt8K7uVMlyWICrCxRzw8eUB8dqKbWdQ2jrDkX+MqiYpF2SlZKC4OLPrqjxKKqKIKIRXs8 +UY/ScuyWJ4bnCu6JjIR+XdSSAX9WRPZcOJfSM04EKJhNUZAckN5bySVePf2A9wUXB2L82xYYEHt aCH+XxObMaAlWdJ4bZJii8BV0grvpfmDmKXZefcXqT6fqAGTM4LecRN2Kg9NEpXy0hMQo2hg2iM1 F+XishJs4w6CzjYx/XxmEAjhNXfXlB8JUzizp4qr5noTVV5iBVV2DeGvS1MXRq/2eeWMA698a4wY xXVcXJBQNHa4bZD49T4zocYNs3IgLzxCkPzU310H15gLNLCBLBvQDpdq3oFIpRlPJEwZjM9JFpnp OiuYVstkC2esIsZlafMyu+1l1K/+Ae1MvSHj8I4cQZO/UoBh+YBetPEHaAbpW281HP9LcwdX1LMn CctpMYLz19/RtWyplDd9xB9+w6DhGmXkXXJcZZvoccnGgtEMWAh+EWeg2Y/fQPP/4Yxnab6fEiDr 5vno2JfAViMQB1Lb79Yo0dy3tVgGMgR0F03VMnOO3axSmx8TJbqtFwfA9FBFkwCEEBQGxzUkPimJ 3olsqQFNjG5bIwq7+x/oRh/sQrnTJk1yzbPSnK7xgqBl60fmylcf0RYiVPbsdd2H00JlNMsTI3W4 R4NeJ3jg+irCU1wUvjnUGdquaMKcXfGoMFXyWE0GA6CoHnkwW6m5KhW0YEoCh95LaNJfQD4YRCj1 C0VmDFOvwV90D8RSEGk6MFPIab44/fmLqIf9Wj0iOVho28JbL9oy0C9grJmdSxj1MQPaApZMZLsF TAygab3CAwjHLQ+TmHlY8K8vLn2iGxN2CqEGvKhpJY7Y3szXdIBzUY8LCTmf5Ih7h5o4sCsrOQ/F bN8BwbQifgehVjHZBblLVt4H6nTglTymBewmRd2R3LD4g47rS9POngvfx8J1R8U6JV3u2mLroH+O GEYPX7Zp/+2D3r9z8wkJfLtzgeXiVAnRlr0TeZkTJqnWCaZsoJTeT4CEFCIuo0rMWedVLDwBCIcN 4+Vwwrq7Ap+EO0d4LfJMpNJDO6ZhnpRUsoMJksWOKTGTIDY7zN+KhBi4V4m83+ELSHoXFjdlKGMi 8/fmTuwDjRVU0HHDIfiAuXBBoXfVQlHMR51xx9nHHgtgSKD81SRHBQ3I99XjhMNPBfX8K0Ab15/M qTicf76kfvrOTTfsS7iQ2efTkBNMYXuw65ZpI2NXFAJ/I5+SmVzJIREpgRnPcOPoQn2/f9JopyYG umGZtgrPTXYTAmxjjG8FfbTLA9yfVgs5q/C0GzpQXw1CFhR1iaF/N2AAB4boFBOFrLSRvrWsZUns QpPCGPuXsRzdqLior6sn/Jd0/j+nUn8WA2gz/mLKV1PW8uenWSw/Q+d+O7r83gzu8afGqmr9CnkK 4oY6S7+8VGPvyNKSQ/PwZiJHE23e+CKqU3G/qTlrKQdwrYN9/eeiSS3a0Wids+umFnD4fUm7zagn oF1Z+RI21BRmJYbcl5smL99j3zm+YFd9tt/kj5bkGnQ/YULhw2465facUVZoWNT5yYn7Ji9nX7eC wrI/5iiU5eTZhcjRoJmID8su7XXaXwi+Ox89HhB5DSq8aff4EIJbldLjpDWtQyRN4IaIfH9Hx39k YcnDciusQ9xnIkdLzZvIOodKJTt7DTndpvD9OGvR/X0cBSe1NsMQXoae3GkCT1pxTCBJMAbyp/qu koaE3QyoMUPUYSs9jNshvarD7giPbkTT8YmWUT/hBBlRf3SQ+tPWKQULlpvobFB0F0zRjessLRNJ DgItOEcQju8QJVXQQ9xNBprqo2UKI0/aHx3lVJJaaClmGpWfp+kjgCJzI+KIgN83L7eQhC71oECj ZE5Zre6uoAr719Sz5JWLNPoEZtFKmsV90mNrdTwkuiRbNv95zT4C1GBHsqNq/omkgueL71sl8b13 1d3YnnDCE6peTMPqXKJy4erRor+OSkJhsOCrPU4o8X9QtpAeB71xXu/alTFOQox0Mo/CjgXGWDWH FvRk9MekDmHyDF1QoHi+JIYCEeetz0vY6BrRdp6SXMKCUX3GHBwi+JYc0RM74gtv+hhD9Uem/7qD vgGxb/B78uRX4aJ9PsUHXbJ2hB80HP1q9pa5uP4G5hFsagoLxRqIplouODza0WwykvyXvXT+SRde 0sS/GB5MQj89Ci0n/xioCD7VW2XoJXo11HEYKl3o3cfZMRDgK2jYzK35BqpCCbLh8evDITE+sj7V 0Mab+YcwhfPPn316p/3VOh1H1ECbKnewLVwRUrQvEpoRPQeoTQ1WjNA7aCZ/6JGOKT92neCwgFmv JF4uh+Epc7euPLn5Hpe7zxFJpHgkijasB5JBU+xA0WSvka+N22SLqM4v9dLpUWsCL/BqSJ0iRYd+ g3OhCPQ8XVgIzU5CNB1xpn9ZNlMzQOi/iDceYPh1bC9AEGeDVNt9OCoNzNHVzgfIzOdeUkOnQIEz veaEZ/kd1IXZ+1dO8nBmf4EWEQB5po8c93UUDd89nWf5hUrEzclPXDgje+Dut4SrcY+0OPX33Ond +kK5QNiaIlk60W+I59cd+AYMeLvrcMLMQ/bPDlSk3iHfwmCMzcQK3ehuJxV6OOcipCE4AGGIAc4O 3L4dmQay7s6qpB8/1iORqtF/Hjh0g8LOlXEZSr4EXgZXWW+GrrmWiYeaRHtYLguVeXUSogE67SVn uR2W1bFobKR4NivyV11WrxL6CIXJ/nVPHLvmex1WOK7TnOB859JAH1o1UQWCzII5zyk4Z9hSnsP+ a6LVKP/Gd8IXy5Q1gtRStwvqplIzV/ZRLUiTcYEtllY6BaF8TxNsyBuNZg3kjkgW9KQzYr5aPJnO QimAibxK2hULhN6UpGa3t/UNgKvR/G60blTjWhyIPbHdK89l/FAZmUWdhOhSyRYgCwwYvhvDzHkV 2vodEUGaW1YKQ47dSFhNr+TcqgpPcY9Ty16JpkdRzqMSPouYWQUg7d/vHtWqiPTm11FbBhwvLuUa K0aYE43dhknm000sdFP9pQBKffWNqZj2ciim81V2XdjKkA9m+O8xNJOZv8VrhfBS51vGMop3hIhT IMQnzamKGkVLdBaY/s41X0p+y/4AVR7YINxoYqk2u3ca5HUDDMtv9H1Fzja2xfcvvnTdWUMmhhij a61UlUAmv8Qww+GJShSFE9ivHge+HZ+oqNeEO5lTGX4tDQMNVQpQk/s3RUtx4eYqJQe7oFj5IvJp ew0qpHGqX0xBnzp0oHyM0/+pTJpm4+nMcV1iYF0D6E+1OlLiPbMkybJGnoTY+QpPNsNFdwg36Nor iOYGF0vcULi7j4z3hUzoAgBLWM0nnePK0GiMS6rCVf7PWK6ja6C02jD4RIqztcEGxBKwp/18BGfy eI3dpLwFQn4i/fBTdUxuv8L0ciUkf8OtPgH3yUdeOcRo1P+y7t/Jm4jfwd8NVgiFlciA9D7b+FAz H8MlS8QWIdV1yPqcrCwtK2WqnRs5b4tBOvT5yo6zyTLdxN3fnBQ3CroVoisCoS5ecZn6sxRqd9Is VJOYuqqZ5LCYmY9tXFNwFJYZWVRuz+cZzMBJ+pf2yZjZnOh749p+fS67qptQdZkgNkfEkBZQFZuW rbNllGcRKh1LymYkqIkDfuvE+5xL6i2aeKFOeBEKEsSvdHMpnn/tD7i+RhKQcZV+Cz9g/Gr/KdwR 3eDWlUr1ZgHrGfDqyO4Wwjr2QQvdslSugl17XtgwgFbt4XCVwkhqsK9Lh24ERHleF5GXXREXlbpf PG8wgHtHVINkcdbbYw6pCG7CO76lH8x+d6oR9XgWCds0qgZ4LB9lga1j0aO+eZ66ovhz8zCdEzf8 FPwThxG+tIrSTHlJdyD45zNicwni2K695EMx+OajoERBNTqsHivjLBP+bHwfRKRGRRHN38g7GymV KFM6gRAf3rxHWfX8L5QC7aZd2icZ+jZcxBbBmXEOcsMXnFZ91dALRSZJV2BNlqWE2TTga9gUuaIr eB+h0cN98gDpP9tmyj+S67gK19G4wvITgISqoQmtWfYpuZ4xFs++2Djbzwsntg2dMuWByBphzysj ZNUDZ7IjcGYE9d137RVKDUkofr2fj8/nmN2MD/oIg3W/jMvH18eDwzHKgL9mfr2a04YqVrTsWf8z A/ko5BWddXyFxJBAJazv/2unfQhs9V+3SIpKURoOu9qlMWAD6dcWleXdGArkuLH3kSgJMV1AlMDu 8Zrx1XAdaT90CnM7/4PaPfFocdHsVHmC7qgAanaYMrMZBwjNjU5X5rjR40ZX4OJGoceBbbxT4TcU 0UMoJ1MbG1iTQfHxUbJ2W6T3espxbGAPinyntZAgANB4zvPB51ackcepcZiLrc4HuGPn+Nb8Mx4r G/RPK/F6B3qNvOGDz9uqZTjX+SB+unUpcAiT0PzoXHJxxZtAeTpA+kyVAvgt92u+I0DeYC7Uwp1l ILFkpuNk7fDHVKDklhxQ4fb/3Jida5XpP0k/QDs0cj0lu6HL3kAmjLPbpL1RBDaCLgcPGI2/7Kic YX5B+ll8IiIpvwJcLz3wNUFoKjvBTJAhYNSaY8B0DnZvMGVjPbvn67vRclFYtF/+2WUcsm/YdeQA 2ux1RO/UJ/qkSqNQuwTA+geG55N7KkHZtB2WgL9UGaT+v8W/71N/7LJPmANJgm56pIr+q8lzumAP RNwcge5AHODjf9/sPVg3Z+3Lk3m0bSeAqqq1G41XPQglpU5BHQjeJMkwPqrbWIZRQFCx51qKkkpV L5pME457jACsvVRAVDXkqk2bAOwXbfBWT2wGqtZIj5b3KSiB1zvyELUam/zAEWhO8Z29TBu5SqlM A+ExMSKXb1f6hKJ+RifJ+SimEo4Ww4EJoY1hkh4Ez94Wp+9uGBvC4LvzLCsK3WtUkF3ZmRefashA Ql7r8LZx/CFdQI3VI3GBMrCwRwejzMwmafRMYiqvpGxUjpShiFo3c+OmT4u7ZTMEg4V6hOgGk1PV Ooze87ZO9b90kT1Fl/r+vN2Ad38nv10vPiQxYnV998QLWRItDZJUux9VthEeyOKeVHqF519bd+eZ 3jmNUdNqHDWq7QyxqaqIasltap0x/esWNuXcDhoXLiTFqknD+ai/f18spyWpcasrdhSDAhI5AAq6 w3bplxgO7D5m+0B67iRUuVSt92MlPvtLnLTJNT3eq/+FNzzfqVY2TS+Kx4ZOaGwkoEwkr7WlHu6T ukHTcnD3NlF1t1qYNKIZjrX3AxA7GTYdfLdpAMZztJlNYB9ZcnAupp1b7iZvYijlUwlleKNsBFrn 0FwE5UH1zqkGMqtpJ/ckAGjFIff4PHPjTHdWgl6WXiOkuGHZKRYDd2EMNG7ogeJQR0S3leW4G7nr kog6bF8q23yi1gQQFRTH7FbAX4fD9EeLPDiRvErV9PI4NaPlnSNfnESkrcOEQVvVEeS98QH65Gbz kEphYZDqUtBbqXH6d4aoAbIHbcK1jqUWKfmwU1hhpqnbxNVNNBhg7qWPcLf9w2CnLJ9xvr+r2VFU C/wk+Mbe+6eSgJTX+gBxtiwQDFFU/uoR8G0IIVKEQ3D3TV2d6gDOwaqzQhnEljW6upw5Epm+6i9B 1m7J/0VVNeXw6L7G+y47vH1fIIOhZ0sYH3uBoXmw1Ds0QLC19H+rzxX2z26JwIRAvXttj/gaAUj0 z+HcxTdTHDNQHlhobfNIHWHSRNLsZWxAqf0RXSWfN3dKz74ibTYtifctHaaz2zrDHsZLFGy+4jWr JbYOUWLmgx1cttIk6ri7sFuB3zoyOzdg3wj5XfO4T2hSvn8xXrOSpASpMJRcGX9qrmUSFM1N86WU UHvS5/Z3jVcDjjJui6s4QMpxxkSIJqqqkeAjwhJmBkGKKCHuXdf4Ht76KOom52cN5qOKA6gvm0hO gannfYCBjU+R6aLBmJBZVGRYE1reNEWI6j0NYIZ2INs5wdGoqtVui1WYEOLTULwq24nYaFEH6sMo mixEJi747GMA1xgmKw5J8/H2TvdaoxdxsYgRwKvgKf6LF+/GPnshE3ETyYg5PC1a2TQaJgz0mS3Z r5+VtVU94scaE7oJ9jXEyI2zP5GykQ05SLM96N8oDqziahqpUbwxSxiYORkhLDPGgUaVQrf6FrFZ cMSLGCizfp34N5ZfXzJL6yRy3VePNlnDaB/6jzfZkhcAsTfHEhlgRO4Wrkp9k1sHsRokvwA8E21V lGZQCKVEYD/I3yxtt/08hCaMCpNsGDHzh1exRrAMHajtsS94SMsFB2823Cd+HUBd+ou/sJVSqAnP EaX1YnaNSvhBKrHdOv8p+E1Z97xiAYzGSPPbHSEXrebSLTWX1jaGloksBSguIjJymiye1/Bpe0a3 AOz9CoS3O84tkFm+55NGn2uGagGRFtoW50mW/UM80oRP+4iMUol3HD8weAouxLtnCFoU4Zv9+HVc V6ojo4xlGx+kWM2P1+4IMcT8ZBY/NENTSUThX8LkuzJDtBy8Z0w3rLHWF66XMuX8blHSCucKylKz 12+LC+XDRUDwA1X4rSwTrbAiWoQdVm3py1F1Q+pwERAWCIq5xCob+XifK0Rc6yanCL4haowZf+u/ U2FoT1mYdrhoGnN+8/rQ5jpFu6z0rbcR3xbe//TX3OA+v0rmL2dER0+4mhc10zWniYhzYKNRURaQ 47WYVxeBa+21/S32lurQbQMw/uzgNg9+Uy03OpwZ920coNHoYMj25/FsUkDE/JVCIDu3n1rs4w+I 6yiazB9uNawBthiwUQpk2er3AjpAjZinOjaWfy3gxIAf6DahJURsBiae/kFjWTGi3kqPYOnLT2nr 2u6I7VReXjYJsDtdGXu0R30WAIrFPGYcNWX0oOZFK86JweS777HiW1l/amQcRAXBiOgdpsb3fvrA kFMoBSZf+KLpM5djZhFzchQPC6bauKoEuoO9Yrrb23k/2jUya8L5l1zVe7W/XEmuKE2Dnq55x0pm xhd5bloD0CgJ+ZHLSbRDsB+XDPKojeGeEA4DGmrml1lmgHhZLWP5ZvIQv6yWKTybHQdhN/4/5WvE KGXpL2F8qSPRzXUpCb9gpSRwGXOh5OWoO9ogAoGx17WVEi8wSXNB2wQsrvspsx1z76YKwTr//Rnz CrcxZesh12vC1XUS2u35yfLgcHoaUn+BX9piCZeOsPKvUCCcippLWHIsAe4EEQdrw7ifBRtaUWBw hRcFeu13eq0owf17mMPofCA7SJHrm146voggm9fghvIFtYJm7rGXAeX+JxamEJKrvsZ8Ik9medyo 6y1hsv9hNwHDU1uSzDg0sfQXYk6fAJ+1NulfCkiP/DypclBhB/EIgBhGkuNsjHuXtHUmKlxips5R kLxq6GnQ5vC+tUR0ZeUVBC/EHXHUdpFZqQ6GvS79HLIh5L7cEdtmBqQ9lUo3MxREjJfocqBdvC4l RMoxGyzZf+No6VUAXzs3wjdfn+6vZ2CDms6ogmN3UZ/0U/E5x4QE35Dy1vZhFVqP1vRNhUUuE9Yn LNB8XKwado0yv8BORRowlhLHYhzoEBETZTyPDc82i597F3ca7xN+RIKhLUxKwAatDy6GWPvhW38F MUNs5PtW4FFoYcx/azaoiq7PcK+mzvoGscyMXqrriLvcZGZzPh6BX2ggzQWlHshvb1LbRSZ5EJMg 6SjXzUY6+054ZFiqzGC95zAOrXt9lUTZTTWbgwlQz9qagsAd7NKbMXytrDVEX5NSHDiEemTAwRXt TOQyuLFUm9ZbNwbjH9/XBCXb5it5FuVLythwqKQaGw8diR7SayNqjuVZhHVwG+6UUztU9MQhSoVq r3/c0poxqqHuM/0CSK/DEP1A89qxTjwldMu7KbhXPLfjsAOKl7hA8cFJWZwOti33FNIb9dh7S8Z3 d001X3oIfs53ig97VqoNAz4q+bUV574+pRsyFpFewGtaRXRgZ4rtSks8BcUUwFTzbthyuog0kDiY Dks93A0mSP9/DSrllQIF4fz86LeS/j0Wq+n/mmnb+ww2b/S+jSMta9mZNhI3/EO9SpLWlkaHGAwv zd5hFn/UvS4oLr6L4sBnkEp1fVAiYwlSJSvvatyfhKSs+RKjPasmmrfBo7F3SG2tkCVo4msHg01g dt8SyV9m7rkP2EO34FJH7bYOWL2XxROEmwXuIEqiEc95bne+S5aHUcMAjF6B/RkuPX8pwKRKXNbA HuB/FeJj7Im+mu9f9bCaHl55a2FRfhAEeo1EgP8lQ7zoJnYhUzDXm9GTMus8tm5e3PfCXxRvIC+Y tSN9A5gmBX6oHsNbEBDh9FVnmynQnTWDcf1fHpW0e2idelYRrhCmn2mVkINZM/Du5SKoN8B5btvj 0dmslSDy/J4a8CK9AQWF1r6LIV24xppPjXjCGN4cUd0kH62nBlkKdPScivhzGvVLP0TdaGglcnXd VG9jAjGQqmLP73UIX6aw+C44HogrZE9xdwjnTopXoqHg1DRmi5uIkwNJp0D7bwUiUGr7Imfojk6B a+NSkDLKxNG2vgncX0TWCY0YU1Y+pTMTZOhu5tYrDU90PySL+BTs+D7nkumEUUrshz2r716iePnE m8pxrIkFgVo04Ud+F7mjA4D5dS3+zjp6Otmh1HUcX1REyDCv9SB9Fvf/9afQ/L/Yx72f0kmGIsOu RHS5CBPfVFi7qqg096SJLTQqxOgiGPUceITW6mfjmdmTjLyT6iiNSncJW9zzgix5jLIIJKIo1NjJ j74x8Odymoe+3baobBv7bcd/lr8uzGWOgyJf0RLK9398vDFWHeWe1d+fLSEiS7FyVYkDe4js9r4a DT6CNmDo+tjqNdt6XudEOXBAWQwrexdTF/VPfRnavCXTcuve6uToBTxmOOswFTgOxGheo0h2i6nx sVLpv1rvNgUff1D0qMVqxTc8kSogTUKs8arFKMSR1OPtZgH47OQMruDJ9pLiMRXAPJaeW23jshno dVAvWtR/hNRRpsj+lIw2jJyUxr8XsyjeqTCSId8Oc52Ua03GYKgxh+bJiwWqtZRyMXaXmCwIJomO VZOvC8sUr2UbD7c31D1Uo3nwrG7ThVziLcFEuoQ0aNR2LDtrc3Lh5rLvzxS/U5lcTcPrQo/OSvJB 5730q3X24dOoPXuvq8XtsyPqnEVOSjrhMPH2ldKdfXGyvN4WNYY7VIw33m4yaRa0suyBGn3s1DQ4 EapFyxAlringIbYZhRNkR5kLe36MzX8ZAEUWqeT8 `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 35264) `protect data_block NOUYjebVfR2BBz/tt31sGuvhcLUTsrww9Y/Dt3dDqgpRe7jpQZPtup7HA/RDOmpt5Gn9hnmFFyeD zltV/6iZJ5VPbeVAxpGKV+/4AJbjRSEcBvCIShIik5rMhNANDvVUVkafCp8YhcszRNirPhZtl7Wl WvqdiB+YvNKjInQ8RZMvvelhsfEt1jZQJe5EJrubK2pdPZgdBkGqVyWOgVPMOGD3qdjdqUk+0KxO yMy3qFEl3yGumNppPsTXLB8MuZh9F82UgPSUnjtATDKp9CTz2QqtF3FA9OlM0a8jkuEvILMPF/aj o6lq6nhvpD0Xz3b7Y3utCVUoAtSlCqgBuWNJ4hC0LtxrX3w0F44MmoFtRThgUNBOHNfYU/Cel6Gs mLySprKvtPRykHttKXE2ZlOQ/bvDG5VcOoiktTsmu+Z5wSF/GBEN+i6I4ykAqJU7yjHkAb0TG+po GUH2TTOx1U8caH0yh6sC1MqU0MaOqRsyW9UcSm0Bqc0h2Il6NWWrbbMWex7iZPP8itRweTpMhNgQ bAvNAjHIfGFNQv2vle4ImzCzBXLyEwRjL7aAy2CYXCU/QhNy0c3m+HE1FM+K88LRvzzS+rFBoBsh Mdfs6PQAIUBG5PYvY6jXggm9zRuXwlDBpN+S0h3P4g9rvC5QTP/4vFSlB1840ylIp75Ryw4iRIO5 IF4CmUpZFtRf/1L9VCgDzyizKFc2vjvfWYjRrTecKbp9KWru50prdhmO2CMdZE8lzSnPK1m1PTjQ CaA9626E4IDs2s6/V3nEHJ8GZzbp/0socboqXqr+so/i/Jg4rbm8RTRASdbGjrRhiBpiYIfP/mVC UDd0PY8rpEhjaD0UoAVbDaUz0JElqDgQwhP48cPhNKABHtqQnzdhOyRfgDIFks+MwWdJR8hzbP7P 9qaSHZ/gAxdd0VFKX3lI6K2tb++mvGpj8lsv70BE2OUWIqLfulDZ90eV3vg8p0+63bR7jrsEvfsB oZlT0YJN5EIhgjPeh03covCHfCACZlTOw96yeIZS4JOqMy/ByjtPBgAT332mNvt/9e138QHeFpU7 9pxwPGv0upYIxS71c/0oPa1Mpey1YmBegnpkvdyO+OrHk6m7H05OtGDAUMdvZkWyHvk5j39rjbg8 oWpnCplea1JS7y8aHe62XfNxCfDpZD80JxKwC/hmj3Y02joYmD4opke7a/hmYs29U1Afgso3R7kd ANzPXbHs1hF+VN9TXYH7Op/m3h3CGQhkbSeqMmtOzKrrZTbB3vbcABrtqtNcwL5GPKMUVr56AgQE WMicr5BH/7WSBHf0DJXLAlgxTrEauEOFNpT4629X5YQ90G7Re86AonhNQc5KVXDvHHOgw5rtdGFq rojYX77iVrU0cGJV8a8z6FTSxeECrDjLsgIAIjXjEkRAr4taxAxhOoltkskC2EtvxgH1KFOB2dIs Q+ZBFCDZX+yd4rzV9M19hs7W5z1QN0/jOK1/+yqGmY0G3HiPWdGiMhQeqGlyYqvuOUkdeJkMGLOp zHxEqBu2OvMROIwkCgQO5sSCgJCpFrz+bFkmcI+50fLsPx/PcLeoNi0BAIdQRA80XZX6I+fzRYw7 ZZVfVI846gEGPc6+PL8C0nrek5QOPquT/9rZZ4nUwTxo7RYsloheCn0yBIELM3fM1/yeB9aJuJtE tRi2TEI5wASIUSlPe8Fe/NMhMb7M4IteNJofee7qp2pXLK+0scNtpkyrwLKQBSUOTdxjBMLn594h dMxnoscS6XzdrDyLdu+auY1AFGX8r5FDvP4B67bjCI2vPM0Zll0TDC3935RvkZZRWCucTmaevV2z o0LEVOK2E0kr7ORwhFrS14MZz7uBKIJ9zrET6DFHU4iEMZ/qvqHAj59yl+89NIq/ADjFeoVlHUqx 1tvKh4TKxL27zLped7dHO/pcih9ayE669d5a53o5puVXN7t4TWGcBsJnY70K8xLDmwvvg6OqUO68 Dlt/ym/QQCz2GEErW1YtjeUhZinuG6ZxKLipCgVvNZSrM1V09VryPzLNcnqCElEXEOEDAYcmObx/ XeLTsiKR/S6t3XhcaotwxyMmcS+NKAdKFrls0lUQ9lqb75IhtUJKgrlu6u3J2cFwxWtTDzE+Ad5T T4Dqqw8W004/f+A7lxY7jrHdv7X3OX/rJmgm7MogVGLDG8wTDWyNOQ/uNQ8aMokYK0mfNKx4K4lp AMY2w2la+dhxb0Yd2fq1Iq0cdGqSILDqKXdKRLQKYMTrsi0VZT1t1fyTsR00CA+I275s3f8yhoS3 Y0VO6YPh08z2wPdv1P7NDY11v9yENkBITvF9b0WUmNBTWV6lQhy2mdqx3goJGtXsVS3dTdBNL2RC 9l0W83V3/wHuBosNNluvLfhRMo1hs4ef3H0jByc8ZhDUHQKdtIFkFoFFP7l5aurd7HiRY3F7k2Rd JLZ4Y1huAZPDZfUQJraoz3Zrlky8/ApAXlZhSG7dbcWnEOArl7wCRuyQhG0skcuJjEro9QOBY6re ssOximfBAwhVC3WFmpxgw3Zq4pfMlC0mxc9u5ebGKJ0RFjiabF7phA4nnv8OOGz1kUDG7vO4yJVL CV7l9XSxCH6GFwHojkVlM7K6YW6h6uXitCgk4RrYtG/JUYwxA9Lrf06zZ8y4GigiOhHzDLabY98H GroOZCp890/Y5AnvHOeXoB5pg64A2qmPP+H7vx12NWmsZn2IscmHskvePSvAQl7ay1eucGOyDjgp OrKnLzWNkxMiuHLy03+71QSpitMJq4LWOpHaSAbrGxb10km3wWxtcXhhSvSFVUhKB1eFW1qY+w+W T0juRPpu+8gjkwVV0BrXMz09nz+QL/sIkIBvw9VgTfgDoYTDjWoAlb2NQZADcu7H4KAK2YDbEdZn LMg7K73FI/lVfbBm1gF8O4/M3IwJ/9nQ9sCxq/7tgN+9yOs5m0d0Dbtw/b0xWONGmG2DKY2Eydv+ Zbs5MZ8xhi+cYSM3gX2QlTcq/UZcLoO3q3HknyHA2vcjD/w415NxLVZEPjSakGBHyH969BSp9+e/ VUbpFobbygoLsrGjkceI1O9WLRcM7wS6nDa4Q0x2GNbTBYJ5UNsh1hkl8y2NUEntrHLe3QgPplOv HJbXIBciFS+i81NAvs9i0qz0rluQ1ZOY0OqVpWZxbgynXAxgHJOda/eBCW5qkHGyrJWRhe1IeoBO kaIxSLhc+LAxPgPX/aEuNb0R/8hmOdwKcdIRvNqOzCNf2rzc6lL8EyyX6gDtVbFwGOb9uKjKduPZ rNyfnmFQmoRanK7huU1cjyMUPcorscTzBsETVcTUytYaJwRHGEJtjEDz0iBQBLY8aFmgqx2k/Sh2 f8Nxy+fzCkxaA+A7XtB3v/L5yT9lVISaWyWskmyfOQNUv2ggKupWfA0mUJrz4iR9hw1Juj9V5EQ/ YuYxIia34n5WAVYR8J493k5DDBgpb4cJZnE3d65qniuvJDANCfGB2GVGavKYT5iBcc6wtW0vIOyY E1/7EOMJ2EB0VO9+0SNelbN6ctCaPVnAbCMgNd5MJ/87k5ynw2dw3ze3hhJzfZQ6nL8UG0n/CsDR pQWXalnV+eAkLlM48REGNCBJh99wZt83GWuU0/y3rDfOSto8sGfypY5boUSaCVGRPSd84yJ0hvjN QSdhPHlNxpkuPMnMdb31AKewI8z6ySoUWiX8HxmC/JcBpTgxJV0lOt4fQwhvOfrWFUktDrvivLcm Uyixm+FSToQtYslADibIUfPW5M0MGRlhQ5npIhglUXa239OfpHgHtQEoD3gbZytHMCp8bybBm5yR UWGCVfL+pE6V/CVLcVz4kMLl1+ujj2vI2zyAj5V6Pt4CP5M7KRo5quAEsSa4bJusRXrFTgXCJtfy TbaykexdCiWqY1KWL+82DC4+tFJYnhNfp2FRWKgvoilkTYvaKEpgmmjt8EMWRBJ4Ammq2SEk5woW mQWwh/bofdnZtegUXxrlD5JnvE3XcXO8sYYU0TjDvNJOkmFBmXG7pMEFzQJTTOU/jNc4nm0+2lYV vyjND7Yw0N5FzonRg74eNNrEpPMwLBAxOsS+UHa7fGUfyxF1OtzsuB3YI3FEDKCwgr7UFAZrF3ei ZTw2eSHg4QxG1lCb8fRaYkp3npsoi4xG+M76rT65optmguv3q6/n+E0TJKHXOdqCOMqie33p4cnJ ++ri/pVvoJ4NAUe+WxefYF1Z3r0tq0wiFQdC/RJ3A8udZrerWnvzOqaCrzAcUfTzfn2LgTpFS2cY 6iwu1SW82LTesm+sOw1/tPbuTqH7BwhkTUL5y8kQStGtWfazuAZARLUlO0HzcuGwJsvSM2XdiGpw 4wVu5YiFyVmF/kqrID6Z0xYcg1TcDlvPlKG4/KjQaminGYYX/YPYJKX9Q5Mu4x0gJlVrmkvhpto/ lJtrk+1mthJawZyB54xBVtabv1oatwu6Bh/PdQFa/74CinbF+nV7uZWGAzjBIrCB10Nr++UJIoqt s5jEUD8g7bsJrl2e7dDJxgP4/8M2lZAAw9KSaaQCYlq7TmyU+UGOuFsyKL2lz0YD1YFkM10hXMZF ZlcPy6ULcVzpr5+cRQaj+vT+14o1BsDjv/dxAMZSD1y1Ul5coEb/ULiAiDO/3WtaXFsSo7JaIIet PhtXF4JHfFDbRtZeOoVGemlBTTWzbH79buRNwNouEdhrKq3TSUEo3yL3OaVdqhsfa2R5+osi9eQU gvloc7frTymWfh6h6EerqxQ0xlUfZLMIbZU1l+4fBeQZLWQ9/n3lznGK4bY3KN1I/8Sgn628S2Uz cnTIAoAlnr0oI3arH39jpUXBliJObAX8dD4ADeWaoPpbZ42zNS1myjaDZAZQwWhtVX4rmODlyXc9 FlOfjgLjRdQkTCfUg2lAcRmoLKFGzRmf+XflkdVy/umkzELu7asTZttTe0X56q6zmpbASLZM85qF MsCljYxxlVW6GflN3GgQ/nH+43Yyg0znBxZiYUPeVkAc0X1vg2Y0xStlQEINl2OufaT3kxMWhXOr pIYWstKQKtsnmlfxxqMXzOj3+HtDCxxeU8+Fx1pKRkoPPmjM4VuKQeNyUD0EorW/nC1QYxxHBa7k MKpbuEAJgitvPjN++fymrLSSihPgxZFJcC1aL+IvSYUntXCLPLGLf/z6vHjGlssyszA3ThBWTAPj 4t6iDqHXooVc2vn2MdSIL+bW/I4AwRdRmJP69jPMZmZvpMGJG+zNIVXfwMIWtpZZ3ZdojX4PEspQ ONeSuNS4pyfTusecgamR3I72L86qLGUXpaAclkm/VDEq6GD6t/egWgeFfRrka2/th6NaVpgDzEtC qfuIe4BZDxiO/V5jwoNNbrT0+LlsRr67AA6dKruLJ3hmMlXtmNm4idPyt9i1nCzYE3stEJmIs5zp fbWsEUoD1k+PQgagtYv4YuMmM+5XjfVGZJl2lYNYBP5O06+FgO6+JAzARblHsScK9QvmJ9Cl6432 HIITV3ytPGZns1/Cpsp/XMby9VVURMCvsD4SgtVXRiumSn59oxS4OMigX9Mboe4nmUNzXoXltFKG /1yL/xAqavw8A5wfbHnyVrSgLgHe4RwL+YSCjmW9aQ/1SbC1HaCRGKnIJdAuyiPeV+Pe0EMvswfp 0d3wq5vcuUkB4tk1Y8tX5NdS/39fkfqIFU7Hnz04mUpDhIHzxOFX4SmgcWw7HHQgmKoBhiMb/pAL KTL7JPg3Bp8XVhRoCX175G8EfrWaqGg3AClx4HBTsMc0uaolbIYpNz/4eycfuHS1CA1ko6sPqcCE hxrU+YDVmfa9heLkpIXixx3KKokajHbBbxWW2DZbUSUZFh4g5L7cYdCNuc+t+/nSVdMbJibdBF7n ijySGZpvN1fOaegrtjNuSIRdCLBadolzYQJ861NxAwNwuS4MesYD/AzKfABw+uJON229vZ5U7cQw AevWLM+3xTGcHcz0NExO7UzY0nKLTLsWvP1JgCvnaV3YeUoDTEwMntrvGUa9/2Z7AOUH7kT1kZPF NLF9FZBb7qQXb0EW+J/lvxbfBet/Auz3Af8DUzrnkOAHmZ8e2OMY7OP9jVyOInkdsKPTITCu/FC2 kRKQcyzu/ytU9OT0+8gvJkeQFzoxm4lN3WSd2RaG4N2ArIF+4O7R8kj4ADN+hRsKlbSEKShqjJng S8PgaEpSjnz2/rhAWhX8Zwiv45yEmKaEj52MdttYXQuj8GDcfQBnIkU8j0U6UjbQY+otxDv6VIFz 3XqyZlZxAprbbHvEtHDOgSaDHSVoFXYwGplfYs42i+keGPNBLbyWt73NpOdNkL02EG8D+hwy62aE T3RRUpV4DMHholPTsw7y6/598cUwWf7tKi8ANEQs82ElKqq/z494MtSJb5WaUHgFQ1kYqukvAt+u kZpexfTbOZLc1zoWdXVNsC/Ak7hXmtCA8cWK5fZLWazy+o+sNzG8cPXfUHaL9id6VF6QKHDNkBdR pGAXQ39+nHyldMxJsK3sDOtu9ZgBNR3N3vsym4d+2ykOKu0utrJRqMFJqi3pTCBMaZKcPYkUMTTK 728Dn+E8/BTvWh/3Lp1Nxekuov4Eei6fHW2yiaA5qGoYfKOJlss8EKKvggCib73fz7crlFCU5Awp FxXcByvANsyUcujuYgGE/oSaaMgk67CzR/Bd7TGTp9Kl8F4X0804i8zCpFz67TUBR6wNW1JCyAlg cukkBCmpl49ryjOFQgdGipwH6lrpjqRjidyP+9ObjeKsKSVDnT+NNXTothSSGWpw+6cct5eWZ/B1 K66Xu9zxftT/9VO8w8zH6ED5ktshQif6ybfHUCwV17nsWeg0P8R8PbfXIb6USTc0UYH6o3nnSPUF ga85QCDGMFybvByM1wPQw4jDoktcJWuOufnbbSuc3cSu3lTNYjfe+lzBZTgXpQlz0Ckz1toO/nma 0mPg0MSy3cPS0ephCWwV7MeBfKrJSaff2l+iF5TdHyK+S3wVhYQxHNs2PuzzNvwecwRb9iDAtrMJ BLp5WdD4NpjHXBbB12ezIuAtQNGJUAQ3noidP74Nt4u1wMc6cmmNGAoeeeyhGpySBVGa7qm1wAsa bNfKtkgCH71lBMdyCoSRpj2ZdP88EEc5U7x6bMUGOIyOOw85/HGSHLXXSYM01e+reKa7uDCD69/B k2vfN8v+O4zS42Ajtt+islkhIswwu4ea1AUcBLZJZ6lVbcC93ClquW8RGZi8xMD+g11k/AeDAxU9 V5iF8ibQShX1CLKjqZjVQNqiH3Bq8trg5wRwESTJmPdY8bA1zLu7ppCgYCbCfv2oy08tPIjB+p2B oU3KgjzCxHs14hABVQr3RxLCBnA2H+S19Dp6zMdFq9wmbhKzjJXgcit5Pog+ho5aiCdQG0z4UkcH NxlQb9VIUwoYn5Kx/9mT0T2RVQkSVQ1pxOJP636dLgJIfkfGydlrG5TMws5RH4vrpGVyM6v32Ywe nlFRosXTcTLgkZxJvgb2GjEPYWGB5NywwoaZgB2+3v8NKeBK7x5AakgaoWoppaEidqu51tkOmuqA e0gSDh72BGJnXNVK5fwFWx/XDZAdSl33yl98kMp73htEFi2TCH6e8DO/3USc1T1ygiPwIBM348ja 2howyrgLPdeoM6NfkZxQFBTvMLelxSgvOuQzkt2mq/T/Ylfdy2HJZxDGIjqvl4uNwfbNakc0TD21 ezFaqqzuQeASRbOhOFmXGopiP7r1y/KFRDuvO/1lMvoax/po4uwvcEQA1YojVCZUigHD7NOCiyd4 6OCN7VcDKlVKuulkvnKSKwUgbcEU1j7wbkWCzi6XlxFFm0tQL+7D04t3Wl2dqE1ICH6biP7KBT5Q Jy1QF/3qZ/QVV8vZgS+DaS0HQxCdj5zgOzr9XKut+GW/RbFfAxbSd4/gYIDYgD8XcgjE34hMOjCn 19Q4ijikwdjs5TzFNMYB+QvYGOPOimA6ecDK838eLUh+0mG4creu8JLAo6v25KSMTkJamieNVuZt 7qw7I6U/6yvEja3IYQUc9c6eVLaTY6pfvpv3JhsZ2zbj2VDuolp/OtQ8Lp9+kwAC+3zoI10712KH 9zTY+vwhv3Gu2+F1+YXTNSLdQ2zSwKjCPFLGlE4v9rodUK3Gp4+4KW752yo7jdCEJc8FvRbq+kBZ ot2eJ+ekY4JtAlua50+LmbuN+fBrOV+s7uMhBfmjbVg5R4oXVnqTTjQWcbVkRIXSbGeXLWpE8ZXh 5mnRG7cUVi+AjI40oWmMzWpNeExsPF/k3rVa+wqfrlwA18nc7Rf4iXozeKSwVaJhiT5UkE72+gnV tSyYxyydGoWs+BlbUxCDLGDNF7OGvrSvY+SU/mhRbX6Y9DpTnKh5KzSvtKR3QQDf3tvY8//t3Ryd S5BDw/v7TRrBPNYAAO1b7Am3mvS0l8mvAVXzAQkmT+Z5HUD9Jd/BSXfRz3l2ggHJ+DE4xreHEh1s j2VndF4KgZV8TfwTt/QMv6Okm1wAuWJoNyPyOxAVtaPNn5xrHa9QESmIZSi37m3l189JR9PLvVTZ A702PrFWreOGf8ZUL4+St3uqACXIxdiyYJc4ZpYdWXnpIRbQEoEymAK6HZrm0eZFvuWDWO+czHrz VLjEMpfypzPqHKrZM0rsbS1qO0GoPCKQ4AmuUqh64OVYaJvrMhWQD4FvhYz9VsR0miT+aIaTHbdD tw8JWP4o+mh0T5wJsDNE1hcK0swk/BO8ZEViOhthpCxrajg/8ewYI/SvRZGRm8XXlOOqIxn830Xs XlObrkMSbw3JWqMIz39hLDS8tphQ3tVYYzdrvtwjMSfSpqz+XgWv9JFQQzJ3tMAubSJQu83rTWrm tQCJIaRg4TzF8t0z2EkfDnFx5dRXc8CBPPImqYXkuIvj5a6DEtLS/BcYkErAZ+Ggf/bSnqmBGhQt nZw4OyzMymUoItsUmL71w4y+4dZEiIAQ2i4wV4OpCVKJJLx5Ks6Qxp1MY0kBbHi9HEhEoG9V1rWz BwY3NHCPNdQ07RJb6oKTaVjSjoe62hwnUAEG6jPoQxXC9XLgIRvfTkSaepL/x9igTb3Ifl46OfS9 g5WEOtS1RED0r8EphsEr36FUPW0BbiTYHy7gw9m/k2tr4qOkaZRure0OZ7IKsBN6y7fR1dOupZZN N+Czupcgb0NBdni73GfoMCh2BAIUZLTCCodOjc+UQ1s1XQ6AiIKdqLG6mKtVz3EcJy8oaaCiQBQD dg4fmNtEhlFnS8rzW6t/62dd6uZHOys+n+B8D3mz0QU7LLNugVziXYvgmXMmUWUrdj+MR04y8sYw tlZvBYe9E003+gjYb1jmhkxPQgNBIpKprCBe8a4g8qLDSuA3dlDwg/zNQLmCSw8Pi2poo81qDi30 15FNIEHp4y2W7698w/IN9lTRprFxB98n4sH6AQEwdPpHdwgWF8qsvKIbKyOnHBRm12z6reZqsoXI //xQSAg5fnr10a361G/mNDJMWAcyuhCpKxGiK6K/SOOHFsg4Wu4RvaqmCiu/CEhunkjfIJClz6hw lgXbkmNftYMQfdNAyq8+factYC/xV4lAx6Xq29+avyvavrfc8E9W1K3CGUJugS3r9Tyc1dbnAJeb E4wwifntes7BA8UXg99KFkqDZqxYuZQyt67Gw7EmZQoOXXF8uuZfG+U31ToE/pRIyfmYEbax5SXL fzA79SNFlQJAfexMX1PHMWLIJf6ABR4WalbRAJEe2N1QcS5pza89NlvftH9BNn+ksT0nysvdOOUF i1eHt1TD+tMKrGs6+5eCEZJROrRkt+AHDiFG9/UJguj/vdOvrZQ0qteE5kfFEdejUxhu4M4jXL2/ VKlR5D/Zg6gkHTmY2B7hDDaZesML61M00egyzQNk9wy/xaqnZjo7nadhgtEnEgl8WWOuVbSIZY9J PtocjLjsOjvnJWGdLe4mH1h0d5rb+pqDI1bsqtkYTL+B7Lg89sPy/+5JWK+4lB8B7stCYe7v9QNN YYRywWpSuF3AQVVm6evh4bNwVFJKPnGA9zkOf0ElJ6doEXeA6qfnE2yFfiQQlwGBFv6WwyWpTEWl w2cgta7aSwZjcEhygeyTfkeVaoiqyQFWQ4k+0XLlB+e74LzAfEPPx0Z1IgbYxmuaD8ZmQjSMG1ve P8kaZRYmF8uw8N0DBxp/Y2DQ2VsH9yZBJoUjTMCsSnr+N/W12s7q0/iZHgvaEgjjRTo+/T7qMxek r9NlcAUVWhFtIIm2KYC0QXxNPVazI4duPgwONS5curmBaeXowPx74S+VGzPZe5uVRylEW1wqw1r0 rrPlus10z+GRAQWo1b6D6nBTMi5ruSDrAjfJkHX1RyOr5Dxl8slw9B/2keZwDfle3lPx3yb9SosI GoroDTAmc4berOVwHo7johOPiSu70PL96OL5Ga6RsrjysHIxb39xUMTPPaSKSlbyOKk9X+XL6CUZ JnCesHEmxT2RikuUz8q+gVP7i28NIoy7VvfEjQJ8sZP6HyCk4VPLHv+8G6wlO5iux+yxv5io5eRb wtAepiDxJGXLKKOsuYExfCxwU2lh4pKziLfP6UUegDEOg9k4p+MfKEckgVTwS/z+2dCi4HQiMWGI 01KwIY4GZYIubWK7oDZ7b46XurSDBT19EwPYsDaAIJIxp9c+jhBjPG+FkbQWfFQbwToofv7RerN1 YNlVGWBDi3vGyKsSHxjY5r5A/jhJpWcnO4aSpPEbbb1iEa7Qgxif1+TTRme5+yrmEG7HZVlEdUAd lwByy6h0sWlBgt/PaaorF++SDzUyn1xyBUyIcG7roBBDhXya28vcpufrkOIKSM3tBrKS1XetktN7 Aw9HBMxUZZJOkDYKmwckrQ8Ei1u5Qe65pbTyO4rY4IT8KvFnF8YCyk8UqrsgQthzWGvRa2r6L/bl ZeQQE50J5u+/duuHEwyVQw8lijMYb9YAoT9GUF8A5OF/Qvbl+nx1xNzqPvZ2ryBWec6TZ2tUtdhm mhVwQLcGeQl98o4QvtLL0ZxJIacnJcO2YLbnPXC2hDx2i1Im5mk/VIS3yf80aqw6fwC52q9aGTuB /URoVAOc1YwgfnCFuxSBgz6WGSA9MONFJFj7mF/109I9lBSXX1MaRPPNPfFwid7uNy4tTqoFuMtO tUbom3ZHloEy0HggK58BNs3aDFSraps8tdHkUVK49iFraGHl//6tP8Qty2NPWcDaTFXfV0rdz2HC nvVgMb4xgp0uYofYbkN/Qwg2iA1ySQSPAnUty5LVjOnPJpD0onTso7Lw2SAhMqAi3uFUNS8dJlSt v3iwEQp0DFRwYzWQ46FoZOCWmR+7YzN1eFpDwLgMTf9OINSahFk80RpuSRPtW08re7ADJ2zYzmJm rW+3ZJD+dtJTD0+GlmARJhgr2JbD8myNFEe41awVz1pzwiqxhT9nR7d2vG+jr4MKSZiAEsWAwh7/ 2U8FQmZJAxFbw1yjByN3CWA7brUWyOFma+KX8U0PeuDWhkxxARkbSCaAcQhk6VSMaBHLlWqxGL8r gcjhnpmCGKWPyg6d5LkJfHdOW928jSGSUOmggPPuNT2raK1ZKXRp7JyInd361h8P0u+U6/6vBlgv VAJmD2jy8B2sJ8yY7wP+kgFyW+D7k7jr7002ayzVEJzBiN2S/J/KAAKeDNaXb1FDbk6vLqJ/qpDk fB+6AagUJmHqcIbmRi5Iq6DVmIaPLYTfzkXT7kegwuXGG2VQl+KiE92msSzVYWi/lOvDLVY3eNxo njWqi1fvQ7hyngwKzcdBtyKI7cmVh401cdNsyyLzA3OiSzc6lEm0WFYLYxMilldJs8Ao6A9GsgJJ o2mema84RPrRBu2Dg8nAQByIcvdHkqK0JWgWuvMaI5v+2B51/N8qO69mlVdWXW6r6pbA3SNly+4y 3JkKSMoJSBsiCUko9kZt7AdjhBZtxoBPDWKI/zlklmEwz8ToZoRDQ+rwpScD25FTBuJquVRg4x41 qqXPJjcPu0A1iYzzgXPlP6IB/v7T9GnsWmP6KUKM7Ia5J1zm7J1Ayk8Tk0AuLN5Xfs9JF/YTVCby ZBv6/UY86liTX1xfhea92CTD7cPfP7bn0sbDGIoXDLc/pcVW9LxrxUI2zPjsp9oW4UpQsyCFrdct xeYYQbS06FanfgTZ7OG76LCmbjxVfK0d3Ic3P1SwTOEq+Vh3w6HT6Zuut4cKLZMzh88RpWqnUAAN G+hJpWR4VdF9+u3Kx78sjyPq+9Z2ABTAtqmr//Dpqez08EozsLc3KlfiXGi280hC9dYz/mKSBm6a FH1Pz+4/LnVSRLaObe2/cBmLTeNwYuNskkVIA6/piepkyugQiOx7sGH1mAy4OTLwOcCno8fDuP13 Nngu4N9b7Y2brdtQgHdyuV0xBpkNX/D6YIpveNrFg4CtVlQ2THkTlr2SY/GHRLu+cLCuvo2Ku8sb BA1CMtjZ/icGcxdaddarmoq469whmJX7Vmrhg4R5GRRIrJwkxe8Vg6PpSArjp2l5tHYmuNczqIr7 mv5KiWEkffYVt4b6wPdb2VTSw/VCRVTFVPUp/Amy1sitnwzyZQegf0/H1AkC8ofOIyk1w6+3nzmt +6EtvLmT4iLyjKg86Wr+R40Xn0vhBCIRFGz80iZ3CntrQDXL7k3pCcLQ3x3BbGHzczUtzYUAXcUs c/dAAhav3zSXB4JJxCsPrkVH29umlQSiyiTwtK7mQWzjvj00aqjhDyOiZV5PpU1qOEXQ/WSZOF0Q IQevtvthLP5Jg+hmS8QaxwobGWHcxTOf3Fxc7CTEfbichkNT0bIBqaDsQmIs/Z1dwGGSebUu0Pbw 13q+EHRHLHeVURLzbOr+KcjnK5E2nVQBHKn4bxlLOfWAMWOGOZs86gs+bLAct4c5pU6OA0CVj4RB vtMesrcmwIoecgNqHOsUPU1tpZUbeUcVtVzkywYsaivlkZpCl+yKKKlqel9UqEUlk1lNeQeAwhjG r/p1bcsPBBzRUDsWOvdrPaldK52Z1N55kxPIfq2rsw0t8WBZYkUa0dsLrpLr3qTUbc7LHKwINpLH T5evb/D2mTUQXX/VES8kEdwsVKlkc4C6FOPDtbq9gm5qO3O1RDEe8FAUaGKB88JD9p/3gOqljz/G 0Ilsyr+jJqtPNtRaMgnXK1jqaWrYl/ufL7y0oyU0hlVVwG6JvAoxplwZ6s1SA6kqlvoHapWeJwwG 4q/wiTQoMzm3gktJpMibqUMCxy9qGdOhbJt/g9y0XuWvrtaYf4dvEku2kS9k4iK11yrNP09AtPps sxePEDWMCeXtMswDybWqeYe/PyYL1mkQ0TX9OA7yZy7n/MEV8/g9+XVqLWuykIuWDc3bk95oc6D2 C1tkTVSfuOOveDb1CJ1KarFCaerWdJ9jpSuznZoJKq6KgzB33uwYwMA7VCV0cz1VsHGPcJ7/1AXQ Qa4fdne+z2WKXUi5skyFMocBhc5HHtZMJRxMPJfnvIre16VY+5rsSQ4cv75Awd0C8F/j/56OfmSR rPie3jTj+IOMKClciAhgeZhWJYcq57Y/exHubiBDBUwsWbu4nrZO5enQJ9nz8e0ZBlvFTVZJzsRj H+g9eTuHtZwSp/QDUMjtJRJpTdJT5YfWAaIa/puTlGnSoAY0p7WKBYGJt3iKeUbG6I5V5OTmG+ti pXJ+1NKpkyzlsMfiN646vv5t1Wt/19zJP0qUGnYw9wXeGFV+A536m1IGUyR0eGj5KYC0DHLHTyjY sTjbncWAr/NRjhOf1glCoTh1omwpGAeNvkaQPDL4GkXYmkSs8r92gOkglughIS6a0YXo5E1LAV3Q iggLBCXAUNudX2Y40G0naLR/kp1mi32KYD1xoJATQOAJtUGCO/b6IzenP+xAo5QxEPrm49lZY+SB aXjHRHY1t6RdBlCQrPwh53+xbWqRVQ9xvX/JRMoRvfkLd+uI9ZHUUPmZ77/Lwh2GCyyXwPK1B0lC PHNo9KsCJVhMKHbOuhu0n/wqE7r8Mf6gpoCQRzHdeUjhYZPjGwY4sTqIF7pLXgts5BtDXYj2v9Dk t1VSziymzyzysPx/37NesY+GKvv3XImzlQM7rSxLuWX3eqZK8Uicf9pCgJVFsvFvFWXSjVwNyhZT LWB2mm91HFtkANQAO6YLeQbkraw2JIIqPCI+oo9bYpQoLdm4DZrDD1dcC4PyrzUEYVCYPwO6ujRc 46mXA65WM3F7J8+NXoiwbd7Otu6P8zwqKJZZEneAcvqWTgRd1C3Rf7ORP6CDVIFXrYl+Mxz7EM+g ZyZO+blXxz3NIdn7clbq81g6yWgqbjkT+pmDNfxBAGlIh2hSdQpvk4AxXvHuGfkh9q5p+1EaEedw hiPlay5dfrYswq24ufMv9P0j2KRT7WEIiiYx7muHId7MoIafeXKnuV3B7OrN2hoQyzUjHod6Vm4f pkq7UIWv8Np7w35yGCBz+V9zlHL2ujEkOGFrT/HQKeyivuU3WnQ25VBSkw50s/2QxUSruHSmyodw fu+RKpXIkOuq4k4AVx4xPhV6OuIIr2hzWWopfX7sLFiD0xHexEEVhpBjPjHop/A6NyyRUrZ/Vqhe l83O/kVXcR7Pbrp7KsIvMRuvAW7LfS3myD0LW0oJnORZKt/ffCvz0kvlv6wS8YFdNKaBgYTgI4SF e0eS10X2UlTUBfHF6cKUs/e7ZEMjNAxIbcCjEEwhMD8jnCahXwTZSHdCGmZNTamRcxgDedojw5eC 6HHk28qMll3CG7W+ZZsB7Y0xc0onqagulXeQ1/bVzax5rp7bHXFsS1ymeRB3rlsWyFdlQ/xslSSR ZnGd58HlvXriS+jdvmpx9njQKfnJErV9tS677Fx4rr3OmjyOw42rQHSw25mOjZxxKTN8yiSIXZPS D+N1D0jBlKk7bTvm+Zm2P6BKMy917+VcwFIUk+wWXJs2xeb3lzulJhP0U2/YO4FLsRXYdaY0OZhd XavtKpVbAc9H21tNNNyE6wzIhaal7OiHCHFzXR8XpYowiUygw1UN75FJKtsuIRVfrdiT9M5v1fix NNkEoJvXQpJueCDAXP9lJLcdHUWXJzZsBdgBJPL0YqKV2A17XkC3X5XD6ltHb+ENDeSTHusTTHNg /aqfZGcHUctvoicJM1HS0DNDKCOka7SCFgCHHTi9+XGgc/zbP95yYWpM27/9Z50cHYYuoejq26f9 MtqVCQviZT4Xooio842nRZPHA9JgwAvvDgpDaUJSLxGNVGUOA54eb9UUkmj4DwNJewYmX+6aGbbB PE77GmfpTqxE7rYtTjQ62+1j5A495xwNZlSmbalMdIoOCySft/gCJnq+uueodnkX6EtKO04GVzmI 89CKgiBodKU6bm0dHdK/GypQOsQOjAM7YNnDcKOWDeASv8fDniDdDHqwjS/yJAiDf6BFSpQXJhb8 Z1xf6i4ek1ICvJGDI2ePhvnLvNt1+rxeuL15kztSVvGb5HqGjDe8WeoZrZRJj+puzL+BpHt0WuaM iZVef+8AhbgUoUm/bkVeEYY07Javv6imZsSRrC7PYeQzpOH6rMKdH4OKQ1gn+Eq7Edr9hPQzAUaa ycYJy1js7ZAZS2MWciBnLJyxniXqIH3fI+I+Ic2cZ+69RFZzAIy9i7y1sz2xZ3r+egKss1NL1zDg kvWh1ATblwjWABQrUGannTZ3f62nzmatjxm1LpQ2+bBbbyz9vYCltYxzIQloJ7PSCl4s3xxpFrmN 8Bpyqhsbib55h1KVOybyfhrU58r6nftdYNmNbfbUtnG0exZKJHxLVAql7WI316RO9QBei+JVdcvV YHK2/Z5kii68jT0+/ScFXILZDiTFYkbAZLdOwWtB0Uz3MHzdflcDF8pyDGUjQloQ3GDvhjbgWjpt F4zDlfwdf0hmSom6viKJvFRjoJyk8xpZrTYN73G8EZqGP1YlYo3t3nqVQLXq44OE0wCQr+Ebytsn EZ8aTgZOnIDV5jBuRwXNnzNFfIBR/0DpoTBWxhghSavZI8iUuFiUWV77vsYZwPXRNrl2Ad98mKal 5uhCSKSfBs9/XdDhSsATICoEHoo/GcvUZBWtzOXuKu9xjUZ8fUXRf9ZpITCqBLLzN5lqxdjSVIR9 AJ74tbJXQw1VE/+gvHGAdvYBHQv7Rgv2DFeLJR+jFB3t9TZgcz4cQ38GmHNEbnttY47HMoq25BXW 23hZH89rO0WZgf/UmYH3Va10ChfZJBsx6L0zccWd3luuMECysI47Tu03/aEyzNFNOdO5msg+rB+y ZcE08d7lSyjF0nhEUtKis81ZZKcNPESsaSomyyidsi8Ugm4igXgEpj19ucKmYDVjU8h5EP2a9ury z9ICF8SXRQLggYYM7ypEmnwFaR8+9hI53f7lU/zSRL+48QwvUnkGRD9gzEUbrEMs5M4eWEC7k4uM ttFvwW5AZTodiBhmTaHNw6wvTO28ITBgYmpOef/MRXEWjxwati/ShCQk374AeZ1SCGtJBfNm7dvo 4isPgMzN85JRUuZL13nt3SE0IxNL99HO7xNcu6PASKiWjv3zzSuWRPcB0+VLZVwnV/6oxQWsM1gR kgmefNcBGEatbJ054tv8yu8ZcgkgUHB6S6kVDuUSLDvHjcvw+4DvKf0TfeyvJHEd6B9KsM9PSIGQ 6zBSO2tnikajwOlVwhKxbv/hcf3s1T5Osgcg/VkWnpELGHaDkfVD5GyoCjZHPWJVsB9D2k/+QgGw CYaV/OgjqIn2h0wKrvdCAgCogvlUYZK8BGhIaj7SC42TrL/ntmz/+cSU5VFTk1wbyTC+Xs8FOmJw NEwy3yMuL/LLCHjJwSx7uLRIXqBVETdZ7pJlgYop3+v8yZk/5sA9G8Gj4Q232GSduqXgBmVdea3w XrPyqx/aQMF20lITUvC8DtFDY22kXDdTqlva8jl+83NQf/zPy18SNxmfHep0ogd1D0znmmPzrkkG Z++/NxiurHnP6UhMznhkX2QRZxcLC2GRQ2dRp7wmFvQF+MjTdw7t5BxnFT99qEJ4N5GACEKwSXA3 KsU09CJqRV7BmicsFLSOaEDV/yftlXppM0a7OM9hmu5W796OUsc8gBxw71p+mBIjL4vDk9J5qshi gAwlzyQoziHVeuJC5/GQOOODCk2QpbLAJgbDM4OrtyW10qRjD6UMeTu/Ura9XE8JuCvdmeUlCkrP iCDbEO5qTVr0+7RE8jaUzU7CmqQIG6TITccJ+ly/YXTBNtIc6jYXPSZAJcMf2rQc2iaxXYtyAJ4M kScATK+8MNik574tn5wLJ2ArRiclZVjYZlXqg5DZEdPRm2WyQ7DNolCoTAMY4io12nP7QTPmboeH CzBqMUNdktiw+J7XJwjimzdc27AxLviStsJBKUTj0O9GTr5+VY7w/oqEGYcosIjSO0n0aPqkSVry PXQqoDtq3Aullf3HoJCY5F+JLl1iR80OK57zb+uRTdNSxNGo6/iAtHV9m44W8xQHHK8WVNvzFsdN C9Iv2Iu5m2YcHfggvyposWKuxNFHk3VhI44x4K1rJ1cYpsyn3l/nKdsztvmVZrV5SKiYZKllt0ng EZRi84t7BSUccYv3HpP7R7ZA5Tay5AJZd+N1IiAiBUmRQS0OKfvmtrdBB6yGYKFMM6Htq3GKN+zN n4oE/WLxykB4TiyLwS7DZdQ7lYtZEywJkbcV2IJRWhvicBeoQCbjBiR1SOfl/OH8Ewx+gldOxI86 oLKR6110A90mBb7dCTH7ojq9i9Yvf8qv+VjvCWmLNGQ2s9b1gLd4+GNQu+wsYSAS3AzdIuRU+u+A 3yVpjf21GhDukqemNy0033wYKhLpgykkIF2Os7WGuigIwuDFZka69K5WvI5DrtnosWxYNWCfhJv9 TCIJmq3x8gBwdT6BcXlyKeEijcaj8rqry/TwxN5Eth4UQHQ4P+8UvRPmpD3llUpCEM98p1iN4kcp 1Czg2OcWcF49lwwUlU6qx9lpstuE/uBclNS3hNFhhUDiRyrnn2wJVkPJnnnYObITJ23hdh++d2t+ Vnj/jx7+bjmzNaAFYLTdIGjPGRA5uNdxDZRN7KvPlajleOBbaAFEEs+AtgYDnhcrBTpTBWcPo4ti 5lseZJHBt3slvVBjA4ohIstZ3HQUsbtJ2wyB5j4Sd4UfI30Pfpn9Zk9fw8pQ9hFMS3+RPtVoG3WE mnTVwzT1fZzx19K7eUppR/7HmVbxjdeQAdeCHe62LANh02kAH0ApWPvxsWAK+SuVg+1DK4xhH1tc pEupoaooqet8zR9AFTnM8lYItD/kJApzl6scw1+nF/7tMFitxPsm+/w721bgXG4OAHtZN/LG8dEi W9uK6jaiWc8JrLFD5GTqgB7/LPO7QnNOZS+h+WGRQkWihAhYlK5Jnf9/G/bjUsMgrFySssEaHfXO nlVlw4dQG+Rec8a6Q/SC9a3Wobuvqe5IyvZPCpfedK9l0wbWRdI/sYw+W0EZ9gk65j6eUXwR2olU DJwTAO9GThhh8qsMFWol5DnKMT0ctiPfFdUplCJ8aF2TjXA13rBJxc/jl1V3OKdVnj1rqdoU5mpT 9Hl/Px/rKGwAebUB+SzRYbC70/vywR5UpYFNQ+yXK1NiO6Dch1JGi1z8OH7u8QvpDQk5lhiI9Ike 25Wr8DRUzzdyfLhjL37lQp1mh/rpwz8W0RznDSXscqovshvlkgiAWnv2uwYIN46I1eVtyogQtHaD /6JM92TBsAeiC1BOYnETjs0aQ2ZBr8aprzIhTx9X42O4vumD3/hRFAjtf/e5l2MrXwikjSL/M/XH M8G1rxoLVPrOO0wnNQjGPZjs7Ip7P+oY+e00ZNkgU7RtG6Gu7HUt5si+aM6vZ2yMni6XKeCITBUP sy+mo3fLwC6NtdFIy2Ir2d+ZlzdAR7SSyuVhAMT62hjiiyh4hFfAB8b0rySUG0FOaxb4zxvlfOjI AOodSuikWXixBmoKCkdNuAUJbD7xjHv7hwn0EhuGNX6XEsoKNTcyYsEJukVVnNdYSY9Xt7xlPcgR dfMkXhlv5/t1hlxgJapiLD9RR/5VwGxyOAEQbYbzLu4rBJ+/RsprMRd5rW932nDZub/bgq6Hni+G LTk9oe9izClCHvCYS+1Sj3XXIsQRyL1Dh+r4DkqBHX0qyX0CyA5RsUx+9Qy5A0NsvefHy5BRHsfW X+A1NjQitfmSR/9p5yyt3FG5QpZEQ5zCe/9ZH9UE53VvoGA+fR5iYTT1BsPly3q2Fs6Y4r9T2VSE dQA66VXo9/PV6HAlL2wZhl7BImr1ZbL7HnK+aIJdaLVOpiZ7vl5I9VSSsRZSjDwm4wXwpbrrZm+1 chJvQu7HLYgp933auWGQwmbNCnXjL36ulXk2iv2t20dvAaqFCzI8GOCYau5J+N4dIbXgc8y6Na3B KO2/3Y4Ww9oEc5BB4aWW2NHSpXSIgNa/HqDnoyk2el5A9U2gi254U9rgiVVgG9vuF75kOXeNiili QuSo0tNdHnPYw8UjTmas2DBuUvNks37EK/AccsiwFSnusVhrsvBZuVYIgx0qfUJQCqgYdgK/1kdt HpH+NlzikCxYbsZwXIWVSBKkfaZ1V/+RA0ixOhN3NNGcMQmhFrABmWc+66/v+bw8EhNkmWKo6nGq uBdLO1C24W4sUUxlpt+P11tQt49NgpWl2vVqLlEPE2b3oCWMoQX3TvW0NY79mEEatObHdjOf9TsJ ctPJQebNfmpzZ6wMFghFXT+7890Vz2tPaa7Luu4LOOonbvx/aULZddbRjCtsTyHmNBxtw4Rdtt23 05JSX+NPXOQHsDHmTTjXaIrAbUO8S43Y+w0ztpaZ0j9EDUNfwpBbG3bhj4vBJsMQYcwVD8BYimJ4 3JpnfNXi9zgnl0js4t24aphz5APONUCiGPN36KqxpM45p0nT9iEjWd8sxZNMFoQfPr9If6cMwKJS vkfurlbvrS652Rb4SVZ78n34rnyP5KmXa7QYFbPQqu7MsWeCv9eV4jCIF4mbtf7E/N3m0b7JWa4y sY/ubwnC2f+glRy+yjKaRen1c53qZfaSmc8H9OdaEto5gxo26ZvHC6JP0RVfZgJziF14SQjUXbg1 2TxJYZ9sW8BqFij6d1EQg73ITvOQwY2/sYvUZGZHeRNKj43gNTBhmDhSPJOQI1oTHMczT5BfANAX AJNukUoeRPDGRsaprQvucmzkF3JlFVniHFa/9umsO+kj7X/Zi7a7dQ/gF9LAN1q2iYBVsQMTm+27 6++q0Lciof/dzJZLFhUWJlXcgC9lMG/mF57oaxJ3DnHhv/08mNIT5g+WCEprv3sojYahRKQYjXGa RofSeYhgKK9P3deVT0q66WzVPpEpoUgft0YBoi6b92YkggsHTMv5X+GbSZ3h2YWnBuIoRLP2TkcT D3HCQyO+iB5VW8Fbox0lk3ASfuEZAHEr61y5yhG4jPol0CIfm5FJk724ZYRM3NaXtoGpGIPMtGBo whF2vQEF7gQNx/bYN1CtGUVBAkQWOW2Rhb7MIDl3C47k6Cw1MmH9QVzHik8iON0b+6uV7djR3x2j 2EA/eUOTU44gjRdxIYOU6CtXGByS0ISZe0mjTQvIGofuqA2gLC+XbbxYfxEppDm8+2+vjz+QN/41 a/4un52HNlQJ2Vjy2Fh/f9DERjDN4ZnBPq9bysDD7J8ZAS0GdOs3w9HkDkkhZfxASLt3nPRSQS3K U7GPwH8bwwwr0flABfOJCR6CdHhh5MT8mdKm6b4f0FwlJrK7SeZtSA/6HyPeYCu7YEjoD8pr0m5b 7KoQmmoYl3XEzYLGpmGtyxx/yk01lzct2KE+5NdEYS011XxPti1ZLHz/kP8RbgKi2wT3u+QDg+/U alc4KlWOH4FZKWoOeFLQdKzWIwvnx0uYpYwq+xFswhD7BounWZTzQLngh5C0IRfq2o1kveTIFHSU Pph5lNkkIs6fXac7jtkJgbVUFOX3dqUD098vcoUvhEBiJYNjQvPmTey5UQVdzcvIZs8KQmG+Wc86 oHPuq0dtiWbZxBlKUO7NX6Z7lSNiyWXJUkGnn1AF3oyGAqJdDbqBsm8QmiqBtUZRbpPlvuR3oqhh xc3HFT126Ip9ATIn9vIGkz3ROyAj2CehJXMXlUEGFC6CqFyX7+YEHTX/kRlKknVEQZ63eDqbJ1YG ugro7dPRcNsOA9/qnMgG7QAqO5a82X4R0BEdRhTttBTeufpAolyvvJCvbJMhYnyiT02HlzSAomzq T550ziH0XATO1SLb/SIsQKiGQs3tW4DStbTB8YtmaLM4JZ6jpfR3tm4qcDj9t2Iib2151eJGtEfH lAET0QkZTeFtZggJ61Qe/i72rNUTMbQ8bhyptOLRouidQ7DrJjsjy2HOVZuSel5byVWiuId5yxBB RyOTohfeKbAy/u5WYZLkkn26E+TymbEaJmH0N/JJWiZVtcug3x/nf0yxE6NrDCrEIr7HTCYBpRBW JNUiDIusBnapbF11tKacAKeBXMQH3B6aq9s5+qug3VsqtiyrK+i6yi/7u6de6YG4rsjn5UZwkHAz 6lo6G9q+TvCFZSNfQDvW2DK7ZYrU1/Ue3B72dulmn6L5F2UXCjbcqgXLh/atcvVOKW+HXBm98oen 0mMYe9xLi5B2znzAYShH1zPrQ1OOhJHCsWCFlFNK87DY4bkOzUyhrW+LBKd2AdQFKk9pq88XF84k PDfrZGw3uD/AChJIYJ9lYxJRN6YIezx2eaIDPB0TBg4ODvI9kh9wupfazbbpyK16zykhKfaXszrh Y6ffQO2mZm+gQlbII9/8nodD3uWVb5WN2YvUEP6904XPz/WsjeMkHfsSEGQfy70FFZtZhp8Jj71x tVErFCD6RWmg8Frj43aPtWBybdQhUhYooNkfl6wQhteikf5O6VqX+A6MGZSOvWjJ2mvuPFXEU0C1 r1wzaYyTyif+Ko0iry4HJak/X0w2p7RlIig1HKfsNzPxVwpDQIXOpyDe130NtcTQPDBWpGr9VvMX 8APhWYpBc+3Y/VywJ8TTIe7ynv8Rx5h9KaifXSeetMKylIpPl28oeKsXBrD1+Nm+JhqCqa8ruWWF lUGHn4H2vXP3qfEChDhKHzLnOrCyVPRu/rvMQ0Spq9tJwLLKf44NXxXTQnVJHGzLkBci2BkoWk9y oSsZ+iuhn8sBsGU9vamJIDVcFnqylbWDWbxW0sc4Kfe7MumTD4FJ7eFCYZQLpk0fBhUtcwaNjhv+ 67gAviAqJbgxDTHgk13XTcK/HgbcEpT0lOtEtHCrO5mQWmvDoCECt07asoJKPIhNXZWzJuJ2DSjw YthUfqtsOmX01O3ZLqFkwnK/odKEdWWyxl3H6e77rK4lpz3DUlzlg4kO7YyUMsFKao9XpEq/XuOS BnCeSg7k7bk3OjGezxSLcrkUwkHtrCcQfBtQ23ArKI7IqFgmq7Lt3+AzxRsB/tPNhk2psdwwyj/t Nh0Q9I+xt8cmD554exSgPwmfbITz2YpSBp7YNouZwTikBxU2UqcQBbBRsc5PYP6uawH7OCNxxDTo /xF+3g/pOsvEzdPoHk5U0J15chLjf9B2gVsiBFbsRkKzw4TL7Z5G2LM/Wy9lHxXcB4gIan4burwQ 8JN+WoDdFH8vFZKqjkKmaOK8haKeD9r19+s9KR5D6TUQM0vNHkMANEcfmYGtZljJB4PS45cPU7Wk XjZMqr9ogf/cLiB+nQTNm4jUy65nP6TWNaW9SE2MnEtOsA8O2iAQ/wceAJ35hJ8J4B8pi9r0qoZF Bm82uMEh8+p9XQZnY2zxVI5DvlbZvz9ZJ8ufmaDirojUEdPHh2d7kaDBZpuA7r7WQPoI9NqNL1BK Ui/rlJSt7OriD/eT8zY3BtxmHLaVCfVG3zthjd/oc5QtlIFmgy3wFiq53yWpmv4b32KkmC3i8kKM lJk8ZsgxNE8tvy2PRdLU6xsUycz9Wkz4QscXBIjYHgwx+FQE8uEqxVzINFCz3BI+e98qJq1vSNhI lmCV46cTRP5MtolLt5OuLdnco7sgGJD2b/7b9slm+kdPPYFv9vmG2dGfn8w/QHwVQpD1qW+hT0f3 rNYT6w8QSo7z4QQkXZ0VC79mO3EcIPL1eovyP8VzUfS8DIBq8BBAOSef+Xx6ptXgFSnZy3U5yvA3 Efx0lcUoOQy7jAnh1TdF/lvKuziy93yEC7XD1TwNqJCZZVV71/IVfY4qU5jyj8CD7VIcrQKwFsRc UvcB0UoC5faanPC9c7gObq+NKuId3Ex75tDU5IcCQylo4Z56PO9tM7CcMcT51sxHE3HseWT0UnR6 WVw7gsG3kzkHXJ1nFny3H4QSADEeq0xCLXOUYUXQn4UIZs73D0ZgkkqTr2nqMstmk2/LLLlQwAi9 RMnxyjT517XgIUHylocms0ZBUbO6NLIT6EFiHlKZEJv8DfKr2p7EZh1GrywQ7HmC85rtJT0e4U4n yfGNeb44eOwNzHEShP20ubZvgk9aFj/tj0pPgUDVG2422Pykyicgq8VoPAkI7lqfaj6Um3LS+bOe 3x5uANcZbVBGr5ofDf7fEBxCBjZd2WBcSxvEfZ52d61WHhJ66cqPUQy4kKs0GUnsjFH6vzQJH0kg 5vJXBYrNw7TUaq1OtZexi67oHf9lOUYBgR+wNNY0yHDDScd7trS87pJ0q7SLSzfH7weO2uQPf8oo tRxMRabrAcFkXmE/sOTrAeXvn2DkKs9yBdO80LqTz9dF2mwhorKOPq5WerztMJfOQDwPH5v0KCUZ 6zdeCgKYIz2ouH2uPNeP35czs4mRepuIv/bK80D1Bu2HWymiA6pFG69pJ69fdfWiPsVfhA1i4+lo TvguOjU1tXUFWbPa71+ny0JJJwDVBDpK5FeQ/z4aSdyLK/QMPczKj8frU6nQc8XG4k0KmIt3vekt 7HePmXi7ORAnxm9mCryFg/Ot3YWzQ5WK0l1UmDHyG9i+agoDy3vZ99vHgtsFF2o1EXvBHzEmROhh 5rzId9BUXwcPJujOTqTe+sPyREvyLr81NlL6fkA9y/MSiimw+kxPwDqXKOiKEOaZXSht6DkpSINZ 1ZP5Rt+n6QNP/ZIDWULINGT6NEH/ixIOsrWce2ygqoEPuh1fFaje+dMivAiX9BJw1UZdnnPO83Ls vo5aSopa56q6gkVs4lBegL/SAhPkZufv7CAFIqzpZy4Ec4WYmy9GOs33RTjd/VRGgjGcPUQwLPug bX3XvjsdVBMJfeOI5+4RdoWVxMC9usonZ4InFz+Tsa3rvLLQBttjrFYuwcGtlpAzU0RoQ7FisAgW TSLKmnmClgKRs1JWVp8+etoI+WvwepoSnyx0rabfaJgtCJUBPGjQMPQF1gFDftrPmd3B1fEHcGJS W4DpSYdWEzNIwWbQOLEWWdVdG4IzzpgZZdxn6BrMx5jAljeFI5pRiQVEOx3/comW3WlSJ2TE82ce iHGUAbe/0ulS8qbFmhZwwbmfDH8FB/qzkBDligTSIy6DOyQcLgldh8mu+EcDlVqXbCjbb/DmtdXM 4nyQf0Q4CTKu28Ppwm8j6IQsXg8cNcJ8ycL+i9YAwQkAs4x9zgsyBQE3MwuXoMg4SmDtxuTn3hEh DhaG4K0v8I3VavwPqrtb8nbmSLPue1eJt5SiYfrv8ZdgPTK1YR/VB7gHVPfh74xhOpZqkbWksXyK VxlpMooIBnyDc1XShN3Rl+/crtVhIKjZStcnY9daKw/ySiYcSWdyDKOtoXJ/6dbNezVMqo3XKjl5 YngV+WVozoGegJAArdtAoonC01YnLCZzepJ1HOBebpNHMlOhbMPaBH3R/N4Wn+DtQlJ+fKapuOFR 45NHC3vmConI4wsBE812efktMI282RUmfIpN/aAqVWWvBvbLPR4i6z/gkZztTMyzpYqLYW1PH3lG 9fairlvHmAURfkwKluCr/HlIvIAI4bZGWRDsG7BF/Gsm4oeJTxYEd9RsJJn2olvjW1ogacC7PLAA /efHKArcwcWSLE/aNLM7Bju3DnnzzD+H1eAAoCDtFI2YJkFSkeIMoqwzr/nTocpFptqAmXlF2t5w Bwrfbyfg1ZUAUVfdm+Vv3l8jFzxaZroOlbtFy88m0uya4/S/CK2lvDT5rMhEWsuFIzeTdphWtfON 4dw9F4Wfq2HAQ5pA/mXI3duf+lnjvIofcBFfUmd2YTxH9m9BsRe8QWBgpiP74w04N+QVrpcaz53x YrelxxLTua8m9Ktpy9VplHSwJKBJc/7yDvL48cYTDuU3qw5ZyimzVqf6a4X8nzzvyD0UEh0+uFxR fYBnrT/2GJi2CLTcXNzET/FbgYC1WVBT8ylln9WI3p9G971GZpk7OMnq9qQ5pDfx6GR7mX+9zE9N lX+1LxuXhkr5+4PYYT+atsIIf0yCG1fPXRmRakoRJ/xt+8iSJe7LyBty5Z90XDLU2mV+a8IwJaWr L6FPY3RpzCpzI4NunjpZ7ZvYDul+RTtBHbpdlwWBzWDDyM7RTBXFiiJffmDOBu0fe/TVqo2lBxe6 D3IP/mkUCIFNJlDd/Dau7m4j28WVhd+a15M5q1IPBnX2x0ow7fPeamiLeINSpYgzcUsLamyoScun gJ42nHaDYFoet/w2mkT9wnK7YoOjIQNRG8ef52A1O6C/EEG8s4b6cvIhTukpsIDSIn9bqbhG10v0 PutxI6F/LPkcXKeQXrEee6Z0crkmwpKM9k54+QOH2QhDz8GSB87Lp6fMb/VMqht5RsL0UXchc2Cz eiSW+y9y8jnjnUaXZffoBZyfZtGIexStUwt/l0stQ2lSLhSQ6emYXGCfneIdGfDtrsR/60At7k7W k4IcJNQ0Ls2697V1nRkbsRrX06d10InW9TlWDRUG2MG35mMfHqjbU0PGla/ceWUuJTb5eLy0A+3J /Yw4uYqzptEOhCjCOYZYcGOrPmrZKB5e1+6/JAE7+UmKgs9f5oQALg3rWz2EOxsw1Rb9mTDgbBye hJQ/7dsFPezMdhqpzi7E5+lFtxnMMH4z1d4HeYBuHMoURa+gr+zMWbcuhrNW2tNITOLLgAd3t72B E67xZ68No7dZBTtwhw4R03inn6pJ74td1V9PZILRiRByMZjHUgA5/E5kW6iL7dnB9sAHeEwnDnuu WgGJlP5eBrhnPrlF8l18jVFTGQMRouM7RljhiVYPyrv18Cv245cAZ+lf2zUPEk/WRxErWSfF9Z7Y 5teW6ozjxLVYarE3lkH6ULLGRwferUO9IAL4c/Sulapq+hwYxs8RdMKyds1meKmJ9upLJuCFz7ch tapCFiAEvvROXEuTrWGevR0MoWCVXHXSMLcl5rTHLimyzx1529onJ4pnSRlsivzJ1lH7DB5Fl+/2 nCdDxESVqM0bxZnz8J2kV/s/OhuCK3byB02j9Slyzqh8PqwC2BeZpPXeyUXT7qkGpSKSAswpP5+l OgxhFvm4NIYwboAHoLMGIPU5JH8gusOty+0OUO5hOIwWJL4CIzcgr3z9UwJWwARkcEYuPVvR5Njc 2yWQ0zAuRZSR4h2DLHdg7RY1gmAgF/rs2HTNmkme5RhXvSykifp0eWA3AojOZRcf1lFaQL+89mWr a6uKbtuN4Srgpc2BFfdJZmqx014hD69PE3j+UT3G+Rw9yDpmPQkIR5oYDpXQkWy19gIdf4UqtU7V ZozuP9PW9RNRwi3MvBbMZPskth8p36Lx9prQ9fuGRVjs1oMuei14m2w6+dWIqUln0EUF2Wymxujg F/paiCPXElaOSzSMFwx4UgfuJbEPHo0aM3GVzkCg2cLQ70ps03TSnTW3vjWquW7LwGIxoBnCOIwH N0Nub5+FIZEvbtW7PKcbG3T2p5MNuDwvYu417RwWFbsFiPj8CRq/pZfhFCDRGJiNOrdiBomu9Myh sbz1OBFnqWg5xH6J4SimDiRQzDqfvpFG0JVY7RLbRXTp0TCOfU4ucAHgXv6RcEJv8ElLCnf6t3xC /r4LvObS5GuD0SNJF5TVL7ei6fof2zrqSQPRD/d6Yn2q2DuhBHc2LY8jb2F6GJsK/NVJTF9fErlH QWZSj16JrtxuzBv1w1qvLUJPKkkWeQVuPQoXPu8Pwtz1l615cAuwW9kAYYmckYpK+LiLyGM7ylCM HuCv1qIHmw2NXxCcp36X+rLH0bAIKhsxWZkQU1luP8MTrXfM2sdYn+d8RO1MNCOdL3hFdpTCSznd llClsgg4R7XX3O2wjXLstOFjdWvxDj0SBup7sQ6UeEtuNHds7ykqCMaF4V3Dt87yBNo37fdOlt0y OyNce8IUyvE94T/bgvIMzoSp9K0Zmja2ugmgKUDGT4ai3bqslte56tgGaEQ7vYRUU0sUkYbIHHXv FStNxIHGGZL2F8PAGVenPaUmbtmlnOgrOZnJYcCDaTbdJ60BEdZ1v+5OET0iiuKcyKC9L2ydnFzs DaldY6k4kyluFI7b7lB30Vj0WBD7XjG4EvDx/p08kTiiWh4U7kZecPEM6TC/+59GsVhBSAl8ksEs hFFG0ksp8V6a6w5N36je12eOmZYgsXR8rAo+385wFXER2ofrXyzuZCZP9+31zPMQr7OD5zNDj30W MJl5EEmyuYES4XK8mR9+PzkHbNxBIP3EMdTK57BbG4RC98KDQ0JuvmQuSTykDJIzpU9fv4+7I/sP UqRBxc0v7RBmVa1hbRSIT28DNPXjhEluSk2PpxWlFlVxUQInc9Lqmdh3LmHsGlrPGUfFpo0UvVSH pg6QeTBQhMSDwHpcDPxVvh6uCNa/UN0k4I84YlXjayjO5lfzpxeTokr+9SshrkpL1GD2m4zGhOWW R0VKGXqKXQ8sG0YZYmeAXKp0NY5j6f0s415SguSF4pSG8U9ALw2zCpB6xdBJziJJvPDNsnCX/cua wBYY6y1U8ywOCWLY0M+FBuI329eRSFE5hGmTwGUqFnowu5aJDuzKayLvwrBkfvDp5UbBu9LUK6kq urHuR8ELGzz20GENQAiUFqnEfdBtbufYzwO2oN1f2CRUqepzVay9AzucyBti4rvRh+kJ3s4VkulR +UVqJj1A3LvLrMngXCG9MYeArmAhUbZoPR6UGiTXZenMbYuLVfTV/LL4MGcRYjjS9iirJ6Ol0Ijl NmGw9VPTaITHPKXX/Iga0SNRVK3EhPDGFVo6Z4ZBvXor8rGedZFWEGp5ELqDQd3sNoaNlDoy+NdP utbOURvpgUhFJaAELzjszpyBuZdAL1OudKybZ2u+AKl6RKlevXRMBuLgrF5jTHAAH2QtH/ur7NqB 5/lVMZWpa0oKNHZMPYPeDvULTc9opKCuRu16PGL0yt9qogb4Tw0jIVV3NRTcZHRJJU30nwxLXchJ 1IlM7ZJjM4+Oh1U2PEG/o4Vk2ldML75c0Vn/JAhkUF55BuQJ98a4q3dYEMDU5JelnprHPVqFUpK+ g47o77I6vBGPKwFM7hbMSoolg2+3yb77jNqpC+F1oRIGUR0qI3ddLV5VyjccSfJGD7Ep221wXeOV He+8j0PO18KYMi0qpNiV5mGcb4KII0FmqVRAQHFVISlI9uzhYDcnJ+cOqGjKKOHFDxwka031PRhQ 3ykHfFgB2cJfR2idP3DFxN3SGRRlb2r9X/2c3HiJo4WEuuDsNMVkyLkQrTC08vlgBaWoNtHx+rU9 /pMMvGKgG+dCMQmCzxd/mbPpGGTagOhCIWqr9Ljb9pdZ+joRkYhLQD0ZqdmtcHZh21Uwa3JcW7Zs m1eiT6HEwNr99zWLvbMxDTfXyZN+khaTAZXGHWQwPFlnefZbtZ9ys8+Gdwh1iQd/xQkSd4OgQnxd e05/krKeX1t4YMwkSB57Fd2kMHyhVpBlaxxtKrpc3fD9HyF6Mqt27LWjrbJcS7gQjPv5Rm6hGwXj Zae6OeikZiG1uTJYzEVE17W5l8D6sfEmK06UqWYrSG3drY6at4nYesGMpZ3Lar9a+/BIdG2ywNYs xOkISoEfppyURomJVwBZirJtT5/MJJsZ+AoL88SayRRIVEgPViX6iJuAoj0So7ATgsWmXclc4BDv S2JUbjn4Qr3/vT7PkdcR8NHU7qOgmvE3OugGlujSpWr53LvvJSS2k+pVtQqZEPx683cMRBkfEiug 1R49QXBLGsN7R7217eTsB4e8Utewd83dsBVYH4IJeG4jLmTtAmkSm2vt+ShA0tZmbmhRE9WEEB3E XHMF229Rny717/XLtUEOGdrE+m1XTMnroAvMJhtaNeHyD04/EdgVN85iXCFqEPDamfMlWP5LXSAD CQIJsqmUQhVrW3BhrY14apuuaBcbaP+t8sjlM0A1Z78MzzQPE1e5J/KD5+kSEqiUrR2/ihrVfLZ/ IhZC1oAYgrbTcmHylQ1PUBnfN3w0UBRHX7vunSAhjpAf6bondYjEuruDGwVedmiUr4zY8nGxm9OC 7LJDcV7PLxUaX1zYc/ji4vXJFnfpoQYTmlvij11xx0+gsiwoA0pwdNnzTEdmucvn0maJzOESpCsS E6HQT0otmOM17PIAA+OM4pj1LI0ECDj1u+WCDgVGEC0l6BZHyNM94ftSrN7PEOAZlZ8Lf8WZec55 RhFFR8ihWBzFZVXuOKlzMnS9Ns9+sP5JfHW/nxG1rINZ3LNr6bmjzDN3JpSL2/OPTwxzjJAUilA6 c8I3T+9nwRykUUVPS1XVzv2Yu1N28jWXdpe48++TMpS1UpgI9ct43ZDjXydPVO5REYan4CLZodMC 98iHUROw0rWqyRFcjKcfZxDHkviV8IQPZBxN2J3XwLJBV15JtrFbVjh7v/FUApCvX9IFD1tpgHC3 vs+ef7mvcyAe5jLTQP74rAwOjzdA5ZCbTlKROQnoEVIq7MPUQxxZXffwPFEiu6c0B83dOYQGsM0h qHNUFxs1G+9zYoNlIAsxASuWyWPFvUqKyFhncGwTWVdi7jMY9qVE+aHYvII1SBB9/7CCUHgCltO/ rK1REscIX4VEev3qouqQwEtPa36PuKZO+LmDc7H4nNmdkFVCIvxI8wijcFyoJiYEPWwChs7waE20 lpEZS3ZzAnhCyflkf9WXxapoq60he7rAWXz8qOcaW4Xj6Bb0CdXrrnCuIa5vq2i6rq9iqKkxtvQK OBsZ/lvdUdmOybBrHW3S+T4BWCVy6xoH59j8GDj3F3S8EnqVluYBUx2TmixKa+ayVs0m4gda5IoH Y/Ud8iediM8qLyoWvBfQ/qXENYqA0si7Ef0sREa6z3eumScjGPw3iWn8ZC+bt8e+2eGXl/cLl2W7 66EwEwpgO0BlvGzLTyxqir/bSqpbhY5WjWNx911wi2ZJJHf6syKPOxGQkYGYfCkELC0Yo7+++7K9 qBd4KzJjYjBNzEZmtl/9QCNEaoqYXFOguBytIEMKuvCLMUO4wZrKZVxNBfAyRjLntIMjkM4lyX+4 JuhwyuTjg/Xo8PqG/rTfMQoOLo+tmkPLo9WKSsmffWTHrbW65/a1YnK6XvjnRPkDnDy/43861TGI HYu/JlliLJbcTsviBT57PyjA0C3Yc2ZApAlsyLzGmG8hYNgxx1l4MbuctFZDFMynbJ1WqRMJQLu4 g5Bc4WxiatOPeRgnOgBQEyIDgSFTvLL2xQHuCgnsUrRWYGYyPPvTFM1asTYC80dcR0ULC/VFz3n8 Lh8azQ0UgYwKpvFnrSY4N2zZlRPs4C6F4nrcKs54mBMa5lxEV66dtuJemlHPYX0GzI45y5nJw81Y 78SYC2nh+7ZBGnMMI9aUDaiUi+l01DTiN9SrUWKRREdP4XUYr7UFZ+M7AJu0bfzZBQ770wl0M4Ux S8kl6ZgzcgJDSgZqTeOEGzhWbqZksMjNFZWGuKEyawJ00Dy7n0aL39s+7A5GVicWU6T3pltEsTrd rYHg+UgnLyxRTc8la51lK3lgLnvd93AAZnmiEfF2FBfymU3+VaRhj4zXACpHw41a2JjjOuyMk1Ze b93iMnir9zgWtMnVhkUEht8lMbx+mWCR2y06f/YRvLNrgpH5v7iWVxv1Q78Ez7BbUFS3duQWKfWD OQy9ad8OkBnum3tUU/ewOtM+AGWyWnOfrweN22ui9OJb+AbS3zBRC19v82Z/I3jrnKn/ZdJnBfRl ObUiS5lN/bXr5XbbU9NRxRIG6/A9Xzd1WtiRPSPwAfXYTHHxAEH5xx2oxbbH5Hk+wgH21lkB/4+N yoX7d9DkShVROdewcYYO40CFy/tZgVw9hfhcquxo0FB/zZV50nQ014ByoGqfhlF0kYyP7vxdgUMM ppAgugY/cVLkuozJAepp0458L0y6+O0aBfhT3vNawvhw6bF9U664+FzSRDiRplRwdPziQRBjYk/y 1WjgXBtg21jy5pJq39Snc58biArIRkXOYRKIHDOrP1gYiepJhKYRioO9oEeaaULOTGBdNvdr7RXV LU42bpGyBsaUsrHzXzSMCD4E2oJKTuXYiNraNBMN8tZlfmdiP25SKad/kzDWGq7+a0BliBTREU37 hApQbwy5XOw5joh7KXSmL32WO0uSzZUSL57xlLItafvT6SMGP51riZEh6ckUR9Pi8x8Vtlsqidz9 p+s1MR+ldEbBydRHjXRwFEURmp7z+Ky6Mzewtl7APY+wbhowjyinjQVz4bY3bKih/o8n0W/UeqrR 2yYm3joAXFZO3llZkU6KSNFWtNLxuvWqwpt7aGMRHU5BnzTAk0RE5g6ZaQ2iU7/mkvGF+SgmZUde sqLfQuWukSE54eLvWe06rA5W2xXa65xbGdLyRRWwvu2OfWVEAcQl6D0r4sZ0M6CXPa9g0mTQ5yx+ GqEvAaVcenfKk6+ufY0D1WH535sJ8DTzUhNaYWkbYVlJm2/i36+w1AOcWGwyo6/cuGOedgzdMEAE Mb/cT5ViXCj/nsE4BDZOjaRkksl+WbKfNRLAePVn+5OCT835Z5B0ZRolNS9SqgYYEwNfQpE+TCqM AenkDfLG5dqbGB9bXQCsT43qAb87fSz1MO9KEif7e4DdrzX/JBOA2jKbsBT8gCHwTOPxmnaT7lee pkjwHL+dT85t8MVI2vdZ4vc2wGUnt1NQpWEGqsantEJbi7SBns39DtPy3/OvaMc+vaof9cdte+Bs drwfzThuXp3mpU/B8okbtdOXkX6zZ6Mk4yELQipp0MMoW5aLFdhzq4awkF6M+awMbjPzUCG0bpH3 PaovizmI6MpKpYrchcEJdu/ioz03TiIbaGiGgfwEywyp7XX1hAvZl1tDQYkk0jWL4zW6GJtAW2ng tg0uR9EumdKY2jxa2HUH7uBpGToyMb4G2ZEwcOcBk1JhEhOeYDbGiHcO5Orh2ot21SKcm4ZloDq9 rBCNaiUlwaatUCbbRmAza7WXILXx+rH/dj5/ZBW9cPECAjB1CzMXgvoXrNTxdsW5QzBFJTtEpRol YOPa20ube99flnt5AWJU49pwX0ZKfCkQjAUX/Yyg0YX00zZcZ0VnWbDcwq/OpPWWpPUw7QQpBS2a RTmKyx3qWPY84IJ5edul1n0ToU9a0Lp/AzXX8xwRRRpM5+jEXwOUID8V+yh6F3NMn4/UycdbgJgr uM5giZ6aMLPJWD9drUdVZQ3LJWVG6ooAwuVf+Xud0W7/vYjwKlIfpWU8muF0f9iPstKPqcgsGdJu jtonnwFIIT3OxH8OPmssPC4OeK/baQ+bL6V3gs/1JQ3HPf0ncH1yQgotDisRQgWWduk5aZcE4QIR 6CoXDtDWSgQqhLgWHDLqhXJ33MK6ki6TaQtexQO6Hp8onZtSFWFxn3jiXFICQ5JDYmT6njVznUyI atiIPubw0PDTafdBkDfKWO7TKn8PydWTe5tQ9Sz6cgPFrn/mCngOGzhZh2znw7EkME0rpJ62mYrr pbP2jCVmPtuA/WatcSNKanSBqEcsfJqxM+482c1pCvxLokLJqVfZEZPmaCmKca2y8/br54swgj1r OUTZwxFe2y6T+bCxRt2XlMZFpSihRM4/b+tTnOrh4tIKZ7/ayYIU8zGYHIwIGDwqEVS1GferCu4L prJV3h52UGPgQAk0Vm+O0c6q4MB9ja0cds5SLb1BuuZleFTiqBNhbdASNr3J4Lfu4JaWM4t0R+px Wi/7Nxvk+igtP2Oy+rWJWpy/VYhMKl/CvpyNLzwbCj69eNpGxV2rZsOxoo/hkUsn0Bt/BWnhXiXs JcfQVN2RWjQX2YHVlbeXi+CeqkW9NK22IuaSlcvyjj8Rgrelp+Npvh2hw17gEQyoqFsCCJC+bfPS We14mByuXaVOMgc9qZeY9kDtrj0LmP71phJ2I8dl0XeymmODFPJg1vJC2ZcA0pd1WF2I5mxtFtrP ok1Q4HrOALmTmEPhRlKHLHD3UZ/2ppfshhae0gzSsf3dyvpFx+y4SrvDA4P2Sy5TcPCugy43M0I2 hMTQZyTtO9I1XqyKqopLKuYE74PT1gf4KHWKGaEezYgRE4BQ4YCr4XFG+tLJz7hnfiCoBiGVHyCK 0zZW/SVuQv06GbXqVZmcaAffpn8VVjTzT7wJR2QA4kxGJ+e/NSwtiUPKAre4MwG3NymQiqDaTWBh 2fNUjFX4MbZ97hrNznUFe0O0uaXIpWHqnv5d/E+iUVY+EqYRZ0yF09hC51hHCBJeOWBmw/qAz20l LEGZu8CUakYjWHkvB68VAMxGE+j4L0vefGtyxSQXZ9shNmOG+6bwkNEbWYdjpidxJsoJ+V6NiZ2J tw4wb6Mb1mES3ZqgPVG2uOgceT2Cp+c+hIl5w/2GE668TmfJ9T0+u3kGdESVX/GKSS3cMNU8bn+v tLgvdqQ1DRLyPLIygJTT0u1nzTdumHZQ7fy307ks+BoWTSyf+DD020OAvX6d0WaJm1FFsrfZ7i+N emZGKLnkjcm+Dz81py8Kps9oMKqLZ1ptBHHoKpadTQkpmyxGR//xkahrGPO1finc7wA6L1R5c36S NqXdpjBx6XiA5zyv4TRfRaO+TdbbCPT9aW77UYj/nhNKAiOF+1g5efdkGtfWzPGK9SPoiIv2iTgM oNhsPTpTYfsuvULFKXk6hShnvloAL8OcHF4kGMCCkfQuvnwxJlxDbkB9MXU6SjlaKv+IGUUD30Kv 5cV0yiKFEpqYnGi9RuL2CjVyre9Yi1kh3KGUFCKRMeOaGVCBGQmCwmn9u1lwnm8lXk7gIfJE/ZDs DLHRCuhyz5imSNNxJwVexD68/QqPlXzfb5BhxQpa6yG2j50HkbrOjlo4j7sKSAesmv3R6b3QQ0MC 2FFY6Uw9OGbMVMyZtbGqXwfbgORMiGT8SbOM6dhbK/xb2/8cIHZhlYODq1M5pjSep9oufDg93aG7 WVo5PZ3dToG63lRClyPLL3L9PxIn44HZ8BgYftagD1T9evm7lJDxYKoFfcnYIZf14qK8BEfoxX6O LyMQTr3ZnHJN18A079CMNnRnIRpYg/aCkKWczRgwKqDS6l24jpq+PvTymT2Jlj0P3g783F/8svP1 xWZPCXc4PYST8KpB5me5siODoO5nsx9NZA+gCgpXjEy9pkDgbcmLWIflSsS/8/+nCMC1yl25ObnY o3yeym0Yg2W+nxybaaP9AcA9lBTZaer/GA9jpJPBM2VC72wwCfZpA8gjWcFPdFTtUWT5FxEzCiid waSwVH/Cn6l9XZwQxl6j8/EpvcBkhzPqN6lGbk3AFpXCjh3Wms2xAje4rv/fxzcnC+IGRbnUDA8F BwzAdHFkWTCeqp4AlQ3S0Y/sJWaTCsqHCNw0nTDzYgBf37KI0Bzwr2qXvWTc3CJpAys9sQWlSMF1 DNm0eHkusABOBuORL4o6SVbiOOPXxZw2zrnH2AtYHsNz3kkb0T0XOdTqD6vqUah4h1CZrZizTlky ksTuzfs8LUkcA8RteEdb2XS3mXhfnJj1xadYOV7I42E4Tr9kRRabCVigZm6Ery4hn7+bsXDcatQj A2il8VpBg1skvP8KimpAJ3y83FY/c10M4q62EUj2EPeDHQXyHcXWCGmEh0dvE+7UC0JyvvLdh0dQ vXG9W1g5OdVBdxq/3IxU+SZ1CBpkI0Hc3SLffS8omQh/UOSYDN182cnP8mXdTn+FYW5XE7t/J07d jPAe1EKCst2BCWMXkXwIaJAT8I++BId9t2sRcDt3qLAwi/OaxBxp/5f7B0GiGZ4mhcmc5RUNeu2A 8ziZRNpwVvF/4eRdPt8Zy0CbA9vcMwmvGbS2hZAa44NQEEqJTCbYHXRmu7pxQ9o0scF1iBvWpWb2 dPwDWURPeRsnH5lCaLSPqD/rJK2HT6zMChwCaQ5UkEeKx+R+WQGOSFsgS1D9KSfAG8dPFRSzOC0k v637M4hz19VskpcaoGBIRtM3rweiYaLCqExeKmG9ePkbwGJ6UFZWeyEEa0buA0h22Cc5ItRQKrJ8 xm1hfwwZXf0vHPD5HOm3Wx/LvotiNvaAJt/Vgim9tj65QHKoAy3kkC1Gqz8tNb9GKX+3FnDDA+z5 Imf/I4pvWJLSBVia63VVUzE+R2Yyw8+gUfIF8vTPiHBqEPSI1Ghx+FqGKoOt9NK4bu1gpablgVnW o15BQroN5nEsjV6k5VFGbYk4IYfdAwtBrQS8F7re+1rdkBSDs2NrfRDluWuTJwxMrj2AMF1XmzuI 2hXvBJR6ZOAXLv3arqNP1waroo2mDjPd70JKTeVYqBUqE+tRe61k2+iKkVFoTXBoOGitpPVFvMai NEiLMHdjc8/FqkgPsaO+U+uPco8I41jAitDDoBhQ6t/4zabRsiutoWSVaOhECTEcne0LlTqhm8lB 24vTD8SX9ROem99aHWTWqx8Ku9prGic9+bclNwcB/F5zU2iEz3tzvEWrt5E2nHB9nbarQRRamT+q mfs8/tV0l2VcvcKDMWnYcI72flvwXojt5b8wkhesnBE2u9t0LzXFs1p8zyWkP0Iif3Bveekk68sH DlFVBCHZIOo9BKSQB3eFcXbNUwJr5Gy2aTiUZl5ofyFMS/8YE4x2NcwYeSzcY7DcufImoM3k5y2I R+lgoiHHhrCSF3+hEmSQ5UNmzlqAXijAL5zMhkIVtnYbCTi+Ub+kZa3IBb7SfR8RvhtoYYPFBASc YpY8pGgz78Ko+mrmlEAZ9ymwwcdCg8ATTIwu8iiKlHLmXm0c87nqb8rvq1tqGe77TSJVxC/OQ2no MNFQDnhkFkHqzsvlaJxkwNPMjSeshqKEbAMARtHkXm9Ee9ftvW9V1Va/Q8BpYxy6qCkFhnuUgKWm ueMLwEz0Ck6DRRfSdxkk4krI7HpcaHLwmByCkgYsZve5+hUGp2du4QfZxlQZCTEQQfghwo1PppPW pJe5WGLQiOjc2fY/UOOHUAJi3CNTK7YPNpQYcORlPuYWvkUNNcmb4/Wv3huobJq1uH7QDdWH9Tne ruGrl+eFW8Dq9XaiIGpk/btjv86mRGNE2HUbU4kYfkUdxtCJRIibXwFF4FLk+9HVRC+jKvSGXLAQ hjEitoAsp2rtB2HTynClx8lZ0f5vtmw10d2yQhhU7gtDdjHxgh5h4AqP7qA7X01buX4YgaNg7a35 gNRoK+/zZSPwf1TqZWnjf7gi/9W4LX1jKVvFZssg1X6CqL4HPEtwkLD6T9VLdmj1APu5WzvgzjOy veZSDw+TEbsaTaj0khRCqUC//qHXUawVHKZDHOfNhOm56G5VzKEJWtSIepfaGHZlgZBX/QEkdXOi tSBdcEhEOtl91oE0mIOIN50lqTCvZkBzkuP4fKXgYipZG66PtS353G7dgxdIySQU4z8iqHlArzF+ Q0tem8ATN1xkrpyWTATumSq4rRMMDIvm+vUWgxwOhh/19WHtSqZJvAm/gSwfvyUOymdkJIa1HUD3 r9XhHIEE0VMCBpJYQogcGynZMXItUlrMhEx503lkm53Q5Q4incX1bj19xQ3N95Mj38oY0wWVCyDs +nO8f52v4nzYSaPRMvDoPKaMgLm0VhKbbx+B/ehAIkE8mXiuYWrDoYrUUgUJ08xtxGDLOzfSXO3h VWJWERkm98tDvZ0oR7h3EIWXw+ZGMO5vCPBqr4qc+eBpecs7xEK83kxdDxqZEIuy4hZ+TYWCIeb8 sqiY8f2mvEcbv3tfYYtqVzowFCrTv3KR/InFCsBDAnIQZ/MbGDYrkhQPrKqoU9bKxF9wuTN97nH6 ITzDQwBbq3yc8IY49NwyOoicmoeiYbvzvoxBqx3MbOw2Xwo4RdPSx+jTBFR+MW3EAKdsdJV3NMcC /l2CHRJ7PzUEG+jZEMYEM3u+XAqqmupWB33fQdRHo8UjMtLuuneZoYAeJWc6uZihVBAaBndRXQ75 +kmn3iQLgo/ZFsRiYfujTa3cHXAEAubyB1zCy7ldaPagGixVjyLG3xvCvOuvjWZSJl681P15j4CN xatq9LDDG8JszfwrZ4DLDNxsVD5nR9RJ3uKjSxlXkn7/LIdA3bY7XZjMm3VPE6YX/vVWPaw5E6+r m1d8RLkTxgB0Lx6gpbtw+RK6Io7DqMbWdPBYXW1Q8xqHTgHAGGE48IgN3qGnBU/XnOHqz7crfwrW XTI3lJ43N+MXvzHJfvaxiXv5zgPnBgr7fWM8a+N5qJnfOqT3VJhHvXFlvQxy1e0gvyExDzO7ZRqN jykUGV1cVsLB55mHgw+qWLFU8eNlsDCgFeYAIlY7jAcvcEN9jqysiACT/nK14ix0GUJKsbxjJltP btA1dI7Pje6DWQwM2fpddSy/DuVvpkhiKLgB+09waZluLyFLP+QmhDqfVakZ4jYZ0+CuXVy1my/H PWqu8/dUH4EPty0RlbH7M4/poTGzzlm0FTkY0rUuASqftWRnuLB956/VnpyKTFUgj7uZ5thnkcL6 pG0A2f9M7TpUsqvVy9HI7c5IkFOiGH4UoDfLE5IwJ3Oa9YbX60vfh9XPjXqN6SPLZoqrXrnw3nw4 TaPqHrwhNJxGLEB2k4u3v9A6/1T8qt0Ozvl/y9rM9rDmpiNb6LTXTfe9o3QiGxRxfOLdfIzzmfLO wyyaChWGjY67aGqN6I98BBrvo6lcU7c67ezf12ctz9NILLKJPp5B+HDlh7AcRaC7XLQcqsN8pjrS +28OE4qd6eJSQKVPMRAGQ/dAJ8Yi8+x+QE2KTv5Kn4YbhqldLJ4iOvNRMRtjiJLz88jJeWRqHX1b c2HjVsXsoMCEwu/4EIueVCx8t+RRCFNk+mjrDLYuZojL9FCCFfWD/ifkmvpZjLMb0z7AXGTbr043 1SvkOj+V9BIOv6hVXE7aRCDyIpyAyTtT9XtIyjybCueLjF4cfSzbqMH0eN43Q81KitHFoe3zSwa1 Z4IM3w02cAbUSOF/MYzMXwX9AHJyzVgXxxy0ROETkbPsok1TA02ZQ5rBn1XeM9f86H1B9IJ+meS6 RfPHflDmQ3xcaIc8vLcM+rBoQkHiyNr2NaGQ8kKI7JsDIEsKmfSwBZ7V2OsEXiqqZzTt/hnsTWZw jDzRDN6ZdLmlu7VSNtwySp2qSIjtJPGJFyRHjwcy2VyCl+TBzoZIBy/Q6Uz4xs4+YtOvcMCgpZpu Fgo4zk7WmoYdM4O4XPHylgnhy3/BTj2qesM4ANzZCdirpfV4EZ7PVL1ts+BD7tjjLcaewvW0xcM7 6xOsbPsV7vhqw9gX3wK8qF8tRJBzNmtur5mCCcPt2urYWfBsxqcVeKA0opfQ9EDHnuoXh0w4zEhH vELXxV9/7BNiFz3Qoi0udfaR0kSu3lDnaC6uktauVbpv6hb6+qf/ArhG8/f5MPt4kffQb7rMr6Tk xjb8uQQ9LSaCSLjcuuFrNkZpY5Mnomb4uzFJ/EsjS+fzoBPGrKjYJ2Lu19yz7UZEssiq0iWRT1yg t7Zydtx2Kcw53mKBBMZ7Pu0jyfEBBh7Sw/EeK25XcesC7hgvFSGTb1hbrgWo9ZvaTq+H6/lPScAo /ldMHivDXzzEMgeOzRIv7paNEKKeQziyJm5/sIscBYJuHan8RZte1GNy+HYtyKpbhRzJei1Ry+Wc uAXequ7qR0+WGNr29UcPituxIRdNlJqnMtp4yQd/12+6pJipuXnDi+gwKPntmHpYghOuxWks5rUk 5aPR9zIekd/Vfy54JNXp2Qrzqh8isdJUhfUNfXbSBKUhgU4nYL8L77jg/+xPpLd2ysNK/y7eIxT4 0xtRbu6Q7GVP7u4Xs1yumdrj01xe84t8rlbof+a2/SOia6f6ux+4HMtWFdVYLR6aWKqjsVTls8hK CHWnlK/QwMG4tdvOpxics87E6ENAAEu9ZgwFzFAUXESUg/nz837+SHU9m3DU2LQBxwEl1ZMV2mVi VxlK5TMnLMLr5cgkXdTSxLzTII6hbXXzNYnYhF9dayYAIKyrHpXcnaC7GUXdswcKhhaT5cwL7Kn3 PXgLFWAaeR8f5cE6ePRFYtbxT03qigo0u792bgAZfB5jp5ufhtKgCBJVEBtIkvCNf7evHyQjOmXz knZtai3hDUX9MdyuSf3Xqn5gZ6IFFVo5LRh0A9iyvnB7hrZj2MAyEkNiJoqb8PvVFMKK8tVwYAMj x9sCT6JrjfAqvlUoBL+klEKtvNj1bui8+2KEgW2ulbhhGrQ3jKh/UfrOEM7wYffWUjAKL6GaRM0K 38zmSneHDVRG0vNjXz3E+oBctBR9mCiOXo3DNFqH/y9PeFh6IaM6mWYskFmmH4ECHaLM1Q3RjqdW YYHSWfAuoEmOM6wbdJqznzPz1rM2y8G4MuL6jNQ4nxJZKDUX/qw+jA+Re6U/UFMfH9JLKCut8Cq7 dAW5xP4TsZl6+DCkTdWsNFy1JfWOtVmdJUXYiQ/Kq1hssmxWW8o5OXFQRh7wneMH543J+Jo+njzV jO8bEyqUYxN+GQ5VBhNme2nnIivbTBB5iWStIABaYZOwLRTqGhnMiVZx54Am4Sz6/3HZKKMKKU04 AmjD4alFjN2PVVjlvj1ilKDUSXj6yqGl1ICiFuDnB5DCkk9d/TBtxyeGzl23sILNLgfuTdXDiFir k5eUeWLE+x8mLgsWCABi0YUZvKwVWbWj+yupZ/DHSavvEkYTc4FijsImHCnQnTLcwGt6af4z/ucC 0CL5lufbSSQ5UZwpM3/oPamMdAdKMCXxuCAHWur3114gxpmDqsv/H9OuvJATlMp/S1gVcM86wC1a Dl61LFl90WUqqIJgAfgaop3B4BkZBX7qCZprmRbH44F7owEIAaYxCn5g1V/XUBJzulvkvclVtlrM vQq03Edyh8d7NcHJD/bXZFa/Yji+ikwvx4/Avy3FnTXepK6+qvpqcEyReSr0qCaL3HlkJ+3IEUWp Cn8C76wi/UQ/2lACpwMj97h5VrCt6yQp0BTA+dwLVREEQyIu8UWvU98bBxRTsHMHFstFl7mznvdR O44YBoMJxVEgBE8LbMtEJrdo0MjJAutH4jwvo16mbSfUKYKYVwcYw7BoEcTrFWktvcmQ7pORbvds vkAV7OG7VXMA+qZnIGIMFy0ZGIO3bW5AMZP85WekJc2vjN5pnniB9TzcEe7BVABWBe59r1FP42gq DmOVrPkQj4eT9mv8UJj+gOMJu5nMH8tapHJLb5PNFK9V1YwFTbnxpNbg3WX6lZP791hq4d0/crVn u00njFhy3dmXQD9dbtPCOgVsmYOp1LzJEyTu9BU9QTiAM2L++QWqUA91b2OyeWh5ECyze4oM6u2f 3cuOd0ISGhA4RIlpgP3Vh1WBeEhdKBKmdu9iExfXRH18cJ7cwOt8mGCt6weJpND39qyvZAy4xHJC w7YaxB3AwXeepJhsjYVSMz8wCKdSq+Z34v0Y4vPM/u6YHpeCy2nvatTK2XdN4gVnXrOotCWbxzhJ dEX/N5OSyi1rxT0w6XgKr38Sh4eRlt4Gez78FxC05zs5XjEAUwfRWX/1NT6+sXJsUHYiyKmnh4H4 b31fIqG5QYIyU5OA6249mrK/E6kAlSOhV0GmjrWRUJZX8Tq4k7AZKAq6KmsUmbl2ZLDq2nMzymSl SE7vTniuV2JKl7uTyFeTnsWhyURW1jpjkz0NAhaYmaCyZrzTtuvRR5wCBiPJDuo5m0qlmOr4ZO4Q +nFR64+y/ZPHSjUd1mKPj5jiPIct4xpq58jW8EBLZzTgKBi8GfNI3sQVMOnTCHIx1Zyc9GPTlERm uq/BovloW3+TotdaKpix+bnHACs4ceZsEMjatNnfLd00ROdiVA9CoQDp9/n6l6iPpBEmBEzQC+vQ ZJYuQ+YPGKxVXoWRPAgJBEUJnTU8Xs4SB/ARml03IH2ClzJGEuLSgqOOf47zi0WX+H9ACyAM4+gH rwvpYtFMBZEjU08Ft3wrLtS8gP01qy8wxz57XxxYlkCEo5mGWnacxvdyMRhbPxUfHlcV1tooh4Qp dtT9of6MDpEFAybSqRf0EaMgQgl3LeUMbeIxwbdYIoiJBI2ZxXvhVe5mXaF+LsiEoxw/KdxAaksA nL9R9YQlP0kM+G0sFUzLdmbje/ox2BqWW0XhTh3nmuWRO0PD4GQZW7sGQiao9aojEY4b0PW3naHU z61vy4c6umm9L2wRRdba9b16nuewvTBVqpjqv3Pm6TAryh5o9cAIB2GuNjQ51LN8VVhF+Qt8pFui ODJBntvzACOQaUWcSCZGdu6una3PHk+PgsI+/qag5XHWbLVpup9nCHeUAbJ5u00zoU/nmY3XcRxo esb1R6zrXEfmJTlIEoybWYTaCL2IKvLim44iM4idSA72KhEulw7NWSwfx4sum7lVE8Fj4A+vB6F4 7EdK8YmZD40CSSnJnxm7ODCKKk8cFW+0gfg0pXbFGAvEWla5Qg4hS5yqlNslZoUyhWVhRiNaP+tD m5c/P24vWY4p8fYYGbztfjY9FLSpmrkCwU35UToyCyI75kCiHB/2b/h1J+MeYnwJ4jlLSjVuYLm+ pMCcXfI+C8he/MTRxgje/6vmHgkTKvWi+s9V5eU7dMLXOTZ5Q8rELG6kEiHg5kkhOo7upxQNzWQm V/6Zl2kqWGG9Zjh7dRIvcZqWK39V+Vhsdej+VGN/Sctlc/aPuava5tZMt/N3p95UgYBIHpQWEiB+ Eb6oMAIgzPnOBQVUEO3Aymh3gxBkqLWMAxIpaIz272qYxb4zCgpCY95A1rnx/E4H42QDfqFLCswi Ptqgh1KrHIN/O7RYFRAC07ui/fP23E19Uier0UnEU3ZhakuzKL6kwcPOsRCn1QfpfATGEoIioI3F z6va7bY01r3relbag+yC8WEJOAd2qzw1Xe8ZqkbVgub72VkFiYvV5dH7berSYgCo8aRCBlc8ui2R +Z2II8vYSmMB8wxySvRjsPiMRpmiO4ZrrOrV/pv+5VLu0rWH+rRkwqfv/hG4Lzve/niyjOdeeccB fSWOg2vmTwpebLemYO7sILqiE/Lzk1bSHAFU6QVeLbroV86O9SFVY2yXtnjtEhrAZ9T6oQDA0Mwu o9tZXHTR8X6EHgAnpQiZr5L4tc55X6+yTOKe+B/BJrhw+f9UAwen4vvRunLXbs0OO1RY+EDnlQDr qIPIP4PqV9YB2J7H7OwN1YwKpP1GQfEij5PmFLzS/+kq/FHuNCKmR2h6mWZCyF92AgtCIck/fJZF 681+jTkbdBucS43SIkUO6hMELq4GK2AVF3VOq6AgiOQADxhZjHzuSmrv7cGheNcAsZZYQCRtFoOC QKcgH0KK1H0GAzSlW1THSc9l2gvWUmOwZt9QJr3SairrLPngwlCXSWpNaj9Lji8R07u5ZLfdCgUt bfxPBkegV/YpA/O0pStXKM2omzBvPHn4AAaHSwdeTGtRnOpjqEjerxg6G/4KtRP2CW5SFTU3y9Fo bcChgHDIqc3t1YlLP7y2DuESd2jxpeJcsazVYXky833xk/whBGOKFOGAKVMABa8uFkKBjSpSWzCX KiS1kuLisqLz9J2CGAeIpvdDTgt5kcEy4RLACT7qjpJn+7iVr+6rtEwFXwFstbTf5dix/25wTVtm bN/DHh79Y6186ffT89Uga7l2meyx8n/okl3xEsWyk8TWfXU8+skAfC0X/wu5OrAtSayEHB/m4eUW 3eRmOfUSQzDwRY1aBmzK9+mrKBKuO7dAJ/xVwGwlOzUUjEzFqADXjVYw9BVWTlt07c+mJEtNOJ2Q 0KhIJ9ssaOJU862OP2DsILyL3wdhm/2tvrT793HK8JPlGVT34eY7BRNSpGs8jSJQTx07h8UUOpWl SSYL5j3dDepSZCBD9T9z0VmGOALor9nKrqvmB3zb9s9holaHTC4B2Hu0A8GH7DjNoltAYxffiXsh omU0azBNflvc2Q6/2/Z+8Q2LLlxwLvu/fqFn9KUlSbOP0yFftnmexxCY+dfAkBg8gXwnMsw2Hjsw Q05nIX/Q8KXmk9MNE5xFOXgj0Xw6QMQYjisnN4v5o7g1VdmoC7/E2tU0I46Yb0bjOXzqi1Eoftt8 5k5euMj7rz/S0ubJnG3fUSULtPSXyym9CYm4KVRshCN2fjnyHvjgZad7yzLoarvZUYliCuI5HNnY TaP86+BrwkaWkHaRyBeQCKsFSsvlCuQrXDWHQxFqFfrAORfwxqFa0Uuo1J1AeK5F4Ca2NlK55CGh 64xsVpZrKojgg33mvIiGidNrbQJv8vFZ1G4FW+tJWuPp01yywSPH2/FB8LAaQFtiE+gKz54arWk8 TWxaRCmTkAxRI6FxnU2zJmyi1z7se67rAbt++xmRiqB6nGL3hvgjMrn0s/peIjaidYXR4as4YLha 9W9nfEQwCkSqOnAl2gaNf7QQ+gwzay/eBJo1Fgk8WDCks0vER8IJs7um7oEgaH+2ONLpe0rf7IhO X1dgRucZPJARp55xYp3UJfkY5WmAc8XeHU7AbLriA9R1/ZKbCEGDXL5+vGK6NwrvWPcDxzo+lyrY XHoohwwtEdN+Ox7gyDNA+NjW+s1nWvwpz3wOLglMPFXSUn4RtgdN89lu4R2/ztQM4C7alEE9fjW3 o3v5DWZIXBab8g8U8TUAJH+a+DJGDrdd7IjarTzAqjnMcl5BVBvmj66NStZjehUCLOdVNAIIM58h 6rppRk0qNpkvwVn1SniMV11zzmSGfaOq1tOf7flNGSlK38SJPH5ec4ZkUYPuwCZBCnzW86TXIxUg /xPWgR46BuK1AB7fm0KYvh6gEP0F9AMJnKWOrr782Aq7JeXljyVYH6VDa/OB2YBICNSxeKOiXhzP Et6FYbV4/kUeK2nNfweuhM5uIK9CF3hxRQr1Ksp49K5P+TP2IQeIcadaeIMpwOc1wc5/ITRtU/hE EXnM8/FS8nwP3wSUW6qEDpgHNsuez1Eyl5GDs93gLS0OVaDCO1Astqi+2JF7DduvrUA69PJOeTyC gVel6PKiu6TyOYfiTkGfxKGn6vQgkC1elkyxneJ/aJBcz0niLxBvp76rjrDa08j2Jcg0zuWCikda Dl315Ksi76FUjfnahhsm+uDaZIgGelcFJTd+s+tnSJHHgS/LBKDBbQMoYJ0M6H3ZH6D2+PWMP1Zt zvi2sdeyT1FXritnG0v+fa7nmZcFpGopW1PLVT1utC3Lj1NOBllb/E9lCQZ1C+tPTLGnUVXXKeFs MADF5SaPacmqvAq2Gwt5hTZsEnF5xrHcXhUFe49PKTggWFcwOAelYJKMw6uN7eadtZMGkvGe+5iD 9II775g0zbTeH3ta9tifo+118OUTKJ+1sFE2gLMhKqKtTB4Cx9vje2l5jfXK5FK6i/wfjARjlMw/ AaHdmLzgNkKsqX+y/MaLaujRqIdq21uKhkYMVrvVett710ux+7t6ePUjE9+MQBsIRLYSS2ILFoNX fSKbd03c7jARVWkQ9JEYkRti9V6vUxyKiFw/kk+JHh0xutrGLWN96j5gI7cKbyC4gisQMhHPZKNh oAENChl9NNhFpsJM4ADmiy7w+usw1sFEkntHkZi25xkBWS3d837FwMqX8ncsQnySKse7mpwwmI6m 2236gtCJSyzU0NKaK7v7B6JY/3inQKKcAxkK3t7s+h0B3CXVYizPPpxtsr1oPeyNo7ESaHaTNJX8 okjlXqj1p1vCSuTPptE8X2EelCoA0Re5LiaRVjO4n/nwIzdNPBqpI+909duZ47cKABLMWibuXHAy 9QJOE9c/3bO/ydc0DiHDeAyd9SqCVpIzQ6U0IEzfLG6frLPTTvKvTVKjBFJIxlyZcZpHCBo+GSDa FsLuIvHRN2RhLOKisvdqpeSSSRx15l5PtNxDOywcibTbf9eRICGqvcTZhV72Su78FkPzzoBhu95a SPbUuO5b8XYlxcf4HMqXoqtEB6bSizRmQYbawmyQ4Gfilp9SHsXLIFt6E5G78lhpnjHN720O0A6r LFaK6lQZSNLpoqfLoNb+ZNT4zBRTqK4mHO43uD4fyjOjWapa9j/v2FwLhYJgOFwehk81BZREDQ4e E90W37NlmHsq0YtKFlMgj9PEsxmlTll169m1JJR82v5IO0secpJy/GtQMvzYDi08GdpRU3ORidFx M3QLOAuMg2z8qW+apqN2l4jtBSBJ/umZ54tMIFUqaDJviP+5BtcGyCBOcfx64yX0FK32OWOl4an5 v4L2uAX9DkQuFDV4iKiaJ0Bao/WUPH7QpOKLvgivdJcPFqyLfyQWEJUo/8R6LGpzCLPFk0HFcdu6 4Af2YnaEHE6ddXM6xhrzdlKPVXhL1Ckd72oiWzLsBLIbVL8OZ2TnO94qjrLbMH1kx0imMtRCPjq1 9r6trpBkEnduwuprW1a6vdIS/yONGFcci0nM0RaYyH+ovg4IWYt4rPzBqdNER0OYIQms/CdZh4D+ RRqmcSKnNWwwEEAZIAjbU9VQBXKWbO63VBn6w2IKtkLdKoIj7OhUWsj6UBQtgE5xTfzuYD9Ut2wW XQm6+263IqNKdwt5GGcPNGTN79SMTTQt3qWVBIBkh8m8bp0BBMS649qBYK1FXL9NIOm0mTqjFHis ZVoMMCUj/LL39tJih0VHBBV9yVvvRHBeaPMZBQAJV5EE57jm1BsHw4ZY7QkcmuCAv2j/ArQS78HO 83YQSebQZBZ9gYKBZrM9bil/KnYAVlbbrRyhf63J1ZkylpTkNAHCnCPaBqywlQUPUAwgNFEJUIAP hT4LORZzi96ZTmk2RKKYTvYmQXao9G3jfi3XIv2agWNmzSVVF2nXuqDxm/ab7rpVfmjXn9vYXkSo IEDgl7AzVPutmVnkOLy4vPVb+ET+RBXA5/V7J4nVlfijS3AsrUsNkcTDyFSbe3FID5rCI40gPafL gkvU6hYa7o9i37lpldQNv8Oa4Xx0fMmVTkpqLWYSO1TPb3SF5I5t+mDSSmV8V9EiUECGfA2pujlp Y8t94QwQB+yY5bIpiC68beZ9KLQMPF2E9bWJyS/vtE6P6AKx5G3yvkxBJHokDJv+ZMeBjN/tq920 3ACcLfJ2r2t9el2vHJtH3lRf8Q7CXPt3HHOJMNuPrbUJdxF6B84Ef9cb0JXidBgavgXrPIOaXSco hO2lCk1+r5reb8aQ72fU4l3T0dywGDIiyVsFH6O/KsCegrdew1CfGp8mKek73sz5SGH/f+blbpBH yqTwXCevmRMACOqT84fWg1f9iJD5uTeZMOVlqUNodktwHmkzRpVWBu10ALPQsMJVmuWAfSZtmdCX O3jAGDPx3e4DkwEsF8fEe1BVM5AIDsoHVSxfg/X2zugBPyGyWOoLDPcDistj4aoGDIBSU+bi/+9Z hyh1cmhs/HXfbgfmACCdNUJS6Eht6MEgqf6ZCM9rCHuox6HIQpkoHomi7A1p1D8uh4/97+J21+46 /W0Q8BfKfvTmdVSM4wQvToKW6w1g8mHdXrgeJvxiNQNDQgBaY2IQyuFy4bXsYhgqgDBQRxaoAgl2 GOa1gYokJHc4Ny9S6htzjn7ZNTp8wlWfA70wgZvD37TkftrQ5cB7znugvnnOkq+YsW1RPMGbldNn c4BRZ74Fhb0/9YdNeZ6mL6VvFAtC/J3QZeJpiFol2thCOuQKDVuzPNzsTQzWc3Ri4UO7Z0HVYqBk xxJK9IDc6w6c3rpT8GHLTg1WvMPKiCr75EhkAqqnIdrcMSLrzdX6PVbreIFGGSwosOu6XZ6J1AVP xjGiij9fnEXTGx1kjkPolSA5wv/7zvde3GMwAkcZmKUMHafWt/acVCZOcIg1RtvfZdE1lb9y0agH PYeP6bXmy/tIj4GwaTrI7eYBB7/Nj7xa3lUA9Ru/DyNQWqldmJJvTsDlSFyoaX6il+bdC9+l6wqh Sju2R+TcskJ7MydqsS+VvoNHShI/mTlL0ESKpHkbQhzFZFY1yEA= `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 4560) `protect data_block vF+tSK/9hb4I/YX7iVxvqYasejBn60YDJnneL81LrvWaSlgXAcMCYg8z21HEk/BRkWtTogoPrlTX 8fwMGLgGBbv3JnbBiLb5GUhRiqpc4kxljEnHOaB5JETkrDunxsl2gaBWN6rzG+azV5uDLt8p9P3U E7s3bpcNbK1JRBD3D+8KczgTf3MCm9IYjWX2SNI5hXOA7N8ANMpgURr8HQFJrohE5cdLstUp7lB3 cUqfVTVJ/c8E9fbStcIl2KkLZNVLPIszZUV/0CfbMFDsl31HyPDXV7sGizHuem4jvb6J7K1UHfWc YzriJ0FQRHKOyZpq0oWFx4vbg9KXSKydTrNzzp9i0PsKvM7QyBMvc1yPslJCWZNqtdSpmLbqKSRf tojBuaejHXbIf5FL7q1HJovqDlvGsmZAyiXlRT07k8ia2hdZSPli7wU0QIKLpk6rD4ysnDoSZBYe PCPDYvsnI6S2dpNkqatYXZueW/1Pg56oU7e0D86DiSL6NlTwUirbHTm+rz3rx8D3rAG1eWPgbM+c fzyBoY9HE6myF5B2KMHiqm9LlGD7eUCnwO8H3U97F7IMCXhi1DvBLVcSv2sbUY8OeOAkeDvYSapY 0YO3gFM/fAJwlUXeALwWWxpVBN+BmAeJTiu8XjqTaS9EtJ3Y9qg8jo0IJ7aPxsV92Kax+FcldSgh IGW+CMlPBEEghAZwsA3/lDfV6v8BnvOWeJV33uZJtyvKUFm5pYKKYl55ZDNBjy4m3wg4anevjZLK D2x826qK3fb15ErBl9PyYaK9ADOWj+wfONnDsHtO2XyX5ro+yoIWpayocpFoHLfh5hZS2IZaBbMs gDUga+QwhBD4XOOcOGPz3PR7srxmcI4bF7qi9bB3z5WDHqE1p6MgyE9VJ+2Sd09umLNJQxymB3nZ espKJ+6RFbWRhouJxoFvEaGxf0d/Vg2rz9pR9njNt/k0DQwWGIUFdBTZGfuaJavMXuoX4A1Xz2qE vvnK3SHw2jmmohh9LpjahQQbqR0NWjkBIKUk4qWCqGIlN83YsLReyLnzbvPHyv/5/7FKsm1ggz6c wp6s9lBpEqkNSKLCRWt+kBd2IQaGZS6/Vj8TJC5/JOMDRvXhfgfv3qSq1aGkWWz8DdPWewOliTiW FDkGDP77v2I2UxeRo7cRAOxkY/eEZsnZNyJGiG/kYitQsBjFKvvMxoQ4Cls1WBcFMR0R6kR0MoKo 1lUVL8iUprByvkRU0gvr8wUF7Q82B13SlEMMfxdPJdm9XmFjsYrxd/FRiemc5xG1cl9gzCBv8sgL e6rkVqKbwrlr6RK4eiEwAP94PZw4PQ+e7OqPY+g+ti0imqN8TCOSAME62ii97DMzyxqa70HFN3Ig B2qcjC1tNYlUhQawHQAw/r7SYhh6ZtovsGq2r6egzK+ah/BaTmrrfvxTOqjc1E0xfsJMleQaoBJr bXLO1btAS1kBFVCqh/4SN2m05/mCwqdUo+Hut4M/9yGexCdTI7wyivber3kTr2GbmKYdlfkpkKoe HhbtYnsYCcJB4wK53VWH94+t2AmvvRWcbJvPkBV0K/tJAloXyzGP8quK81OAcWwczQqE7Bkhl4Bq c14POFHBCC4ikyM1l6sFeh5xsqU2wlOxkzwwM22wkTAHLUtInqUI5Gl+tXRY+RVgvBtjF28v8H94 GskC4MDAPZtXGrU6EvEFz5zFHjF6hdbE5GCsM6/oGHxHVgOF0CILj+D+UagGVxsR2CkE1yyApGcn uCi+Ljvaz4KiwX8M+0q9zY9DWzw8yfNh/B+30XrQcpCAp4QKJh7OgSSNtZK1/+LF2ch0f2TQk0Vl UzXq0J+xHkwvm/apGSpCLUGsJzYMyNXBsr++wdDXRo6AVv13DEKVsAc1os2Kbe39y7M8NeLN1vyd 2bN5t7t2cg4i5JArfEASUYoHAkAympCzeGYJA/d6Gt1uaqNjo8BRtuags9L4KHc4NJtWTsZaug9Q 9rd6Y80MJdYJanJrVxS3Xc3B2pvGC82wxvUL3RcaLYBV81QO0nynbvQ6P0z90PvWPgqPHIdWOciU nROkg0mNJikpG/hWTswGkA0enG4IbxP6Fu4+oysInNqVkpgasUX7LY2yW0iXwshcNd41AavJ9vUr XJE0P4s7sbsufBojvFYvXt99pDhfg7mTtAEsFf4LEIaEINIGgQ/nvGWVnoiuVtePCewZlIUkssCj Lrur9iIuCIBsvk5vQumaAnR/nNs5cR8pzeCu5C1/+O2Do36Ff7NCxgt3p95e5y7hPCfn0q+mYBrH 8avjxE/x5bIi+Nu83tsPiSXDjIEX2JUD1s6o1d+0T9TYq9q73ssd4r37RuKm3teDbJ3231cNVnAd EbpjoeAsoJVgMG3pAaoeX+krJzXrbXrjGAA5HCgHqg+4hH9z3QOrxwjlPz6RnjKmrs+4aDbRrmEx 4BSwXwwCL89pzEVVJuph7qyEgmkSQABiNLw5x3I1lUsGy0y1wqKF+PNYzCvTXkV5mYQnG0+jrTP6 q2jTGfz0IDPmDC3lXFpUFw8fue/F2bG2y9uIxrpOHcMHtZMvt/vIS+7IxfaI3wdphErBh4d8v/WR ppMBWWQwfDjXNIMzJfdDBtxih1IRVUC0Q9xtaeFuMNTskt4ry2S78fUBGdgEWJKuGUywmMnU4BD2 Y7niNyzz7oLj0yTlCtz7hvG4bbRxMq+UPQ1N1HQwXMOMm6xNZ5W13GI3Fpx1tOK88yhiwq+jA1HO R6KUaD3aIsRqkcZENEpmZkKNH5iIXAa1vJSXwiAybT0TBwdF1XLxsVYjCOaeVYlgav5kZli8aUIO sP5Pb/34dJQlCDALxEMNplXNX5XnOCvp49uhw/g713uqe4ro9cmwlHuNhK8xpTsII/iUS4oNHRY6 HTQ2CNhNt2W0F05je3bSqSeO6fC1ttzeX5C3XcfNdnKjqjo/LrNMLVkBH88N+qC8mvUpYuHxKezY JSB9MYhk0d+ya8I/xmcUD/zlLBwjPoLRhitPclZGz1NsZNqfiyPHe+ie/WhYaDtyEQ1tBWNecszs wIXcMThrNKVUlZihlbHy5P7KK2RqrlZ2UXLje95IhtcGH5faTe10iEHqc4yY2v7G1zFA4CHqvSU9 rD5rX9MvoexNR3P7yeSmLN5hZliLLPEVip6YOiDvoJ0J79nDgDOHJV2I3mAq3Mxr3CjgeJqVOK7C VylDB8At5X/oBXphxjP23NCVHS/sHl2kjK569W6T0maYwJ8Av9M+2Yd20p/aI0IFEK0CG/0UxDJ0 84EdCZTaMbF5w2DJlhhG0O9Qm5USY23f6unMgvyO34uZ7j0R1hnB9kvV4XScJ8ZScT0nI1Ajen7x fFqEh52q+CxFmXWPgxIocG+HB8/5b7euDBKo9z8yqDJ3rtDsycgjFPt9ZhMDB3DibxdqHBxrs7BN McZ4Phmuvayel86f2dqbi/HB9epI/mSaGkpzy8/BV3GgxYINrAnr3oNN7G9dYq6mjoBDti198REU cnX7l5+HyxXRdHvnsXTbwfv22vMrXN/0Wxd6fViJiXD/j7ColfHzdWEF5tGcb+S5xTCaEcUx8pNO fFjN8Vm19xv1OMvdtNG3xCuThvk0s8O9ngYD/cKcwnGZg5+4niTTEKf6qXmN5kHhQSW9hwK2B9k2 7UpLBAxkDorwBnk5OXhfV8kJRk5YOH8LZyivF4wYp+NHmO8Azw9uQFWFTu+6dBCySPA8FlCyscla suTAR4vGy6V2t8q2CZIUBQn4eWk474M6S1j3e4wiFykG6LhVsjxVXhXboyFNGdpEP1LrnisXPjcN aER47TeGSckb5hE7rI8NOM86B2HMYo5dkZZkGxvYbGc+gT8fuKKmuV/0B1WNPNywM9TH8OCSH3eZ OIkmrZaMdatE+4/pqqIcCI05lr3Cqec1oKsFBALIv7YhNNirZZ1SC/74j0nhIKZvW1tPEF5J6zRf c28ureuaqkn3EHjCimzWT4zfWO6H6FZrTGYjg6vU9/Gdb81TOVH8N3KVtflrTe6Dhm6V6+dzor1y tRbrF847HfQDukVUBOVOwVb6EvbgfvHXtvpePkO8r2a2VCH82y8d/VcieGnRIl/kIVS5hnZuoPCW h2NOlEw2ybDA7XQJgqi+uRvJsTri6McrLh/185++StqoPkLqZh86ES6xclyUIMkTnnWArZ7obvCw 16ajKhNVjnI9Vnxay9JKgzJFZiSnhMV5pWg9XeXH/C3qxjjpERKud70lbu7J6tztqHgAVJR88uJ6 AT0s0/oktNa+5JQBrLF1SBn8+VLaZpoFKIYi4zhiAmVpWWRmfBmzJMnrSDnm7pfTh8+ADkzskIL0 wWPCF4Kf3K0TDlCrSuHHvQGvp29mnNPCJVFleGon8JqG5af/VtqvcNyXEcVpnJkXZcs7tF7iTbEd KMKbyr1hItWyYJjRz2mfBarkr1Wc/liNV2CKyzVXcNeN5pSsEknR7/RQfESputL77BbIYJagFfnl zB9v1P7NODx8nUb77Pf+1yTYgCnyr7c5flXHXDYTMKexQToUEB+UsX5Al9nf7bmwJSSmGO9wGcuD DU2edPkFsHxxL1aajU8/fGTTuSsOTCBm1m5+bOjFy9E17RofOICKK3/YHsK6QkRQh2jbgg4Iviz3 CM+jQ5foP2Wj2LhT41SaHL1fcMOEjVMhdsLFOZ9V5n7WDZO0Yv5m5W1WyKtVXikop9kP8esYgFEI FlYYRnwydIze74ZcGLq746Xb1uvt+zFMBBvCzgIWlwA+/C0AZSpqIQnBqIBC7iZkHMa9UUTdBVZj 4MsiWNV86nfcfZ9Q3Ixdjfx2g3FMxI3X87Fb3oM/DXZP7O6noRjriRxwFgMU2mms27EArVjtXQmG uWKgvmTJqTbOvJfSkcJE87YW0NYqdPSpDOcFFaChvw11LdBYNxASv13KvkrzDIqFYyWkbPeBq84x lLyXOhrdW5ghucJigLwwrPK5d7cFFddGove/5spNQoTzXWg942bRs0gLT1QzBhK06C6N9uycpVZP PexS4U/Oo9VD3+JYCIsYWRe1oMuPeg8OejWp3a1ZCDZnMJYW09rsA6bitcV381BruX4KBSvw6oNB lL86VG+5weAXLjCGvUXiNiUuTP4+m4Q3z1mUUU5bUa4wNac1rXEFtRLVPuVITPAQIJ3KQNL3glQf 5TVdqfrd4krcExyK34Gjtu7XMfUr16csOJpPdIPL2XXlDqU1MmVZgozwgr72HGDD/Ew3xb+qwb++ ggfoMkQIcGqNyUrBt0Pk7oyWZCt8UhXdij5eogsPUfcth3xiAuvbqCULIJrXdCJlJo0lm5uvoV5n vTI5Tf4E4A1NjPS5Xoi44uRt5ZvyrRwnTIs+MvwtXME5/CL0FkzdWZ0M8I3XFpZAY/4dRjCLuPWd UurxEWL0GXUz5+f5OVppaxQ5mEyeRaWkgtGvVGXZVR1g6rRu/8k7TLNBRSSdhgxuXhpXtbfA0Hja 2TIuFDJl033g4eE++Zmj+diYebo8unULd5I+n5NDC/kFs0OKTfSDaxR+NeZywTjRgaTf7kgXekpT Y9yUfY88nMv7pqDbQ9l4pHug6gC2ZKxeU2XUtpYlL1nV2+lJnxFEO4gbQrgqeKYvhIG3bSznsgQ6 Hknro06hknFMli2TBY5ER7k3urot+hEF9Gbg6x3cE/XZx0D4tI+SXpjfpSsszZjAJGcTG94UIOjJ emjc70SKMjJDBGiPA2CRADHs7SXMwtUcZ+uw8T7FhKF7xIa2of81BhieTfaH1zfR/Zm4jafp1G6+ pDUlzV2lBXj/0yOdOo+KwbEGUaA4WlfeSUfA7iQaQWVup+v3sFfEC89GVN/8RlIwOf+WVYaWYiHb fh9cdQWYIEr3OdfLNLZJEgOKoUZrd00VPJRm1QY3Uj+DegtPKy49EVM5qJbQN4yBWxpLlT33aneg 2qh3XfqRsBUOJ36rBjTplBpL0LUxWmyE+Zd7yg91x9DrsrWYf/SSHCC69p5N6t3eKvpDYUo4hK7n cFx/uOuaxnMqhaMx/+984mnToFI1x9bFaurn+hs67H+gH9zi7/EVi09SYbRckFTcigseRWwf2Q5b `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 768) `protect data_block Y/nF0tx4MOOQIXOFJWhvdG50cI98Vmz4xOHSqDuuU9FdV+AfBu5aelFCMjf8f6r9wHYyQBWiVaOk dCSvE5XJe6M7wthy1WW7CujaVYP0aKclB+aSevNHXwomM/whC36UzhmdPz850r1wiiZhtNwcvI8s o8keWuQ5zgKKj5/Z2z/lOpd5Qvfl28YFI6L3LyeFPpUuzD4K2ghPWEPXSjUNvXSHU/h7u5lWkUMV YlXF3gW7J2mRI9kPzG8NV4fsIY24Ene2TEnDiMtmuAqK1oVXtn+Vb9NSwhOw/pQBOYj35959eX2R GhmF1xHkLJktZEKWHBH2wLz+m8ALoPY7VmTroZedWvJ0V/xYteZDLv6EqSlweC2w7fbyTorR5zsb Lm0RRzOEA1kqGboUvehv8yiYdXJPF1nT3FkQY0qcBf0OMAnbnuAO2zSTrf0IxENZO2texUfxIh9W 4p04AsLsQz0hn5U+KefyJzbDTNqVB1aa76vH30yiY2ctS7Nm8l4ak705AJwcbC4m+WyuqrCQMGAO y54OVHptk9/6RZtEqFON/MMLaYQ3DRAqSqfa2YMWZAImoESHC6Xid+Su0jXekFHNKJtzRe7/lK1B Ae7KK9qWCpIq+HfTDMS0P9Akh18Rjvuc6Q0wpMLRKWvUqUR09SrI1HpvWGZ1SBxoPSzPTM2yelao f02cAVvpqcB078H982pZtBVonHeKUiLvWql7I26ij3CuxSBXcwoQ2OXRJ6+8kGlUuBDp/ckggYr3 fGe3HjYBW8ig1AOy6KwHFgdeN/yLFqa6O+BCaFshondMH4Fhj18uqGaP5uSzuKyWOGbY4yutkAN1 x0iaaLSSjwo0SclPZNqzq3D5wuCMf+mufdLDh1sCcPfyXHTTISWULOaSinKds7JAUvOxpCR4Yw1d 0VkpC7Pzq+aBCtzg2zCsoqODQs3ACde/0CNKNLUHg+QU+VEySlxFQejojVGteyNEfqzd3vjL65Hj fnE6xbYzgxi+s8MQ2H3INlHmlkjicH4Dg2tV `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 1056) `protect data_block oA68xomKT93oQsA/ZqnfHQBSdOuS2UQvTLQo/oAxUKPZek8buX7TvbfXKzW03QyYIfQhQteWDZ4V hyYFvuoph2iK1vsHf6JU5TVrhXk8ep/pFQc55vQNs3MkKZDhvErIehCd6tM/JU0VrLGbTikUt2cR cuVnaJMjzYn8qARAZN1a/cxXTZUVrlWUoRPmrB6lDMW6j45R+gBkmgF7AvLDa3aPwjleC+8S+I9a BlY5oConxn6H6TapCtx9k7Nu0GoVsbzy1oL47YqByrmT4HMN1V94sn2aCg04ujdKqmOylhOhtz4L uKdfX5Y/yiX6MNoO6Wr9qRRTZQnzNtUpN1P701MmMnDivUtNNCEkGu+5LboBz5AzyiNzfC8b6/j/ 0zn25Z+HF2tFukbiEhDUV3bG6xB/gbqmZutYZaGF8UnKK/3wGLgs51+A3Y/A6Z0Tp/Aju3ZYkBa0 ezXErKGMDnpNedpqpM+wQKqgojAUUZVFi41iGFAJK6Z7Rc5Fs+LyO980EFi5A8jkmHj7q+11bG58 WKvmoIVmrqVa09baiht71xpprp1K1ephkqA9xM3F6TiFznED5tjPa87WHQV7TbbZgXH8tiTPh+YW zDlPswfxz+FckZ7QMhTHzGv40NnYBUK3t0V7Y34JxKHND0TlsNKB9hh6qgw1NDp/Uo14wlYw57o1 /zEmctuRbLPFixQQ9S4H7nOSIqc+xzE6M7ka2HGZz80cRf0YPgivqeiH12zZqVOnAOa8gbfUEihH y55QGHG5NOyHYaqVEYTJo8FG1A9lLa5AVEpFL06YYMx2BSxZ9X2lQZsHBKRHidGnzyYeVyZjJtKm 3vkpOK/DBo0ykwUlTol34EQYcJ6mFQJjGC9rJg6CLSVpi92Gl+rfJcik128Ln+jCorhMf2nyf3BL fF0Qzp7Ca5Ep5X68bPRhUj+LNC7vi7gy7YGq1AuUm8Sof1PDgIKc1Iej/ZcHma6UqrFIlOwVpVQ7 6VNcQw/Fgk5My/VEeBB5/7xpln68dfSTLSK3ev0eRyDpCk1mSJF3KEs4cc4XwmwZaJLW+lE34H5l MaPYK7uX1k++em//KjAvP8b01o2TiQ3BBS2Dw94TgaxpUxPeL3ceZC/CynWdhJYbYZW3IvsukD2N WhHL9BVUhTY9cRm2cAUo3CHpg6p28FPV3CaLAUMuJiIslrrkx7l0eEGVH/l7IqPqjhXhFyDPd5HH nEqDo+LdZexgb/Oje71bVPNpFyAc3eGzr7yoPTJUdXik4+9KHZn2mvwOIb7Y65eA5/zS+IGDeTvB /dREyiNUZRnfxpBr+UWunboTuPcKjZ6oCRiWTeaC+QVUISol+dniZH2DKo3ZPRqlmjnmn5A/m3ah FOIdLA0iNKbWUABR7VmwF5wCaDWHRxzZSFQsKKWd `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 10112) `protect data_block bNDIZ/EV0H/v/B52bV0rlUrkH8sJ3o+xrafuQr7BBw0ecXBheam5sC4GJ1PrQhNv75O9897X49Ay 0puN31eS7UQcropGuKBf/h2mh8amvQFnoXMECCMZaL8LBlw/fGxZnAS1VMwwL5m0xGkfSi+ZOJGj Zvnj9Tco6O3mUzBvFDmhgexoICTDGXI+QvjA24EG5uR5myRCe3h8DdlW0abwIWk7GHJ4HeYc1Et8 1qyiixmj5x7PT4CubQ3iXcyty9OwZhFRX2sdV68I5PUE84hNyawSZtKOWEX6Z/8l9Z0fAIXNfYjX goz9JfMDMkGFyTl4T68AqZxiqztI15UWhouCLQZp+pEvxoC3n0yB7er2WogIePVx1tCH9NsM+Sh/ gpB7Pk7LkT1nJOg2PS55gb/bVIvloiPcHFrGuO6mwC4CBOj6q4mGEDrZ7iS0PK81wjNc1lIU6cpv ELj5EOjtlZ60gPbDIFP4pzqklK4pSKmW9PkL6Ri2eqtGejMJ90UwJ/F2sQgSgS+SLiN2y5KrRB30 pjrFDqFeete62KNmrNGowVHuTadneruUT7fWOqXETR3y3h97Tx58LP20Vjl4UAyOr1KB3Wo6cc+A 07CrYht2CkbR0LDyMpGOpYT3hi9yNyYCMGIVLn5Z9t6WK942IHlHwDNEqhJJH4Pm3vkNCsRk400W j1r+zOXavOQFqGJsXeHHRkvyqcqa8dY3wlZ0/0L0f+fSCM1+pPmGIaBOYdotmY4ROvD1NEbfnE7n hvi8oL7FI6+DcA0kqX4nfZSydbhJxR6VZNY+JtqCNI6BG6hWl1j+SpTtzjWN8w7wrsCanRb2CHyI n29w97tS0TGEcVHpPJtf2t6fTYLY2WotrO+5ps54XuusPYLnhPKuzT7sxnyW26jB5pnJSquk7AOq elpVCeAUv65tJBxTL13UoQCY7S3unTuGrMo7PbAXXjEPNbFU07S7qLN7r3WMsVQzOypDvMKfd3/r 1NzNTfqB4RjKUezmIl04Ua0b/472W8Gn7xgvQ8K5f9EhQLkFOkz3NsSqvZgcEexbWtujwtT8T/8L 4498X3pyNKxmxo1KbXQjJk182GYX83bACioiDjvGhounDQfMCnSQdtN7iX5I58hNOrGvyDhJKjkL tMjyNfoqkOlwmFX9ZqZF4Y3/HWeJm6kX19+FbKXZIHt50AyviKuXBsnNi6o+dYBrEXKM+ZAmOpre Ol1gvkRk0DOklSFKofULPTA5nTBjZf6WYe7DIqxRUo0ZGMw+rTrC6H6Ao8AGv/eoJP0Bhhx83VeW E3CW6JqOGGta5HtyxztVyt7IUe9sfkmQv+35Nn0p1u2ddEcdM06LWszW5bEBG1SG6me+h9Dwmz19 VfdguPlc92at6+O/HdgH0OWxC+h2XcQ2943BbmZIpdeUD2lgqr3DUdvgR5JetvRfBLrArYs6GMGu enAYa3Ib9ua+Vu/450JTi9kuRboNL2xCqxlcFGjvZwvDgkP+v9ym6esMpgiOHPhYwcoKTQdi35en KJr4YT9uFRNP3QPkF7m5qORm2oZzy+A/Qo1jeAOSvjC43GztLz9u7vWkGX3NnWk/KZCJiH8fbaiR +Ee+7OHhnPX6gF6PG7A9G164Vr6NosKVJJI1FXdTx/10CX7vGS8ww8PxNGiTi/OfhSkdLdn0LBxx HjNvcC5UWy7wos4aF8yfb0iaBuC3MylzHKIKp75YEICxTNaQMb6aCsaqxog1H6GT93hgGFKUIGH+ fTfg7vc5ZaSAgyCuQ0c07V3vl9W8uCj9/VKqpp7ZH/0xYx7rkZZcnCA+k5nHTx5vrFSHKafcrndK wohQ/IxRtW3mq7iwUj30fDEu9EDoGfQgATWHhmaCNOGzOiMbViP93HcDTkN4w2E8K584rZ+5oprk u9KLdRRNDgQZbtM2IJ0ZQ3b2RKeIIQbLsOg4k+VReEiVBObgHK9a/RFDdWx5KGQx/LIO5lDOGRQX 46PQM4UexTfEihw99Tr1P11vCHZaGYpJiSdLqca91XLwpEVMSw1W8JOc8GH/7zg/W6H7Gq6pbM0k hs4JrBxTKGLjrJs3gcXu6E8ZbrUf8KhOR6D6rgD/KF5ygMimLVPVA6ztAZqn5+oN6f9CqqQSqyfz +Cu2yNzSksOALHxLqWintBJ/Zp322JNQLnqw89Du6Jj1jg48KpQXVSJJAIuWNteYmZJXMUNGBgAz OWD6A9XADIcP2Vfqba7Mfym1sMvIyJkEj4MPYywne6y34bEoVjsSxUDmi36+BJJOUOukI6pWHjbp lQBS3hpxAnuMuP6hVognZfYC86GkS87fAktPWix6KQxgMCyGDmez7k1xagj6KLtiIrBCudnhqK+t XfBoNFWPoxKLjEawod+1kR1CgB2qI1Rd9qFjOVaIIY9l8bATGnhx0CRa+nggxk5Tw9+HFYldWtHM wOYisTjg7g2AVKpZjTyI3vCfYzfMJTXVV7JQRtjc2cPBldk+lUO+dc0OgWBXcMAQnX50FcwMKzL8 JOujSGWg1LWTuxkpk0mO63U4SxUn9ejtFJwdGZTPD/xUFCLZrSF5GY48wsIgpDKtC48cQ1imV7Ey qSy77IAUcR/89ubhIZJHpkDYlPn/nlNlAoBGuz+iAitH1dmaskf8FG8l74fGmYQTGTNJTLjyDJpz WPWwAX8aC56+vckBzQqsuWRWL0ZUKr/rpmhZkNlod3+HvFMdP+Szu++WFZWAeqNjj/KkOo67huNQ gRzk4G21bYldrKYBhyTo7rQeJUqZzShPFMLTuGStwYZda7WJSn7W3Bygf8ZMq2KoKknY5WdOIHDZ Lo+2KyiQHUa3ek1QJlClrHj3s32J8ZJZNPQVvoWaZZCn5ZkV4VtqPjYaBXHZ4hIIC/QpEukXPGb+ wSPh49X/YG3Yam17dMnNYZMZtCL0HNJd807PKlJIuA2jW3hAtYQga5vF6PncMDr8x/mkSe5xSAiX thDt/JQhQ0KBm0D3e5L3rrjEkIysN3NT1YybaxAjn3E/3gqw8y/Lm/qh/F2P1YXAaZIdzcF3SJlg bEGOk4DeR1HSKHHEEQoMnJBQ3zr0S0ozFOsp9gkUskWFqFnzwLtOJPJmCWmPiPVjU+H+9IJqQ+nw mU9Cn9f4XIyl8l7z1LBjIgpm1B9w2jdAqikLZPcdGe/MIIEuH/yrnWxUc5bGWCnSQv4kJAbFMvPx qPF+0FwllToYqC4hswa05cIsSQjacYFebMWRd3WpvGAIPhrVdjC6pY2n6phyDGZd7Oz6ya/j14CK 25OdaDVPEzmQpMdiNPvIZMwff20dlDL/meITnJBFe4a51BxELuzrove/+dKmp3LvEkYQx9qWg6rh OJDHvlHJzZYELgYu8GAuCMNCb3Qr3i3dbH14sHp3PBaHWuP3n4udPVS3YOxilycLuHQOzhOp953B XN2oeMzb9I+SzaRiwpAjfyFGvjUuFbgZwfdB6m4082ZMy8n5nq9RLMfFnaOV5aosV0YMhsWRM8/G dBdcoqldZcJeHe5Hd0Nt7bmlHUEWDxMVsB875nCU/bm1cPfSW1KCKkOFbXl4CdHaKpZzBoogdUkV yFdauihY6JYeHuaZTL2CKHpLz5UDo9IHxbVkMtNc0H+StXy7gGZrLxItjJLE76/wIoszHH2WBpn3 Jylo0VlH65TbqHYmSyl3DFOkI4XYdQ2h2x5Sxqi/tSDULLvcRdOqRxMhtTMJVAViIIo9L6DczJXr nRjSwuRUKm0HlosjgJBsIERQV2ISKe5e7/HOcYrKeel2FiV7FQNXrtN++rt/stc/uiaRoFFtUqW7 G2wDJDIsHr2+sUeRlGMNurQWJCtL4s+oVuDAD8XpQz/LiyCs74J6Ej6AO4HBKeD3y1G+P7ejW+Xa AUH6Hhv6BVy2biZD6a9fdoMG+/gtG2cplPqJLlIvmseen8/R8VGpyPrG5fQ16XUmK+YtA7ZkkZ/f 5+tzC2ea89Ofl7aSyG07kj5iUOq6qrIr7fKrhcjsM5cBNgbk++FvVc8eGnev8ZqrCg6gxVD6Dvj8 oVgAMXy/4uAXBBXNdzUvopExGEgXlT1dVZSm1ekErs/0a+cEbQs3N3MxOizI+ZSfDeBlUQQOG8j+ qQCg+GwMVPAg3aJmTpEZE6zd2Jaiin77nEyvlMIS2E68P/Hp25UkYIOKJ05IXgntZEpmU/Zfa2p0 ySlvXkZ4tCTc4w9tz8gBJt6EVXcuXIcAzlMAg9+hJhk1hLNDa9N9tphlFk+YBXBswFn4NjH2GCYf AXGF7tuqOQYeV6tLm2vwok4CzqfJXXLfsgqsOg1V4LOYk5lrN/PHr6QlRd55h0xFY4FBXYFaMxjq 7w8y3wSER4xHmWZLfcXryeEKd4l/rz62KrAQUODVj9k2tuQrK9EaO2EfpKBtMSUYK/cJWVpoRggL LqCwfpqei7IR3dDwTroETLh79Wg5pdsWXhLf3zd1z15MMe/lnt4aCImR+ZD6yNDh31uVEW56z5nD RpYGEJvv+vTmpuZwJ4rxuUX1ahbE+m4EWfswoT76omGy+OpgVzJi0rBdc1hYOuDuX9XoYfBfGrbI +AVZz5p+J6MlLehKAsE5NiAYmiaW+RsQ4Kfsri6KtUZW3YJyGv4Oe9cv6ZGfF0iPvw8J1awvCBHe SpMTwPwrLALUp9+nlOIoOQGIHfFHxB50DUXLIp+dftUgMJEyOiEfC/Hwd/fjchqzMMhhoc89CG2g L9zT4NKcCc14N/la9q9vAk9YADetu9wL2fzgEsmMdXCCVN0wzba5ZNXLNcfPD8SKtgoiI/5lnPIt jkXi/y/+SEKet6xM4kbKxLfqnel2rE+mWkS0b2mJ7+SGz4+O23Dwpr9sJLykkPiG1ymUopOkWCX+ rSKL0GLy+iBLF1dTzhhXPXoF8rJWU8Kh0AagwBRLF/fEeFLQ+TOkDS78UD1QjDok1Pu5mZBn0D93 Y2htvOgxlastxgOrIEJ/nbR8JyD/tYy1WtAcB6mvCsiw3PelLp2v6fuaPGRfc6ImCet8JUbOShWe 1MAuHFd6LZtUdJ4905IL9Kjk7KbQmRwYKcoEliMTfKVDVT1/IiWNCpt+d6ZKtbMgy67e3VcAfxQz ENmbxS0zqIrShmLlG/4qdG+FwNml4lBedcPbgmcj8dzc4lTxvBJtZurP5j+7ZJ0SqOEtiTelWTL2 XAcaE2ZzjljgJwz3Y/SdNiFifmpGqlxQRdwwS0YXNh7+jhs02z1ZQ6i+rXkDdX6IyLAXU0pHgcdn gOytSYzCxsw+q8gQ8sM10Pk6M3xvSF5N8++Q439FgBLh4FcUek7A33MK3a+W+ELXpgSQAAvbpKyW Ns5sm+FNAXxQi5JgEkptVfXeZpy/rwdCuItLyd33tUPu+OyEya9qI+kb7fMlssFJx6fGkyywfKVM LeR0bK0Pn+beaQUcQNRDWnNPq+EGcqfGusSANWG+jsa9tWK2EeRDaujmXdUMN3c/8Fk0vRI4lI00 GJSe79vBBZ7UgL0/HFxafOJWZKIDJfTFk/woMdWaW/f6caa/wpaP1BIq31d+uc7uCXpETGUxlyjU Fn0RA9dFfkfSr/Ex4BE06SKtB9maOh2D/9xrcaDcA/Ned9QE7GdRvYuk+Y23u04iS52NzyUG7MQU yeO5rfdUuEIfUAYnm/4lSJuf2ZJp9XWvvcUdDaix8ehyuz3fm0yXHcQrR8OxiRPbnnM/C2CH+IBS YJnL/1lx9RKbfJfLHA/hFKASv1i7LeX03Bfu/YFR1R4zZPmGkANHMAJ5zyIYm9kwI6uRDNcfKJ3v q7XodIL4rcsv4q6fFkznldnKuJ8/4fCo9/Xd0x0gdZownHoMgEbpCzwvgzjKwKBMaLvCrrXuErU0 I/cvx/sB4FuA47K5L+QBLrbKfO8wrrZAyTmdVwCfaPdLWrFTi5FOHtf+oMDe8ewwbkmp6NHYGtkn yO9PQ84H4dNzecaMx4v0XFSNmjpRnDsu1NklaY1+wbYZHx2jbUdpSNp/UYgIH3Xf8cYFGT5Rp92P tqHa0l/wwjcu8NUM+OBcTMCG/qNR5Ea+RgRnkiJnOrUIG5S7eSdx3bqEdFUEVeDJ5qJ5YiRF20YX oiXKS4UK3ID8Ysrk4BIjGh181OYcH/H6udGIN/GQC919+6jlMrHNIviq9C6f7CjhweOMZ49Td8V4 cV0KN7iKUMM6glaWEE/Zyp7bLrEaTcIdgRhCsoOf5Tfdj/HtD1S/FiAbavChz6iBGL5g8mjv5igh AQKYPbGF/09qd9kyuP3/W76wfvpnzxXQLSSYLTqCN5zJ0sdA33/vXjeu+QsxPvMrxLQgqfhObGsU vjp3CpC6CAbDnRd3JRhBqMYy31YGJM+u2n7rvXzqdS6xGs6GWAjbsfvMX5q/hmpNm6B6/PkI47Mv bTWO0h8omQUbQewYMGv81wbFFSWLzMKWPV84ym7apxguUhlWw3ZWi8oN8uF2yQAInIu3CeMFb6fG /WXdKJ/HVYVcKjfM+EZQxyYIalxURKsPqfB0qQsP9PzyT6p2TRNATloxx0Zm2DFy9NpHuDJ6WjkP oMJFp+ftVl5wv7CA/IsLod80AfrvbgAhaqW//BN9z1hMI7c7jLySaRpIGqLqUEQuZdVO5Tzki5YI Niu9AcGZdZ1QbwRnhiyVAKirUhUbymNzfkM2VDDd52YPYXqKS/RCuc/lbx/scfb56kazGG5ZIwXL 7WZieM6fdtqL+whggs52Jn9GnxVyB/2sYxThV1eWKjuqfOzfPnttx0iChirUVT2POEAUJCCQck7/ K7hb1H6tfouncN3zcDs17q7a5ObCVjPsX/gpmkWgq5eTyxPwZkLgLJkMpbhJU8Thv0+ac68vplNn CGQxxPkkSL192UAAccv0AhcxMAGhidTFxAAGURVRlIr+g3CsJmYfYJhcAWRvFShnKLGl7fVqhNIZ N6WxZwjvCRZ9G+PDimGyFpx+ggCIFGWvw53fvXSYeX5GjASrjX3jJzNwlq4HjnAHBbS8ToZoZYat txEXtjTCZ34BVlxBgtEsI8Q52YajqpGxdsvuc1A2PQE8hX+7JdD0gg4sdhsBCGiHuX4To+eDNjgj ulvVwUehyaCcol6KfdtJFLnjHcC6mTBNfbTQFnodxNt3rQjIjXrEOYFv4myd/G+adf4QW1BTtKKn Es6tbobozqyHn5mIEs+RVPxAJVzTStiR6T+yCK+K18P2aBD+TonrQvBEqt/GNOihjmJqervbYa9G 2qtT6zQu8nNtTHPGUbVV18JVlhuadIKLsz3JBPKOuyyNGMBO0jAOtL/nanIEG0y2VJuNYv18NYpB cZc8HbMPWEkqX6UP7HGlS/M9uALAjB5lFZ/EQGzi0pvMoR/NXBB7ING6yQfnR8pEgxrGKYhx+z/I NPK9oDOasKcRI6NPtGkWxw5/ZAZILm1tVEcb1iZh3JeBMxSZvPgvQtFUau+yACqp+HFpDJEWnC6d AyIYk7zo4jgubLArHAe3kEkCivFIUGZktLtJnUbWW5a0lQe5WoI31+joWbgrHFW6a4f0JY4qrLKU CsSHz7vWG+pWEeSW4HQdJbT+w0gLq7aBqT7ZF9UAeP811NC3sfSWyKtOEQbaMlr4ztqAymm3i4fX +7Kf53NrX7Fn4zKnBrMRx0EwrBVg0wb7BwVFur9XR/lJ7+b/+2xUIuaQ19kbgFw9pyLYn9ResKoP a3HbqaPxv8sx0VxWqTyc5L6ahg6dtOIZLtgozgJJrbwlUqtmNC5WHa8Bqp0PR9qyIPwmX8Ich2H3 OvDCoHgSjHogS9B5MqNjfV1HE5b9IlDVUNoE/cgYkkrFPp2ntMtPfaKqGouC/bH0e7mN+G4iZ+62 hYV2SR+r42aEZfdUpd+d0OqU/DhggdAa69TxJHdaBJ+q2BP2a+NCtPnKMoERaUJJQSu5SPf3eCLe jtgN+vSzQN9H9CWJfRcgWZpa29zJkAGqWq/KGKfJGV1bNJdjyOkPIFH3Rynp1yCbGGXB0BVUjLtx BV6giCSVGclSblWVosRS5hPJ9MvZe4/7+mxQ60+daOV5B6lt+HNruHROXFeijwd6xEQ94P8O+/8F B7AcGcaN3CI4xqglN7gSxEAqGpb6/yr2mvmmVp3+Or9rlcepG9DnWRlK06VmaTkISvOhxUhL0osM TcgNSGJAf7YKK+JIbKrQ/z2XEuXnF+6vKdbxrtPsFU7UNDjWTOxbthfbVUvxrTf+5TKyBhNSCKWs RjtPh+JvvJ/n1c/6NEkd10nJppPCJxrixOevvjUGCiAhSSBPIC8cMjaDQn8cL+rp/pU8afmjMFkc GdkXqy1pHwfIRvqiyTh0SS97E2IYjEAMFmwHAtERcM4NEjS1E1zgF0k4sQrzjV0G8GXIV0XE3OaX lfPHyypBSDbX9NHqaP2HC/IpqfOhqPErnsL1ozdmCG09zDUkSiuzCVM0ABMA7ogid03IEkF0jpve 2hvN6TaEUZOtPWOb4Vxhx6veXnsznog1lEXGZ7EOYOsdTcXBV/LerDj5Gq4h8+gqLVxj054cB4On 3kTiDyHAaGx4K9bOYLZ/h3sxrTmHuVlcKzDieidxZUto9THqOQbJ8yT77bQatrUQzTEAgvkIU2wu VHNEMeg1x3HwlwmSPb4ottstBh7HVzq7pxWmXHI3LgVjQEnYPz0V98J3FPLzkqvV8wUAKx/A39jp hWZstMeg2C6P8IePZaYh/fBsPlA6lulrh/yH3UqJsThXATAimJWOzVSj5N8Fz0FuVYmpmHcZLkFV kp4G7ZGaWvmPAGKafHn41BkwsUdxSHxUYNJ9SNBKHQXemdq0KmfAhWSV9GPzDGbfETliaam3d2wI 8CM7pTuisxbIkNokhHTLewO4oSNBXwZjdFvi89eJcmmGdaU3kEi4bgPjcXk7LMzCt9qRo8bjcEpx /sFvTne5FU25qCsXyRXO7ZETmjmz/QD0vjF2cc8ukgxATfjif1hGoHWXRu3wJS/V9hjQZvRzL8nJ ehycAa4HFd9JErnkWD2d6MMe/mljCzcIsxS6sONAe+zqwUw1KPn1yJij9u8GxgLteA+LEh+q+bHR omXDHkbjkX8gTt2Y/lBMYUb4QjQl0Ya7Jf86kknCgbj9ZuY25DPLpqKtHUKDqCMVwFyO/nhaLtUi 6CySyRCWd3MrAjsr0aDvpRPr+YbAad/xm+BYf/7eXNOx+iMcpWyKLpsmOOQ2VQEp1LE3yZoBUAeP kd8xvYLIRBIFVJfUCLmTCvFPeVpqoZfFxltNqAAI+6+dsmaVBzTNJ2g6eWCzABu/AklZace/pW6K csh0wr7Th9+soT9OmqzaUPjprgV8r+89Mq3gMs943cOSrv1tSpFayRSoUewM/IGqtu2QJT3SAPm4 cpUT3HpMl8kcf88wU4f5WbTzjgWAELBRTv3cXP/ASATv7cRGpOt/Y3IPLCWAmwK3MKxjnI2IsPCG BlqxJpB01DS4gU4VCCR0s5wDcmHTmcb9JhLfDi2wwrCKqgHBhUwWJ8hRWMGu0VO3hk5rGvogGph4 7lMwFmGCL8405PtupQVhCW8gj08mgxNxrzjZg4Uyl4AN78ZzYDvTnMuqDCV836cHlM5/7cm2ts93 3viE91dcrZyM4w7RgN2IWBF0CGSOr8yiMqTzLTGbTl3vwY2PP6L1Nn6WSGRNGjpEmR90tDDAr4AC RfmSNOsKqyMRTkqgBZnsxX9zWxYEDkxbTTXcYSI533eAl11D/VhKvuEYzIRC/RIeqjm///jTTQKq 5IJaSs/YlQ+nw1yEmfQt9MtHWdBJ1eoJb85e8aUeX//rpCyAmJHO3qlpOKu5X8KO2lCPLusv6a5q WtIosn0mVyOo9b/k8VKnom4MMinkX/38kVtYDERmmH0fYeZ0r4guS7P9an8rTCOccSN85GoHEbuC 8siC7QWUzNF9L401wPDvZSmhb9+omncWTTzY37g9Al1HMiqoh/0EAxDrCbIDFsBTOXIOYG7J2YO3 hGgbA2b0GNHxXym0NOBPReJGFdfEMg22m/Vp264CrvA936dHKk3ubXdWjVonp0aQ1E+CwGEh5I2t MMKN4Lk50uJAPiSd9Iy9SDzQQED2TDqHEZ4vtLzBFjOVx9EAcR0xGcNvscXuJoP3BDelOgTbK4B/ IxUAP0ydkjVo5t9YKu0QNSVpCHyX2lN1JtkDKvfKr5eom7gmzIlBEFsuQ+L+jOEwSdP9r2lZYUGW 4ZT1XHzXQ4DCfCgrSZxkDstggFoEb8gTdouQdcOF//QION5gYP/a8gUSuKQtsoFbb2XR3Q30s2Il J7Xcmzu0kGWsVN9bdr5PLJ3Ga3lMCRjWAarfqvOTC+L/T7wvaYGjLUXennGRBxYmAvyP67z29x+S 3e3fJDIBifhylpN1DaW7YyompfojUCzpH7gL9J3mXHCmvp4oT21ohmhlYglvfunkyoVGbOJv/WIA EmrWuJLkclFud/nKvi7Y9WHexfNmHsgiSgjfrDzCqODLYykqqF7P8QzlvzWgqEGmQOfvwEF9E8t5 mprallQjqIpVpnWg2ygTEtFKj5tBIptskquQlvVL8Nm59EsL7T+WtS6T2CD73wy6DesXGal2vPk8 BItQtKFdokMs5PedGJo7RUUIZNZ+2N3ug5hPO2Jos3HzNyscYRNbOzrEm8I3KvDdSuHtYFC++b44 OvhZvgT1DATrCzWBPQRgosSnXyiH125NrJZohzvzg6FmXALiUYTHacsvPDlT7FvFdgZGeeGfZWtl BzFR76pmvrul7xS7/Fc4aHnIq+uZvCrtrUdUVF7BiFJphs1lKSoKvmklOQFBD0mNzpdaH8KMhXGF BuG4wG1smoIKuNwWezKYiYIuP5vA7yYRg47uuBx+JMvd25jFZiRfAIxLoPnuy5KkFhFJBfeHB70O /W/QOJGW6jwT7vnXsfwHs/oWDp55L0yNBhKbmkd8m349GogkFr5IfSpIxVadDaO/q9KqqkWvwVC2 NAjdEx0oDUJx2qB/6tHYl+CRc4en6QBklIA05Mq7ykCZ2bDRnDDQUWpNp6D2xuM60RA2LraKmq5F f6fpo4TkMyW5WnbNd3YSmwOhISUCRhxwVXjQiEI2gSOIXukXxtLAMhaXQ8kGkBc+rCo/fv+Qs/+4 CG4sOeKXWPIns04BJgXkU7ACWBsFIETj/mrY1K0f/pMrahYNzlAxkOtayu1/FLz+/N4TNiTwmxqu FRIefkqLwMP9k255Mf6e7KJLniMsN8+qJtE+j+6gKgUdr0leChsUMl7XpO1dEHcls2gbwu5Qx1V+ YjcrkkSitWTP2+krBb9KqEc+niPVkxgZ5HMJHlEoyhulm8milZsMlri0Fsm7IlOIygkC/UbAnjf2 mFtiChx3BrBekg5l75tyIONw3uq6q1sItVCGJZRLYnP47/Y0jF9qs+mC2SAcUCWWG6ICA8ebv8V6 gnlDYqghROCrJTLI17X9LPpI9w6cshas/m4W+r5U92kdIg8W+gfJXULAur0C+giYHkBqK7wQ0uwQ eK0QRPWcG9MJ5BQymcapz4L2xijbGAHZ6JR4wSU3CztnK0aukB4I0E+pqePjGc4SW7VAqmspawcJ 7BlKzb0s7Jx6ukEEr8/l5AaLkeYsgmhB1V0ii9qN2SHVDbu2pih6jECmuhiqNwXTJtMTghNqLVeD zQZo4SE/0NOZvX35ldYYzvuex0W3hzcEBurNbkGdxInndZJLVMjQyZJSH/wrC92U4t/iEKYVM+/K wMQhEQfyilneyAWGRO6qlTbzwszhwnRTQcPiuQ3shU6Zuju8j4HY1pCw/fW1mtfDqYCjYPkGlQmJ We6LGkZO2z9oE/ZdYPe+bkibrmv7gBmDRI+r8P4ZsZDnrQoq2hFsdp1guaDDyvxqycp+BeQ0uZgu H2cAIDS42nF4Nw/aDC5RHW1Li2Mg3tuMftJblMW9HOZ1WxyyQwZg3kd7PgGphbd4MMsBmrQhMLK6 t+QE0M9/45Hg2YohI37Xg7sNvkgAxYstlL0GBKoJv3dHxiqahCTUBBZFJkBBokSgRgUhcZ813dwU 7yXCMwdfAqKLGVb9rowmRm0S/GSglw6Rh33kuQ1fFwdP11uan9b7GcLhriWsrzWhq4j8Ylvv+4tQ x2lIbcBzsAxTK17+UD1JTXKC/V8yGt9zjh12bmZAt5QGiQr3q+xHoKHK8VZbxti/toQLBDDbBv+K FjwZs8As4guJO2Jmxf3vzhnZt+dZcm0U6sRYOPWMiOTRxIC/ij0h+Dl7v9+FhPKhj7hHWRx8cj58 DPzsFRUQK7i8EBw/xcj0avCEfMmhTVztBJS8YorJY/yFIcEIfZw70XLu2JCceCgSprKYUv86SeQi bQ6L7UJQIvimgJFDFbnr9c8CtyorlUyrOssFoT9Sk+ifZ1tJpv2QDFkY4FgUIGrY6zmCjP9ZK3jQ dNk9MCI2h1PNIdk006A70PgDwef9pNM+TU4djMz0dQJXAxCob2KrlnDkMj8b3NVv7OSpZt/ppp5D HeehBcCmrNBLPDCE+OtcRVCJBd2uyjdlO8HYnn870ptyTt5ygN8dNwI/mspody+ckhUM3PIKKTSq Rp4XmSrJ0cSnAZPe7MQwRNmRD9iHQ/5X/Q2cUwx3+RLzN0vNOU5ZAASA0+LU0gg/mhfAk8fDGFzq 7saRPJU4v8eN8vFw6YIrVnt67smxYi43Zm7JqTek7THVFEfllE64L3Iime2y8/RxHH1WGo6vGXW/ VidUdZXyzCm/v66ME15OIaC1ezgpUdyASdQQJF97PWr7KT/vgh3s8zEJXc0UdZr6/szvJLVsjUuA qRiU+fXtRmiB/xWYUm36p1bsgwEY+NIsvzyINs9fAnTklfRLaxN8BkOyNs90s2QL8XxsXvJcZkAQ oJqlcD00F2VaYZW1Vj+JLHagyceGR8oB9YdabhBNAZKsyHqIk0J82is5H0A+CeLYPj2UN+lzM0yW pn9dhVvASQUYgwWZuD5lKloN3ijIZYBWwNMp6UTBkmIC/evfMNMhlMLU5u3HzxgfpdQC8DDFfMjV P4G1RJFORkfcpsiFrzwzFhVY+LfPeDywDCq/mEuL4K+XIdiqsIr5662tEBrqmDGAHRjL5nVYIkb8 QWLVByfrJJ0FQ1aAiq8czARj5BuiNCzwlIIzQCX9ILxRoPYs2y4e+zzMmF9azRLh9FZc52GvVAfd E0p3OPlCOyErJIpj03pvy6hf16Hyo41bY72XbcJnVAwVBxULqV1/rdt9YKjJ2MTR+iN17WNpsQ6V hGRB/KoTnowoeoYFCYdnD3E44z2SrFZY8SRMTtQPukj2W2E/pNXEHFXD9Ne5wd1CiR2Gq8B7SMC1 UnZKzVhDton2AVDe59+YXc0LlZUEUIpY2bDkVxo/r7rhyWiBb5NcvbDpSzCLgkkWou1WlM9i6pu4 7S5PjavECV5T8iTwcrjJDYItfqacrAK5Qrb/PDcgjvVnkVWVWPCTaxmEmI/a272qF0ugVmh0KXdA /ZrFXqM7Cwd5WUUbiOfftwIKWMm8/zk= `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 90256) `protect data_block +xkx5lYnmI68Jhaj264loEeW+SuaCn9dHv89o+F7DOiTneIxSl+6ZdQWrDClv6RgBqnSzp451IXV /+4F5M5MV12cVhiSgl81V6IiS9SzpqRxWXDJikPgJZMiRqPmEbc5fzp4XGsWmn+vA1u1iSyaG5yY kPMrP24HLi6XTTcpU5mecvBEEvf9uH5dxzEhmM64+RJ2NdiUeb7BlYig2bv5MjTrJCgclDxTMvvI IFFVe3PoQ2z62Zq3gp0e993+RcsrVi6bHlxCnOF4pQoQth5vrwKpzAfvQeCCQwrp42Zo0e7Nth3g cexqofmHkPtT//DZZQdoxdvKKnxkzCeCMCXzH3JDGTx9MLUJMo1L48sCuqxgGlm29WHnQmAzFtO2 HMgYAzkVYZcZk59amcVf2cwzQFuJXqWfLwsgoWs+oEb482bHfABluGxxTLtFQ16VYCOUwiK4N07S nQWisRQ4PII362bmu/dvrpcPAshkINbSMquSoZ+vkiwxAFzaKnHSawjIKBFohdKy7+MTS2EXUfuU y+QRpy9PLI+12kH9yHSkavY7v9KSwuftlgQiZU/7jHadam9kYZmXXwt+scM/BFPedlNtFZEt9ZpC 6fYM4bxNHTRxyi1+Xs02AkV4WYmYfZqJEaX1vWn9ha3nfv3k9704PnBxRUXiwuuXqn+wkqjBLPrQ 4/EdQsP1yS4pC6RNBR5T3OqbQw8d+EdC7nMgfGfz1Os+6zOD3UwKVgnYjdxFtcHVaBXWp23D60wt I+1+o2GYPS0VQGxWYSQ2gtPPk0x6tE/M+DMPTKProKpTHHEZbLmYO3aRq+7noHHEfO7W2J20D2Uk D1wL2GVt1jrNYewzPb9Hw389wboZMwrpN6qVsJxGrJ0zYFXW/PQr5ZrASKQZnNiHlgcd3xh/S9PQ PxdrBJpUk7xZzBg/MheqyHqH1iF3vNIDPJTFSDLezHGYyUMecmgMOxWF1cooPqB60Z403C+xnPyz GGApFJgHWOxa21QxmW5sQI6nFmRkA8DxGQddFARDeDNOcDpK5JfEXQHcx4QIR95nUXthisV62IHW ThO7PUaF3F6K8fiX6STDyadqYtF9fVR1RfTua5hc5bwuxPCnLi/XbmkDf8dvZPi/4dmZcbE656sC 4HisoHEF6m8FPX0jrovns/T/3+3/jjW694faiDGs+OABzB6rGZJZZ/sGZB1wzK0ALMo6im/LAOL/ rJndVxsV2+7IYj6LHYABOGkNgCtzMrVYc1ouqJ6goC3H0u/5KuNbmXNhuPTrzXh9UXA+EvKgmCL3 BasfoMJEzDH03oed3lD/DGqn3uafz8WCH/GqZ8LF45D0RG2WjUGn1RaRzzXcsKht/9b1N5KsNDtH UGtn0l0YjQVon7KamH8Xhq6VKDMsvTT7PrYXfzJ3eSB6RQkWb+4nxyP1ufdtzBWiCY5pcJkX0JY5 fWpxcL6LxXpn80MaYb60c4bPCx1X7CTuUlSe5Gb50gf5ZeHI+gEoaRs6AHkXs6qri1Qx8S9B9i4X 5XI7mnZKt+t6rbD6zX/mGc0Jo3Ljmg/n4QLT1nLLQRlWPzYS8jGjaTwBAEzjjGmVdL0a8s2ecfp+ XaQa1KQE3nYVSnCXZV3PRkWQARIizbwexpH6EWQNWagVZBtyzsMntSsSldB9XqL6f2B9MtC0k0yQ YxJC/1W2fR9TXfdMbYSvoJP9rJvriDv+GxI7i5AijlTZnFrJntzrpWVOZGD77QroZgtjULdf6azw ZKXVF5QTIJRoKWE+PyIkUnR/zVBPpRvkcWZ4+85HL6v5DZ//ZUMIb21XLL+SHzVpKVD6MlG4Of/h pKV3oY6wXiML3Id3M41FLrNh0F8ETUMyNifCD4icgW//rMKvDvA5FjeH0Mv82L/eZ4nMDlmrokn1 3A6vsxpAyStfe6caflc9Qcc1Pz9svnJVi6WqJmHLFedrYORa1YzlN/FcSt3SAxNPF2Bm/cF467rf 3CE0RJGDotfdRe8nfLLewzTO1yhntzI94H+orrGagHl+sFml0c6oK2qS6s5Mknwsue4OF7uEVVat Wq1j/bdOM8hMdI0fFHSm19lN6I8KOBOwoOFw0cfIkN1l9opsIKv6jJYvzZKeMu/6uiA8MZbOU9xZ 0dNgwi/uMcGprrmVW6KjRda3ySdKiAeZTIPcYBGWpOqsPtS/T95zXqbOd9rlR1HItz8Xft0s69+7 y3bDjArUw2hlT9k0Kx9gOsGUxpDJchkhJkVIWg90ThOyau09GnOiOOH7oHGsN8pafucMYB2wLA7c aBwjRRrpfLnB5PjHTQxUL5WPOIlCk/R4KS4RZ0PCw/4ngasF5k5ks1RcwfU3P8phJDvCXVnLsAEq lbkZbNXERkXzvLzF0y1qdxt2JErtz2/DCZY55K8TX8sWBcrdD6euFdi/VkqwW0qzESybGLDpExMk ESjLhaxWFwV1gh0rZaAnGkjs8TkjK7gZ832O0EyKRgZD8NenXv5L84CAkQ0m4lqFlgJVOPQvr2T5 56/39y1CdOOebx+ynABRrY5XnOJipdU+VNvSEs9yVqlQbRZQIcRTBqYs+JVYxQyAuR1ebdmOaNIw a1/Av8IpsAZAd8Dh3DIGZnTeid7aIaTrp9Duy8rQeo9bBBu4H8IxkikxsqDyM87E9QmLSO242gHo cWtq/5ZRcnIlndOCjtzUZ7dqqxZZFY28dEACEOC7W6LaH4b8MSGBlwqLWK30FAcgmJqhzQAVpmLO OXMIucwlaR5VFwuCclNhd8bJCDGS3oZNAoR/N89H9kn8i9XGt/huXUhq4pYp4O3tWgd30GzdX0Cr zN958orVghV4aohPziuU3X7gHqnOq0MEJ0I9A6QCBqyMQApvcV3nF5IRkSwJVbu9YoVh/0rWKKh8 bs41SAZeWOnHiYoyIeUoJXhrMOgGtdk1lLrgr4ponmbI9MGGWP7TwUcCBREYwrNz7j0tpJj50d7K DzvTg4lFZaj+7QQWtPcqme5C2HsO7cfTwuRzGxuFPx6S+srhDAYRMc8gDN9w4OQqMzLnq95m0H3x QWiUq/JqRwEEBktJ4gw5be5tN2kqmvOoppp/zi9ebPy4iEttlIg16iCWX8gx1atE0h3aioTLLlyB lLgdT4M33OcmDI8klSDqJDAAfmG3H7KQxVd+wXhN96a3YXA2kml1+t1RRJKjqqY39oz3AT02G8Pl hircSUuP/OYcU0WBmP6Y8dyGPTHqDh5STbxF2eCShN376PNKVXXNiC/vsGW875sHtIxd7awNzKiE Osooj0G9P+XNxqNDEO0TsQDNZDFOhv4r3unUcMS/82nDM7sz+ieaQCMG9BNgP6xHUWfuv/nKJrz6 /JO+ihgcWApshYkP0pNlEgafcxqJKv/d2qpN8xMeLRUvZM3meqIu9ndXe8/L4kmDArvGlOJsDHAs 6JK0hfezfi9w6AacJHL4Fsum+fBp4u/hdgKhY1NVYpgAAgkRAh1maXcwHy0rvTrGOyJRgCx1vXLq r3kS2XibLRqFJMu0G09ey2eTYowosov1BGUUL1s4mO0s+OJNJaeXC/wQ2OyEZSnWp3ajX3rs+iH/ zfAipGyzFQixLU+g20Z9FJc2t7MHnIZBoSmI98icwp4S71y4T2Iv49tG9pBZXmWUnWHNv79FYT5d 4c1NV7dcR96goHtHGCVb9OUPtOuceVXTyBV3b3QiU4QJrAB6gaTcK9UzSQFpZvHPhik8j6mc7aSf nab6961DMvtJVrT7c/8+KLAli+yv1GGlR+MXVf7Ae/ec7ZIjDA4DS0GSaFFPJH6jVDo/pZ/W4NeE AlWUahqmHTygAowgBcYgpFLgBTQcB9jyb+150Ad+l6Ewak/39S/IvpckhopzSq52A8lgkVmt/5jE l1jocQvLSUDN+ONViygaPeFSdrx2Xig+SOX/ub/R6+uxB8TZ0LX68CXxazSvI3rPjBRqE3tWBi5j mOHWxlblqVfJlpBQvWhrgwmF4LOkE6LGUql6e9aa5px0zBt4ErqpnONVViV4QraxTcsqBYNr9sH3 eUlsEIAr0l263EQyn/HTuBitodO6tM5qQ5ItuDa+XwnPyFiaWn89+lC/Ai0QlJLmcV6ZWnXTqAGC R6rR2oS5hBZz6yRZUshXZtosq2xq/tawNIV4WTAIhfpq2wkXc2bUDiEx18txatyblRkbuJzE2qAF MC1nCxxN1ZTZE8nAlQBUPM/Ez7KC+K/dFAtbEnTlWDWnXPJGxqX6u/uGLQ8Ksr8ToYxHUVeRJdn+ +OIkW4IrnK97atR8SdbEdc0uDOigGjUR2EldfpcWqp0bvEv4wflz/JIDWBeEDP3cSnWM3e2KF2sj a7MX/VsHiE7QJvBh9XyUsIhlKuKsXh8KTk18r4YOl5D8CZ4k5QoiZ067i0tkq6sRKxDppusxy9fg MPeA/PFNFNhe2tMrcJxnHVLvXSXBA19+np+xKx4WaAU9tt1bMcDAPefgVX5Pu4D5zn26gA0k2FRQ /CKuYCRAO82b4n6horJd7jpaOzEG5xl6XPEOudFzIftaRLrSugwOkubBc+73A5ATIKN8EOpwTBds oMAo+FNeJYnmiQLj7cIH7RsYg+ZRgW6EAFqlJ9FxyXG5lHfxfObjHsi1XrKYcZJjxoGl3183AUNU XsF9LWAnwS/U5EwRw3SirBY0TrnDa5absiQYdEXW6Tk5hw5Rqn8odDcnHt4bYHUD+qsqhnPY7b3j ZtnHUCFunGWKx4KAdgGb9Ej9ewrmXkQs/HJaLl8g3XYXDylEUMXVuiQTGcpV502PfoJTwNMG8GSM +z/btmfG7VrDh+FMKhZDZr9oHXsrzl/AcgSm3w1gRqcLKJnXkKHbY3Smh8lK+RaiD9kK/s+BgJip fRXn4ZRZhGaBVW4qDQYbi7lbN01ynr/0Qz54rVp2EanNGDeiK4xJP3X18pJ0FrgJrRRGXTjY8RRS RtB1UP9JDMd5Q8ZNacUJXRXxm9cSkdbVlWCqcSub750Cy1m4syEPE7vu/lbniFZPvnt5Dulhp18q WIjd4LfnK21Tu2peSxWT0RVyC/2wV3VjpvHfB0jdEytdW95myctBJkDTSbP7onFXGPVC4yHHFZcV xEkiGI+W8TanuoNBcVhuHZhkC6OzbTvp9XIwZkoeFyqOhA7/S0SKQPBxBR6TMZN96+rzNTd16/wX 5aH76JsTdzg0d0JsYXhV3NuTALbR50DZoFZChvwOY8Fv2MRn8uMG7cdhnEbuQyanXf4djYA5GTiU TcFRdzkFIl2+w2ataNk3ab7oqrhC/Y7E7/ShWrfqGgYKDK6z7koAOz/oWdzmde4ZvhPJtu9Pl9BA nqNOp5dSzzpVk0MiDuQUL1ZbvA94503hqctGCRlLfnFM7ZAYZw8IksmG33fUIRYlejJRKRKCgJw1 MfRR3h7xCaslLzf75Lr8aKafGbxkJsKtHhgrlKZHJtDCIvCQvItVrY2aP5+chzVvKtTvdU2M4Wc1 hH3jw8+grnibnlu9aFs9YdZvwD/0zHXPxidMXG2TkYwTPJfL3TBejXAwBdcjk18cJ5qnH0dmd+sJ SY/ewyCZeX1JaIU2q7jMuyR25zum8D5dy5VSYH1MIk+j9mVnbKxrYjcaNRUf7XdcNOpnxsChMNzY /Zsy8A/pZd78XLdpYj6fxRT0TE1b1cDoXYqTYScKPZU2UvnoA43E9cOFF8iqhxRD99Xwehi4yLTv gbeuYWCyxB8teeDNyaowTpTo5LYr5+syGrt5NDNI9hAt2rSyFzv3E1EQwS6MSujIC70EOPxSMJkk NqBVDBpymmV90QN3V+m82/XKZF2FieAUuc2C2M3bLPn22cRQkrNm6pwZn5C53LuTWTEoQdllFWqz V0z0r/LHpXBsnC9ZJ/3XMdIoKI+cGZw9H4j7AEI2kLYF4WWuUVsSCd5RYN78hpx2e08dJztnIwOc CcInPGgw5HxR8M9mup/kFasn4r62yX9YnN9zEkr9e2pfLEcy8FXJH5CTGgNWdDmD6UzgVXxnOKNg CZ9Q/MTA6e8fOqdHnvsM7K8cpQukAzJdcoFH6Lzm/jJhMNlLQZTV/HjPPZRDmX6Fi2WsKwj5K1fm mWm2heaSqA4TvulZyhZBBblzGgf6zOO95R0QZOiR3sXtYnKgR7UFZh99G6eraCSc8DBbbuSlJpxs J/59F31CiatDf5lyQYRKFvcWzD8GJi8aTWEGc2E6G4yjqWnhuxM62hRkZAIM3vz0BV7Lf5rN4nJc Wml9slNg8X2r8jtu9ia7lwsmvhJK2GrFBnAi379nLFh2Wfqqwb6DkGePo/V6tMRj1KcQKLyVSsAi cqOoqCw7sqmhilGL65J6GgNussbL80TsI6bs/tZNsJ+FRdCGN3uJhTYrKfUGghnkMZ7UWDgCtCYN o+D6FmvG6maT3RZX4Ab+VMLvG4qMwcirj+tu+cIPHkTk+rC2b0gRlqNsPt8gdaK6TqndxT7ENVQh 9kwE9ie8V7Waxi3LstSaAWvLlZk9nHFj6oW5r/GQBc1e+DMNuAYNMKJRGVgNBXn9ra32PJUZ8ieV 3c55gNobDRse1SWo+icHL6Tl5LfdN4ekqoaNaE9CmeAFXa5hq0fWwIJ8Na7dMvl2pBerzNn3PAJi j+otJ4EIa5VwKe2t2sSgFkqtBmEe0o29eD5JffyzNfvgZyqRB4ayKMHnBqX9NHp2c42dO7XaXn1F xLNvzYWT9KISrT7YAApsHqEW5zFPNOg4KhWrBfPsC/CG8f7Ne9ixMKp/Mg3S63IaEupcexn+r9pc 1rS4UVvAWuUV65qXb7Uis1VW0DiiIhCFKlIS3EEr+XW5EcBAN85wmhHP8SEMdotY/AJB2l1qDw3c HxO0Vo/qcmSaNRWUbgRDqU1Jrrp2tbZwxcJlJZdlOx6krEUW1KRs2qXtpR6hfmLsLzqc9W2S4a6c 6RCY528Lf7CZWvvs/nHzH/NDnnCL23yfPAmJsHI5/kKOIUsf99rtc8Q+GuFcIHXE7MlkEtyR+jkJ SufDft0ywMmj+6Rg52xqSJe3FETn/1Q5XK7CE22mvkwQBgOM3UKNbJQI40RiZys/kDcn59dlWUaw 7hUffepYXvgL+x7bDqpQRR3zTWV9gEcrDksXNDrYYN8Jw0odJ6dWmo5nkmeiof396zFwwIVgKq68 c7yS9wGAJMWi7xoke6v83kupw77VOX3A1nWnFJmoNt4GHnMjPIKrQBFFj6IggDfoIsXr9ke/3lYR w5NirBmPNPViRXRj30yv79/TgFpvFc7WiB+6K76fZtG4jk05ZoFCP3EVvggyqxgh8QxkyJTl/oSl GwdsbL1n/Lc+a2ncFVkGPGB8z8u9kg6CHfI3UqBExR4al4Wl6qMB3xx/mbXJ2PYb+kBNpbqQo9F7 +mIeeuLY/aLbx4nIasvlpJBIx+Xx0hdSQDvI7M4w2Tm6ylQd3PhjGPxWhhUGkjHocO05KoR9OLD3 iaazSMvd64nscjm/yzp9wKq4SHmj0HXFoyRS1Zh5G4uARg9HqxAhyElnpxORv4uiPpSN3agiPRHf LST9lR+TvF6v3jOFBbm6gXtckJMXNLqTc3YyBTML/QjNPYJ4hdjFOeQyScjiZMJi/reK7fHUDyKa r3q8EWBT19JAYxY3ceFOmK9Gy0VA/DXCoO5cbNo9idFsCjFXzKJh6CRguhsvVt5jL4e4ZKWYslRZ VVZaFEmTssgAYw5oAfdPoZuJuYsH7wEhR4C8Mxa7p2JmG/g7JkR9x/tzEzQlzQ0KvIsGvlEIQ4+N pyRTHJ/hhRYOY7atT7xv8Mw2qIZbl/0dYsrSEgo5YWyTv8zytOvRNdl+OetgVkKgUE/UsARD6Mzv FuTDv8M0VtJ4o5nmxglkX8/UrazG9EWTvlJIIEmgoFM2/0Ke64lSjyFq4WiMTsRJ6CKme6XYhzeJ uAJR9c040CvF1s2ZyeK+lYWJ1fksAh8fD2v593hn7nH+Q2LGSvdbJkjrUjs4ifdpMdVunLn1S/M7 zMJacNEGxzDDHOqhbXqorfpRfCP9JmFJ6uwSkqFBPAmhdxQphMT/Bcs8PPZeG1EQVd+R3A9JZwZG CZlKG+SZzvAHTKqjbvxzEYb8xLYjR2lyzXkVRmADGoyvIayAKpIkaofJNcGGRYRYcIGMxpPotJb4 VBDowCE/OOZOzSJgy8CMqKQuOd5CO7gZDwnJyqQnbjs56I9OeFYLf8wnH/iUrQt5ufmeHD8WjfmS x6mwgtNQ+4wfIBkqxoyewBaMmQBGNf5K1pY6xkOKbS+ysfi4fbPTH+6wCfZrp+JoAyILqIV4IbXR mBq7VTp0pt/q/0E7Qo+s8LJqxLYKD8Y8FCbY1K+Wn4C0LF+dLz6XKyd42bhZwOHs76Y/WmhyXZAQ HL7fU8xhqe8i5oOs3wf2EIzJCVksM/bSkTR3yo2nmWfIvzxwMvLrDX/2EAJ4tGG0whBpH72w108d TEN5AHCN0LnJI7E53rkFTST/ZoWaMh3hVGXzBRVAk1Wd1pWcjtKBBOyU3BB+LlMy1dpLQ8VXf06B bIgjkXJO7jh1csW5TgzUGlGOKmkRioCffe3zdTG4+3pjfHng2Ooxn6NgpdyvyqTX5tABU3Xf3eAM b85yCVX9PSafpKUMJBzNkMNW08Wa0qtcSL4k2eYlG5pA3fk6ghWoaf1fGk1ifLe2yE6zMqmPEg60 Ifz6CXLDd0b7xcP2xOw5/58H/s8KK14BFYQm1lhB4AzHSSAKUlqe89A7TqEf4VHfV3a20+Eq4A4k FBE0UTRZ/3d/5kmxixL8ayoGQhvooxZe8KXPu5AStxc62A2uyA5jXsEKHQQq+4sbacmUVh60v8om oYt8vTYCgtEw08umF5FyGQEFS8OMKukbq+MyH2fYkAxl7lGFxrBrIa8tgid30Ftr0/IanfnH3RMT YtHzovDLuyJtdBE6X4cps/rrgXOl5paZ1B60pOypZtFsJjaBlQiRLPmHAs0MnfFbMEpp3errvxw/ z+CXJbUR3iWOFsImf3qWiBEeSSJcwuLZJvwMBRE+3klg8s7g+gx1O3GjA6iuCllR+5muDb4Mzrgz /2Lvqgnq7I+lxuNMATrvbqXgDBa6dw6w0HdcH6uMuysKInONPD4hc/asD+JZKipVbgjbdotQ0RtL GT1w+QFlAodTpjAIF1+uNDJscmKnHUjFLzwfb1EIf0KtMLc8ftWvjopYqQIjadZMYW91jfXc2Z2a yeL+0gOvEgeMx74oS20QVCZiaWuIA2Age9mwVFvAzWnpcOraLzamDlMJjfxi97lwZPi4X2pWHU6P 849RSlWrsOfMtJNGwPnQ20HwTvbWLmkLGkHbnSTOlN8b4W00FeBKq90SnVZSZqKZO2VZVE5kSDbG d8aF6DMdOMPQeUexHG0jA7sfF1zoUIwxCC1iFRoawCj1VV2u+w1Z/XLCozTf1Xc/0WEJH1TZ1qkp m9PQM4f9PdD0stYUaiD0GbPYlfsTOcaHcwWR6jsf2KU5HZBotFnQv98D1qEiEBPvMk8yDqAse98R Jq1/lbLjBTscWK722+oibSK0lUQQ6AwrzC7tV8CrnLz8ZOIwizQGJX+ohROO2FWVZvH9A8pVvvxg 8+0evWxQb90yGS7WllgPomB7uC2xZ9CTr6Uj9F1Hj5YARJalk++ia6OZhAbB0S7bzyjmGY9rnoxv odLcf/4Qnurh+WLe+kNLCLSeRjBkDkD263WMYIdBuQc7ndxMHS9aY0o1Rd7cnyb7NcWfY0SMZhmk ibL2VxZq2HECKcXX58/BvYBFEBSvBsbDhmZWWLsV54GesjC8qhTW5WhjrOydXx2EYG00QaeX3XNc To3ia66tIjzWLWrgISlhFAznXk7hEJ9diy1z/fhs7jh2KS+cbr9W5Q0mYzz4zGF5sPtBIE5rpBJe hWmA1HmtN9j/ktoUvlPqA1OFbA13GcD1MAqChKFH+vDT5nvERVXTNqQL92F+4eOyKtiHnTVaOkdx 5F9ruoDlGM/xopCTOXnnpwKWdvyZKdc6DVdCv0sMZRacPNXBJvdy5UxriUWqrRarWQjkU5LjCPAV 4qq/ofWbbGhBFcKn38WawafptfgvTkGH2PegU99qgyAl4uBg13bjZRi94ca5SvAJHZTuU5gPbirO 4NOQdxrPNYh+OqRTUkx8DA5LENxzlK4l85QQhLW7XC9vFQaMaLJSIxpmmbjZdYPC17/DY+Bc+ytj d1e+GB8e9DB1yF1WhoqZj4yxvjwenQ8cgYMszcEZC3BJknUqtv/UiKfMM0Su/3Q20DZcc6r+VVwl POpmwpfW3EJhmT9iVlFt4i0i5vq4Ca+dXxeNOuLyIoKpdASVZX0wl10Y6EBbHIyuC2h6IZanPJK0 9vxkvCrnr732Xl4x0TSzGfypCb/MO3dojty8cB3ElTa21Vry/rQJ6OXHPkaZrKtCaa37/sAHQ1hz wnjj05IPl0+eSzrw2gMiBOl41kK/KtjtELienvZ7DNHjkFDkx8Q3JkSeotNUyBHsyXQkOVxcTaxa qnpZsrdkMKtuzL8DbRB7rn8a7l8lHqMuG/JGlm/nLqmIJVs1q700f8F/en7vcJF0uCQKINxi5l5N sSlLimFZT6llqirswUW8+REQ13VwZdOCSdvBPDMKNHHArpXWhZcSWWuNWKNVSMF8c9sHrqYJgddC OvH1cFaRMtLzwr9yJuzgDRLi3i0yKgkbGUqP0FQwMO1T+Y0iNpvTQ+o8XYnuYU5GHqyF/Yl2Ere0 6JGy9GVZKcOMoBq3xJdyLxqaa9vHrcIb1o/fI6GYYMKzv25RqoQNd2djGhUSiQZnr6VHOzhxSpCQ vRTjZvkQJEm8FxKsM0ls0/+VrIffyLxC+rH+ZNO1baG+bnCxdYR5S1FfAqOyPTSrjUY/JACHqZ5J 6IZL/BhOage2O0Gvya3v3gmiUh1Mee6sp2u1S/S9HGPlt6/FBjXkIwYUQ29fZQSNQmCHTH5WOIoP eBg1JdDtf+CDsxVRnpJdUnYfJhL7U6EGDUDmj5PLcaRCZSsu8+Xb/x9hxFr4UYnSkKAvzKEKbkWi fEQzNuwLhhqnJWMSPOJ695uenVYCj+ZVlT6EZnbdH5vSLCgyVy5/XSP30wd+S1m3rUhKS29TDu75 veR6Xy3MFRzylvlfcTNMcw6dp2aZZxFObd1tglQmj/z8dnGNv2d31RhGq/Sph5PNPBnP9Pk8NdYN jXZmPNAv0hi3cvKGqU4HWM2dlm3I5keXdudJdoFaKBihAHsOAJrERalFVr4ov2FPo4sPq2mBre/s f82kXB5VRto8iNfM5jHyS411gn+j0+mRxllOQk2RlP1OU7NNTFX5AH+9tAeEx+/SIYo+37jT/PXY sd9iMu31PQxF9LkHALYIy7UpGwoykgWXwdkSryO/UM6dPHyE/Orbb/olQR//lqlQS4O+lB4l9rh9 HOVQ6CJCnalEA1Klf4quv08EsSbmbu2ksobzlvWtSCDCrSElRKzw0+4kwwosw46KM/mAU5bvjMcg K5rPTIaAB2EGiT6RrNVdE4gLM3zjFtS5omSboL0PFIyGtLxcAOcqYbWChHpXPqF3lMPKTP9U2UdE k9wN3dIxWnbKc7CBVA79V7GCCUqDYZ67lwle4UCsNESZiEwS5Y2sB+syHH8Lz9yHthVBoM9jrN/E 8WZG/jxfZgOyTAKSaRuwDe/Uu5Z+VH9J+srAZrNRgenVdRdgAAfAwyDUyRdqlPBlXhfqBHGi0d8G NS9pjvJFKpcmYeml9sR4CbYlSNyBeOWGKOb5a6ld1zeCOXPUNiYKTo300w9FBLpjC2zFeXeG6Eme Q79i8jdkYJgDauBT3zUJz6CU8YYvvmxYteMaJuNVDvsSWW2Yo75EZhNlJN6zn+4EUW1Xk1t5TnbQ vQ4mhYrB8//RDLjlaDn92Y8fg1dCJkwfx1bcsF247PeD+iCA60kRxrl0lHyloB1t7UmOTM/pOkvN av+m9CLdyQILDY2P/NJNIaM4vslD1SABTqsUvpWD7flH4tkjN4TAuCy13Pwve/IsH9laZAXtoqiS vMACZLa4OM3DMXoYvDP6iqLu+jO+9KO++Smn82vEUjYlj+5OG6e0ednTjgpA5odi6V8fjAWnPtm3 wdgiXbCmUsDXWVvxqxEEZhaGhWa1W9vOr2/yMruX1OTHeHrLwNtDwFIRNQaspoiBLqygdk+GD07k HoCiTb78h/3UOm0jT8j7mdVXaBIHAxpjRWjBmvCAoczTEOxIYP7Empfxd5xzTe/7JySiWYlPOXsQ hUa1XLJKYVhwwwYBp86hYGS2lgD4Bvzjut43WqqrCF4td4eBy+mu1d+YCrPj+HcmptqwthnblVJ0 QPYW8SJzAQOZG30hyBuS20ncK0wgGswEyMCywzWA3ZqfdrllEJd1FNkSjCfipkJvfyjsJtHNhW49 FHXKhjS49pO26bj2BJutnpKl7J+z3mCQSVTLxLMhqWjQnvixvKXy+SamMhep4Fy6Qh1CK6gl2dgE yIVolB9DCLXmcaUDpzlsYMdsiiDCOKGihS0zNcOr+9pDtdrdD14jyVCTRfMsXz8GL1Y5hxSRsh4Y Ee9laGfTBWbqLsgvc4VkZIY34U9Oh1RZr0DYcvs7Twg1d//voPODYLUSh2yN5KHCHDzs21CsR7o3 QT3aVt+zPfwKe5eYDoSzsv/tgj/ny+qMa9WOnQU0B3UsRX7HBLoam3tpvvS1LV6DRQ0QoqEBqDMX w+fIWJT5JEEF2sCe4iWNV+zr77io2M1PlB1seE3R4HZkW9hMLbps7DmBfT0WmyojJATePYIf6/b8 g+fZBWz6XH4aeX6AOxM0U9FnMsUJxmjFQRYHn7ZyRSryEoskgUGnr41V51wPKJu2rdNbSeszGzhw 0qw+T6UrBBI5DaA1Hj34EagHxyLsx/bO4NbEzwPJpFgCixRBuH2dexjBJrarAhOGCUntlZruX5iM svcAEXUAOxtJvCrd8H94DmrmmwXmdyhIuEyfB8d3OCeDE+kQp/45Oewf7ApJ8euf3lXHxhqiSd6Z Mdo2NNAHHViEJrFGhBDndWGGJZVpvB80f9OYXxmI2M1gdPx70dgskzwHJDgaz6hd/LdocI11fYMd ilQBDnbu2cacFuS2RkbFzds6BB0wH3mqzDOiy0FAuTZTPf/JCg4AV/0OeFjW5Stra5306obCozmd bhZoyoRGa1H2in7zGgh8691evRh5AdYBeZZ6JPVesW1LIjtMR6ZJlqEsMWrFMQHYVp7YPRhbkSYI 44GkyZlhShH0ixVHlh6EiwPFLcwKnIF7AtQWeLV3sKEQCjh8lLFUHQg/9uwPLNqnoZ87U6Q93oK0 NeiE2M3sIKPZzYukRLPO6NiTRhTFg+XFCKBAy5bOh0gmJq/QlxIy5Exr26zB9IgjVZauUsnuDy4T jmPosz2SqX2o2AnXEq0I9VmFhFPcOSzsJcXOHc6R2W7MjwW0/o4+q2aKf7H4Z3Wn/+VcocenC0es VfsNIfJriy2xV2nBp83iVjDQyCx0pdb8XF1GMbTYIIOt9WkluMpfByg0TpDj8vcLq4cD+Flxoe7W AoqQS7YwwFPZczCuo0itX0UJU943KWk69z9nmv7Vk9CDcjBwgiNVlENYDbStapPjMzfsiR5F+xLN Y109+qv2ccc+Un3P2XXds5+JBiZ2RbFmMvc0Ujj29y6OgIg+aa19b0xCY+q1zvHZazgzXel+1zhV tem3FAhMdWP+IiDkJ3nPWuKz/RQx6nD6XagMkiWDjsb8SEMn+BapPwfygX4GV0+nYgw66AHqQpPw dxRddbtZNIlCht4Ic8OeRlFd5ipExk9MgxoG4PV4lwSQzazpyJ5ULhSRUGOl7tOm22O1Lys9J+zf k6BimfLMv00TeO4Te3rx8CcCM9NAseVyWZCtklmKGcohootTSl56C9N56RaLaRM0kQTphsr4Y1jQ 6jIX+8ZQWUezx/D/EdQACCXBre9zMSFz3p1aFbgdHMnjfi15mCyCjFyczkwyFZOQAVC8GyeEEFp7 95EZkHw9c8JVUdrW7+eMk6xOazQWi7BGIiO7IR6DOdkj/Ok3sHh6eJrcQxJ60VM2zSpKI7mUmtju S6noy71+8rofay1J0bmzYU3nb4QeZJx5INCDWSoSAIQ2LimMreG7njEGas3mtqDnsHU8tgB2TKP3 xpnB6nuXGIJ7S7Tq03m3CUlsQm75ZjgIHMjlO+RD8mkErn1wjXwFBL9bKrQo99VLMa283pCvv2HU r4pRkHlieJPWCqP+6dp6g9Lz/UlK8+woBjS2iXmM8EK4keP/7mWjFADJxHgBpjToGnwniZiF2kLG nYH7VVPXYVtqLxyTP4PKvglcKb75m7dxRiUZluAQ79KNzRv8qFxjXnKB81D4Q8Wz2l7RkkP5aDIU 7AMcReJbzkfOxogIZNtZ8mTpqk1Sk69SUJ0gMM9wIDT9Q/hAuKyZqLbsr5NBQCtEOtm5iYgwSonR avCtEWKuouj0olJ65ecB7yvdB1vriymHljoJZLw7gwEP0UULzoozM7xWw46bBUnAJraT0Ollo5iv XjnIs8f7pRc1ZuUbG1Gurf2itnN8tr828408JyFnJ9dy9DgwHFgug6PWEsaPXDvxV4rSFaVnEP5m 3MEH/SDhc9fG0n8k0Rq/DL/ilCqyMmBYTpPFKjCu0AVdLp/4BrdZagrWCJO+desCjmb9bWjK7KfK 5LVz6oo+fbX0Dz0m0KGqDhs3J1KO/ZM00P6rlYGdie4+nJGxZzpoHZNDn5MjwacXuIQPA81kPuqi IHCJfsA/zph8DOXTgvrnnxEnTMYrvlmLV64/RAVmszRF5UgIdg90vJM7y8kAv8lu1H5FzAz+/dyu zXEv/Hl99n6txMAplvievoiKRua0FgYyLC69cW6jl1p2Kfz/vTWMoKJZry9cUTLjOR2yWzE4YA9H kecVV1C09PzEllXixqWAo30r5Sw155hZNwWEJPqy/yhN/7AXCiMorjfTMlb5qjFJxbypjNH6LtxF fRZuqs50DLkxa2E9DgLf4ae7KupVlTrv/w7B5wA9V7/1sb7D34zkLOuWXfCdCyT+u75E4DDs8DnK OBEZvbleieHvajc1BDH5Aa0kPTZ5GLyd+mnfwynfdy1ld1pNa4G8lY6yx+Jr1VC18n4DNtfAoZqU KGQqGCp1pNerKYSG7tqcxBsrSBNnSQmFyWNXq7ht6QyKaAcalp+Mliugdgy+BNCgqAvg5QgLxuCb kAz9jEC04Mjb4b5ahwrIGvxfxknrcENeZxDPhNG4yrV6X75OAYYsw+2aaW2o8yvdf2CZSsW9J8A6 sBfOTdgaJnl/eAgcLQ3MDAPSLKoJV6TIdbGmu1V7txpgMzGcXwK3PJx9zKnAzTSu9HIrGNxTF2+o iuZGAOPNm22xeeto21qLgdsFvJtHlJt8Je4ga1YZK/f+rTNATpvLRpCo4bSQC43+5aKUr+RgGW2L 1gxmLhqf74pEGpfU0Au135QEkZtHQe+oAmyXTzcfrR7stZxW7ct0uVvCUaMwUJ0qUGLofooMb1D6 dfKRoGfJyrtyZGw2Ek6WzW1J1S6Cafex48x9DsixBw/GiXeFqYlRnRJ5EmF8ozDWb/Pv3wJtMkvB J2xB7iq5Qep1E0QKEDN9lyenz+aytR4wvh95+8zdhTvP9MKXkJ32Em7HEcS0zBdDAPYXgC4k3zXe FZtuZvrCgktXJ+x8WtbKHReDUuAt4CGBJkyyUzyEyrzFDFLe7I6obGv2h35GuHeE282HSs4MzxFD 5MpR1+INEgacAQofgfdjirqsDzIUOdSjhcuyj+avgw+uGwLjeZ/hmayS50IHFaVg4Nclf/FwnN1H DVhTb+wAe4M65I2od9zWHVtvwIV4224c1UWGtsKQkrX3vx1Hzih8Sa4uVvkqoeblhmTeWsT3hzxc h1i6F42cs4Q+hQZJUVcAiJzCgRCKK23L4+HncoF4peHvDM6PmKydFsGVV6upSBGwp/ab2k4CKDd/ zy4SJ/p00SJ7FnLYO3I462fHDFwQCEobX6kKdSb/Z3O4t2xsOK5vtKyStF+kamDBGjiRjPGIs4JI N6AElP5aS1EqVxkOrax30mCMCDNsUwWxqrfOW+9c1ub4RO26NKX9DuVgTYj0inwmCTSs7ttynNTe mpqnOHGb+iPd+vJgg46Gsmqq7VyNM42Vr9yb+mHGhueOLwoovJA47q9QseiCWo3foE4Jh+i7Hlan N3Be3meu5RUzb/kA2MiNthyfPDy4WMYWvqhoO5Bm8QTf07g0aU39ERvvh3zZAwR9GAaPm0ccVrri Jtmcsp3kZ6W0sIXZaHpFdKePyDBbvbuy6VLMu8A08TYAWdUnWL7EIPiKA2QmXmeiC2WPA+50992i NMabffvZa/+qZwwUTFG+VHTWJSr4Z6RMgJYSMFcWYDSIk2g9opAZYfkKQ5K86vbv2cxPiuseFYK/ DsZorJmtTFuTqL0g5QUZ1dfLP00YJu3904jAYarI1w4K0FK2UHGTufaeuQ9v9eskQByB3ILXQNfq Ll9sjTGTNNUC13Z2xmwz8CzXmOoFQLQALG0/gdEE19hf8voDBYZPCQdxqfYZ4Jivq6TiLD/f4oRO RJVPLPkkf++aCzSmc/XqtThsx/F9lHSfClkGa+ouBqjYrThxpo4QCKkzDTVR77Ck8VS0gIY0pSgV gvUihFVhSQTZnzZ/A+9/nvqRh47Nb9o0DOoE/MwRVPRtEKDUzSr8Q7HB/1MQPOhX6sbOtdEfxZyf Bi2BvvGKv4EqegodQG07CGMbCkFViUpfSMXMWOsJw/gFuIiNBt9Fxwglv1JRky/fLJSMbWZ3XhnN AB0EuRiCTZVqlxzJ3JuY21iMTW68mKJfAjWyQwMreLJ3+edhj7TB0/WUKC6kyARcIlIR3phXK9Dx jpMAbhTBzVeOolGoNxjlAT3cPp2C1GLOvkRJqBBaoHNywlFHiRt8A9k53pDzRLbb+S88jqhctT7i zo5OyGVRZMLpd4iwRg6tcjW9+lt91UIdfBi5K1vRQShiRicwW79dZAOOymiDA2GoRE2HlmyeSUCd LDdxgQjsyWWNpszGO77q61L9JHDOk4TiXYhGxAGjhLCYA5BJ800UvJ80u7riuk4Lj3VGxMoPz0XZ kJkiX0esVcDiDS2aTXkSJAf+1FohAiWUa+7lI8+7zLoEFoKrwyzHMqui8ukvSYklL+IqOs3hYOKy R2Xwe7s1yB+J3yVnZO4zZutwpm0IeO+ifzuBt2e6HsUjzeGT2Ml0ucN0Cvc0Tlz7ELjrp8psGU8d KrSuceOyBhK4JRQ26l9x7y9Hxk+68MuxN1uDIzLGModOV3PVahkb6MaB6iPd0IW4Y7ojrU6/BLx8 03wGs1ojuqHvlPdHVzUsRsIKcjxS1c4NWhUbhPAULnAblveUwBZJ0ABwYrqdRzj15PDJWBKBUJdN FBfLZgNMVn2/DPVMZOGgFibkk079Q5UClJT0MrACU7wWfjNsQ51tQhWAohIKjJWluPsZPWRqCWF6 RPZQ6KudsOQnv9b8kPaEomDgHJhk7vNK4Lrq6nJPiSr2wfMV3fa82hSfh8QWU6exvkTNpiQxh+0M e97VcYEYqULj+YM/k+V+qT9fGPnLGEHjRemfxqldVE3X6lA/IYLsNTs+vPYVHJmJzPeQ0ZSVW/aR jn04OsI0nmZEtbM19uS9HBwulwuDpHGscY6HQnA3nRCQAvIGOj1lcoPQJKZmGY5m+DdFhhEHXiYJ oNCNablZUn8mb0/CVgIBHV5qZUAFzwzsHJ1k8jJ3fEndaJ4HG3t9r1x5GhZCoD+cUNehtuZABvIp OAUgSBLE75i6MGFkpDMV7TXux2Xx/odp8idmQnN1kZlNk8hssZyiT2WtwPOI/aimD3Jd2oQZXGgA L06blwLE1iea8M+EHhGOO7v68lzgCFxv5oKPyisROntEzBviI5zUAN1Y49NJ+NTxU++8/6e1ZWPs YrM7r2hpLtW3/HqTstUrbELCDXONgDKXLGYH8LDgtomESC0VIO+SmuT+CLVuQjG4mzeJVdltC7zW DYkAgxALrWEO6wUziJmCZLsaLF42bNu1z20nMU6aIn/E+d7Pip4IPyA7sUwvp/4CuCPpCW/EUWZJ pNRqELFtbaSVvjs8Yfvbln9/Ec21rNPftqed0uYqZwvEYpVKaJ+U0tTGXkCxR9vLDeaeQRYjX7ns 6xv2SZZAFcfFRlyzBgqSOuV0UxaiKi1M+/xaxrcfM2102lvZA9O5FOljGmwqwxc9Z5pTQYGx3Qo5 QaOgWHz8OtdRIobjVR6Q6fl+CHvdX5IfPp2X79f7g0yYbvmvZAQIDCfxbcdWNSxWvM2f7atKEro/ 4HoeRy/7/DRr2JabQNMjjl4dxDdE3UIlyc+2OqV4CuwfuaN5qBiOgVCqbEyVLB04VU7xFo5cCrB8 uDRohX1sUiFxePBYY+RRJzUjrZOr5ReAccjifVbr2JRV+JWkLgFFyhbpJ0poxT+k2ZFsHzPXbfID wj1Y9TMlNGBbUSoZx4ktE7NI+ltXpzctdV7EGjAlTeGmH2v9iMzBBq0Sc+K7F3c/ytnFTjgBvaUM hN98mkeqqXZPux7a1YW+hUFCYRYHIBA9j82vogIUk/icv5g/mC+RMx4ydhP8tNxUyviZ1J3D5Vba yMNhTXm5L0VkmHx4Ec7KNWIW5hDXK6Du0EP6m0HoHsRzHQFRfD0YcS6KEq+k6GGIEHPWb5nBNZVn KuzyK7AtcvbrKX4Kj6eW7TY/TRP6eWO1vAIZlUHIQ3kw28q/FW/wAWlQ3uEYm9k4S5dw39YpCsz2 ogvBEJ7RRrGpu2lSGhXSH1ataF6IeFS96527/9QK7xjRp71ODwN0cOYLLM4srLljA2ciu9r/bIft TrvnB2GQSh7eAvs/QO7VfJ9Ghfm9D42pb5FLGZ5fB6hidJEYs/cVmKPi8Qd4XzrWrKkA48Qvv9Av n04fQJYYqMiU008nzRxm6zpGYxs36+LGCoIDLlpXbyQyRxmvvi4IO7qZBSzKwuMDWM0VButjdLyk 8XmCyP9Ol3sS1nxDLjXHRPym7+zgBIfXb3gsam/Ds7OZA5hObatCt41dZi+p/vKR2F3znakOsJ/9 2H0hdrmSEMwcBKDRzg7lK0ZC3P2PBTbPtpEx0ix4HrSrO0MTZCAb9GE2noYl6MPWLAJvJmhF0wCm aUZdB3w/IKTk5v7hWx/ckuXU/3Rd13ru3/bfzDuF3LuMCJgsLO3EYRrtK4ck3VgPgIE2KmPGlQnE RyXmqydGI882ePbpF4lH0cYqxbzaXGnyCxQFJM7ShhAJJ24WsmOJFWn1b/Cuhjwn5/2iDvWiy4TT 7PrZC9X6JQ7x3+KOlQvPfiT++mll6vDnPDI3EoH8AAGu3DYPFk8JdwfpF9NnvPs5Uk6k7woHCOvy rKNn1QLyAdJCmBQipWw3xwSA0ph9FsDSt1Kem5NrBwS7LzjT6tNyXyz/6MoYoF4ZuBoBYoC18fEG NlBnaY6HPDteu790wA8YqRjz6gUENI9rujm82XF0eKBHvoXVwPfLP7UdEwMzYpdaBfnpPRyBeemU jiIYha2s4XzHWF2+u9bYrLMO+8Hvc3vsT50sNsGfV2LlHUYw0BZrcxPi53i7JOIUSBQ5txTh425C XNl7EbRaZ5NiG3l8MOlDum3DTVMwTAE2hYfmVxaplU+7NGyHUAumo8KzWpV9az5U5um03cPDdiMm 6GdqzVbFANUX8NkLiT7o/DoUtw+JSVzEd+LwIPVUWbKGIUn1hHDm/A0eKmIrH3qlIghHBl5xhxlv shbm3qKqb1PVweuUdKNCdParr+PIfwpYM7zRycImzp20RwY3giN7wOn6WT4Cm5TX1uqYSZlyjstI zYLyVom9xIOCIXu3xfh8+RXqSeh96X3yBvKFeLovCS3pF/1FQ4NC0FQcB0pNQ6ah35ueueeeEqpA gvDnrtVx371ViZiPspGgGOUtyOZOtVFgtlimffOFLrWIYYdS0mDpxrTboZSWfHnGQp3Ffd77mK4F G9KcW0BAbXYqRxZrCGzn1wreLJ7bkJzUwiVvo7Gt3UkGn0C/7+GjDiAoH3yUY3wNV+j40/BeG3be 8glsi4plyps8Q1/0ETDc3UzolhQGL2l1glfFEYb8eHCl6nbDKLcmSpFqvftAd/MvH1Xt5vvMoJgz +Tzcs9G3JmhH0qoTBhTdfeAHrmRpLodSyquqkNcLX2fgp59M5iMCdQecBeb8V8tlGG7Jz1PP517n yJS7suX4tEG6dPGr31OTBXiuWam8oqwiM5Ew7is48s0EWVKStHdM4If/bysC3dXyzlrQ/xYljZgP 7jFpcJGQPv9c1hoVouFQC2VyfIwHDtYG5zHTKdpS/oPMhxpUpVGiq7oSiOL242MAXM7GkQdLjzRj qYt5znbzTa8OVK+TPr8HxImb7A8M5nr//9MuEyrKombbIqiuFklfdty1Tvokzw+QItkConWxkq87 hcI3oLyB7PC8pPrG1KiWeqQ6xeBZ+pCK9mXtDVsAo8vXqM30FkV7RCXRlgk4INQK15sZTVMa7IkN R/qJTwXWfwtPEmOcX8ivXcyhrzNWIoAc5ZEizAIwxexySADNfNpRxG4tvpW7nA0cVl6Ak7bP6VQH BNGRr094Sv3vUsfL77kgaEa74e4ApBLgCDdVoMlOp79dNfSS7DPoaoVsHqUD4Bndp/YSr8OLUDGb ZnnOs10wlYXM788kSOrTu1/YfuANBRMAqafStnOtgP6BFK9AKgtj+ouvTH1QEb6xUNFwuDp+1Zeu IBFEGC/VQbbBksfOFoBP3l5v3C1uSehFO1tDeFJGuRScWK3gDqs53/LOjYMx1m8+iCTFI8PPXql3 1pQ7EmBIZXz1MsrAhkuH+iIpiHmCbIXF6auO7A6wKnE0kdrHvCIWOFKkiubIvhExvVv6rvnmlt9c 6obrzqfNDpFkDMspivs7eamQEJ5EsR2skiBXOgRgftN2qi6BboZusr0ron7mdtF408WJhXPp/DUr YI7s/5dtp8Yy7Equo2l62DDEcArhgAlIJ63aHs8xKAmyqwdN2jB82PiigDVgvVEFYHpB6bvFQhID lW6u/9F59mVAho7D8qmca/OAhwdQSisNazTD+DlbkCyx+qJHV0wDq/SfcF9BLMJSw/NRI+BvbCwQ NK0whLXIYrTot39DvOow2+S7/XpjCyTyOS9LozcLXzNzCd+jU457KuFISDxDE0OQ9VDqcX9EP56K YDN4X7Z4J8mYK0XvMP5alA6xJEqys65AgOIpHefcXE34t/yoGuWJM+RLZD7koFy6+iB6kRg8QOdb OzoNm3BjguovxvzYB/2lzjqafIu8PfnrUfO2FMETzfvCfmj2dAtJFdyRTD4g+nTKK6IlfDhTrCQP hKD26i4y/aIfvFFy00wpX4UkxsC3jU97VLNrCognJhFxhtljnly1u7pOZMQzS/TWSAjASWdYgv3e rKSX7Echous+I2gpru97DBGaorT2pwK17flxhVm6FQAYsWm2UMYtOEJZxFC1aaAxhcVmworksCgt tP5mE/qyz7opZVXrmdGa0LiMjgAvTwyhpycKtHcAKU6MSowEqNVque6J3XNSpUdGd6cHk+QvOL7y EoieOf2o7DGWjsLdqd4BxQR4sZLDBT52EaTbv4A50Zefe4vkskHNEbkSZsr+HpF5ZMuEO7UKrWBk +RL2jtLYRdolIbdXJvE/Xn2OU4Sb8/Ahk8Ri0Brzm+2ltMzSFGF7kSHQJFTGZEV7Nm1Q85o0Aolw yclezUfN01p1GBkg4uYYNe6RwoI+FzxO0bYdGkO2ZDnUrWMI4wRGsKVXE8IQBEfviaM1ew8b3UwL iPAhTHIJXSu7a78KhdBOsWPNp/ZC6uZabQAuMtwmL5BxVP8Mnu0Oo135B7sPDgzXCRdImTM6F8BS dbAyLftw0X7VkAiOm6xm7Sn746letacSf6iy3KkplltXXBLiBHpimrXq2b84zGbT1Py+nIlzDk7S ZyL75v0qv2fxJIDKvwFvXUr6TlDrSV0bPKVxEFgKr4GEIPGra/NKfcBdSGg9FULBiQ/XFyiiJijW 6UFjSaYmc2nBtUpU5ClERVHQNlZaMsc0/LR08WCTytns2zgc4/RgUWNWvYiwxRlFdq5J4yf42JG1 3aBiiWTxJgFkt2s6yQju25agPX2Jm+QKMdpudQskIEAp7BMbkzV4Q+I1XESVz/UedIYqnA0F/+P5 p4u6Y0PhK3b5YxIXuk3lNo+mFJ6b82IlQw6xDZ4DFvuoW2WoeaU9HfS4lIaRxyothuVGtiEDJoFe H6C3XkClYXa8exl38YDI7VSmxB1944HvX9XEP84lMBynaoTTJMdx5IFMkPJtD+RDs4lkQWJII4OU IFT247+od/49itl4rNncxQEGN49N3bFUOoiif7gEnjipSE9OlyHHyiyTBwrBlBBCn/lCFMLA1k24 r4ABzWEo4pH5RabMr5YZTTCJWSb/elGoEo8SxjECnWzpDF1RAesLm12d/zA3day56qyZBYZLds18 11xufHEdWbfU0ecv/EgaYHbhotaBLNjuEvlIhrIheu1lWPzuLLjT6ymuIVhu/vR3i4OrshtbC1SV iEKVAahJGkSmUdvj4SyNqIZTCVkiCl2KQxjrNNaic7PIiTEYzCE0swXVezx6TeqM/2at8yFhgaQK QEmX8ac/bGaSsru6hEmJgja/ALJLQriQ64O1T5ES6xDWe/yRD7OaDzJ+vn8QA5how9WA/hNrk4cx IOi8URMz86BxaYZYC9e9GjuAe/bIEwy979Ay60LPL3qL5E60251VViDc/TcQxyRZP//QL6vdWZm5 mexAYdWWOAc2JvV7LWf92SOg+9r4suNZZdLuRLVXprp1S4cnwWQFO0l7vLSMQE3S37WWhIjvvlBb m30WZuOBi7EML4b7aEee2hn9J8lzVbK6CmTUErRFdpr5Qk2q2EEFFOKg7IYCAqmI0MXQcv8sm4yC f7G6isi5raoLpt2T9/F6E1pWysljWtcKu1c0in7o2P49HR6TV6lATdesm2Wr+gwlD9D4TmMo96hx JCi+VRyfQrZkHmcVhUV86EmVvbVMzUytvwp/fQFb9V3y1n08GVIsqDdokqEWc0mB9NsZXqmVC5PB tsOVJGxa9lU4Od7EBy9J2BZwF+BXvdVnvQyK2Cgv6zOynP3vfuvgnMsCmXE7sz85xe7RKqOmF5n1 CpfnyTMTbnIzSHLJgwV0Y4ahv9RSE4fL3OSNiBQqOLjFrTqsokfyI1+BWPHA28mmIB2zCRQRmdSn gm9TMeGxgSX8q068MREt5LZWusY+aS1hNfYRBVaaLb7d897ZRKHE+U6gAktZA1p7jnSF1mAZu2qB KIonNZTexQva8I2pxuBzacRbGLL8FM/PkTZSMNpul0CvEGV3cHB12Cu3zO0ChwwjmUm2sxi1KQrQ 9KYC8gefvBDUiFEfCGS1dJlttkaTf4ayXbdt0Xy53wa/jN1Q5o7ZH9KxtxcyJEisEhuaWlDFIxBW GZbw14p0401tWTB1hDr/axbWXHDpr4qYfk4vQD6b1GWNpl7bmCD6D78RtFhvIjvK9tWP5U+mSvEF nKGYB0U8I+hJr8DNh9I2LT1POBsoDyzdYOWBE7/888epzaAFqS3XQ3z8xtDdj8Tubss9I9iJijR/ UYug6oUmv6JczfRX12r9QV0FLpGyTbMRI828uVVfuLTf5JJ8gFm2qDQUuyj9HGF+jMKMzykmU/Mt Z+NLCIMjW5/lQ2KEAlOqfzGV5vd9vsie7e5TYysNHd6nQXVrASdwcHd65rfMidk1SKAqaY8JFMnB 1XNHDhC+IjRPGH4xmcnLvV3RsijhGMcGzgtqjM2PQprVQgedKxqwZJ70uIoQq9m0r0nOeSROve9i 2cZQLoKrcnHJO7W1/Fq+qKfv9wkVS6tgln3qwaXRZiXoyIlW7xYt7kXULTpPu/cly9G580u+wD3L OdXzuy7KSVwAsWE7iYRUXeQ6sDZpAoUsdIZHVnxKocEJmuhG+T4icjh9GEGR4qfbOMu25Km6lb10 MQx8hY5BEza1I/Hq70eg7uVgx9xyRWa9iuaNNgWpCkFaAX3fBl7VAupoIT5uqo2XnLAzaLAJXI3R PZ+00hRsT+E15kidDnZZ/4Ebea8AZCVChXbGakhRAxSyrJiBC9cndzav50B1vDyxhgqopIgGV0xo Wz9AUbXYnTZRSCO7Ccxvy9XmFUf5okryHQiKmE9n5PuUA4DKasuv3BH0fraoRoXaPLpRCEGPmLj2 VeW8f9F1lezAWU9Z62vub6Sw5ZsZh+mracRYro4Ekp5is68udSrak9qPZxKC0H3Cjpe4HuH8TQD5 51d4aYTocxoNsCjnySGxcDe5h5GYEzYZtdq5An3UhosqdebFOI556dvCUadAwddJllTJ9DKGTSyd Qyb36kuq0ZtQzsRYATAqnuVueg58UDcJSZkwSmXRNQeDB0Nj/qoAV+yB/uqORTd2+rWu/A1Q06ZG amPVBwPo5ARSp7RnRTp0hlBXGseQWZGOTwpU7qTma3OYI1ddaXB5vARE0SWjxbkkF2XpIE5PeIk/ 88nbiflX8OWPpcNw64UvV1cNJugmGndN1gmqqEXVuDYmDyivVZ31DYiwMLvkmCiS1UrfwhYoRsHV qdr0INgHzipuX+XI6lrO8nPM1+3r6kpPhp2iaLOoH5iPMyaHl4M9Zf0POjGQDg1wHDP37AAwb3Z1 1Zgmw25mk7i08w0/wz4lfDlU5tXcWnwL2ud7u79xzio/zmqRXAx/gd/TuIsvdkoCJVI7Stv16C/T Zmnne0A/2kfQSgdY/3k4TnAITQ48Dx0DpgS9PAAn8EAtvKt7OMaMrBOy6AeeJU5eJPFam0OaXBH8 srAdzUK8ZHYEFftipu1q6WMG6kgHCkfp/czEyPQg6debG2mKwnZBJ/GZsxoM+8/pZ7cOWEcJn6rn dclydYYIRQVk1PQseuTEDEXqajRzpLjq2NN2LMht2GCnMAf/unal/hatj28YR4x8ipy54DBsLIti ObPdlgAoFtN6rUEs6Yd3ysu9YNu31vxBBj3RDiDnEHQsV0rMCQW/ymwUwOKYCqSN9Jz4QB7S/QLU qeK5h0k6BQtoXXaAfh8nZBzeQoJ+J9U3SpVZfYJOw2ajMTiTS/YnnD6jmja2myrO4wGtmejMJyNm Z26cJ/Z/U0tv22h6eOhq7vq5MSJs4XlSh8wkC6LNuetkCxLyscYuPMC5yHHQLj7FyaMYsALOOkkJ ROenFfk2xqUdKMh7An7RHq+KUmjjwzkAdxIjpr+sQqVojQlzmK4nGnq4idlJ9aU67DnIbzREJ8L+ SlhjjLrUqdwjudP7q3NBrWRYPOkdWaIv40ZO7p6hjgMbljqwn9sq70RG9r6YGHaxTjcRDQ2pfDcs gSUP5l+iYFV7W3qx5OOeNKBUEtOc4LLGJe9PBPPphJU6Sy2DgQNYv9/HdVM4qT3t8gaKP+BKzbrc e8nG5hwwulaPmu82+xRA9yd1Nj7l0YNqxk29IEbr9jQ9DknGCWNzogoluzm5bYzDltRNmSMdKfd/ OyOKU5kQRopr9BDFnxf8SoIkZyGNaJA+mqnRVWfB97jVjMgdqQbxpEhbcTkDX6nvNrDNwpe1Kye9 QJdDexMdP/+KlMBAG5ZsrZcWg5qoMR5oDHoHiYZKU7atRTLRdkPR0BGHiNFsZYDB5w893BrPeyGV 0JlJ2HIh+NSpF5dIs1nCetGVelZ7iml7wZmPuG0FsioKMaDc6YqPittgn0mIX1KT1BlL3nFfRZ44 4s2ShXy+5kprvbirMwZSx+/4zGqSV9OX4LyVrVfGx1uqudDwYKB21HVa9PIvj+9WFxN4I3YZzhie jogpRyKmx9tWSrrt3OCawHf5uF8TBGzw9/iAsiz4nxY/2G5A/ncVkTBuBb7EgSfCrv8Cf4DXSBnN pSQ7dHJ/sDid/he5b9yNoErAP5+VcDafpWe1czm2ywEQZBb0RMd/5eHcc2XhZ/GwG5Yb1xfYQIuf YsVGOAqHQIQW0gXw+O6S+twZDq2XcMvZ82xMYaPxC1MaRwOBZbY2X74Z+iqECLBk1JHm5ZATHNv/ +EmitiV2JOKgUWJJ0vxDAsCcqUP1OlJuMewb6GzCJ69vqjMlfn4S4XAKT+iru1wN7OC/sZ/ySTD+ XwC156dVw2uZgG8zK5ysaXbtCsxkLPoyUY6Xa4qsqIcN0oaMBstRgUyx2AI1R5PNFq/cnlqUHAly fD+6XWsa62CRiK7OpMExVRdbbqbnLY3wo6TtPQLKg1mRDiv5Q979W70nth9zNLTN7VLu7I2Y+q+w 0jluXF3/JDWemy/XfgdU7w1jSrIs4fgfwaTcfDAwggmC8rrb8NzhqVoStw4D7DN8T51qAqUZJV7K XElk1NVnc5wTMoWaEOhixJZcdb8aLE3TyRftj45ABQmChDaQVl1YI9mPb5FgJ0quwMkSb2GsJCOd okUjCRNeRe0W/MSKtNnJ259PLyTTCpkyGtPbWsRzJ5PEDbO25RxXKrb8dd27V+VY+lEtKOm702Dr GRulXv8x8slQjky2+W3sNbH1ZS1oQLvkABtayX5W35iIspDPxJR3DXMYFx3u+KQa0n1ijg9H2q4c wnA/vR7mCl+EhbWfrzKVomKDQ23lqpJ7Xyxu6pp0l5fVphAdZxiaVryVYJRBxOEd8Gtxmp8oA5cw xm2qo9zjEGnz8TbxO1SpaCCorRIJKHn9SYIvbxzzT/kTqQBZmYj0dlPPCMA9L6E3MUD0cqOBqEDP 3hprKEu5BTD/mspKpaUJKeEn7/llgn9tneM8jyYmfI2gKuhp4YM/2+wVnCWP70q15mqFCEX0GUCD ne7Y2sEPg4YrX/mT6xi1vU5WM6f2nllYIU2cxgEgmWdF6SDg+P47kAwMSwxSHka4l9XqPBu8ljhL V5h/9ipiNoSKmrK4pewm0gXJiPy+VGkIAJ2wcfp9ABW1ezFmJc6p66RnwIJ5TlcMqzUZjtxldQ+m Xwe5pT1eIu3VEcrJtL1NCX9mm1Bj8/M1lxCNpEMtFNql8tys9PYTEZUblw6i1++uOfng5dwgb+PY armfMClq/jMJxtrsxfusqrjmgW1SIHMr51rR0v+0TwRr3NQldg5BT2naA6AJ/7ODHXlKamLhdgP3 x62D+oprBkAB7IYx7dHFYJoKFY2rIb+aLxHpKTTtLvkAEHsdPzIHYZUOOKDlqHiij6N4M0v/B0c5 R09oLVjLn690/opPmux8oNMgaU6kN2cFyXiw9B4fY6d5VIOZLVmEPI44bRiNmKbaXO2ouo7Zwvxi +31vg1CH+4as4UWO1/GyruQHyAJm1shriqkMqifU3h+vH7xpZTlRPdjdPqcvtnOboDbO6ybSnuuh 8I92Pi7+89m7l7hn2Nr4UaHIfik2hihSCP/ZCrkFphNzj5Uzcb4xx7lvR5o7AvMuRv7kQ45VdLpF z23KLXLutnagFDdngcV02yMizoiAX2XPFBsDSA5QtoidQzRbD+LIgjsWJ5eB9naF5HvRuKO1pTAn oH5iU0VXdmEU5te65vZH3mzGq4j6gqQNc5bMmg1S7xHMdMi/PH6O6LN+7yxzrUqfrnE79oxsumJP 9nFgZ3lVJfJkHktdCNqkPXK4khUVIr6xmdxqcuGM4oPKsh/piYqFaSH8yHtGeGYXWxP+NQpousTH DttH+4zpOqXm9WgQPwEAeMup8tktq5GYYUUdHPw8OX9i8wkgAzQcYMi7yGiS9xVSxkf4c/GBzjvk XeEAacD6kFZcsxjC22glshQ8Zv0tuIOfibKQSLqV/jutrpDO6XXzfej+LEChiWAzcCKrdDy3ZLDR /y1hn8ykAr4YnLEK8A9ukhG42+lh9wEyVrzOJ+EjsAPURofDyHgS1ihwpO1MFqkNC74gx7whwtbs QQgh1REVsV8sz9hlwTf3sO80XnA35zV5udLZ+MEf8YamECp/Vi22eJ3mWE7vR2WKCqEg1vXykNYa fmnoysMwBWKHl40aXv1Q9q7DvM1D2JYiF4RjCnyJXcH1di+aQUce2LAfBd6VyT5e9AW8nbLJ39v6 ky+kbhh6ehUvIFsKF42HTNCqangKPqpD12gKrZtD553DR4Qp9kBOjXD79EBFUHjp3+dGGddN1YDx Mfl0bhmMIwjUMe+F92wUwZCyD/KEjFtWj3R+t493NjqBc4MQCjeYt/uquEOgQT35Ei0GHcsmW9wP SNUELqKvxSAUMAmRBblm29iN1V6Vk9XziJvgYuBCbhQWCPur4QCZXJBSQSIZ5gS3qUHtoEJC2/Dp 0X/WsMKLyQYY/6rLcjG1FEVq1R3evDVSzHCnUQWOHIYOrvC1qxrb6OlPNdCf15sLOhdhOf8+cNQd r8KSe541iDz5c7+00/blRfHG610Wn3P7t72WSGzujNDM7gqS7PqPiBXEx0AeQXKxMfkUVXMV5s1m aGk1itKIsk4B9Vu1dCqXBE8TJgtfdxtsHeFndJsx1Bcf9dKOmpdqVpXxHlZ8aZmXTyPL5w45RvdE 2erGROou7XXKIFL/eS5XfqRn+Lpc+OGs94/Paff26sPILmio0wBKayAp9xB61n9BKn92TPAnOy4R FeJCvVXzQHywVjkKYJ1sKhw+0qjLjqLDpcfhhyOb2Wrpxon165dPs9icGuH07KkQMI0IXKgZg64Z O3uItjNSfCuXxCH/D4HtdonzU/uA5HxUKL9mTAHw7tr6D08egnkDZ9V4cYbPMQJXn+JB5zYpQxoP zsI4Wvn1U6OPhlIcXrnhHYrtqrJd2HZIEuvP8d3AMKgQZ9iASJf1/HTaQd9OxSlxO1uw4uo2cMtZ 522AzpanwgaAdpkvu6LzgiG1dzcLJYc7VBdxGAEHRb5blE+uO+wcM8g4uS8tOPOl3tP3B5yXy1yM I7iHpidNgKFCZGuXN+WyFvXKEJXFs/ZzcPPWNEOnx0m51dxsQ/AjaYqm8jTIus5Kxi+6sdpQ8obu +eVpkHkPWBqU3Pzt/Xl5rx5itAfkBSW/JpTBtAZsUF8VQAKzQx3X51sckbymxTa7JkyTi2amHZiF BnZDZF7cikJ+5UCC/hmVMHAi35wKAAsQDYnVvAyxl4CSdLN4SLFMgCT66DoJiLnuCIDeWe9it3EW 1mYdNcJm23y/aVGzB6eAtxWJdfpq6kfkPoHnsfLMYZs6uHUw9Cl0S6h/D9QSgTp5GbX5vtzapSS0 CBk39JlZQmXucqQS1LaUVUU95k2COnHyJTq8N+oCS183dYijqEZEyCUU/p13M+l2JAb1nRc1gD1m jZmN6AP/T2pLYoM6bRmQ/0eeqxKBGLmp+zyir58ryrKJwDlHx3CMfS7APXd9fDxBSu1q8WTChfNm a5fqKkhDUYxnKzRnkRIT4I5x0b7yUkt6aCBvJyXaUQ0RzAopOSFG7AhQs+tCmscLV9aAlgUldElw Vdz+Riu+Ta9u7uVXY56ji/f6UV3Yh1TmABa9n0yG1iMsLkwuPgB/SQX14YoNq1SxaqFuCzPXAfJ7 muxlio5Zis/V5upZQTlTeJ0NYQ1YCnAGDMAA5pQ4NOqsj/eBEa4JXhc02huUByFvAjfilHFMl/3J O+JY+kz91TtQ1mmY74Ja+YzAiJefZN/brVlSXdgZtaRu6thNmIdjlpd3wykXEmJj4Fm65jBuQVVZ 7QcsM5n1vXxeAZ8/4FpI3xKKMEBwujQqxEqi3b6ViYkSTxVKmWG6tMBJIGvu8WOGyPXdR7UhEnav dwpIwsdRtsRJmV6SSHwnTumQ3KgWFl+paWCsphUGk25gkx9dhbAJX6ZCUwtjd/pmda4IhgU7UHmu UQC9bMJCCSRNX9ZzbHOPCyhTnn523O7Nmjct8xH9IVXXqmB6Dz2c2tHKn1cAibItzC4xx9w2CMlB J+5hhE/l5ZHwhFWbO10+xXoLN1dDUelp5nzKnLxCvZpFqAIS86J5jqG0tY6WAsNWZvlQzqMlayY8 KRi7AHsrNy/asuy1oz6UVKhYdw/YR4ppmztClrZLJKudcqLr/sgSNXA2DNL7TnZ1kIzJS1sOMY7c KdCZcz976jSaliCP1EQ7n/UWqItEYKSzP0hDdJRNXFFEUzyza6OXmKPgXbeE9UWhtWanv8CDgiRx VmMrXS1uEm4mlBbpCXtBMp87cpRziAZxKT4O/Ee8Xl332t+fms1qrVmTiaHrZtCkgVxUAL0VX43M CW2p8yyltgGPpJtYsyvkuv1djWWiERq6Biu6h4V8z7Qya43tuRygumpMQFqHLEfTBuUCA13Eh1uQ sZ2dBbl2yWx8LDoBlg+plG5XX+8OUE4CnwCT9zmehZ3XsB5RK5kn5plHzkus4d9ac4Dsv9YqUpBe mKKr9TFTwcB647R8qDK3Fap9IoL07tYj9zQ9niI1SIAW+4ANUSl4W58HFuV3NM+XGxDGyy/CjfOf toAQ5QltWtJZTVxtR2f6VU0/8xCcNHSayXKBMR5bV6/8d/6lh3cXA/pOgTc1lGH4onW81RmPwthG 4PK9BJUEm/JyMU0gUObCiRw5HhDszgQwhPwprRiIqhUKDtPbKovuH75yzhVdFqnE6Kkkp310vh+q /Lm6VI2WpCatjQA6qLGaK6UDp5DYBvmFxrXlWCKD4EEmOO6DoFFIoNKoWlFnEoQowO8YXIjF0G7x yq2xfv+aGDWUZkbgkmvF7AttugovscM8uITmrUeabozmqiq0f3quK8FESP1xbU89DPamktJ2DeCB gyvh5zX7zcgbmodzF88l65g8gneg3XA9XKbe4yCIYPagdOtkSY0QPMUyTstxQeUKN0xWY4txbFwe h0jqoG9yAoUc3nO71tDDkg4qjHu7upnNM05Saqjel8eSZPMRwiAMYXXCJUwFD+huUAFyR9+vzw8b oXFmbDhLAj7QqDC7vP43YDEcZjDw6NluH5lBXgNwW2LWNypDCXcPn7rvhSmIH22cxu2wjzrdEdTn Y5LPiucLYwHDT974abCejVLnztzmbc6V1cNqJ1WyvXDiyYu7gr74Pmxe1YhtQhtg/aJsba9aTkTC 6cpIZ2aNQzGziMgHgS+Yq+JZ+PGkq9ARptPy0XsgMxRAtjeueojTIHOqg/PQezf6aWEAdHYTgZLb sO4FSJQPvSREX1nVNRVVS2qEJ/6YcO/0Vcw0xa+CBD2Fw0gBYGL+eR261jy7qx8IZDKRJylQqcsV us0KcQIVQUTDKOPNGZSArrjUrOhPDWra2q2m+sS/LZnoUSZo0h44fKdHLZR8SUABa/oNjZJdh9Ip 0A6jXMawBUr63DlCpj6PLSjzE/Zzq2lGcfV5j0ZKFWix+jRmAA6XMSQgW+Fs5xPvPQsMFFyYMXJQ X9oRn1OD8cgr/AChYIG3HaLdEFruKUA3BRXNHh4nmiIAJE/gM+qOdwlwO0Bx4boTwB5T2AZwBCcz Xt3u03sozxiL8JsWUmPpq09f/I8KJdp6SFZfZX2fTZRFsWtKAwwqSS80MpA986fnND1YDUI0DCS/ 6JJ5x2PcpoYe3QfutVOL34zt1shyBoN+04MZUqR0Kvm9oJpQcgwfSS9KjE8G9aTIzlJCljqzgvQw jTyq63oMQS1OC6QcRggsObN4OQBWQPJaqHiwe8CBVVQ+/3Ht4HTm+hB6JLv0ZjpDYZUscrwL0NPU Y9Z/Flo8R63bcJMz77wFVHAZovQ1eYwjhQPw90RW2j6jdOE+L5NeggjB0st7xO/zJJG+Y/RETz87 ywSZoOO+WR1ppGIZ3Paw80PGsJxgmmZ0zzR7llmGafAERsNwxu/tdXhxlATZv8toGt06pft1IGBJ 8O9A3uUl8PZbaVHGrsQZa0SpRh0q+gNj6ZPgvONxoK4no13HuZFzondaMfcpBpSa+YGDkNcqdHVE gI8dklg4GLXzlh9sXJ0WEd7ZsYbYpgKF/4vOUaMv9zxz0yxxW1pXEDO5FuvXeYF8QlZZFt55y35O 7rdoqQtw1YyHo9z0U0ibbszucI7B3MO/FXedt1n3f7rXlZx3cHMzyhjxf7sqpxcZKMcnDTVbiPxI wqiuR14USXKNHvHz0EdlBtSzr+Xi+PJ7D94h0N9g1xsyqeaDdH1+3NBkeXTpPU7v737fCBTb4j1k m43rVt/RpbvADNEejAbvVEpSZGddcwMnzBvgmLpkxf89LkYilqi+uJNbP96QQzc3X0Lna/tKuT86 r8iX37RrncHfe+ukzHIpMbbAzqbVQCfssKRhkWMR8z6wPsY8Kw01GkGmUAa+f3EDUWaLetQBZRlg tAjsuyV2gHZBucdrpKAqtFZaOvYiOZVmQ8zT6XEgBxJ1vDlrkUh4/6rMud7PQP+bH+pac+/u9wV0 x5t06F+//qgYJbI9JEJrjU8ZR0IUN4IaFn7n2sGi7lht0L2wf1chOSGInrqcClX6GglBR68J8xBr eRVSVTOuifxlKdjQWQst+vhnbE9LF2dND7YnIH76Rjt4ZBOmmJyQW5xaWb504XwA+MeqfDN6HiLv ID7lMXMXTba6En+YjOcB84ECm5t778wqtBTCsPj1/bWiqgipJpPB3RTOwGyIIlP7T179opc38Lj8 uWbSLiPRS8rC3O9su9qxa0dqIC3YwYDDfVl4ktm5QWCcPn4bR/vbhYcXgpU0yw/HDicEJGU0SilV pmraR+aLxZvsXh8LKz+XdIvxPFDtwbKc5+J2lTl0o2DFFd/Q6zKdJ4oFJc15YthPgbFScL9PUVPT ZlSjAVfgIFG0OEt3ysFgXHWEUE3rnq1QWkJJLmd7EB69wx5uVPunGwatC53ViQVMRxJxigeySiIh B+ZOV+AmsyQ/NuU3PFMh0gXRE+Z6+8cNFLPLlcVkIkYBPQPQZblxv9/2U/kRUwGLcc2g/jfgC2Bb cyKRqD9OMuYF+HP8+h4Q798vQZY2e/pN4aKAR6/DRQVWT1byaK7hZ1zhJs29mucJqrxudlfn3WYj 57w63OHF1QiCrvpIF0vpvrLLeAaZ+oLYbqHl0DQHyVDmy5hIsiMh3g1Wpft+HoOhkekCS3xIPy8b xKjhhTAFmpIRhnDfeokGIa+kbD1sJDy/s3AXDukPU3TEz13wXPf7iXyDJbMdxVIl/H0mPvU3bVII m/gyBwXDQ38o0tZabp/Kg4rJQXpLpxIgo84CWdRxiE+hEi1fBtGTVGworshSYRfQfyJizxEGTNwx M2qAoJxuhmzKn1RJzgH1ragFuZILciNFC0aLaL2OrVI5OfBlARey1eIrDj7/mUJ9D6QsJ75RCGvB xixaOGqqt9QjEhGOQ7SedBsabGUVbHqq+9oWdhTtGvOSCuzCZMhGcsrZrufV7urIFuSZTYF4s5kd 36D4Bn8evWVlq0RiQ5SnGhUG4HwMX2msVc6Vq6loJ83+mynFem/RSewBVrTGQWnrS2Z3NOl8Q/Tn SQaB1AIHzh3kig4iST5K/Qqu1fE2mVPcCGibqytHbZTXoPiOdlnMxIRvSjE+CjNf0bpQWYZ8+Yk+ LBiwMRlk7kQgnWZu0FvV6G77hNmMHDAEUgdENvlX4gC59iaDn+EUbUS9K4GF75N3s4Wt4nwM7OeZ VJ2Hu8ZEdaJLPwLF4DLIvHBCCzT2EyIXjTYgvGXui7In6pYqJUYKJaeD8O9diMpFsEfrGqyLqax2 GR1WDAT2RDI6Jgw6WP9bjeNr9YTgQleib0Ap/pHYYUSzqbJ5AKdNbi8zCYbTHKeIutY1Cpjzl7pL R8Tvyco1nDaWe9Q28Rnhk437IMI5RbAZzOGi42FLmFDnnoS5rb6cb7DjtHr3LgEa0bxcQKs0kr5K 2+WKX1x3KUcIj7o5tikvOka8e2SmuP94h9E5M6neuOE2LH3cdPhm4tu9I8DZSCNCsH3PP9x/Qvq3 Pk30D830doAhH2L3G40lWGPHHC2KuHGh+9FgXNyNYzPY7x7AlG6+A+mI+qihY7Yr7Sya6bXNK3di dH65kP6jUxDogYIpM9maljC5J3V2MCNhl2NPgpAyUgFeAFDNi2mSZOqWEQ/1QjeUB6uBf8VjOVVL Jc7gn6LGD4XqaI8iPfGzq+LhEnfpPLBKocaoaNoyoEhlvrj7cMWMoUalEpjf9r10Ff4ZYZUoGR4+ bAwonSqoP+I7M2G6krgqrtfQmYZzcjpeeEfFC6n3DGy5WsFSLpmWTD0D6aIyEKZyifXthEHkplu9 B9LUpBWbWFui3tqECxQdCBUXI0dwVS9N9froCGKnSYhkdj6mv9WCRYpVqKK5M0Q1kTo+GQ0W/yOW ZxeTgVAW0ZnF+T1XEiUhpNjEk00mGXvn3VOnvuQMX0w5usAbut4EU7W1xloFBBRJA7fO6eR6rxLV UdXSiSyzBg6WGUWoUGHq/q0LsruwMWQwtezhRfzfcCPr0oAWUQ9VN0blg3GevgufcUvAwNzeeoLZ NPjw2XS9XvDSOzplcFPp4ArbrxNhJaVhQn9VJ4fTLq7eKsWBr2tjr7ikwiamETLNjEKUIvNYoAEu GS32EhDRqEWDRJCycqUJ4pH88P6xBRAMWI/AzyfPXotS562rpBe25hBjuGRtP75z1V8F0Qz9aZNz Aal40m8ugoQRBVXDUgaU8QHlM5lmPcwYJD8/asBkNiDcRFBdr8bj8EfrPd6oyw4FYPeNNZzpVlnS hyACEpKn7AWH0jlkS2FARwPbNupr+BIsd5Qvq2Vneg7ddhMUzC5qz11lVQpwziyowNJgPzPudgjQ rZ1Kn9jleTAt6SoU2KG0N0KOMVg4gDLPyufZCcF0P4fNG7h93UUIUtrKvMajoz77+mxA/L1zOz7J YAFZ9nTDlAegsBVAumpo/qqjQAqAuUaCdsMql+qWpdmRCuBtVAKQsJBbU+nsxt0w4C233ngPCtNN jri2Q5sw8ny9a/3zkvNH74th12SJavxqRO/t6gJfrvbkQCLvUmN27F2lt5BgGQS9C9SNPmFALdc6 dgGuMOx1PQpyJ4VSBjClSe5fWVC1ukxkNKtuH4ORqCEvUDoAhR/jDI3UQ5Tu8kBda4utYOcF4wii 4F+JJ+aBZWDWtvo7PyFpLZ0xZlPcBQpfZYgRf7je8n9/DTTH9agOJ/0+qwk7xgbpLxP8SrW5dpjB xxDkq5nOIXG3NTbuU3YW1H1UIpLdxlSILoxK4KrhOkH48sbqg8NeuDO/G2ragtGAnyZrsZnonT77 Nbkp4ANF3GdG44I1KP5OhW84RrxsAqzD9xm80Eso+jPyZl+eEb2hPJ4022SGjEBJyT/0pzQpNBTj pofWY4Bk/+pAVkJyFFqk4ult5YOVk5FfuE9hDdNaUneh9KHi+10m9TpIjrm2KvX6fall6A+kVvcC 00KHaduVIu3qfxO+XtvPrg3woai35alcuE0IKsQRlyTFjeUAsXhrE+dG5TZyNCmxzJeqFGLtRziG iO0P1HqPKVJIYuRaR921W/DoDcdOnDdMQ9GNgYLSG+fmvO0rR7jyAFKoYoNOIygeDDX79VWA2EoQ eTTJShvS73GhfM6GeKrc2yVae3aNwXCEUbEBLAF79Fl3FAmjjM7HSzElz4GUm8nfUeosdXhfDONF xHXr3edif1fm2RspMIblDuMWorSKJey1Ixt72T/frawhPBkhm7Thm4VBkE5PC/HhqzTMA7AljZDa knFbEKrsoR0Fn4/dfeQBUgMOLUPaZoQxUL46pDQak1IPQHmcg6v5kRPEy0x1jYVGMf13tIBbBbBG azsBxWJobyNkCAx98LqCM3pwGAxkT/3IspNhrONtJvzvKWfGrYr6aJ6v4vv5G4eF0bMQFYYifEKz 6OYElvPrcNOh1+f/L7+MmwGmZ+MWVkKai+J53DS7lZzbeSiu8RDQ/jSUBQsq54OF1/nCQe/gz04Y edl1iEhAhDHpxz/B9unP9HZ9SAh5R+9YsH2LBHQfnf3rTiqtQKER9AHW3X7Sbtm5WG2X/+E9TH6l lnzUI62FzK3tVWNDyz8dAyDJyOMfySWjQLqC0myGIDWY1Xr0Re/UMAtLfLcpl48esWl3tUoZfr9O OpMD1SPj89LS7IGzxSxd+XOxrLufpoPXccJGwTFLbikXDIFxv+CZg89cX2cGrqnAa36FuPn8Z3Oc ADT6NIBbr0EZjeoQdD1Dk9RPgsQuZ+/NINgo5Q0ty7Ce6EUWSX7bY5Qpwz3lsiFs0Sld4yoUmcTd LEzY9WApPDQxkLS1xzCHCE7kw252rMxQOegEPM2ukZhYBnRKyLwNFecXJtjH4iA7VL2W0951qtW4 LqsfZeYTvPrB5N7obo9pCnFzH9L3mmh06FV7sKNw3vj7F4aOnNSClwaRqALHAhNKISPkabFOs+kJ X6avFHZu8+SLEd3ah8HwBoqRqLjJqb6ZMh7DU/rLFsEDtgzuYaSoZb1AOTr70P97YfO9vXEt4mmz qqN3xZ36ZL6hH3+T0IcgXxNvUZ7HfQ6pddrBOhbxfpTBI3Z4VIsOHuxVB44/uZBQSeXRp1D0p+b1 8qNYP9gzDBls4/t5crQzo3MzIBcd/x6WRsAM0huCdCExanhNnxmvqBUlPa3UdWxozAYyl5Pi6XkF KnxKguflD3dOFXH9MEYF7AOK3D4Aa/XrCj7fNYqfWHk4XJrhjHqEUNeUDRFLANPxeI7CChnZcdbM Yl2r3ScrXbtRP3DkHOMo+sIUBjkbNtuh524bF1Qd/wtOTnhnaj/atdugPspdBuBXvoFQHHoOeibc +UKA+N+Cxs64JfBe6eoYCb5ofphGXfMBZHApFiKLqqt2X2ELaqeQvQs0qWnz+dHcM145CgnfYo3V rPcp3zK8m1insmDLS8xSAk+fXfV1+TuC1Yr0P2kc7m0ACQahWzzR55e4G5xMTrKH2/UsQotVgv+s 5Dr7bPcHAN/9iQOVpxdiWxzfVTE2P2Wt4vOlsog/97YPxYlEIOQhTif+gFngs3RboJTAc+IliR2b QzbtmN8eYPLLWcE4ODwqZ0Ce8wTGfTrr+EUQZyRjTsF7TFbigxSbHuO+kVVQASL0DuNzdGHu/Ot8 lwlEc+Zp4ASenw/wWT0d+ViLEcYoOPclrITDYYyRjsecmtT7SEZswBXcb6xhnL3dip5+o+28Nuyq kBIHO7budlEYJuzEVjUrHGQhYCbeVD4DirWaJz3dgRjHjodIu5ni1rG0jUNU4fcNf9tdbOeyaLSX qgohSExHrXYQzos2cZwt8I8+pkDk5uIymjpebAtjrUTx5cmGfFdCnnJ4O/4CWcf1iZI/lJ9xxBbv rJPRI0LkN053q5enGC6gamwE3gLJwy8cUrPnsjOxXfGAWkVFiRA1tEbbTp771nOcM/4vKplFL7Pv ucDfUHoy8WEoWNxvPXBkfLsHAVTyvlFinA7wrvR/43eydKZ/ypJJF/PXteyT3dE5bl/JMisBVYpr aglrXz0ZghhRpZc0zRzmS5HAqpzNaZFe6IvcHn37xiLkesTaZvhRGwc7gp217OoFppLdqrwk1P7p GdiMpjGEEUS5/5gc9dUZhwtpnvh5MW1BN2rYsHmHeVYA4hggZvdRIZsrpQvjfJgcZb0OoBsoE/vb VKefKxjxhyrlSCPFtWHukUknqONXMhJWQTUYfgFfOyEP3iJOZvZmI9VXdZMyD99hQImZ0HEx6vR+ 4Ahrp6B62m/+63xV7VSJqFnJS9S8mc+1bKSVQ7D9jJMukJUU0aonHrPYfQPDhw+efXnOcHjKRkxN WsLd0TcQrpuHqG9By1Gtwj6cBlq0mhD/zMdKqcrF+D2Js45nxKnCUf/HF3qq70mZIQM5+45fCI62 7/DbmjmdKHBsa2JpBjnPQAB7Erx6CtLrXWK+6VGRy0EkJ+7z33/r/IUfZ6gHY2GAuXyuYLChkL8L FG9y7p9EuddbvIK7MRu2n+iWVywegwRgZDJxoFnEdpnRhm9AITJoO/5APFwRzvGONxRBTw9M3aqA HeHqkTmjTpy9XEouvuppPUvYfblS1Y940vMwYMlWUdnNwjny+kszS9UBHH+uiat+KDWzOoB4yk11 lVzJUhUw87P2Py24QvuWLDZSzIv/FJfbZabyOiNCfRDjT72VqUHWr7qx8oNV1UIG1GRIE6SSfo/u 3QpkqKjzl3qWgav5rrI9jhmjo90Db2MLKQ7I43qx6iqNjMxTAza1t2tPlS6tngG3YXDnGrWiOEJQ lcfCWPlQum99ACb9UTE0webkNWhJwQXAPa7SHm/+bX0YmiSBNo7JBlnNuJpom8LTssxijSIhT2PO GL8VWVp5WNdC+Gmm7IIkrOniiaxPasraUbXwx/pVZy0IOZRZWjXWZI1OBha+CNS3qgFVUaoG76RI arBELLIgY4nDjOJtOPxFlwDI2mkybqpvM++DtRUK+ewKDGTqgWhuX7L4yQ7Tyi2cCUr2VQl40Byd aFbJcEhxCGJm5acTYaawEyJ4xaxpAU5y4bFMqVQgu/d6RZ1XrJ+J2OHPtoGcujxjqbqQ3K/c/3ix Z3zBtzQMB7l+ULaOiF6lThEJLITkGKKUp8f1hFZuab9uz/M1G37I5UVQP4CS0JB5Qf4egPAQmGAf E7U0DXG+oypTAjV6hPlyFvjdVh8BJn86GQ4e8viPW4/eJuDUR2sCxnJESKKYOT3hucghEWZKNm2K DBH5TDF3/mguYOh9bWRrd2Ot7DWtyXgaMaF0zEQBYik/uF5MHhLcPyhQsurxGqFkHneiebjyutZ+ H95ZgXkHylQ8Op0xvQh+K+2gjY8z1Ey6f3XtW9X/K6TnSvZvorivGtzvi57iPuzDEZfYaACjN83a GPBsXtjfM7G04uGpTBvFdEm6JFJWnw36S/C9zmBfdz4eQSnpMB58kronfjrEWE0cvok42wZQR2/J J4gVRkB5rVlHEnFYA1eaQoz+2W6mnq9eueFrR5Y8UyoPhUNTzwovNbJIul26eX/cGsQIDOY0L9Kl Sk7MupORTgVkKNsLMRt1q/47gZWTDqPxwKVkHkhUZP+Zax8j8BQjr27dTelkI87nEXNW1E8baInT IO3NjERMYKYMAufpLFHjYyQO4uPompxvL7AaHRx5ePgRHwpj/xV31v1sRdUxwMHBbb3XbECoPrPl it4y6kvlcxGU3D4AdguhSB2WrV4HpNTYSEntbz03ocCvo5YnxUXCbarCqTFtoCmlfrvwDvISkkTk SXOlDIsOdql+0SqkUFplgLpRW3JGLFsiCkzvZRcCc6JDYXGDwHWV42N0xBq2U81ZSC9t6Q+Bc51r +uylj0YFA7Gji+tOoWZseMlnvnVrk73uza0t32YJ2RhDRQKAX0ZGN7uSX5v080j6xi9AgcNNBl+3 lq/0R9bGafvCD4sAVUYez0Eel5pA/H72/V7vz1HC0udrxp/pUS55HAEjBKu6UYLDzpnFTFZn5w82 rhNwuRXYSyEriwYvO+Gb55tJIHSnXX6A7m6TgG3TazTjo5NLiv9VmbetNPO0lxGCzyWUxvzenjcK rskedRARiTDgeYgfGXLszH6ZrMWwnIMvloMI8e7Qb/+/aNNjf2RBX4vim58d85fx5NEKRpVNUa+y AODj3+OA0hkCzQwBhBrD+VATcpOEwxNCxjSYdEznB8okZ173Sn0uYcXU25A44l8EKrVZK2CM/wdo qU7r+83eJoQZZayFX8JQDVTgOczL+AFlet5yRVGutBLKx61TyQVKG6ag7ODJxzjU2IlqNMxnba7V iXHbMquIJZ2647Cn4Hj2eSRRE9PrEoNt4ToSo2gn7aFiyFlJtaqLsDQVuta2xgy8n7UDpz4J0UUd FXlEU1CL8gOP+kkWMAD4PdwpOkaVaKcQUNeuwh2iu/udJT4JfQpl7ImBaqU6VTQrNajgP7EyNmqY clUOF2YRAZk4bisW7DFENqSxPeXzOkFcOvSUOyklQ0QTMym9jc9iXVN8Ig13REJYWITLiGUCDdMH lIL/91Hwj1zYTJjWDVTgtiv3mU857/n53IdWJoPeb/PnHNMvLhpyE5FnozkFNM6AAInAXeH1ajOE WfFoMyQtDS2P1YYGyIOrcyLWvoIZN/v9yAnV1qCQNs/D4YnDsdVnoWp/CJu99sGne6Rvb1l2Lt2a Xi3nmxlZMQbxR8e8uSA+uCoT7OXshCZSlQmLP8FVDYwjMJFYoda3lqqaFfMIkEGid8UzAD1+JvvB 1gsV4iaa76L9bzAstGrXHb0xZBj0401E8W/GLTjnNCyqrQEriVnlnpGorKzTrIP7don9HzeL5+gL 1NXJJfu2t9HlhVoHxpcbxNFE6W1TlRzS+buBQoPvX09dSSyS3HWJQme3Ce1p0Cj3vrMIXPz0QRO3 U48NqdSldptFBs8F/1uFmTgBNecIjEYvCaIKC3dl9RoQ8sWtckB1VVXhj5eS8VXwQqOh1IKCdUng etdqyA9wDYfTJn5z9sZn/zlIhl2e85KkCzarSKwC8X2Rk0Gze9GBUpXdd2ePMhGR89YgNR2R5oxC LBYOleIaPDmuESgT0HNOjW3iSWqDZU9V9LlU3HzoyfOAlnq3Fp2+UGqhmdjEehVYqBA09Z9d9DmI BqRMRWSx2jFQBNXKR5xriju7k42b0MaajQgn9A1izoJ6ESZIzgkWaU1nKkK5cYU7b4Sfn4efYOI+ yG/N4k9QtN6Toyh7O4YDrSrsIjExXiTBuIrMTYG1PbC+1pQ+ZPkKMuK/XywV7S20ajAUiou1IE7J AZ3gNibinnVxX2l+hEAT53DkEzpPeSRDTYGw3FEdcx+deYfi7ptwHmqRKVJblE/sdKQPeb9AQfBi wK3u+O5oGeDr2g3hFDBUgHHWEirQ03x47sZhTgHXbztq7wgB3G6M1+2k/QZfSIg5BlrYJVweloQB 7OV8rRAo5y9ALFZWcYYYY//z8KgFQ7QtZzvceFIhRcqUft5B8uqm056k/3L9fUjqDQYuiMyx2HcQ mQ0BZEhF6mBCAp8+UjBpih3QxutQGWOFMMsDl8WrIDvl+kyLqZk8oiT4HjjgWxsKg8BdjEQid7OP m/0c9YAr8qMU/DZAd+k/NIxkQxKeCAKSgsjND64ZNMcrplNNQt7jDyGBy9awcQewD9XnC1EM4Vs1 XDfg/rGRcYmeA7pLsLLVUDU/bgf3w2CX8QaaKrcnJoRarocxGrFDHia8o8vizCApQf/H36GWg8TN CR/LD4dwZa69qvDhLX+Z2nL8auaxriHf5nK7jernl4RPhgpt33o56cjR9a/w908W8DWhM41nzRWX pNan1EOBHV8pzZECIkBBuAEag+rehHk386783h0RvkPwkHjcnyAv0+u33/geWqSxgqyxlS8B0EPg WNn1OCMI8q/9szAKS24IrkGaeN7fJ/6tPhAyCjFa6Mg6udBOLsU9nw+SZDU1wZD6bhasbhnm7TYs 30dSGy4hQ7uNQYowsUC0J17XjiGJZC4iXO7IEXIGANb+cZ2FsVlBgTFgh8mAPsJnEY1RNBAvb+27 lAU/JZD2lKfSjWwyBtLDH0er7BS+ud8v3TA4MEYlmQvcqdkY7MnROZo+zW4EieJWgZenEQ5rgppY B6mUFkZxOefTEtxGZFo8Ust8KoFj/R+w7RlWgkGH5M3tbqbEhoUK8ITalGhJ3D+PnQccIdKOLfOg FjrJBW8RlBUqCXMP/NFh1DJJxa31WQeCOkbT84DAKnlaKRal7QtdmWrzk7zY1V8+WtwaiUZIUAtC 6/vrkS8EdZVnlL7g6bavSZQVj/k2O1PKHkB4mrzotAcWECQNcPEJvn/gGsWjmO7heZNy42aVT1RF 5z9pwWJbB7aFFyx4EyFm/NROAdPTmZOkwvFzNnU2bt0G/ahCYJZU0FvaejTAwNPt9QL685MtlyFD fG8xKKcud0/ycJ1vv0j8eupsgcPOcxJeWHCUkZ7VmlOePfq6u6Wj0C7WRFphjrJbvafbgSoYmq+i WXTBcdYjfBfTvDfZ8Nw/X2vGmra+zjVn7WD3EK9Lf7Ds3qc1rlZWOYcMr1ScLggmFP9z9Wr6mecn iMFoJlzRAKOz3oCuvFQCh22dM6uVeFBQNeL02ohRtgdMtQ+rOcKx8AKrHKxj7I8BUVO8Kt/Zie2u 3iraK5OglPcmm1CP3u34/FE5G1LidXae7TkOjPnw3NXF1t3C1l7Qw2i161tZ6DWs/BakqeShN8DV wlK5NOy5MwRgfRbrAhPvDcwXpcrwuCEOSfwqg7vX6ZUzhs7ZJR13Z7UrByULBr8FzqIPvAAGX5Gv 3RjjSUjF6UWEuBedKV3jcW8v7gdHh76g53SkAL6o0Jz8Za/U/KRajN38I/m/TZutUa/rYjGRZQ3y iVBhaPGkjDKWGp/1dC0Vi9T5VeJhrBgAMI5Br1m/pN+NxXe78EjtpwiJIJCRsgeDU2u9We0KiQqx WBHvBJ6gb0Zsm9JpWLBBRgjNsODZNBFg2BSFSOmesEtt4YmjFRsW8WO+sI6T6EyfQwvE+bdiUCNB UnmME7wqQKXD32bDRpSa7LEaTVXf2s5+CDMpQ4Ezd3dVe4uqcsmqx4lwZW0hdjXK1QBkb3G3G09m HYmVboKA5SAbE1icFHox5BVIvZxbPEOtEMTUDYXc8jIpTIXZas92rsfI9h5MpBo+MOcCeKz57vOR Lj7znxfsi3C9I1SJtVTdavhbAeayiae+kvlESjHzG4nLgutXt5hfI+R9T6uWstl0wO/yC8/sKMZ/ zZoSYWWGLR+knQMFn/uoJdFhpNz2AKogeBkwExl8N2SWTVEoZCIw6Wtk0Nzwz0I6ufTQk0n3yUix KI72gc+E43nKHpXcsYC1h+/N1CS0fTwiMZa5XsuRCh0gWaIpS+O4rsMM4xjrwJNamxoDy6mW42Sm lBW7ARGyx5wULNoPrq0FlHkrIQRCZXGL+xlW+DQtSB0HlTFgiTmlNxTmxKggVRnfivwyZdkMh2hO eBJEbsPXxThsX3V715bA+xKH2hocRYs5QC9kdmkRxhczI2GVqQs1DlwLXUMRqmfVqGoODhtXauVm bRYFxX+bOwZn/i6QpHlhsqabBY7i32LuRYg0mxW7jHggOcRZoUMOBLKSfTdLvDpdPZNYD2ROry9f TnZseVKkZPzEwN0FaFor64oGFg1ayiO0T2ouWxRaO5Vwdmvmmv1dfN0WzOAtABUIDCQUQMHfSLdl kDDrogaToTei8uyx/awsNnOMWtiHFIbBMFuIgrZ11upSH4uCs23UqE8llWeyqBwzHhZ/TC87Lx1M pHN8YDD0V+5GAU/pMZFjBUOW+njfsEv1HJBOGzKrO+aRvzEDUm6KLKHFRNMCLfbIblJPiSkkxmvg mMc0EKLE0AkFyre20A3AFIWEfugMqM/0WIHbd3BQzLRVxV6rFKCRXqVyYUYH77afr4aJW4bBUycL PL8A6eJaUQKWvR4YYzNCAzZwm9BPbyiFfAX8SiO6u3x3Ocejqz9moFw0AZNZ5nwhwyuxwqwPQ1AN IAwlJtEP415ytjTzKqfZxf+FCrTXFIPBqDLe36qxYjOTrApsZIAF0y3bFd0GZgQ62Zb496Kil5Yk b8ZkOa2zbnfFnY2ZQR6Tv3jlXy3BVxbt13vPuZCllDP4G5kuSicmKSOy4bxlTYvwQS+ViKRQp9qE hk3Y+RpI9sodAH16WzZ/TuN8xmT8ySw0EH6zSNq6xniwVJXO4VrbcPoy/LfH6HAAXFDRHYxkIfD6 8M0bMd4nbth6MBLeW5AqVIJjBa/4MNlI8U0BbeQLahs8tUq+JD2+wuIXSfJd3pQIxyT0BRqJOOiP KU/oENO10APeyO59oubBl1CnNHKbl56QurJH9wL4ITK0oLLk3/aSC5f6oypn5/Hb3FDBWswBoTOy bbqXBM0I5Ie2Rnokth614ZCHwlqEjA3xor4Ikf+Tqa+DWY//BLEImhD6/5o0NhcNeFkel/3lBsy5 5lgN0LgXKZHTQM3d3J5kU34JrdggjP5acToyY6zMfaV/1IdxHHKpu5/xj0eATYPa8d+V6UObROWK UZqMdGEF/LNelnBB2ZhoVWIL3x4OVgMPXWLgV6u0KIKxHwAhHMW/NDE0N4jplhkSWUpW+05SDs9d hAID/Iknro2XOixMCDBJd0Ovh6Q4gjeKB53V4g4OgLySebHLs2K10tw2USIMPOudxqmCI9ZGQdZk pQClvfZASrAhNc1xaC2HvWAirvdZBHYVOblQKv4JgxUEYOe5kLwtVAuBvYGGuea2WPaLLUsEnTCz RXgpLI49dqsMANphcVu2TLSfkOeINucTXD+NpgN6liItvCNJ30yl3rc8C+KJiDHKAiAD4fwszOJm bDQ8YoMYmOI18dHCE2dFABYGPlOkktG7aSX5eSRHjbKivjWYvXaNwEDVRQmQvUNBLhlGrQJm4H4M /DsrmBimSM3NKtDUDoH/hYdoL9wAhLb3b9q29i8cnoxQF90TTwuBIrfVjj7krKaLpguoH8dQIrFF ADEPdXavbgSF3SzYWbErFd+eFOkx0y4OjiakZedY2VDyBCmat6avO6HEYiV9HcKh4UkrYUNkbeTn 7OtixYwKC4ZcK1cUxDCHHGoy/qjx93PMstLN8CUhM6mATXpgZ/0K58V9auTo+PdfOhIcO9wO5kxi 5MpbV9c/tGZQK5FEFJ95uAkSTSYvC7wtp+1zO5AGFlSI+iV1Dxdp9G1UW8yP0Hr388yG7lJ9hRS4 kPc7bRf1kS52PBj0T5dkv8zj90Aolu+bgdbRI07KF+cjR11r6SUX7yJwDT3MsbEcfyD8WS9qpFDZ 5r8k6bR1dqOjojGvPZCp6umWSKNPN3gFkvoSY+YzODI7++UxXkV6c32DYkjisl9JU8JA/kxVr8rO BH5mn83CFkeGkXYCEMf8CFAxhlD7/AcZjTQ7C/y2moBbKryLc9ggOHSjZ1xNCe/llMdAMU84pQUS wlWwPAVdpWt/FgNa0Wyw/qveMDcvitqyWPZRvhCyCYia115+2dFBnf8ObsM7aJEoyyV87w5GKDVP npBLCXBy/8iplt8eKaJE9LtTy6wum/Cx1aymAH9vKrh0g9yOefnOsStV1OJiub5P4nTQXUCzGUGt 0EU7BbJZzkdmtwKL9tmNfa3LvuRjIjrPqJworiKqYsGyIItHgZ1jcCb0v+U0b2CuY4f0aGXsw8Dm HxpnRVRJG+NTLehAm22Tavw1yYzj3jmhTULW3f/Cpyec6WagJCP6VuUxlQpVjTfbfKBXzOWIAsLU XibUg77fst0JnracCAsjstfME3XzfgmAVAh09My9Btu410P0I2BLiVPM+Kbu2h101B0LPluPyva1 sT32100NiMLm16LT1/EiNTD9VsyFbVVKY98OSgX4kWAoGiwgRCb3SajeUVLm+ACAu+oIxfKERGvX 9xsf7lL/7FAtxzvmF0+fMzuWeh0svfeuN9SXR+Vth+b/sZ8kgUuhN5Es5SM7njnMg9LfQ2BzefqJ QHHlAT40b9joGMBP+L4SEk4nXdwOgeL50eh4vRGNOLU8coTMdoINLo0X1svG46HTB1Qwg5R3BF// Y5UFH1lA5F8EwPyz+mONlko5kC+vy9VTo8Uan1RT2/UrLywl3CDvsm80xpE6AnGq3j/bvUhZrmku ecg3HP4AC8j5IRUV553ZLkZ1n6hht8g6+QrtRzoLjJJysymttO5m1EJty9deX5VZhztttahi1GNj V7v9uHFHGDCCNsjpmhsbBWKgdKn16GqdfTJVNg9Rm8FgZUsWdAdQuOiN/UgFdw7qdl9YwDExr0z/ jO5x7HYnQP5jNDawUivW6FmEmS0OsmcVIoLQLEhrIizX1359OsEhLGEiULhw0FL00AJ6x/CaJQNl ngGzCJo4JBo3qYsbKOyKQyGcBydrIANg858rdzscqNJzdFE5zb60UjPI4bRTwq98O2VENb3qyssI lkG8R9CdnTXbWwj+chDiQBTt2ax08BvymP3TvDVs3GGnDklXwUYZCn33IU2fSBoKKUUgrr6LV5dy Hodd7GSg753Iag0ecJEKlfbG7eSgSOMixeEhgwFH6a/6ZIglG8rP0pXnu8c57+DiOoYjz8nGPc/l 5yX1jGueVTk1p2TX7Oe3DRv0hGddHjWT7u5jqIj385I0nSmWWAC0Nt19Y7Mi/dtufrnD13AI2iHi 6pS+HRhh0JcSR0PrI1+C8NzX9kw7cy9BcoxQvmMljpUreOQoLCd91BMUH99iUY6sE54sU2SMM60a RTkYvOrQWS36IMSziC63KKVjpgz1KIpkcZYk+sPdc1Wu+tKYv4xChPWuV32BYJ0U6ABWNpnF6CV3 bzV8IbyAE2DvrkRjYxzYvSIIodG+HkpNkumdpG19l1skL5JXmTpZb59+rQDRDxR0eya2zxsVjDb+ I5jFhDDp6iFgUhvlQdCqewZzQW1JL2+OnzkcjLLzA5SDjFAHci1mwWg0n5rlk1kgsnjfjc5soJ8N u0FFIplmLbQOMuWyw5c2tWF9YSM6YVEr/IqLwrltjh6boenCWQxq0tlyvGpwYOvGhCrWyHfNzdPI kdSFD5aYDe/mk+IkD05kJhSBf660UhNtIrGeSzHt6zAnVK49U0f4O+PfbCvZZtvz6exo3Mss/3Mn +LzOl6X7tgYhx69TyHDXXBdlY4ZTK0G8i8o+kfVwUdx5x3gArMMg8C6s8+k4qwu+4dCIVYoXM09k /5MhV4nZtuXekpSGCX6yxezwbXlCg9rWB61XuM8CaQI6lDfgmYYCJlJO1jutrefKWln4wzbWtyhj 6yc6Nv9X2RCHPZd9Y6iAACNWT7fl2bV5PLFa2H8V32aFKVP22jGQbQNp24FG2uQ+//OPYnr5l235 xQzy9GINzntw4/jdpc00IvF77paymu2roa/M7M2MC51Scvf9Z7vNtvGwx2uH3idnOBJwvHFeS35/ 3wamUmtZNfTe8m0akjM9zQhF7gYdX6pSKlDDN9vcL5iAJws2kbD+oaX2aGugO2FLj8NrtNprcYJa U3XEZ42iL2pAR6FAkFCphGQ7yfKrbpRKgu4YmWplBvSKhvF9ERkTxcBqxweGo7xNtsGg6nHt8420 Z6PUpLuLpq8Co1zaYngltoTVbxebZ7Msec6JvG/jje+SwFxHp7SnjwTLk8KBzJEVjGOXzSWOqQV0 Wl2v7rTaWVnBYsnNTQiJEaIVSi/R7R9SGIvG0bnwv8uzne2esYXeosv6XmGtnCcuUgzawtf9yaJ8 rNf1iIlT8dCZBsQZ/qKqI/olo6Ug3TABNRb8AJf0XtIbDVrFuygWiXm0hkS0UncmjEvVk7OiPk59 l0UtBgT32erVZyP3eVUi83KmqIlxLlLFeQAVyHRWHH18vzqFC2jlOU4y1D3IOoOfzf3BJta1hCL3 MqtAM/p7++rWye7SudnqhafWkJOoHD43qVlmH8roRmR5wzGVV7u92epPt9ezIfUp5C50fdvBLmcz EY2MLXME4ynHMZUnvf1jNVpAn4h8Bx/XlUxGZqz13z6w5A2eL0Q+gnG73zAO16TSwYSEOXmUexLs 2I+K1cbGXnaDOB6kcbEQJuZAcc6oE5cR8YKgR3ktmMzPJFNY9zhZR2Hmu71jnW0trTPqpPgUugqT +e1vxFKFLLft8BSjLZsszeTJQtYSGva/5oX7NX/0y5BzQe5bqMOWa0oIIqXaJNNGXj4xMZGdd/G9 PcCWeEfM07TcWmG36U9Kb9gUQS8AwYpX+0iaNFc0+l82Xmfx/AQX2paiCEKd4PIXf+VUlP5f55Ae sby3NQKI3iC52UgSeoVJ5v6CnOmiXq7h9L9gFaqCXP4XPB0PH6F6zuCUkGoNHusb/sUHjwE7i+TL RjnJSIEPhEMsodc015fBjxTH3f4RBoO34V0q8rIBn6f9LrGK/QHB8CBPFeaHa3LtrtrzviNjJgmn sw18IfISurBYk0z2zoHK7vP2A6cKeiaHxrQL2TCvwEj0XH7+f5Z80A4peyu7eCmFLg5mfK26reT0 QQuA2QTbl3GM8Lg1fwlo2xwykoekET2sEB0GDVwweZG1fTOFCUclSym61zXqt4FY141ObAeNJSM+ eWl284GCPAncVLv+xUXUiHLLtNq02XRD/dV8zHIn1OdkLF9QEVJby28D8ExDBuQfgYhh4Z/3Yi8A 8VEDwAVwdWl1eRwCrnuZYPgVQ4LIofetRzjuS92kxxueTnID31yHA6RfLinv6MqzmcAoMLOdv4t2 JR+4ul75KvPv5iyF9QQtrZma99hiFjBrZwqxOe03L4Tr2fc370PAMed7/dPBPHYez2UEcdZOqNju RCTgstzn/rfA77DbK5AnrnRIqKNBAFINVi7LqwBd10+fkfkpO7Z+5NYlBktBUYRAewfpnT6UTGpP eQVsEV88pKFLWQI5vnEZHIFOw49YUJsH71ncPNWB+TCfp8gBpB0Kr0RRqZfxSe703RoHYcpWnWQU nBl6dWMuiYtK9V+a5CcTk5hhwQAw+jgvV3WCSoON/RZZASbZo1Xtco9nn/3hGrEIsRSV6bDZVR/l 2XCgjh7dGJuuFOeT/0qE11fLYDGhRaqoZeLSRSFoS2cka3oOZe1pP8x1hQNwdNvHOOK1weaIXwvZ OiDGpHNAmzjluunejh7BLdKdJrZUtElwRPn43MuvPqH27WMJ2+2vjUD7Y/1PywbY8pGYwNg9EmAK E8jwAqKxGX5SfwPQs+eYD7sa8tWD7BuqW3Im5rvaNKLwvC5MsXlx23nRMRYUY5e8nr0Ayai4wWcH CSDy+36A3B1TF5J0tCguoXi4W0jOCnqmRzyx1mjPBpRrpukfa6XTTuCorteVSrAHghkXMFmtrlP5 250bOBASUEBPFTLfqCcOLx5RGoVuvTn+90/kBBnN1jrHeqMnftYG2iqUE89/GmlKKHPDj36yGbKT QdNrEldyQZHZQ/MUwrde0PcOgSHA7xiu5tzxDJEvOnKgZM4sGiFYY8gJ7KKzA4db7pLSu1cVlRaX V1j7lgE0PQhN9MBzVVZ+HVOH2ybuErMezqnp0wF8bu9JiudNclhRWqBNGIWjQcbbnPZvs+gui4k4 HRS1yGDM8uwaK4F5ECnDHbHjqxnCCB+WCIXKc+3ajr3kAhhQ/7mz8u0nruXZpGE/8tcntz2JY6YK RQL0OcvwdgAPtiETStvMmFtkNcvfbtm2O5zEj1vOsBEIRmSBi1NqDshiLQHvKrL3kH65hCqSMzc9 pcZLAc/UkkLyNoErmtJ38F8GyDlxDKbqOkF6ou5jOo6nM/VGZhydXXW+iqFyOqOQGPQeG0Yucb9B Zb3lVCzJGdtIE0eqTX9NlV34Y2SYCW2Sp8ytZVPmZz+UYttQurWrupME+IAYA1y7ISApDcPV2EdE 368DJMqQawULuWwF+aZI8LY9eAG0CHtlqxoKEifLNs3VIaORe7f/3dkVS1xDqoim0WGVJeOREOBo 3HUmsMK/uRWLs/fQcIsgnVEW3Cigy6A68y50ESdtLJ8hcXssf//qqLF3YbHttLtOQZxIp5M04zNQ xLgB68punz3jBQb+ZesybXOhCfsxD2ckKPZmw0wC0JAo9DmtpNuVAUrZYzVAdyGa/f/CoRClYrax s1Hd8Hbl6ZNTl4H6ZpnaLmaZUZxED/TB5PtbY02aqBVbJvBw3yfYTqnHOGVmNHLFkgVbkMt8P7wG xaiCOYuCBiEXfVuXyNXLsTghB7vWsYE0jusPYRDq7hjxnsEaHAr4BEccU/OkHn8DpW6hTXQDz+W9 ArKzQSAUpWDjqIMIP0jbqRlrIrP2m777mYyS2cMIpnKF7rl7S2PUFSYvdU2n1JVneb4lcIrx9nyQ ZFt1SJPpLWjBSwWN4e3cB8x4BqqELEH09YiJBWGlGtlU3YNNDNZJJA3Bsi74AE3Doy1kmkB1x9e1 WE3MJ7pQytN0qJKJeIrNESMxeECQR+W5ZM7DiRSGSK6nkFn4e2adfj27iFVLlSRzfyFJTnd8BUYX LoBg+5MkpgtbAQFhUA7vvEh8dj7PaojmEFHEpJjPdp6xf2wptDmbuPICxp2zJZSSQCP7yyafOGp2 T4p7f+Kk/7x/OX+hbceYlBuH3oFjBdHc+bkqPN6DjWoBJSg9S0/Xa+c/j57WgriHhgnI9EObM8QU PrG8+7EMOmdvTrZIvK5Buk1BxCFuQjaqhBd2c/LKKu+wdR+VJcGYBg9zkS2OZ3UV7h1ei5mcGbB7 n8241mHrod0wACvc/ZUek+WN8ynYoKdDJMWDNw01JSQqC8S9zF7jWcRjHSEGbDRoKTgBwUi3NXlV vXjTrwUa3IL88DZ48qjAYPJ85vcPe4e7msEbD+lVBqSGVOuGxhMDGkaesODHEX3oYcAhCMMcwExh 7Y6Zg2+i5ELs+VQ8a/QgmXBBedmCP2RIWi7XLo5XdWx36qRmwnh81eq6aw4nnAPqitHdpChTK9VG sA56PjlLZEXL/72UhHwFeqDJuVxSZ1hBS/R/6aFHPP52B8vg8diywTIFWVmpAluqZ6yH7iqR97c/ wH7+eD8xW7WSzfsDiwCuPXWvOCWkm/TqGB2PYzaGdt98QoXbzqOXLgocpPVOpf/0z9ZHMTJTeU/K 7eXnWDqujgSWxWFRowIJZlmzwfPQLfrxKPZI4n9IXYm5D5OrMhsvc3sr1oFHy/2A/CfnLngvzN0X dbZIDpFv7/K4e9Gfgk6fjCLVVWgRD/s8gDn1qRSh/8rLBPtoeUbVL8FgrhkRGY9UPNL4zTx8fwMG Zz5SYaJGHpa6OTI8qZVnEswTCRdZcwbSC80lyqI+D5MeQ/F8fi+hvkKIbDgaPaUG7e4iL5cKYkfG qzy7CQq/GzrNIpmjukj/0y4Dyy5qn3ZSXBbAqKiXk8HJR0lg0hAavdkIsKSfkBgN2MoaF0gfSHXO L69JGx/FdafOzNix7z967VF+navK0/xnT9Lrd+cVqUS6x/9YjVvHQSKjh59UQD3b0xyXLhL2ohNE OMAtrFHamnLR319sPSW6Y/t6V4lyUpKjNBpTVNkFoHUCrbQVSC27zNvIZ5E5Bzlv7rUyxftXHQ2P XM48HWAm+4ry4oWsOghVARizf7XqIxbFcn4WdqrpReRbc2+iDLixOALgrEqLIIav2H9m32mlmTKg 6keILpqPM7pbLwTtzpUQmM5JxeIQNxEle87AKf7xCgh6a54W2TBFMy5ttPxFFhlke6+7suaAklsC UhRV99dAIPXiLbPMH7PZsL/GUEzY+l4jXO/ODbUTyYtWKbMq5D/DMo7KuLWYdYeAiVbvWRi6xzHA RLaME2JfV8fTdJMSuAA4nrK2xy3nycvMiTYdYDCD+jzjvBPsXSwhiVzBeJK352bJChoXyFkt5776 mICBnj7g6jvv17YGq6g7U1TrfKNxgBA+RA4v9eVMWWJVtU8iBpPpEG5FF1C0iV3qnsFjIADSmOn6 3QiE5noKMTPW908CBGmefZbhdZMWo/Mhn0pO4/xkh5P+/EXXjj09zKw9hI1Xxx2g9kcHpIZ0g0q3 rSuZrhkWdB74z+ShwrPa/7ZmRJBJjJeckcn6N4k0fV6ILmC7kNnlDA/LqK1Eko4plZ1cB3G6iD6r MWi+7LwjYWv0iOgEMktehpwkYx0BcAvKryemnhxd3N161jsCJ0Kznxt0fEeApDbx1zB3FI89SORQ YNWmKbR6r5r+QAiQ2em2+wBtBw+Xy01aX5uq757hBbfALeXBSBIsznGTYSvS7X4l/GBzHYjvbFIE /XY+quKzFewN9W8nP9015sNJssQcgvvE5CCPL52DQs0z63PsJ/Cmqc956L8aqyz5lhlU+veUu1jr gs4VaN0nYPtfsEf0PRaK0QK9UBWtjCuDOCN6QiZZO74rbCne/heClTRMk2Bg7iDH00LZIUgm4z6J tk579DRwodlRG6rNmZuq8/o5LyCMk1OL0hqRUMLM6Nemx81gX4BKcT7f5OMdAMOxmqmGMKNegzjh ci7isNVPv/eeaOqN9NcQ6t7ouaBjyn21zkXuDhm3bdiNAQ0KsVBxF7n5oslNLApVIkK3gHOkYWA7 kO8bdKJewrHpwa9sqTIgXQqH28HWoGGGvn8CycjcOX7obs+++OcIBWP1iMaqtoks7qaPhJR6gUaS 5lJqw7TnG43kfwpx0fbqnx5FCDlrVhQB9D0o0NXeliJ2P+Mi1k0bQ1eQ5+zip7TKCtDuGD3w3hz1 Z26FE6ZxjTTho4yDXZsPuLpBAQBhjNw9AUwkfuQqdxMtAnYEDB5Xh/cHkVC7C27aDM3dxtxWLfrf g/1Ep2VBEn707coveiKc3TCvOdriuY416QGzkx2sZ0HpiFAZng8M4tGTOzHKi+sABPMaFZiCuLQ1 KSoSq9gWn5Fo776fTob3I8yQk5Du2rEnyjl4lYHhJ2cHilhwzaeBtSN2PBCiB/itvBsYP+nMMob3 kbeluQVZCNsi1On261ThM20w0e/obbzTxIGxFWqNtIYX5lnbZV/GUHCpBiK8r6eSREVcztK85ALD tzA5s641lREXM+6EFiR8xT+m5w9dWYM1EmlYPdAgG+TtOZX8RFoW0/K6GaF03RWw8HWBjYXLvO+4 gj+mRX8jYeSTRmPj9DVA1Ag7frZ0VRM+yjfOWlruWQWsCylmSENZ9MoyqVbyR7Xtp7SC6zvwUMTp VvV50BabN88hS0fYFGNCXsnMmv7blcZlGGnPZliMNQ9sZzu6e5Kr6mbF4liUL3piixr7NMpmyGYT /KLYMpKZ5PfX7XEteB5C+BDD9XhVBO4GLI2QIE95LuJul7TvaIckh7HQ6cHUsUFLf5er2UeAraWE 8/rcsMibOZYGOQHzFpY4lGpsthJzG/nJkQFPf32GHoZfrexdpYsItSHe24R6sPN84UKa5JsD/bn/ 3j8pAQA7Np9ehpA61W1P9YOx6Ndy5Y96N3Mm6W4gSn/c5zjHT/LsFKZn6Y6EmoXKNDBQAAFubRkz a/6+JiIdGbF4XIo5tUF40rPSf9kepZNlUgY25Fn6+mgNp9031XNVhBaPJu1GBw66dJodWNZLh7gC NKPyN0HlM2X34yd9LDp1AAoSgRoY9kW1tAcDztq5otfTggAgPYfS6fcg5NkQXThx8bi1uNjd5Eqa NZK8pUttnH05v3vvru3xSLnZCCOu+tav7LlytWq+oQACpPM+arnEBfoA/izVR0d736oZjelB7hfh +XkLlguolXK0KrFTQMYm6Oc/9bqaGW0xNMCprV6M+MqP6Sl9yZc3xp45Klp5qlquHFhxatvszAkt mB0ScsGpyAGqHa+1MYV67K3+JVdRDIYGErQSa7sDIhgwDhcOejtidKfL+N2Q7Psqo2f7FIYBMS4u Q3CZPoNUIgIzz4j3iOH66mqRGRrcKZN9/a0h2TBiWnPgVqTOrs3xWBYwcK0F1u5u11fDlzVxXW7T PCMNBLvW+JJQfRG6IdsBphsYTXxTLx7ZlY8yzRWOwxsiBci5ZI8hcgMu80k3blvAYWBbtIUW6BuD SgQOcYirWzGHXzvHcfNEuegzwRU3NsyV4oPEAIiOjzZBR2lUeGnxmk7PUJSN2ASJzXNhvvJEKRGE E7nEwqt3mkguTRjnDocfPHC/X3obuYtjfbsRioQDMdZDADSj/pcpcMYcWl82fUi3qRVNQER/yzPD qVBzRqmr/e+3NPad7nkWS+d59IijI+u7HGK7toPuJvCJ095U0ppPx8kCTkQsPqkHt2qAPpVYNcn8 LolbG3BzPztAM2Fhc+Nx6CloYd4rwzSXQ/Y5d5sdop5+kGxX3fWxT9xvFW8J6T5oYEwIi6LIToR7 xuyZ96w1EMsMNnaUz0o5Ars+JzYKCFnxHhfSnLfIlhtuq3/g5zMMOuS2+y5Ssv3rxmatVGV/I1c1 RdE5laPF4xlWzh0glDQgRCX7Ujsxt6m011PP4io6RlQlsnc+n96uwVQVQE+AetV+PYI5NY8iWVPF wwi1rReXZFzac/GRc0wxN47bJd9/yfbFXHh6lm2gdvU96arJag78av0l+771zcfpZ3J4hs+B52iQ yr9hs7KvM99cLyTXMsVGYd+nO3eV4ybF5+f/2JQbsZkvtbBPOvWeIg9u+a6ISgiZLWjMeqSNwYbm snLCU0EDJ0JZeX6Bpvmh5BAuC0QjwyzeZ1RTMdZZJTACpgfYvPNKzO5lDIXrdJkJZMwgEEizd9cg 2+1EXmqSuxBY/WdSgJaduG69h9s0ZrmQMplBlB/I+h/tIgSXkGl5QI+9vcNiKV3RQYqCfbinm3cu wOXnGBxA3WX100/SmbLbMCy2Qjsh2rhpDR5MGdxR524MojyGSljLU60IWfGlq8Sm/wm7P0PxZC9H anuONf02YHSubh0XjUd7WFH+rEOjx0S5ZLf/dzwGhVUk4FgrlKiuGTAng8XPIowvirhkw6VHctby o1uITvDLBYvtru0/VD9OyZGOpfunPf0OZRasQxhSh/V9WQswlfntGQ6G/BBMJl7y93qpZy0GIsbr sD3xF2YKW+JohCfaHX36vYXxQwwMpohsSD4TsyQ3BjOvgcgrFeWoModrSWPSP90rui0TPRdy8zbA eabMzXHWgskP20RnU9XApUWd0g/MLzPUnjDhH6jeQqLbEm1txMc4gyov1/XOzdIH6cQFt5P6yVme cYZcKHPfnQcxGff4ajjx5ArZD8Px3LtXgdyLca1wea0BiAl5xEAE9Q59s73mGZdFGZmQowWjCsBQ i74+1fFhCQqANulBXCgGzy4gr3Xf2nFpJU5Wjck0c6eRynKi58PWaKI3mlgLq0G1TtdDYrdw/c61 7d/8ykw/FMKKXNAofleMHOW1UTD/A5MMqLroVxp7dp+97TFA6YoWHayzBDvBYTwUWLmGk5QLTG3L KzrpO1lN7YIQMw8cK46klz2p0YRXaSMUy2clgSrAUrKWw1x1JIP1qhc5Jaz7kpCSbNJAPkWmGUMk ZhmttBSXebhpKe8aC7WT+Prv4RyBqmvBvBFcejetqMvZCrJTAbL9/EZ82nQ5JBdHC2c1AvVrIKu/ RxtCGjXSpB9tfBc3E8yCzH2W5OqiyLy53uHJNhH+wBh2DFC6+KWu6axEmpP/vB981EouFTdCI3fQ PiPc7UqlNz3dXVdVWUEww1fQn+UdenIsRrUzGKOk+Y/ci1+fehe5n0vO3xUCppF7aDuCG8alKGrO ANBItGibLsbM60h2hhYYdlfw6FcamxK9dgdcivmhwdLYw0YF0lSabBGoo3SIBz6rdlGd83yfqCIi vHWpMCvlSPso9rj1IDr1TUWHxHOU3A50bxctf4B0jb/Jlra/oYeaTu/AM7+XfXHYfpODxAHRu0Au V98H8I1RrLXO4AsaF9emxFyCrkNHLIDUyxE+KKrqFEsDMFvdRGRkxG7vlQQLOYbwfnjX2c5WgHP/ uab0j76CecI15TTPqGrfeP1GGmSk+/oMfTctvSjAHJ47jQhD2Y6XT/LOj5aiyTwviUFUTZb4uplS P9VSPxeqfvYpN5LeXj7ALji/tdqOXO/mpzIUR49HM0YTeQTuwN3GMuMc9ShABdgQkXW3WBEuPRM8 GWEONjjiNhqoMTH66IGT3RcwKnoOEJKjbpeflkEUPkswFdUSYAmAU9VV+TiSsOp1rnTi4zu8zeo4 BArti1jtG5OabUA2grPva1osy6M9aVWQze9Y1MDUv+H+gIz+yfKRFQUeCzPM885lOMHZ4NxzyLDc +0MQAbd5acy/c6kxKE6HQe+YU+8AhcG8HzX734XfOuZx9FzQSetTFdYKnkWtSzHXYTl4taKAIMH2 bsSyAhCwAqumoZ8nLmHEoM7wYBgWIAwmYEQLDkdnGnzrLbS9XcO2NaZUQjDDlKqi3V3KU6ETreaW np0WNmyVTtSfIsKNJJJS+d3Q1aRas0LRO9tBCboIFAdQZsCd1DmcqXzjZ2zt92EJL0UFr602A58M eavXs+01SAYkx7Qr6DDGagGE7R0RE0MEQvh/EyBggp7AuEADGY5pZarj5TgwDd0DhrHJEtSnMtVe tNxqt/bJf6Qyy8SC9eW8Vz945T7XFsSyiH66WQ4DYKb6p8Y9kMlVyYrYzRv/lhDDOkPUfmSJ0TZU dA5gSvQFqVcDHxidE5TJ+zKpNQu9BMO/SC912vg5Ast73knSNjXQaeEk9/iIz0eLmHgiZMQCFMaw 5gWZPB0671HMExpCy01syYHeOFK9Afu2pwzzIx+R2FfQgG5aQfKSH/TJM9TmAhxXdV002czPn+cq Wm93Y83tELvkpeNxvjhtFSzOkb+bVzs47yWgga+9U+qipvnsR/TuFxUWCbZv52NKGRZwSN5cfvhF sN1qNHsb/3QHDoa3ySWHMSsaV22YwCuXTqhW2JPlsROzbekulA4goUeiXJOMxc6JG05BCKqhvfTg FCTxXgI7UOqdOb0AKbYhfJWpfca7rLhxIJ6wmDWb58Y0gUaaE2Ur4c7zMLw7s8qdAIieWBl5rTcy pKrutDquiVCSvDj5fjbiXbCj/kLczo2KTvRQCDJ6z3dJ4fqcobOh3RVcv1r+13ds7j0+F0IVdn7j RkFz99JqgQuRTd2X7T/jF2/ElcR3DfFfbRY5VqwYipuw4OA8r7VcE+TuxfzF1yu08YQYZ0o05k0z BT43MU+AAh/3huzR3UTrGjNqpztuVj0jPSqQVz2C34S1QZkWdrocIW4BNlL/0W1Ty2K/SOLsUiFI j6qo5OjC7+EfmZVt4eLUV8GI9ZY14+RFC3hr5ZK275C39EgwwSIilKMI47qVChRqqpAhhlVlUFMK wWql5pNAMm2eSYKib3aK6Ne1srOzbO5I5rfIbiMSW8CnstKjDWmdjxXDBYQHOmtEG1N5pFJOE40Y 1hdbewKmM3hwOtaijb+COFH3CgWmF/BiuyfP2SKpklMHJnSOQhkUca0Rg2ISWckNiGwaUCU7RdBe SeM/SOhtnbrU1y5rikesHzbRv9D/GEGmzUPuZUQVyabw9f7TglxmbU+N9IUb5CrRbbNa0N1Rj6Pm X3k1S9XEJttc30TrBv9eTGUFvKbjkx3eC7CAGl6sZYoCDT0gmrVlE9DPWRBcoCfxQMy2UtMql1hT Q15E7OVM9cDeparUFJ2A4Hn3p/J0FLUlt8FEhN8ykHt1hjLwSxU/vKVU6I+W1BbOTQLr+2nvympz wqnKwzTE9L/zxzQADGd+DIlXBF+k3rSlbNRKqn39atxPbnm3vnex0LoKvvsO+eDy+J0cVLKUcSqx PfrWOujfWtVYfS04KQKZ7P2ggc+GAEXqbbaJ0rQsIMIggbpFDHL3UEtcjmqxA16vwKdWiAGnXmD6 o++ZhX6UDajkDB0I+6enG8+t2oBD1EcARmpmHth6R6WasixjXWeocG47iYZ37cGUaDmsLb4xLVfL GrlRcl+zr4XEZIBqDMxQZE2avG1cK+zWnV4Iu6vZQcrbLeTu9m7wXKvTwv3Zw2GuOjmJeipoZQiN NnywuTdBycxoXlwM7aC3S+d778CVceCgHhTRWftUKe6lHwMZjk8OmRnrdWBWauvi6YP2Orfrq1TI Vg0HecqmRc1lk7R1mB+Z88i46YiaAR6UCVfqJ+SdBCnV1hYopQOoMAJN4cGVkiLzNLmV+P2EHQKP zowgJ9MFXjH3ApNc0qFbhvNtPNVuds0y9tzJWHpNreQMDYmlrUBOwdbA1TkXtvuXWlmcledeG+Wi gAzkZ1bhNI6IvQoVbWSt/OFF+qb3ucltQE+uFtsvfU4zTuyVCD2yOZbAPdekEoqGpL+tSLWEQshn 2BOParQCv1Q7txf+6eqzXskS5MAdDeelXO/r6eyPKrxFEowryaLdpmrWyE5ZPBJ4o3BLqnl4UC8g cAB9+ZJRxIq8tk/jKhHHVuVXpSGhm14g+CSRZ93Z0bWGUINHSPAmp+RhZkVLJPze2Qo7gwsNzUke KvbLWfyR2OONxu1eoTh5npbS4wMoWlLKPRWIB7L5Ne8cqVh5HpLEtXdUy+GH83nryCr4aiF0h5NK HqA4OgytmXj661g4M6UVoxVOxiMElmGCx5DlyxNoYRvNuzCvYvgViraCCtzDf8WoQloQnfvLWS7U g8Sxr71mGNl1DyHRMA7RC9ev3h/WAusqDYxXRRVuOsiwh/eehyFkiBlpTlPJjXGijn7/zXRNGEDl amntoVr+wJ/TfYL92myEJvrRXRwXe+XIVEXADlrs3yS9A99XdIZGu9No38ofCHVcCAGgpCdUNcey Puvrfifwea5bSEAhvxUiHr8EGcRXxkR5HR3AOLviwbe56QaC4IKIO6pqD1IsAsk/ctirY6BkL2IX Mb1wV8LwTaOhQdd+YDQf1lhvmm48x1oasPRZVGTQ1y1s9l7FOC6IuxvjzIVfUNoCHURulcZn2kkn UZR5BpXfbhhs/BbXBLvYHU+cQojSL7Z+eNu7OoeTDmiS1LlNPvE6FWslOcEUgfiHR1HqIyxY53Dw Gre5p9zo9NeGez0KZAJsCERhBTBjjC/CrtPLSeBzcYgj4cFbDvuGxbV2WgaCAVsF07R+rELcUrbZ Aiz+21eVXghYq/sN7wlE5wrRq+nz3j1BjeTjnMzJMQMNoTk97Ia2ukPmtji0YVZKcMNqc0Jk9ycc IHtB56Ze8YK0iIYAW17PLVP9n9ZT4SmTph+quDDoSrrWX2IALT04nTIqLXaQ7rSTGGcRTf8l6Hhn 1OSFXNmms14BmqoMBjLjQLBfaE8FH64QmVXs2ycRbbXv3hNLJX44qYsagK7TtjfaQh7AOGyZmers 2YY1/wD3yBXf09GFAqtnAr1ibk3r1v/bkWkqA46TUMK7cU9+cYJY8sA2sL4krl+tM/32JfatDEdi HahIGnigUnxiQZYL1+s9zDYutsS3bQDiDq/brIMTU9lVgWrjXQJpteMQ75bG0cW51QCV0etSfHE/ CVuy/hLCN72regdBdr9601luUcrYbJVf0tSI5FnWLZ7EfVKA6yAfaa4lAOYFlOz9TyscX7l9ZW3g VjOQl+XuFrw/fQXoHzLYLBWDycrL1tgiJm9k6Qpl6qdrA3EDg+8yfVEHOZ9XV8jP1qA/BfPgcxBY 1muQMs25xJrY3M6uJSced1p+yAn1AeXIIFLaQI4BeexqYZU01Qnal/PYRd+mq4VGGmUnepg2Uv/I EsdtFxZpbbSRMEqSZ+JC8IoDx6azh6RGTdt9lpBnn/CA8WpseEuMmtFDTvo87ooewR8fuDOdZqXy cMISssuI8QsrPYevouC+DdJVWUQqjdamfNmoRpqi8oejNb2vlcKHAsotZH6OiI4XwniY3KQ3uBZN wyUmiHA9CAqrHCKHiasAzf6PbXF6ucHA43hl/N5nhW69DfxXyvTL5Y/ZqO5qE3GbMpQhnvCno8aJ 9rtxLRCdjFgPSnxQKw5ygM96aGb095fZFtEvjcyV63x7+PswgYQJZ7X9+79JTZA+75OHteBh5X9j DNr/Cb+qprlSxibYi4Nq2b/YS1+rR8n9vbmY36sevYWbKVf0olwelteRTNQEFfKVAVNqZKymQarS /aZeUknAAjswdgjpWvFaBqyk3AROf5q1YHmago/aIOHcDCYqdkFC0YIupvenGcs1bev9hliKGJcd oqfQDFGxdLD8eVhLXrPQ50ObzYtYG91et8hcz4FIQKknr6EK/WdrmQ92S35IGY+S9ou0ijI017Io rCBBbwjpNMeyNQwHBgnHfGBUdTETT6GJw3xO4Ea/zvDCB+xqnx5dYjAwataZh1+etEttldVPspAR o5yywFdpwW/eGaFAeOHQCKcEcroExTlsCl+hidnW1ALasdQMleI4LCtBSBEtR8LpX84QMh0Dtjh2 v6vvRohiAYe/naTSDYS08l6UKAUymjaB/H4xwe8ybiayU+M8PvkcJMK655LRlrke9cqGD+MIXDWJ QNATvvW2HIsDaxO1PwuGNTtUsVaSHXiCttiuGC0E9+6CDqu8VMelMl3YVZmcJW9XpAoUutqa8pKG wAQNx76O/AmaHIU587CJ/DY9cdFen9OgBvPsW9L9UKlvVaDjeFCmK1wdI/ZcfDEufnPgmLM2FzNX VdCmLWeyICUFoMj+qgtFjTSasaDMYAceLBBD7dsyS/unld5W/uJ9kBR0ZYyCs5QGcp1tZ92S+FMl KCT92Tsb96TnVIBfUutrsCLQEHSfl+Tv/DGrKVeWQ0JuPdHnXFu1Rn0IIy35RrPh8WwolD5EXHsC Fn3BfJT6ZJWisbdgHsn1F9MBbHMpEfUevgVjuPxxsS/Dn9udLoUO/EkTh6vCWH4wYNIU3dYFECEF E/STvGn9KXGtnithpFa5QAUpAoKXnwTPFVypDn9tIquitYah3xtn2YbTg3Jz0qQ4H5nqKoBo6P77 KC9snP0bV/tWrDvBGOlq0c1aiYZ9zmB35dU2VdCirvzehyrrvKs4j7pU8USifUsBecflVZ2Fv0O1 TlJ2/mHwar8SiwSbWZ2xbdM0XXBlsBwCNOCWsYRU6azqVkFOc0CH7SC1t1slnkTsubj2ZRT5j2YT +cxYzyyT75b19/lcm/A6CHgrCuhpFZ0nKLmDWVjFyacx9uEwpfL70U4frCOEzMgNwdzHkzGJapOh h9bfwnqi1mWVsrvd+w0+RE8Rf762PsPdkggFvvGnCSZMz+sHH+fFykeZqeASzM7515R+pbbMI6Ec AXMuU5ZavLjiX+Vjd1S/p3DffAEy01LCmrjy6/a4Xe5XAc6/+cCXmykE78radSuEMA67tN2I9psN TbM5ZIMRuGDs+K/19Fq1Ex73R/m5cCqW1/NZ4nO/gqxM8B927e47gf10dhTS4Dee4QKaoxsctut6 oCS3Y+f2ZOoD5bdqfKT36AGlTSm7crruEUaA5V9afPUUsb58HhoyPx+GbUxaMgOrI/zHFGbAiIsD vbrbdww5BXl2jJXetXFyl/GZHfV68VUUlM5BtJjUGf1VWhd6sdFJOdtAbPnxAWio7Rq3Qw+VIolW N3HGF3qY0beLWlDB7kd8OucHnG0rNM3Lp+QabETooDMUTsr03S+0CgdaTou6OSH1Hf1Qc7Zk4GB2 69RBYq1GRDOdTdZ18q14SJT0lfzYfurn2W/giHqn0w5cO8WkWN6pSUsarZpG/VGrmEW0EFegHm3S 8EiZqRHMiXs9AeJSRPLXKvwCFmyEvMKHK1a75mEeGt9B/WF45BysVEcqqS3u5IodORYsce6GNnvf DkmMIKMSb7CgSPN/AjLHdcNpDBBkQBsExn2AczRxyn6YXf0iWN73xFWp/geeQ4IUexCFXoRfZ/C9 gzHFrOfefIarMCi2VCLSR0GoV5Ba1iGcqwTQXXiIrZS9m+rZPzE3c34+7ZkqyALyXCNHFLa20kUl /Q+uoQ5dtKfkjNrUzwdr4AndBkqsgV/a+iuoys6ao7uPLii9yeYxPjDFqwprrNuB0BF345CsKKQm VIeqhqLRoI2G8Wkq3B+a8mwiBZEMydPkyOGUY+9ElYLDtdNb5tmuYLJ7q3a+WBZPjTP3cqGHRz5l KJE8uABzQlezdXw4pEXkzJawwKbPyChASu/u+/9M+baKb46Xxzss06U/o84vdJCTmuugb8xgJvrV CZvcz+t2+bby5AejIKzqeXh1i/O7spBuiBWOk5ML/z7wP/4PdyM2Dkjp5zhw5FWha6Xsjanat3qR iKoVIR6eWpbWoV44+Ia7R67ueWTyQSRqFe75cSwt2kuyMkQjB8os8UR205emDa/YOR4enVa8j96D 1Zsbuy35Ab8mOYN/7WgcgyrUVyAW9QStD4rf8MHTdqMS0LhjyROPhYfrtNvAgYFGcO3oWv1CKfkG k2Y6TGHMYz2cd9dNHMgmvgkueTp3NCGLTN0wI7ANt1oFOGaQ2y3TKBD56gnnfKbDqAaYJQ6PwklT +FN9arIyBIWVAHs3H6HCyyxMWycfk/PuVMcqM5XPGvwQLzt2t8CblybNr2SuDXTkd43mhfRtS9WR 5K4tsPobFQsplQ643IFNS8CIAN4TXZm4jDD/3OBNmQVhh/8p2Q6b2QHLq0hFHmFVoNEfAQFny5ne PIOZUAL2k+FV6ZQHxiV6orlrFn/y0KsKZtu5HiI3j5BHLB9EzIRPWNOp++dwTNL/bOo5UxQU5SK2 u/Vlmy0VnVuXAlu8pV4ZFeRBkyaIf3w+c03CdqrFNBG4eCv14VtBSOIhDsMm68HQHs1QcbHQ8pCs fZp73hLOiHFvLmIIeZIjBRwTHIGTEwY/7/Bn+63BFp7BaEpFHWilk7k1K6n0iH7iFgPatUBB8rLZ FVrQkArFvlTXj1q2njHRQykHrR7ZBF4DBeiV3d7cPEs3Uyj9mzj8gfy+svJwSHwqwOzyo0o9jc+l iHMhGP/kpk9K8Ct58v4BuLb16356exttkyiaVaQ81tpCAhqJPb82zdlteIBT657p70xOSuW3caGE ZSXBkuD3mYJfduVaLvH/Zf0E3A7SpABdVsVJfrYPH7szVjA/A/FpwoLZkYr+kiQe5luokmSCi1R6 wXJjSMOQH5BdFwdbYH9fdD4D9CD+uFVGMUXxM9ZLbes6Of9JMDjpJKGU96anbO7RxhP6S7JYZXP4 wThc4E2m7DQZu6pSKRi6bkKduLJTI8+f9THQmR9aH9Q1sISL3LagfyYQ2YyhaL9C+LVuhjCU2wPU 8tE51CoJaeAkl1j7iEsZ9UHuKPHKezEK98CEEjF5MMHiPeikNPZszn74Y+UhQ0a/XW+5LbDz7ZCt vsnTLRy+WbBw9cGkrTbLNNLMEezUYccXpgAq6ltn9QfkK3Yg8tveg2wv9KYcE9oQ5kUYEBlveIG1 CUhilRutbKpOmaepo/OuqN1lnji4ZYBmlreZh8Fgwazz556J3/NZI7O2dzJ06JeGqnKbNQ/lHHKS CcRiUoG4S1dgZMTnCAFZjnXQuXjag81jeiPG4Ql7PzuIR3O4GNevywx/wGAN1hGK6TgVVg8GASHY aswvEAK0qxUtNrg/VlghA/QJiM56Q8jDxLT4W1qD8wzREmDllBES2V2w5ED5/il2Hd8lZA1mMSZV 0FL8qAUwS2kNARlQVEGCEkAej01dhodM4RjYn0seYkUQ55abRJVgC+uVyJ+WO+7f++G9BWaRHcg7 HmM1jYTm63FE2FVJut8zc3fa6gXwh1ux8xKP7R/6zjusWce3NRURw/RhN4PNeYJe0RUMo49oTQpu SjTOkGORSB9RsMqDaot8oFRHzu1yl+4NKNRxLMewwUDn73J282UZN5t4VjVyA2pj5Gs0QfEsF8r+ 9vVqkJjDc9oZgp/5Xcy3uwP7zm8pwNSxMx6W5F4j7WfhtL6iM0lOuv2CFHT+KgxJNQ+7JV3PX9aR WtIQDUfxEAEAeYIaBd97DxXhRAoWMWIt/HiNaoxfzofbmyghDPsfpQSVxyGGM+geFjdQhks3Tib3 +ZOrq9TLkHmDNqyzY943GRrDk2gqAyc2wnPvkDUI/uqufxLX1VN4pp4+5ZLk91aLiTJdJABXCX+l 0pz1GA0e851YS2+l/HuYbwKIy1Q6DQaSQQ9I/bsL4B8bk9Gpa8KSfhu9bmFL3+EiW5Ly5MZZHEkN BsYxPEe0lSwMWu9jD998tMWnTqxMWcCI97T/1FSD6cqE5VfjiAsbSw8ZTf7GOcPQXcVrtWGPLx14 vgdenTTrMeCh9Cu3hlcq1gNyV2F3MAzSC4vNi8CBdzt2otUBAd/TGTTElLLKpdJ2PTJisZQu5tyf OUDv5HPTaA6Vt8+FFhaeg/eB66eRnuU8eMEheNKxJPHo32k6M7EmLBpiJQpC3u1hRTa3WGarsReq 0OboC3zzZg8ezjbAE8xwyJlCpKgua6mIVJDIaKcfT1XXZKFzlsFNKKJR8bqj331T1s/q+FGwYwCh XA3Y9qaTCWzBGgfPYFXdDfHXHUyeXH1WSa21+psVTHIPpKl3Z+qSjgAxnnliVkYsaJ+zHrYAB7kA QwT3FXAw/VbZ+S5Hdm9eeY3g1zrRmpH5lXtnF5VdD0Af2xqxX8654pHDt88/KRxW+haM2cwOmplV vboba2KQZ/1Gd4lHwOxOm93Z0KoujwwNRKy3xFKtlWCBGhjHYqk/MeuZ8R7/pLVXv95+8gxBJYNT waXx4qwlvcoGk3kZIF570nOkMZ1Bnt8O8JFqWb3tqs2PrQYDIaVkNi1s+S4oLXT609kS2Al4NVb6 Evrna7UClTMbc8j6uN3ih39llgkM3vNNLEG1jAwpF6BmlOfqh6FHfjlJJfqlPGU32yGroBFDuoDH eJo2+WBE+98tJw2p24QSEjMb5F0zGGK4Zx0fTKLuzlpeOl+WpXO2KPq042OwvcpzL/874k+PcfLq mLEBqLFr8QBQWE1i+NQ6D5Fu4hJmr06mm4WG9/2ynq+4gckeS78Av0nL63pzjfg3KNVnIAwjTp2d X5FOcMBUM9msTYFSMuahd4cZY0W+1voP4bK49yNx7IUn8f4qImWrAz4jOS2uGng3ZUXwsJ1PXbXM AHyeZg2Not4avD6tWvrOlpbDRT3O4qPAJ3VRi9yxejle3ZxirpcqFWQSvAUgGZo40MiYy8oRCQKW JSElYet7VPOdYN7aK2UllJtigq+lZulNdSa0mh9s4zvkarQ1p1mnoYoU/3nh43AM1AByLKtGID+c IPKyVM1ZNKOajCVKUttCA+ODHbe6t0PIApRMRHiN8sWXvZ7V73ZcAKC4wSpsvNYbhYCIESlvLzH9 izJPX8LbnYYDFHnuWRoy8Mpt+lvrTdhnwpC60tP63kTn1wv74pkNTRNuq4miSYdaU6NMA+rxfVzU c/7KYmSoHIrg3V2L2mFHd33H3snFVsURINtasb6ebs9g5t7Brrc7tHVc0+MNzr8d1Uuh1BtEANr3 mXxM1z8r9AatS2CNKNG13nJ32dT5LybwbEwTj4lDT2Ad9ahmpZ8TN8m+KhwbyN+NO3UmkaB9EhhV 4J973/t94DVtsWI3UiXl1K/GeKJtH3MzyNarSEqBo8HHt78lYXMNbe8yb0Gxrl2GuVyXETXqWzOu sTkfWM/pVY2MCC7MSluMHIOi7KEeflHx3bPvYtgw0Tp+OnemMImXFiDr5CkdPr8xFJBd0ChmXJoO vb7AXS048VkGBzBYf/YqL7+X0KKAiz6n/NtimuLyMP2qLevI8UP9aZIoZGY+Q4R56W/qjifSrm3v DqxsxQzNFRcBrFQMKMLVHoTRAKumPFqTrysdjjb5oxSUX8bSiKOlS0r0ykewW0QcRNpb99Z0Kxqs HxgcXsZk26oBicynlvgwvdiu/szyGP20EL8+rgM/uDP+CGN9bM79WTF4B/iA9ZyAZPFoT8nwJ2Kp ptAHHHVeWOXRuz2pSFe9s8VR3k34YH0B6Ln/Yidr0KL+On8KhdVXpxyXKb1qyMsClI+M/w/Z07bp bxj2qlqViq2EDIOuDARFn4FHBT6khWVNEP2+0dKhqX8tsszt0QCmKD5jhQgPaw7z1DbRSCcEbM3v yzYivoQY5z8WNm5vsNdnEs+OTinxzwcxWzZZ/f11islUb9j7i6Ci9glKttRg5bmMcVO1WPc4XTxW bF219StBwr1Gg+2EdUV7ZC7pC/X2Uf7I2/35jc0K2C3l5T45hy2Xhz9D2+F4zOaaKwWji/1D1YXJ Z37shg9dA6wW7MyxWg8ixwh7yrCYferSE/a15r8PFYYWnTq1iFPHOmOjCoP02NgCIfEJjRyw/szw +PT4fR0LEE6Y/n2WR1yjPbp2Y0KscUXyjxzT0tFG4E1rUbSS/w+9YhpV5I8xLh8Fphsji1pHgFvf KsASESmd2SdmPPK0CK7yJw6nymFvdfux/JXPhVqS7AAEZx+NVknC4wUDQo/+t3+0Ips442xXcB6J X36F2saaIS+i+qSTrQMGGXT5yEQOq2bu9KtjLdse889Qazkl76a7hxOwHV2cS7iGnYPtlmp2A6v/ KAtcSan8kypejFAo6q9Tl5oh6ajRlXzOOFNUeTiOQjoaaWnhB3LzIBOAGOzlA5zhSAVFlFROeKOl 8xTv7HFiaHTioKJWl2ZYsv3WrKTckPEBqiySu1E50bxtrvXYzAiKHnK84qimqKPQ/nHHXPHd7rvr 1rfq5o4bKNACXF5zrh2JOf0NIazgKmQJLtYlTqfAs39kKp0Yqr5vv0cDlHhWu2qGpr4koyTiQj7Z GWEysHWXR2l1/cdEzqzU2M/V2NlvrnKYzofC8cF0s9txMQTTARDAMt4VB1TPQojfRuTMjBPpatxK ogvTeo1oT1XdaBjGX05tlmwRTx1ix2XO00J71vvm+Xz4tMlJIY4PAo052Q971pPZXjxe7eqVKnkw pUwjH9FaWZXknG9n7dtat9lALwKS7vN+FtdpB6rYQ9BqTqgDvaygzpoFhiOO0l3f91ovF8h/9Wh+ JdzIp4++FyHLXkz6XctmXvhefM8YQPfV0mkrFBd4peQfMtZfcxH60vzSZKy1pMjlkzvRAV2yo+Ft 8V8IxE9jksg1Q43WryRVsLXjUdC9uHZrIWljtkk6iP+JoHvFtYfKUHZHwRgEljpNqeo+mmgc2Wa0 BWz26B40H1Zobm+4XAegoiIwm0QcWX+m2GOCqjk0r9zeAFdVYSpq4JXpT0iJvXsapfBWDdT49FBf r8wBDXx19N6MiN0TJVPPDa7lCCwtBtwTUg3MvpvIqSA4UHQTGtfaUKRwbH1aCN3OYkRfAQhIIBEP 0Y2gCxpcG6anNzlId5akrZa3pN8S6BTAxekJBMXXkPlAkxuEJTg//u55yaxYYcLY4oQz831dSL19 0QjmjmufHK8xCjylGtDrGIbZ2ahGalJVcfJpJF6r1SI4LWvqpiLBewyi/VsiL7MS7SUApDn9uthJ wSaFqzg/zCNg12W64khBTkJNT8/KlTFWgVi4ao7oENTVMrNX4y6PG/tUtH7FyZe4dTv+ULeNCikS P4vmkWC68XcOODKXM2DYFVRfONk2VdZrZOMeyznm/DXqMTOyAzUkK1/7q/mNVl2D94jYVLKv4DEA fLdzlihRlj/EWpVGA6v85iS6z7sm0ykEOqOil9//0LW0ZumaQsCNAinLxDlJyQH3PEcJkpGkK0ib IR7Ip0sGRSd86dXofu0JIBXyqx4/sN568rHP8lsYPMC2l1InThRxqeaCm9O8p4QWwmvb93wIxHki NJUyAoJz6DAH2xOgQobRnvptlkD4+0ZvBAIy5fxg/wGCShfz5AzW7kINp9KluZJFU6kB9ZMTLWfN bDdRLsUmrqlllD7V7P7J/PiB8uOvy0ScOd+uwNI0GhRXADw45GiUI/JG8nVqD587Y+r26jOyjvRd ioQ+j0p8GQYquFhop4s7Nj8dJN69ZlcS+MpiBn3PlWw12p40xbATKGonP9YP9ti3gjBemBdmflZL kLC6nbYyhU4UOdK0c7wbpPE/efR7s6CdcUEW6801d/mg60CAGBBU+YPVDsMEbT2Jj944vU+FpsXd sK9IDY0mMYeAxVYfw6YI0NQn3TgU3ycpXes/k3eQC/LYX18BEPqACfCkAvlpsowfIs1oJg00nx3Y tO85aJd4c49YyNRLonpUzDqlSmxbdxPwF4mkosxh70+vB4vQ2uZIqnGgY/AIX44gtcCkXYtKOVpT +6unPqA0K/7MzFLmJ43vdXlV/LQJA3VB2CoMd/vdgrXxrdEJGs3UL6Ab0LFPjQE2ol17f7h85cqn q5HrrOUW35aTestmg7UmedF8kRc0XvrnPDyJTR8m13CVpCHReqHBSs3SJ8Ab6RRQhSOudXZC0ISs yX88H74DrPegajVY8nlMgR7f9Gyq7KOcfdjzKLOkAyBbPewM2/PsS3dWrdKD1SXrbVt9JNCAizTU yrnE4NFmJDdeha2ivVMjeiMze0ipsJ8F42jNiKNQo0UXRnJ9XJagVgGE+X7fwx9CeJq32KTACDrw vIpEydpzb14zSqg861SYLy97a3v/C+md0f9W/Apuj98zwA7qop7IcOSMCO36W0t6qbaeQy0hJfY5 XsPUN5ObiUDI9cPMkNFgkmGXgnPl05uSsDVoSOCaVuirCYifq0TzCBHtkY1YiYtOeDvsfORsnh8s 3Zba0tm7akh9Q1lWOlM4VgjiVlR0t4Qo778mokssopU7fDLygoFSsuTYbM0lYZ7iWo5IWaIH35CZ ROs6eVKesCBZ+QXXNT9Imu4+/5FDeA5ctbNXXlRoHpPL4tLzjJkwvJ9hXztgAfC95OP2p4uiIPWV 3RHyimDcKfNU77y4xz/yYLbdY1eJ+PbWhmkM2vE7usoigWiPo1Be7XegrE4RaBYby7HVbe+PB5iT JF3glZusJwamDe9ytscTt4zyxYkTalkTD8/TTKEpK0/QQns0QtUIHmvJAFscaDaY+Yx+mrlO/5uT taB1g3XhJe07Km8JrsE62YFapXsWiQL6s0CkP8uuhQ2h982+sX0qb2E1m/kWdTmrIZrIDR5rFfjz IuM/w1Lh6EazjgPFRo/lz7HHYhwCEUXA8T/UAnb/DWxZwKUqvhZUW4R5Kk6rNgdFo36hwxPT3FtB 0CGVkZmjVNY//a+UbwiBnJKJjWxqf2STqJdIoomgqxyB5xn5VHnPGOARhKsPOOINHSCo1HR7hdn4 4L+EwCkvJ2ULlRAqEsBnB8Rie5oZDulzOV3yrwCB57em+40okKcBxfj7uraMCcX7ziQ+0SSHY3nt 4scQkqSCWWBiAtF4V4tUbmnDvwy/2mcMRov1QbWW8sy9xXm1xoZw7DgmrSBXvB4gSYpUhRK101eo LGyWezqlZan+KJH4a/njgw3q1CqQtnTTCjVaJY4jVxTHbNWqZf93ZPDxLycDHmtsxpi12uzFcbpo NWUpHYzbUY97Fdy5mbhj8ojfBXdExxbld0j65ZGr4vx5Xy8hzrqKO55HVC2hYwoLLWWm4sllTvrt kPdkrWxWrdHsoMyxSRmWcPfggH7D1N3dRe8MGPVeRUEleCRpx3WDLHzb8KhZkFcHjLuAmI/k082n wj1VGRnfDSsYs9YWqpE5a+Mx1UPqiZWFqZoiebqotdq4pYW1IhILyndNzqfn+KfAYBxMhKYVyA3T PYyKgWUSfnYHF6Ypb/ptoC+/M4PLQxFgi83wHNB65cEj1WphOJzlXz4Gufe7VHr4/RNNUw0EQ5eu WtrnZrMAkn2tbLc/ay08ZdhMDAICgh7S7YCd+/07F2YyY+rWQxIMjEF4OP9OMzrxOeiC84uChiGb mTdWc8TBz0ib2l+triBMaP9fo8svtq59SgMqQTsmgtL6aw9qDyGx/Tti+a/4rVQNc2ygHHbnpxo2 W96EVHXL2f3JDqL9LMd0+lB/7XrROqzQRitsuVCa5a/8JWYeGjahibIYAdhHMvWJWYz8IX+l20tZ DF6qW/l/PalQc83YN03WAjxK7jRCeuPvyowKdmc86G5qf381L0LU6JxIOBitglroqwm4FXNegbM6 pzQhPCKP6fkFM2w2iAcHJEnk5ZETEZQ/uWfmVVmIMDtuGV9bkRxZTNh/hJpSs8Le7pCnMs60Djh4 e99hCW2bSc+Qbc0YFoh0RpHPbg3g8VuHp9Fn42ddwpC3OV+gaIXuTrWFY1nThgKC0l6+6208tjHg Wft5IBdDhjlqzmNoJwthDPwzXjIP7XEj8Gq282HDeyNNL6XQIOM9O0cMxOV9tCW8R9JauO/YcYNZ E+IDERYQ0iXMfABvrnBbqPy+d8B/LPDHOGhqpp8S13fA8G9jlQroerA3D8jWZnmgKdlKTwriGAx+ b3PPND8VlBjwKR6HUz/XgAcJo+V40cS3l4ofuJMWKcSY/2KtiQ39x7umeBuiJl65qfF+2n7fG1F5 jFNlE9aGqoKcdqTJlC9q4KI3l+hIqsWX2TfCz2gSVlHoPc4Ux86bhWo4NAz35x1bNYpOWdkz1+SQ vSs5Tu5zDba0WP5MrPElXMYCsu3fnDGtA50sj8ynXJfqiPazN+gfwqSyg6CmFEIyWaW9rynFrKyx 0nM98RPrGiPPtrSNvc9fArblD9xlMDskk6cn8Wn2fS/pCatPQMw6wtPnmbJ/E/AIiKzGsjAYa5bA Za0npaYAfvJLxNpvk+Ltw1FnQAvcul2BkA6RotZ5ORoU7x3Z0XK+Tdr3kK4WA1yHvMtIJJgG6fY2 osY6TGkMz2sEtW0uobOFwFNaRe4oyRfbJRjozq6sGBqLo7GvKthheLLwkZvrLvYAHe+SDTdnV5Qi mzxdkQpVHSht8g6eyBQjwAWIU8oVZwqO0Ea2CEz8B8Ee7hKlxKqNkvX6fcGQ1ABfHKChh/47X9/1 4UUYPbOHd1m7nFbEfGFA/CzjLcL3zoxerIThBMYv9t8KMU0QBDPpb4HCyiQIJB9F5gft7ACDUS9u ZZ4eQlleGMj6Xec7u3vDU6qbP6kIutrBVQSamoB1ot7PYJsIKY9TGrLmr9PJCLKXSrHDi70Rp7gj jhAwocP2+ZMkZQ9wgor6YM+NxmpZSgh0PF+kIYFD/vYV16uklgMzH8O+zpKuRqPT1aSRlUyMr1HR vpjRZbqz0c9oQlnFKVyqZFtJlD0LoE7epeElaXFvxgBAcSfMzJUVVXkqmi97sl5zFJJ8SSMx6vud WaZOh6Vz9wXXj5w0+eGoFXf/8W89IDre6qG5WNKmBUbmAFS/hccliQ5L3pDG3/Ue/crCLi331TTT bZBCj0BvQZ9B+pa9GC1+Lwaa/PJfsL+RxQFUlKhJD53j/3uxhkGHeNiavfrATAjaUcsDrogkgngf 9PgChrYkUh3t30AAv0bdm1AN64sNyfqgdInd0oYwHagi1dWEhFDpHqqtdUy3s5i/kWCtxWOdB+/E fJ99WRF56A6RR1+X3AyOQ8PsTbM1biYbxuHugEzXtvLKdtpWiW7wwfvGifgZJQfyU/UgyvshAt0U XAyncn7PxmK0rnUY0ZhMd5Z+prrglI2UpSF0n1WMS/OgrsCkFRu6md9d/HXV5PbJrPipexZQC8Ek lYlzFZq9tB+MwDa1UcBP1n59fHmwBWxmCoTCYnZuFc8C1XmSdwT9Nyjjt+VqoiUQWW6+ynMR0sme E2y9/PM3pIN4YP5cTUgHaXqHzlN7xrKGCNaevfnCQfBU9RSe5P9Ntl+KTcuSwM46niqYQ/4XMZMU gASBj8CJeAPXOm/gVr02xsHlqQJdvXTSaOYykMxhVpoJQgaKrjcvhQJyr432dRdwOn83nsS9+F13 x+cKAjNYW+Wagan7cP6z3yGrd8exqfFP8+Va8J+i8MEaaR0kahJoqzfQheWAwARydObnfo8ODRAg 2j0md4ZSSiZs8WLBamNpm/yE/A2KNfNGtHgkmfcGkMB8/xQi5oW0H3yBvXsIx3+TmljIwXEKGUQE 8BmlZBaEVRTT5WUgK07T6w1/3pqvkpYZtpyzHJEf0LEesPB0AucHwvJ1vMDHQJuADL/RhcFXl2Kf rVCMTwq2flnABwbBOebxbZCFwKeqEM8T0SrX7a6DJC32oaKgUzTP0Eqyr4jcz6O0UfgpuMp3WOwd a4fnRpUNebpvz+QcAoUkMawhHFht0nfTA3p5zwVD2fO8h5diWybcbxeojfBRYGwUB3IwKi3wsIy6 Pl/y5KtGVecFycYhVeL7uPkK07y0hLjWktL9WWwzVFbAeBEd/9asOrgcfZ8+6zCToQUPv1GjEqed VQR/qKEhRcrq68rYe6/acRkb4BbUhQoJ0c5Yq2uqCtVZ8EEC0q/n651WxHeyoC18Rve1rvReIdkG HXaprOe9zGes39OTUoF4UxDaIqTFJopCknV2AzsCESDcs9P09MNlqnOxfQeu+mx3nV3PfWgwUGJm yejA6sMu4QV9gp7OWoGvHUOx8ocNG5yJlkI95qI0ygib/O7CA8oaYE2B3d8IdDpIUQTSyiRhvw1K MpM6zbWFBeO+N00OWAM8nWr8wRDJezud+Z7OZcJoO9MDkwLDMBA83QnZ8Tt97UxhJDm0QthehN8T h1bLbJ8FM8EM1eGkUkvkpRv/ztLpUx3qRDgpJy21viwdBeVXbVaWeqtankxnn6Dkvv/m8lxdg+6U ysOb44WSQBuLgDFF4k2mXRYxdFPuQiZDl8UPvsTeFkGLjtwSSUr7xNS0LZj2eEEBwnLLX0T2d8fn De6XMvoy7Y83ocG9791om59DuGCJgQBDckV1i7mXnsj+nPR9CxvJH1Urpsw22XXkAg88bzd7/MPj iTpt7FLIDKdoiYSAoSoMxhDVaN/gmqf4wi8QHjZEL3LRG6pQOY7qIkadi8ukK8Lcg+L+kxDPkn6H ZIAOLe36HkwvoDv0IRShJ1XlQVMDeQSSr/y9zFXydm8U80vxnGjeMDbKpufX7TK1hEK3gRyRkO7y vOQ6T5h1EZN3cuz+ltyBiLIbf6UfvhTYHsqFME9UEUNyW9/jnWIAwpRms1BJpOroFmNR30wYoI6s Qhk56UjWxNgu5yC82SgUsfNTaJeN/5K01FPvepIXHswRrMb336dUou+E/Tg4IaoBbl4tcVAwl62a eH0eaUT8EHM8iwIj/qBcD6vJfXWLRDA6aI5lbsrBHhTbboPEt4unGdfzvoXxLtjtrhYfkFkMCyuU bXf4WFoRByWJ8W0HvK/nLDKIA1vpbAotjciisr271GqUWdHnTvAhmb82SD0+iDGGrq2jQMvqgAw6 Knopcf+X3LnRtYB/rMFSTiqtPDScH1TrKlQZmpst2hGCHhnZou2Icxs1l7R/PJX9d6pBrMsyZ4fd mUn1f1JXAf7vmt9Q2NWOK4flTtQP0mPua3WMIvOKWi9gX2v4XcSM549eFUe6IA5w+JdW4SI91ioF 2ImxAEWJPbdj9Db0RmjL+d32G5PLR9OsvAUGAnFKwYF+vfrlRmIYFRcD8Jld75bXNTy0N/c4gdgV 3YpwqKvy9Sg6s4GOxVBs3wjdzRCrnpCL2sGrFfntE1s8YG5Z0mHyTzS2SXRvUHmJQ+kA/rXFGNaz Hin3wONSdhPlJ99+hhg1z5Whn+rKPMXQRbH0iC8LFf8Wu0AkEFsRNV0TRa3kZaSHAx0Y4U4zFqwc DpXZZZuZDz1h66YChcQx64AYPn2zYoSrF7JGpcwuY2ffA8LZgfUPKR/KUIWnJYcl1e//fVX3xVD7 xMEGDkrTUKDRbcw0FKLd0UUtWJw9QlvWNlW9d/1OQ1oEXvgVMaqlrFkH5sOiykfj9kJuI6uXifXe RuipV4jP5xn6ADKSpeuw0vVXE3JdOdvrk+vXVXT6MnqzvmvDd6hy6LyUUL6JJTfL82riNuWYKXOa fKPe+nEXPOOX6LQOezVQvbIrDjoQjWmhHFme3DwJKTWBOWWTxZ7nFa1vZ/O0xSnv5GWCXLILCF0X DDeTOwySS2j/si4wUU0TJeQv1a3Ls5z4xzruI4JVrknLw6G4ybCdhIYUfBL1/jFtgV1RhNQ4PymE GIJb1sGzkNwwLpp1/nJH2s7gQ+Lz7hA1gy2IqsT5eQlXHGpzogj1lDo0E29BLZ73DsfukaRmNOsQ KGJHj+W71v4Eez6wM6U3BENsH9yMhIVJ2yCvkHcjbS/JTCDD6lDL8IQ8tWi77ejvCJGEx0OAvjBg lMofmrHk125iXcVu8A7GsT5FlG053TZ6ymPRPeFpj2gcjH3aYJruTzEuxZ6pfxdV/PAtZX0iSQlu 40kWnaiwdqkCAAw0kAvmittwcknGHYMJqnLNO3CXdhDwS86IjgcJGsDwBBK8Yl/o6xpH0ynkNI0t 3To9+VA29YbgRqWrUUiYbCWyzhBN18b3e0ET2FnPW0yxLGb8xlO1f8gRJN5moz1mjq8ahgqLe+Pj eHnryZzOLMaVsIFG12XrYYroYYodq/3ZjsH2oF+bZMsN3ACANT4o/gfSsGf19wfWkXP9PJgR9vg8 C49r3yNxccgfS7UNhrsr0vH8xvKKXz6C/Yfa/SFQg6JoHiG5XZv4QbUpXfO8ZS/JIdi+lgBVMH41 603k03sPVO8fkt6fnQJffC0iYfMPdbqZd2fgXqdYsIan9evH/2Ywz/3pKhr6wPgIRz9UMLVdIcVS NUaCh2c2b1r/t5ZntUgvrLk7Eu0dJIb+5+BsCQK7loeA/GFAHHdKmo3GHJkVmBvqQ9arxF95l9ET v79GMo/jkiltYQ8lGjiAhIJYVh7efDI+OyzxD0UO2lHbK8NAFKu598hsQgv/G/fA+C9JwHUmhhnV qGZwNkwmgi4bum5skNT1STqQkP/CORdNSiKGD6C7ZKJtjP3x/xPhR6ykj8jYifSC/W+PbQ02SjRg GSX8xWqUTckCKJMb8+mgSF0rdV/RWmu+m0UmBWeGXnKB13m/m9d/V7GpDGfmC9CgexkNyD9LBIAZ 1aZVRqE8jmNDVfqQ6Bw1jnF31OIAxEQuTMXXX7iRROXhzOSUyZontvbeSpoqyt0Ruz6RHEGv95Vy hGd6BU134Tnnx353Yh1BLdxGc5OHzOTQCog2MK64DJcc0ldQ7tAPGpdRlLkojw0Tc1xrYZImFklx x2SLP0RZEFsxATbgo4+Y/x6iHO1s3BM4/b9oY2FFzNxyphQePy+CuKTFhe4qX1k1aFgKnBm6IK+q 9j/uXsd6YFZVyQlS90xgXMcoS9yRSGzXlGNCeBM1g7rahGLdHzcfsLYbcZoXODd0T5Mn10ouAvfR kMKC+spQ+WW9YGV3k5ng/Tz79d8sepDMVWKK1Bbe2e+vud40w+HaAk0hpzUsARQn4AHr2ZpH8KNq kRPceg8xp05CEP7huqARWS6XReLb+JL+HHugC1110nbp5ht8lwSOAZaOreDzgXNkuiWTmgnL8dXZ 1zkM1r9U6ngaBCv6+0yicKwo+Yz8WmSmR7YO1yiC6oUr2yHl7cbMb128eyWRjfiYoNRuX3I9c1Mo T8SQhc8GSRVfM6gbrOdat9AhbXDY2QQPVo6uVQ/zwvs1amfzMWwsTJidWoTRW10lfsfQ66dPpOrm UGgdSSKb/RWDNTz0NyBiDYMmla1h2iiC3RJ6B3G9P98MlCPGQRiIcOgVSZUAY0AkMgzNfY9WfRs2 8i5cAQkf8iX+WyU26ZE/hk1CtPWjZy6lYZn3F2tNGl0y6GYynSjVIYm8yeeZfG300vBAsM5vghPj iJ/3PCxJepmXtropogGDtHZ+Yvyc6wjWtEz9+MH2eldf2KYQZo03BXrmcffXGAmmQxtES31JPiVE /JRzcv1FiPlkXA7j8Fv/jcXeH38w9kN+HWmLt1lc5kM5oqNX3GhvRfGSMSZpJjFZrGpH5XEEowFX ZD5fZHEIYfg8lDZ6R78hOM6LcvxI49fF07AKf5S016uahrD2yBn5rJ9oglHBJNM6vjTSzq1rdhSv aOIxJYUuU3eA1JXeI2CLVQblNAnOocq8rcULXdF2TwBXIlsozuqEqUQdLj6aX99A8kSN5DziOY5A 4r9eCQIoEsXuNTvnWtFnAqg244hjFWwI19JWXYyOFCgW+Of2eqQFUj58tkvczNABp3wGBdCuYy3O 9fxfhBHoW/60gQTcSRRFO3aRh7SCp304ySdKEPgG9yt2AOKKV9lw3GzKNz+KD9kqOOKt9FWYukkX JBbHmmyrj33hYW9PpqLuQOcX3ZW6xuIvzQohTFAzXopSU/MPqpL/zjssMU+wtYSCtvMZommgHtmg uJXd0VTjb/Zkq9Yvi+YQgWl6duE9g6BnDVY862Jafeg0N7PkqSqRpcozBJFRQenS1Knr3gDWYYS1 OsReeu4PN+mA9arTC/M/EhIRq771Vr6P8mEGhZZIOS7uAfe90fow/NsiCUp811UVB+mthszUTjgR 80+vNgCmZx3U61pq72w6baxJ4BUafEd+ntcKzpNyem2jRmAQUT9vg5sddO7rA+nULPKx0ilfjeWn DQbKYcV6dM2lEyFuG2MXAXoJ5dvGumASlMnIYTq/e1/7M6ldQrYsi+lcVI6BOZ6wI2PPaqpk/dIr c+f0UwNoSUqGlR0H4g6e6yLca23Dk1QCM156lBBYK8qqEgthyJQ9UKlM87uKn4wC6HcvAxnBGRz5 FkwcL7e9JA0wPx++Y3MorCOguw2Yc3LDZAe2VNs+jqtBQLc7yLBM0KuTSfLIop6KR4rpbWQ5cumG YSdiwFgieF90QUhWA7jzWvK0pUYjSUCEQgVJKzIPWfKXSbyNLE0cbC1zcLlFC3E4bzEFblhk1HfY 92UUQBC1E5Vt3FcPqhnbNNc6ILn5zrsiSN98AV8uw0N8DbHeR9uEEJUM7hFw5I15S0QnNPUw8n2d MO18zzNEQCnBKpl/8ilZcnjYYPt/4vjsCbEeLDdA2EfvnXvJpQNSbh9XbeSMCs2TBcntGrPgwq4x 1oGZm/dCl8gAWzfsVVLADWwEp/uMjQ4jz3u/VpwD5RsRT1JHJ9cBKENiPJ/UqGYDvLjAGcw7nGrn U6xqXF4Bd0yz3am1YmtsO86l45jxfFkzC+AzWTU8qxIG9Fs4oe0tIhPcKlPX/BZNZeymEd4L3qFJ 3BrnkxAbALekQXOoDRotsrVSWJMb+YQ1ZA8zU/5ApzLVJA4pDDwRUXP2QYSPzsH9S+ZmZ8A4ZqsD 8dm9EUx3wWx0tN21m8ncf3U32+UN68cXf+kSJor6806LJeiCZpCKaE4Z/zSUbvnjZy0y3mjkaUl4 SmdbcmmJ8m+tWQBDweCKKr+gRGEPkIvXC8MZMykGcupEUTmzskbI6q/wfQ8lxpxcgWHlOzMspLkC zJcEn4S8YZC8lejlcfntKCKeSD3+5IzXMgYrIcXkXWs4OlWYS6C4tLI4kLmYA3bpK+vHbdffoTv9 3zGc+v+4LorHOGbH9RgMXTFd1Jp8JjrxMaltDTzKQ0S933GcDOab1c+607yaaIA+7w0hJ8+3/mDO lDA3b93QF1RhDVNBKvfhtn+8GYgGoMpzuXDC4XSArRnFHdrULssCFHZFKuMtrsoHeTq6ZKWTBG0T GqLLXpshX/JuljCwe4Ci0co63KBisNHf89JbMWzdjfy9CUD7BmR9ZjPfFOxVVTLG7SFnVlquangf mSfMMOqVVcJs0ZvEMRqQRRSj6wZ++jw7twB/CI5ILcvkB7aZNy68K51+H/ibauGBRbtI44G72k+n KsiswhDXKEXn52lYxBQUjXUfOTAqYth/YGSnbsRxr1WPwaVAgrdaKKCqg/jtGNaI6x3i+AbQCvuw MBWuIbFOrgPZIimzchd0R5A29M2LYbau404cO8G421iWCqwjsXGPpKYfXbxMJNWoHFLLkFR/e4Pa eE/Maj4CdQ9lYuK/qBUuT1SwtoW7oN8OCd+v6KBXGyeperWnzLYnaVYGE9pJJwqdTDIDepG/3jka x8NIJ0BNQ/0oz8H5Cqz3cny+ux+GaedbkPUekM+o0VFVrsBFhC7m7RYfwne8xlkhf1ocMP8xFT1b EqQ3b0ih96B5oNpbHMBugXM8qxGnrM5qyFJTgJu/Mq8oEqb40BzExZ1r+GesYsAhA/gNVbkiwtIf Jms9gQqdMCFHoGGSTYfU+h3PIs1TYola9cTpMhMT2n2C6HxFU8DEvCnWfUolGnAz1gIeD6WDw5FZ Vi8UTPFoIFGMHrt7V6wYG4rXaqDHv260/Rbs0VsKTnJsZ8dCtCKyK3rUWkIYOKb3fpcQbnqZ8/Sr MDlrMm52hW/MFuNFBspYf9YhCoh4hCFfQ4C6i3MNXXCGPPi3QFPHXWSU+RrPY6j4y0b4J+CmIrRX sHhRNKdQSFc3jCYiR5gryi4/Ic7n7eXRE8F6iFTDPO8Nr0/ISkZeI15WA1ECC1HjqS2OTr+8Y73n 2eSqlKosxKoE86l+jZFB3tpxibXLhOvG+u1FTRPue4c2aktQU0yoy0N46/wGX3YTdwmMdknSRwlU OctaPp01m5ckwmCqQ20Hb8seOwXnTmnm62ZL/P2FFvhYokdglV9zfOCZEs6qfl+m6xpjTYU2vbWB 5RUSa38gJkqn4RK7Ifw8dD/jDYmn4uJ0EP4Kt/2GZbhYAkydpTHRo2d4Lvd7v5Om7tc3YHYMK7kO L963tCYrqemPsZcx1P3sqODptwa6g7rHoFiP1Wb7E4ksxwmDiuHG1hT/O0z+UgYXYyLCyb6z63js 6f9RRgIZQn+uNk2UgdvPLNO9i9AQoj2OF8JSXOzDw1EMQu5Ys+sOpRvopNBlYYG703wGbAR//7hH xlu17eqdpMNKUNqZDsP5WEHs8vK6WMd2U2hOYoVY1zFFPsOR1sAcoVsHVvivQA/DMm5TRQNK27E1 3H5Cf6k87TFRifGOfs/BfM8e/c9cyjH0DqaqdsMkbsbpFSpl6yN5uTzG/q3FixRKBNXXF0ugBCIZ GiU+qr7jAT9yU0fLvRlDYuHa9FxM1ADGhVNpbQJE7eAXQ+cz8NW0cS576hNA4j8Dyy6CABeZs4uh /Ndq+fpYGBs5kTXUQd1rtLd/Pj1PhwoUTjSEK6WB4LLvR6oI1mXisnUKv9M7kVXrlDUbq1iiBNnm s7OT2PK01UJnW+yHNAfn8p5DmrcCPK3TPifrCIH+vz6nZ0bfIq8ePo+s5vG8/W9TLIOsD6MbIDOJ XV/XAEhcgb6ZeSCADASxC7ERCipOV+lFZuFaOLmV6P8mtEfoBnd0LOYGUIh/mlk18xziGbebKF9w mvr9KATfnb22hjPeHB3DR0A3sG35gMtezHbC1gy9qMz/LqR1x3gIgsYl4kgktDhMbrCsle/khmtK E0lKLeXzWnZ/WsvZxiZLU/M033sliyaw+p+4Yq2DOIJhv916Mdo5d6OGQ7pz+2ZmSJYdLofpbqqd IP8Pdz2hqfq3PmfrgpUYr3ec+REQChWKvtqmbnKIc1cvgDPTKLdsBRZJKuPg2I/KRCysizuo7seF KoIvlVHmrrAelDVSy8OrvmRpge0/SOcRFuQtAiE2xW8FjKdXkEvtC/EpkdcCGmRAvnz3PQDBLAz5 2vMWtMG95FJe4h2hcv8nD+laTV8rsLQ8fkYzcwbDnJYL4S4RbicrMCdFl0RF33WtSD0xrOBNaYbB CIoyQup+ubjvKSfdxUoAmU09l8VdhcvPKq8D994jTgEk5Bnanv/4/wN5H2XdHn8dkzVe3sN8NmVM FfRR2j1+BOamUq2wlYLPLbbiicCxEGXCSDuJmIdGHFz3f4h3/Qx/PWreqEY2BZCFpEM7GS4TU387 0qet3m9rP4nApNWdu+dsFKCMExY5QRrBkPC0o+xm88k+gCIhh339NXC4UJ5x1oIoTcJx+HRcYgr4 //HmVddunjgG9z4K5phn99e3sR3A+EBNJlWEHM4Dq+MkMSB+Vnha+TzrYh5Jy0Ej6l2/Rwg7C31h DjO2WLpEzNtnBNvAHwcY0BnZoTPMWXp8LvMXgWL8Z7dxhUTJbGp9D070xEvdj+IGfnizcMByj/yw vfWRDB97pnMyKx74FSwuh8u5t6DiF+W+No9COPDtsnjJTOwaHBJmuvNQQbZILOAa1ksqCVX/A9Kr u66xffTbyUmC9CterRcuHB63CnATT5+weqPbphtOS4H9QoK/IHfb1uwzu1EER5wAnoqKJrTrPLrF sgSos9y8RGdEh85t3IQKhgbXxYMTpduGCmWMbivEz+lyTT2anVe0GnfUQQunNrDOmjLHIdaGvM2V ZCbgQu5wCuDQ4z0RL9xyo2iaO9v7NuDOzB3zQfl4+PuROY8N61t8ekCc/pIkvZPs0Sb5v9oxdX0v NYMZAavGwiJboZ4Tmi10qQvLdIs4fBBCqHR1WAXOlzLJ99YubY5uXLMEY5SzY9VUu6gp9YxERzrG 4PRaI3L/Ih4eZkE/aJgv/uQ2r4lLRMrGsyUvRQlnIKK8m3kZXD24bVqyOFbJOJWd6VW34mc4+Mbk jn2eRsU6N5gWzUrC60hDrHlcFoPE8wzW22guLs1BOMLw87Lc+hrHa7S9g2a3cCL/KIBb2ypr5ybf +TguX1Ylj6pisgw7YlnVYpo+5Afk6fu6v2yVjCwtYP4UnamdJAoVyWTKQ038xvLf6jX//Lq1/3jg 4tTDcQ73Dyb7pgPC64/QQ175hiOWdzI5LTqtJlyiASSNlPLmk1KYATNBkn5CjnvEtO64qs7ZDGTr Onag6q7qjX77Q0Yn+2mZM7jyA9Q/Ok9v0uuPmf0saefHgJZsb7auz4wABslTog4iR6r20kH/3QNH 3A9Pdag7CxoX8UkplOhJkKqHsGpDQHNe+6Mz4OveFoQLFwAd+rRKhpllARP2JjPaT/reh4UyKtjY pqi0ZfI3FG5BBJlfInSP3/2nUQKDS+a2S6+XxC2qbCWd4sMq2j5luCpx+G1o3qsxEsL0jw7EMn/t c+T82CJy+WTKfb0Nek5LVDS7fbO5zfpPmKeEaj6l2KnRVmLIvSnIgd8PHNeQS6aWjG/lfQA5paaS 3CcWndBJtJtlOd44tE1TKJE4ALSCgvg0btnZpUXgSBuvV9/ZyxPAvaGtwavxcIZW3eAmflk/afP/ m4CRgg8CP2keKUQbtbQsjvaJPXo01jn49qGs0Kp3mlNLwmQA078WGq5ze8R3YLTmqNCr8wOB/41S EP5YiDo6fMxhsBzjsBVPfS8ybXw4q6oyEdWpAakSkTVq3tchVjdU/jH5X1jJnWRyA5Dp+f24lF+m h3til0aQdYtV8WrFBqhX98QzfPPMYQhCqmp1Fk9iPwFBxc/sNuEdlfbBqpmLX6xKDnEirUdR0OF3 IJ8uDmVPL8cTzmOaZUgIlc0xi72TktTjAdBSzEnGzEY5mEoaWFwV444h7oQguusl0MFTnNwYBe3i cMHLK9NvRrOYxg/balhm+kTbjvLaDJEP1Q2NgaZMQXtZSSJzdiaNEPJIqKtLChKVRpPq364LpuaQ Q+Cfmu9Hkqh56jOtK84mrRFm3FAYnHvHOlFzEiem1Eoi9HFKkEjz1+tOj0wIkZFyuSuCvMch5K1e GLqFn9xVTJkl94ReW5vOR7sQ+Ut07TKwKC5Zi+5DLBJbh88I9HeL5oq1XUfq/VPRhP0G4VgxPMdp VLcUNEYJg+uefknWjMmaOYzy2j0ifp/xXgcvniYrbnl8HOcp231d1lBZWMRUsRgdVQcvGqPg5TBx 55NlHyuHPG+zcOKPDMZ30A874NDNeamTBAtG1bkm2g+IG32qS8emgHqfJfCszpEgmVREqpDou/O+ 4TETE2fKM25IFXaWO6s4EUfBqhcgDt3TBvXjZ38DLjsYPpndWZARNBnghSni8P7eKhw2zR5CMbx2 jcYXwh7ul7De5LLI43LZzmojsUpfgx4o6F/HVuiGieKO5km7K012O0PCJWRH8wbdmoYiLU/rT5p3 NYYVt9648rd4KvQTD6XmHO+4T4YLRl9NxC43TNO3grx1hpDhqGapOJ1tWKUHQO/CpV3j0Jp2CGn8 Kzj3xwoIJp2hmSSoZpCTt4tlW//WEsj/mNsuEORIoa9drv3V18I5mpP7a4XHcKvISj7dTFoFSOEQ asTtj1qKrHOtJldWIqaZgfcyz+bN0nT+xoA21a0LLjGWN3DqQswrGD6RstObszJhhG1b3ZVhGL+g uxWhf/O6yLS2QuOaUwlQySX3p1IIoypioDZYBT9nhxSG4zejYEysmS+S+cvZCo9HBKiGw3vpM1eI g5QE1OUVXF41ZSkHf+LPhF6YJ8RRssbpQRzklv6UDwy5BU3OCKtXuIam7Rki6WT8xoj53m5WaCn+ fN/sktlVin/+LDoAe3+Y1zzKmuGHAKBBiuqahN9O+qHR5RAnbeba02lRkAIa4fMIqRTFjfteT77I HtyqRuuAxw1Nitaz0m5zUlX6G8f4Fxx3qwVwXJ1XexZ726jz52PiH2A+o3qlLzdC7kunVl7KRiU8 4Y/LViW6qnkbzYNKEmsHV0V70CI4jW41vwiEuFRo0zOq9Q6Wu+wPMKXKrnEo7VyMZ4KrHvHzqEjB tfJs9BWHZNSSnLXMeGuBFUbs0XCt8tmVZKvo9UrEprwraUjwVCRREEXOm7CSp9UKk4/21sMhqMgS NqYQiL3GCH6iJhtpzLvw50NWDsXpYyFdVuBgKgs8qU+glyv70JH3UIk/D2yiwYCNRD3J3DMaDyYr G8xWfi0NWhQmI2O4YLvPfbKGl16qaDDK+80pOFEZ2uG411GmJ+epkdG4+CkT81pI+ATL3iNskCOH icl9sSNc1V1eSGCjK4jekHXHkT1jMP2CHzTLoceudmVDpwFeLDdbbwS5i1kpC8O8XzncnIy05+gn lFXfvZKsEFQwrfnIe2hyEeySvlZfeWIOg/V7ZkREwd/RBmkulcOM3O1dWizZAVpuhKS7b6e1zcoV Kbicr5VWEq42rv0isaOAKJ3UVtcPL/0OSH9dNjWB1GyaAYs3fceiIxtC2oeIVuoqv1qTzNk7+cdN 2Bv8clE2r8p13+Xc6XzQu9DcsbA9O+w6Rf7w1u7o54B4BJnGgW42GNweniIlcIZYktYr6Y7yI7nY uKfLOLtytuGvpeFu9ZumYmGIHCGH/ljZJoDnlCWp3fGGhvu+sGfs5X/gJjds+zAuOkc4jq0gNyqL nqDphp7oTP6/ggzKsjjkYZpdHyzrxifH8pb1qQTb+1wnzUMsnJi+/0DB/zwB3pndJbZJCcq1feQO U4SzjBHq5yH5wvSoOdPh+lBW2nupLaIJjhpdvirIRd7JmacpwqZq2BIsI1ObvFmzRZkDj8+X0fPP hcNOznp+Ge55PBaiMrrjWaPntK1GU924TFllaC099vGKCVjzk9C9iC7BcliPqG5EKoMJzfOe4Z13 ZXnC19D22j4X6jdzgY1ov6ZrvTrqn6pY2mM0xdZ1FImro9sqjKQMbfn+ZaYJ9hGNbZv/z6rR1HAq X7/s5UsStKKLHN5L20yK17ISeCL/b7CvKcctW3C/dGBx8Cx7WJVmNRC9Y2aWnlpNuBzgTpTdiRNF UnnJ9KrdojM8qGPXNnNuOnT+8Bc+SlObJleVq1hQonoIKCAJ68tfg8bzTDUtwgXsDPDm0fTLZ29/ XbSddKmfu7IcrzOLaIfX9/E8aIkuP3tiq4uQLyuyhbKjjxMj9L4tUelmFTrD77fWwqoPNLsOrUxb 03vf3CMeuAWOuzuL1Vxke4tgTM25tjWIc7pLYdBPV0DEopNnoNjc4LMqubZ4eZQmnLw+m7hELbFL bE4WYq6ZTakb9MgiQNUmTEHDptOdGO9HL+daVBwm8wMuBCto0eP73XESMhu+M8jmLYOii4A05hc3 boKMGnqVR11rKz5jaFADJgW2xIyLfCkkstOVI3VccxhS+DSTYVyFZcFO2vTFmcd3vAoK+yy8gR6X Q9iVmfeGgzw+c0QjVAux7BxlcKeR0EALQziMyk8X4+XbdhavMyf4wCiIVW9GozXl9JPgD2DRduIb ITvn7vjSN1iWNHS68pE80vZyktAF0bM25iZALKJ0i+ap7KGnqNPj/10i606+D0wyKFgpXyr7581A Lll+Hp/FWT9YJxdYoluDyO8ufvXUYiruf9DOuOws59Lu13ZfgsN0bpoxk1pKe/hJg1TAod2P8A0L 3iSb+LObQG5khs2207RopxH5f71WBU3Y4azUtaK7pP+9BLhWDFOOIdr62UM7lJhpwy6bTwwMFYar //mshLDoMy4rZ/1bEeUuNfTpQVZKlvogYU1DZnSEsdWWy84BBCCLsHIYwFxa88zLNoozbdAXfZuA zFzTneTtGpGxAI2GCke4ocM2xHEHENf5Q5iFnWb+BP0aeJ71GYDSvGEDzB6rR8MUx0LLEQrYr9JI McjegpXAr3WG3upVg0zhPSsDml1Kh+qEhHTtitpxUycIqM9Uaqce4o9naX4/0qzSSKKfI3/8gWNa hcZiGl2yQSKhywgK+4Yvc1IV/P26/HDEYslqjfvM2DtFMylfAPnf13l0CjizO+1NjLOUBeZuL9b9 PPzdemyRCc5rGkXsFzOMgByTmb/Hm+y5eVQ2Q87Hlr66vJAlnGFKQyGlSAltlzF4kFNq7yZPfXEr hOxUEPTk1E65khantEUdX3FnLwBjp4NC6VhyftUvUZ8hzcT3RmI0La/ziSdbwWHoVr7QV6+6NJqb G1QD6SIVx9dFfHcrL3nBW6KOa1JANI9W4l442dl5qHLnO1nuh71ptMgqI7YIEiyAVIVsHM0np4Ug qh42tUEAe85gWRbhsM774roOt0V6HzKocnYd/J4XMtZiCnwEPYmz4n+9HygYxW2rXDUvsoPDZ1FJ WuzhzXyhAsQoH26LR9jp0BXwiw1DodN6htLnXpMSJpmPdLUPBz6Jv1uIMA0wCsx1rzEdX0er3byA OBJSIH9bTdcea8AtSh/TrjsvH48i0xGxGvRNQKt4NWFOZOTKwP3wd8+O8bEa34giNr0pg4fSvfzG z0O+fbseRe969KsSP4JWMCGAF+3kNCEC+VRZy1YSWianZq+z58l+aHaMkVayUXyeJ6gaHpI3aiDe kLlqJ3aPm5J0TLyyNrwur8o3dKbIl5/U2B031XTVWz0dby0OHR6IKAA+cdGIh3VNP8I1aDETv/5T cXk4IJfC0BBxVwkMZ7hxVPjYWVvcw/kYV8pfIqgKWukEK98IF10Mzs/QTQtV7a8BiCGKjcGSz+Pe rngFgbMDVcmLbbLP4FI2yJ+2jOF+i/cTV8iDwiEWlqcta8AGpDcA6QhYHVagKzZoSfC50PEzwBmR MOjV7EDaX2rqbNTkdVoFvDU4r2kMEKaU+aMbw13Y4ZTrZslwi9FnoNzIX+Wvvrb956gjddDhIbJR x32XQlYL/mB95AuOfAzi3TnwmDTx/EifUeUzEHdpAyZNOBqOpnTqYzSw9RcGUoF4naBKjoAColmd Dtuj7nE8z6Bw/IV3sIScdW426x+AEZEjpVn0exEQ3U9UOqO2ZO0GtPweZ8r7Blukr9Pjd9cZAj4I 6TtoaI+Ju6awK6IdheIz0mopsco3/j8QVio/p2rSl4DZf2RIBHzethsGmF4E8LX+fCpM2V/ZZUFx QPdorWeckXtORpQvPAUUnHUuICTbRNGdDcJDWx3zpW5QfZQnISVRxiGEK22V3q0TIOd0ZqTQ8n09 50dsQJXt0e1mTTsRuy5cOaQ21r7fwvRset/vRm03OkKqOL3lJ2tr9lIOkp1NMAJg5z90t+Cr75fc X1Dt2MViKqVFyHeBxzVa9IKTVXRostIbcr2o8KeaWQuOcgv1Tc/uCbFRblZzEMO2R4vm3GncLBMm ws1R/MpovIJ8/Y/iDfm96xUU3yKKftE0XhRk9HTOdtBseAkKYlTThG2fTExaxOeXgb0Yaot2VMCz rALsLCjM1VJrNtZWip96lnr/CdKFxtz/qi70FKX+Tbqh5iJ1Fepu74fPFhAU2gS7BC1DGe3SrCnD krx5OpEVtPgDuZLN0Pgja8erGU8517RGrpw2WTDiP9ENl40JQqO0rizYUKKCii7wmwhZiEWje9hP zqYnzAV9AKDcc4G3VQCmm8dXxIvZMSPOXhR/vcPKvjCYe0HcVdUNvM8PF1OKLLuspFQHTNYDMYJp byrq5MpXnAcpSnw7+WJU1HqH6N1SCZNCqf61IM8katbKE++ttwag4RDcpR3AaMfbjRJulhuxF/I4 WvqsxWDMAhreTp/TmB/FopnFplMLfUTB4M8q3LTznIgFBk2zq57CUTViVAfhLkEdAlrj/RCjke+I uuHuWcMYg8/Dhf6lUt+0rIz7aydFrfl0qPlfI5M5ddbOiT0rUmJcf2GEM/iJ+HRvU462+xVfGYgC TfBeWY4/nqsf9QDWURf/CvqoFHIxANl5XKrt6m9OmYvTQsMsgiWhc7HgsQb0xUne+p5dcIEhYyR1 mdaf0oMUOIP3U0TjCygFArPYEVxiQocXaNnVN/PezHl5U9HX0sCzVCPfSPCEHX8WcQVAm5gkIEk6 hBdJJu1jvtRQyY1IevJgewSIVAssKbJZRsMM4EKcOR//FLQ5cHZa3fCJl174qEmPm8S5Nc4DMvCp lNalu2ChxQA1L+hky46jGzzOP+lwW9k2pAtKBjpqM3oc26DrM2UmOv1YArgxSwwo4WvHUGYAL0GZ yoczS/sOCT4La1Am22N5MM+5PRj3RgjIH0wbfyutPtx7CRXySdsJ61C4UoMqUd42aa2NN1a7z1BX et0GJbtHhyNmvaRrM5Wf0wICG5x4YCKsyS1bULqVRFZSx4sT/LYX24PqRgv7oYtk+ZOmlSFcULuy S7Igr1gtFbBie+F2N+Uo6956VR+jyFzYaXcjf8qK8pFTH2EVEgk8bHQkZO2RsQYAaQHNtkpanZSl t88qHuz59Jl7Gmqo1xll67sdga7Z+5IQLbJZV1qlwnN1/pD/5KL1QXuT6lZX7jr6mKlc2R2M+dcf Ftmpj5qFTOIL9LJpBL43QcxeS78W2DIeIJN81aIX2vr8BTlIMwE0Hiq9sJWJu3RYBGep+lGigm0Y G8ORvWXrr2Cti3vJvIN/onujq3DxmTxY7wvu4qw87NWZKSufpVb9xzAQ64iaH6deuqzc5oXioDCB RZu/E0g/zZzhxn7hPorrVYwgbN346eIkk1ZndFMJGa5aHlLomj1Xhr9WclgPgAn5lC/XtLMo9RRp BPYRjvoUW3JV7sv6CqquK5pousFRcAUIRk+5XXoRqMvvjyYwdJCmMsc70MAW7yswc2eBK5wFw8jQ Y+jmq9xr4zJMbNrRR849KP3xSJutTWALmRGfAcY5Uk681M3NKH7lLCXtTIKIKdzzSuQJ2xXwjB+s 28JtmC+AlWPR6vwmDuyQwUxnGsPzaXSec04SzI1B1/qIlnWJ1fCnKiQIsV6EXq4Qj96I4Ad1BITK +8U8fLKtQJ504FUfFsbmwP5pAwI4SceRixyMMiRvikuPYQUImNEplMUVLR+fZBSXApVrnpI5r0yA wuIQUvepCeSD50WtMeVFFAkDa6ibZPipJ9tk2SzrZrDtLmk6MyncGMSilOMuEq8ZEpT9fLaqkg+M pJLxi32DNZ1bCPvF9POyQ8c8bY2suuALUaeY0wSRN2EQGp71Vof6/d1wdbtd1HYpGcjZTs0qt13z GHrha1ZLstiDL7P3XnqgFRDxL5vzE83H8jZF/xFS9373MCxK5BjMurCQC+bJMN6hp+6cNFJcIym6 z5XQ61UaYcgg705TtTOhu5rZMtme+iFmtZMGkyBOW7ANg2ZuhAI5yKTenP7bKycGN3nHvGjvsy1z nnfLgWHoXoiZJkEmj63LRezHSC16fJLU/juPH/G7e6B6RYx4Ryog7yxx1VEtDfcWNzpCXyQM4fnT r+KrMYvgstXcVdSR0V9nuiSLx3bGYq6kJxQd52Eg/w/VgyS1WDadopbOtniJq7jd2Q6b1YKLWSRA rqJx85BMxFL2NwRnD8Fa4JXKnQcdiwa2kMkjRfxrSfbItnvXZ0heMgfcAiYHTtOr03qIvhk2unng bXPn09aOEcF8q1GVhMpUGpfh7J64Lk3x4I9JgPeCVnI4jWGmwev5G7pLMAudXNhG0KkeWUAN01J1 nvLq+1lSVE8rVA3AQLfXTAUbcR3nt7GZjy51LjiLIfRqzBbt9njDQyui6szBBe8ZpkTzbA++/EAH ew6M8NYa90MuAccJReNJj0l7DbJjOBT7IB3WTW1CoD+89uShApDxWhNOvDOVvmgn73+V99/o4WVJ DvZ52wg6xUb2E9be1gxqtx25F55zhVx6cq/I4dnKpUwuHZBFuOF9t2l4BMUhIAILENpYnKkj5rpt SpuE/9XVk8KK9QvhaPDT/p0PMAApMZtR2ywKKd4IyFtZ6YYMXi/l7q409aiv8R4QijtZQfJu3Q7V eXJz176ZxiZpKL9iPaK2aZCu9Txfxs5lI/mUAI/w312hwfJYEr5IcbsqaKPd4HMRvMp+WCxKjXwT 711yWIE8SErJSer9Os96iCBLOCfTkHbiMm7Cx9zTs/674PlXDhtV+7rF8KqzQSEYXSW405ySapxH xVclqyBRXtviSTdirX2QYOrBKaEghbaCJB08d3lXFwZwluA/+lQjREiu3wo/quk6fukJKGAsuTHs DKkLc5rikgjPnNJIawryfpmvZHIJkXmh1NtXiS4wR4mAVS27T0NcWXM4waF/o7LHx+d51hu4AFpe JBhh17T61ZVCaoF5XO7+DqwCLqXtbpD4vZZIErFn+j52HgzPPo43yxnrPWzuOnGOoMJtAtOvy2IK uDbYBQdZtI82XBmEu7+KVuSO1nbYy4sYcmDwOpBUmYKD7SCt92fr1IPxQWTN4eDktoSAKNC1eu/m zZxsIMzUnlfhcmeNf7mbXOSAnJf2LKwrBzaYqbuQcBG+odOKAWANcpo6nnGoJG5oPSKBXRtUF7qt UlSpYZCUGYWZa4tLH2jdrNABmNxjcr9pDXtCqvvavodIkKwxde4UHq2S7K1xfhPHnR/+D2uutY8L Zu1IQedcjfpIXWeauko34Bs003xd0h74+S06LkSuLkx1NJ1FLSgr5kqAmxBv9k5c2yavZqjmrGKm RBohatJo3dSx/kPrFFh8HhPMmehEdTTXG3SmslMWdN+fkN3iWz8dvuAfs9cByIKpMNXLdE8BrG1Y /a6s3LWQq2uWUqsxmsnbbtICFjVj4TxSbEJ9WfYTrCdRtipZ6O47vEf3crImUmlmN09DfQA9X9TD naqdedPHkbW7rBuf7DAuRIWA4m9TAMrxe8NJMHuOjagtfrPNgH3MsJTfe8rdhYkdTU+239tqkat6 Hkg8H7FmpUPbd7TuY0WSIpKswtAj9yHZqbRXnNk+M3gzA/dlmEtp+I83ctfNDfaWD5WPT/52Jgdp vup6PKgMdwcUUyl68h/u13d+k+NIwBo2lzY4A/MdPExP7yC178Tf3Th23TTvHPz0i0hZNEZyWDrL nhaDT8L9e6YaSSwJ6XNwsBWLHMywj8O92ncGUTtx0Gn6zpJ8JdtDSkSFSsYT8Y3eUXQTvzpWSw+3 wAxd8gSbGQ4X+lfBsp7EyFCAa1bJCkr01nZ11TB4/dDC3R80oLLDKMxCJQf/hRVK8WTGH2ZSDdWu ptxGg8+bMD9RnbVWmmXs3IxRXkB0gqp/gL6c9SwXvypEWb9L/w8kxSVXM53EiNbdAt8h8Lu4+hib 5GdSMtaKvsfzofzmzOTgQpJSZtI1mWRxO2jieMvhnuYsMdPN+iIVyDH9JnlJprAus4XwisNL7YKX i8ZYvnmZg6pQ6W4fbXrEo9zif7noj50Ifq7TzbF3aLFeb5LG4h7rcr0dKHTcxqVVcJLrzIAUsUYA tTqu9xMwtmnZlbKaIJ2m0jG+ApqatFvsZhlL7sCIAsE18eoKbCnIODBtekKbHLyV3Q4X5BdLUtjK IRUzWFqd7v6QUr6ftCzWsxP0+lL1ZPSM2aS3KxD11Mz1DiG8VuszQJEG2QDtBpUdD6O8hNjLs9VD IC96XHgHzZVFm6Hh5jxoWfeQidifg3ZZCDkeo62oCbwk/RNOopEB2Y2atCoyjy6YbU8/DhwyLF9m 2BOkfby66xg+zfNpYgK4Sa8jJ5w4RH4l8BJuuzcC+p0WYc0WVQsCGkLtSXT3PEhGQoC0HyuN5RsZ gxqSuutnTl8hewOQv0j5jTgrr+rsEt5Q7TMzmzdhjdn2oHvsGWXKFB6m446sU5S1Xf3ikrLcznex phsWr12Kkso5jPIZe4ODJiYnvdgi+a+M7rrKkCuzvNLkZ5f7OCIwiyWoGnFskfmD/1E0t23zbkLQ 8KKf9Kzi3RWZK5M4ygvq40jJv+BxjMoZjnN8BNOTeNxbDN+wZchwfmLBh265j3hc9lis+3JABru8 tMLGuyefEgDSV3hjEMv88jTuDMa+N4EevQ/yCuZ8zMOQO/f88U818HU3IGIhV5ZEfdStpBWe+sqV s6OVOKy3MFMuXKcz66DgxUf9QT9yNw/EpBjyKMH19ZcJ3oNAWP0yhRY2xz4YkdRmoE7/Roi//n2a y3pCEvzjKr4sEOH+yONVEBITN7jxeNFserr7EZmcXJOfh/KT/phKrbpknXM7dLX7aXCWmzETDHsw MOYDz4gpUVMwHNtPNT/UyckEW2u2VPr1MvvS1G8qXgA+HZhMombnqmi6ceudoEdWDhJZZY1yTUL9 2fDkrhnvlCkcgvh4E+1c0Xmyx+ffkK2Q13/s5CPZCg/fQSMNVKckKp+H/EcPhntlk69ktgMwtjGD tkQ+2gMYRnHF8RXSzgCL9Tj6/0enYiCMp7OlESMcIe1rIPJxJMFtsOMamlHroqkqe+dMexXDkIMr PxQPMvy8JDAK6q5WY9TZgoHTkP2rRFlL06oazkTHlzCA8aKXu0sFbBVx9vt665OHVkBfzr50Q1k+ vQEGDNK6CLCNnK3eq8h0GzLe7Z8urX8XbCmw2Z1xxChO71JFnEXOCCJrrlkRagYsIFQ8mFIyfuut ig9JtPBDaoMWTHv5okpL47iCFmiPnQ7k2Vh7X+z9U73/ZdE9iNA2tQ2l0jH1CyEM94X5EUlaBSvh zWmxaU6hFLMbWp9jKsU2h7O85YTvr5ziJWccTv5QPZswY9d2qfyyqvUoh8VT8cRWnu2v4+hmkeX4 uiVHSJnVUy1smJxCo5qIImkvfmrggNG1SllJHPUoCrrcnYku2kMAYY7le0hcWX8YD/CGAST87suR pi0bhXV1ha0phFuCJ9CS0mBoYA7XFB9Te3EXqaira6d1aOtwDf7mbIyYPLHYDr7dcIuLiAjZXApF XpTLXstcM4PgvCQAtmKALQ9NeKNucbhebed1+0wbdiybKKI/Czjp/q5BSdRoAHjlh5isqgeVmoxD 37GpeveMP8BgvNyGTBHbTK1W1mTdwPVGb/c9NwVMYz5nB8IsuAqyKEYFCxnSad1td6KutmyTMGjY 4r7PRybKOIUE1xk6AzRO1dGnjVG1AK8AmM6GHhhtW8FKsuwvI3UhgKHdAGJY6U6IZANb3dadjchE gT/7siRuRF1I2sec52YytoQibtOZYC8RGhOp+ge6VWHSpc3swdl1d/T5KNh15hI2e63FTmyFVn9e tvk9jgp3Ghp7FU3AZvn7MX20jf+TCzcutdlIhC9LlQwaeJiwejPd5q8m0Um7o42yZDafNgkArfUu pI2VpU5GuO8NYQBEZcQHPp4jCvLaU8xHK2GR6ms7CsPje//DAuQWHUkVjxOMspl+Q9bDy1mD2d1c cZX8GY/YCgL9tYD+nxdX+cQw7/6tFyuprMQGjbtzqi1BPx2bl/IjaqDnBTbuo1N8WuF7Wqx0A+O1 YjpYJMN2WvKg0eiqo97Pw2vC//bewsVZfHyBY2h9ZVxlXNuRV/GbTX6/FUVs9EscAZXr6Nszq441 xW9T/i5zyNRRYFj9pLOR1J8ukDOGWHseOpiDhj6Xh/x1uUakdKEbagSKiIpPRfkdyeGmUbxFI3Va SMPXq2loRTwEJMEhMpqygiO406XPqSXctP1nA8P9G1KjAY7R/YhS9rHC1HixdZRGCVVntk0t33TN JRX4qB/JNedjh/KOL2T4hDTKrIBtChFnh7GKEVx43LTuhhWKBF6xdj0tmahbNhUV8Q9TXmZpW507 GC/RtpnGmlGdf2gEnBkYJytjGACRq/sojcCNqjxREC1H2ufjOqXtKoGAtJhiLUxKm1aG9LT05bF7 JfEpchw2MCIuMHmgGlzHOkIeMAGhHlOmPrAM6nsnSqjDs2Trmv4jPcnV80WgZ7pC96yGuAwcgM4g LtxeftsCVs7JZCicp2Y1pjj6kfGycBy3tYlYr2nfzQqDrobkeQuf0dQUA7BGV9DV6DStZwqGmaA6 YWA5TCknkp1wgPT2bLVHiZwwqAQS5gvmReFjUIQxCSCCmV9Ygtpb4fjsg0+MySHxSYXqdDecLJVO /RtSseyR8PcYdMHxze71oaIIH56UMhsCaJsk5pMT/wWOA5EA0xklZhvzPHUwhlrKCVC4FZRDsImq NsRLbhladvQydxUkkJKvsjqpC2oCC3B0spcNy0nUFp4Li5AjWnXE/+GrTRQaSL+XyoqN9tjXseuy AMFsj9S/lLEfoSAYkvTaQ/jxsWCekXPN1pTYQS45dfYjeGqpTVegBETqEjJNOfKI3penimeZyhqg KQKTxCLzXfapA8GwHOY3WedGXZ8wLrRayrK1iAEI08FRaap35tBbGV7Fohuo7CjyOFxREFuID3Ae 6yfsenmgU4/Dy2XQIyXCztWTPLJY2nIcplfhzd1OfwAkEPin+5VND3PlkrWC8YSAci46XQhEd1ZP v3KPbN9tsO+2niBuLndHiShurh6IXiQIY7TdgRocy6ZWbqAMKFhrYk8FnRKhuw2Iwn4XMAvkndOS cwLLkhs5t9lzpKXDjOsHJy9JIh4uNA2iTdfUckriliK8V0iObzQ5Uv9to1aEO9XCzG5b+8LNThLd 8eezMPhHKe8D32546R6wuQfv3UmQRfW9kt1MJcnA/dkSdo/nzn4J0Tuxa4u6sya98X7hVHZTbm8r xK5wGEftl2rhoCA+VO+oq+kRIez8XNdslz1t9PxUTsj48pvsI371Q/+eJwzG6Ia0x06VtSd8dtdy BYhlmSpyJxEFuYS2fWUt/avz7/FdgC6tsq8CEO7QfAu13CsfHjgm1Ry17fZUZ8/vtPrssxjWI/YI BHyrffRFbZB0dS5OOx2Z7iPvIOuQqkf7mBx4L2nLuqbs7z+4BuIYyXMcnz4tzIuOsutmoNr9OwdS ini0G5L/zb5AxDOrl0/v7GZLGtXJbqn22CEOzmxiiVQvfx5Fqo1jYVgHfdIE8e785OGifZ+T+aF8 XKjCFW0IvGPl9dCLq5d0wHOFP9ngu7Gx+cTv0fzdtyzdSIRc7Cy1OZ/u6GlATUuqMrBTp4Pds1JF RfA73d/3TQTK47F82ELYm9HexeQKv7x0WzMPtc+EnFblRpSLZuRq/ld8xHFLtiZNm5rATkZN5g1s 92o4AL6yaTbqLBG46G61Ma2L0e5UEP1mOuNq0ssQ5cBOA+2Mv8ykJnTIrTI2gNlO70x+I4z9Tdjb lTF95wJjKo4bwxp5puqRRb8G5GUtDuNcAWpfsjEMpSdA1z9uBHITyuuKs2Gsvx5HwtpW0mf0G+u5 WxroyedzajI5EYWceEEGmxdhJzNpK3vuiJWwVY93s62q3BaNnAjFWHTBewBEuQqOHKXCCp0TVl0B DanKKkId2Zw0Nu92EgcsKAkkSUDGlq+b/rroykOkhUNNIiN9/6EqELD3zAZWKgB5AAgloALA5MD3 cIDiv/4EMJO2gSDPMHhcdVFdmRsQH5Kv5WHZds33yfywJb8osdwXJnek5WwiRIIl1d71SPWsYy3b SY+7Ak4Gbm4KGi6rGosu5m2oKEGriCHOoHmC0hjv6TOPvorYvpXstJ9lSSJn6BtAZONzRH1uvvQU LzNXvEi6IWUcYY8xCd2Wq9W64E4IyFHAG1PupG7OS9C+dwLWFzlTIOm9qizbkn341kuJI4kooFdW GupEaqrH5T2fSGORkdisYkaf2ckLIFvyEIYTEcRDXdL+BKMHyonaj+R2pOe1cGAXs0ObcPwa1jk2 PTxU0bd/0nMcDlN0ElgRwZTuKGmZpXRhOK4rvj8TBxBZ35TQkef6HE2VyxRdLPTNu68xQW0HG5wR fBEmAMqyJoBsIErf2v7PnDYEbWnhLpq1zcCbGoS/4/27t2ZjW8/jX3J55lr3byoBprmPqvplESi2 VPuAFfOpj9YzLTRnXqCKiU/2BFxr9i/qItTfd5ouOIIhl8Lc0UKAD7gXPw4lSWCbRE6G4xH7oZ50 QHJpR6WCgXsxSzDW/+CZfZ5+i5T29o4F73bIHpIH7h2z6nCCFu6f2xNHi2ZR+sg2bZDJtbnKReSI J3++75k5abJsFDZYuuZAgl47Scw3biJNsOPLo4izMd0mMBn5j2DtPB3Umnhvu2PjTI+LgJOvsVB4 1asSWu6SOQDRw1CzG6n/PSo3B2b0z5LieTSZGkR6SunCqt8Ba6+KtT8rUE1kq1XNUuIP6AWvxE7Q flupa28YSuwxFDIXTud8OyWGey3ywDBEp3mvcOjgkUMe70EmcqTqh+XLEeKTCIa/XsQtH31V2Elk w0WjEMTT5IkQrCDq6Cy2KU9FlFLsArp8qsTH7Of+r7nnnE59jY5x4iwXn9OafG56rruGMm48eej0 /z8BaoXsDT2pr7xEvOp3Puh4TJnBJV11qi7/j5Gs2cK2ZFyxVFPrlsdfvxTqVnm1zW+Y4SprtJ7Z 6KzZUhNq2S0HHxEzWYKWA9jxmXJMxznjUPJEY1lXeqUNvG2APCaOMu58gQWfd82agTqs+Tw2HS1q w9dV36JgWkJbYg7o4u9MZ8lDryZRvbk5emXPjry9RYWZOY1ztDnx9wGyhuieXPuxFcx6q5s3QTv6 DJpm99JOpUGH7yknSdNLU20DU01sdf0LwZa73aaPfputOFXDhTrZ+PvT4AyUPEa9u+bIcyeEeopa JzF9X5QDe6070OjfSlt8WRWaCdiniiy2MPUYg/nEL8AC+9odK0zFai3PgOFLMzILVKuzlPB+YTHk itKkgKwQRXguOrjxvYqjJFyzyPYE0TNdPZu6ovd0iOB+tuod5nvH0Ssz4RbVNYxdl/sYUlVHquom Lxaxhsgt8VYAWnAxNVk9AvoTwZ8CJ4H/SgwTsJPCgGRSNMScr4exfUBlyfMBCW1oAavEGeoiCV/+ 2g/BfIf+nOz8/vlUvbe7HRDUw/CsUt/HyHsJ7uYQ9jm7Zw/8Tv8qZxBgtx4CrAegItVaVt0hzaed 88xFQnVmcAsP04JZvv20oR2FqkZBPZdILv6ihxSLgjd68HbJORlrAk2RRxIg7zXqqb1lmNECTxMh hfpnk97gfxMxquu1h5xJLsz/rMdhlWwIv/4w02NFVheNXB8Wr6Ks+oK96+mwFPd33miaHo2ozvKQ gYKiXN9IdAwmdTA+4/GFCCZoTMDdfLLQ3/C1NdScCFx4RnyRbfElrov2znb7QPj6bWqLyz0nVK0d zZXvHzcAuXkmFf9y0XUfzN02ksbUn1la7OImgUqFZzjj/+zRjpJlcY+2qzj3peT7yOrJhrEJadPo sprjoO4IfAJIeIdcF1fwAD1eCOetxm2HLNWESN+qc34DOUDDirI1GiM04Scb5GbdkfroqnHDgjlb XDZgl8z1QEzGXCyw3iy1qpubqaggVpPZIDoe9CAtyfEF9zDMWG32BFtC8FnMZyBcLQALdImFHRnu l1pgPRWhO3mx7BEV74ldc7TwuEJ4LbjPCkBoOkZEpfFAkLbbq4r81FxdcDOJ+FdN7aL36PZH3z6B bogM2xFJruc1edIwH7w86ebtQw0I2gV5j7bpXDw3qyiKGWX5SNSWN/BmTEaXeAK7uxhdFzNWeSad 9ILmkUAkhQLSELO0sFxfaj5NP8S9aHbx4D76EvQWRrJjyjhkLaD3Y0o8+TfE66pPsyFdnB/moAKC fTQx0QUn1bHyS1NcOVvpyr8VwqpRmKCxDMdEWnwGI7qcfgkMk8qbh137gsZvbPjVF3j1okHTO9rn QybJIs3vKQ08wKqO8+6KgfgqrWWZHwM6hfVnajMZejqpQwP7iNGvZTln/kr8kGEA7xYF/ZtO54Jr 0QVARJIeIlWRBI5qVxUSuF7/pNJSn3MZKCa6ePJiPIKawpOCKc2JQmSmcHmwfwRue1O9XMiN3lAA rjJZOTSZyPlslnpJbrDD4A2kQOoJehln70D4vXYhF+RUt+68lmJ5Jgd/Ra/JW3tTa2RXCOskIE3g d3W+nZt0Q2e1xo/7xypRAOQF/CAAYxOP/vE23aCFfNuaKnAGnxaxFTfek3FpRqNWflPHnPk1t9d+ kOdhB0P6OWCVovcwKuAxXi54zlWEr4RTkPih083Awg1ZhnN9sNUAoR0UgLhu+32R6zUoKUZNl8cw HVP+XtXi+QPLQslwpTJg5t5dFn8UMzx1PzblJL0+I6neAhsOxcJU9ZwKEa7spqATHCdut1GleLYl PfJ4iB/VZ4I9GYtNEJI9fjl5BBzgzW82JenQTZ04FaeyXCaLv18mFbn3jZvVOKqRS3nTSYLt5SEg O1bhKzQADSHyKxpSVIbIn8RK85pv8h1i5oo7sd/jAGgYZTIzWHjSJRmGk3jUaW/5OuizpJqhs40+ 28cuS+wv05177G+QYSEzD+qu1VTupxKzL1NoffknMqAL08vf+KO3PDytXo0jirXVOn69yuL80t30 j/OBnbgBmQ0sMek6ZX24Oz1mM/SkG1gKecneuVS2YyfG+dJCIty4x7OfURsW1zHZjKVpy0+rPAH4 sgjGf/VMs8+Jbnnr4zHDr121RnZm5h4WUH19cTY6DAVaqfiQnkH5uoRoP9Falt32MGIzCzm1aP0+ TdfRiUUYM9crQFrZxV5GjoAiBfrrQ8/BR7Elr2j1eee9FReMxmr8rGS+nLDTK2V06cssWjj+ImHn Av/edi/yhhfxx45Xj1fUARYMfq6HGG/Up2hkR661aB/t0j9UiLdONgphXNcBiSNw+iXdPGfVIZut Sl003r4geEQBn/fKUs20IPmJtVwFyezwJ+P7aVSy/xkQ9tdwxYWFi7hod173TSbh8N0Fk65+lEBv TYVBTmmbl61dodB3rGrYXMWSu4qYGR2+c97eE7FrF1pDhZHlGazKBn8GPMktqOXZ6fjiSMghfjQX WhbRJF/XmJF3TOFCT5RiHux1+uSjBpNo/yvpAexM57pIxRgxYV2doGpo0CgYeRfd7yl0fD+HuGf8 jTgzLry0kMW98UaVV1EI+kiqPu1Goxw1pJr9mLKcmgXnbtJZoS2Sa5PeUX7ka1fiD96MSWVdSpDP s08ySwkUIRELjq2ea5Nu2mkYsCAI6Dq4Xj/lpiSEJkwMtgiYp/Nyd+9GTu1TvZKZb5i7bRiVzWh9 pKuQOH7RHBrQeCSo8m7+x49eGeL+aNwjKJtAO/CCtfW8+OrHmcjMSePH7C+tSuTmHQ8Wr1jeKrF4 uESiBKsQr+szVFukp3jFt3T1A+liegBahMtcLl/g2pqEqAhhigqWEt1Q03STbzWoYtJNjVP9iorI Mc2tcFxRVis2CMiXtjGBCzKTUNf1jslNGNKUkjOdTrtV5p9oPrru1uXdbAFulKF/6TwC6+qLPrx3 a16fQp7yII3uuVkxpOLNHfO9sYOkRO+vb6gTPGAJxpL194n8UoQEgVnH9qj4naiStDbbJhN2A7bj dbSJ/soluJPWJ7SNmtm0uAkUUcYcHgJvKOSG2c0IQHHh8JagOm0gPCzf1yjC3oaesEFOdMjyVOhB dcii8nvDt3YGP7HiiD8C/shFYC6w8muqrKMqw9fUGolZtgw+MVcwPXnWZYRUH+xD7D/6+5+IVlmd lhMZe3IyaIkpbvLNwuGlcZm3VOzWrtiF0Crzfb0Gwd4RBGWE0RrIT6GRDN+NWO0u+S5GwskSy9e6 rRih02y7gbx+xO+4gOh14t4QzoWGt1kCHFO1CPS4AParHRN+550USES2qB88LTi00ZAoSxOkluNd yxULTXz1VcqKXKNz3GFt3wQEOu44L/weEvjmoqd4mKgaekB8WzQqzsfMmL0u77bZBTswJPuukdZt wpcq1DxktwrOE86xzSsxXIT0E4SGg9Yefr5WiXeWC5ON2Jn3wPPq5XBsN6N7IWJWhyPAm/VP9nA6 ydXdFDDQytCo7I8qMuOTHRI3CZMKaiQe+aaaqdZkNjYEXI+Q9u14qTcry2v0D83IB5pkawUQAtuW /6aLsbqRvcvDpKmEy+z18ECTw16ONnsuiVEaej0EiP1/iRw+coSppjFNUpZxgA884AfYMlMAnMM1 djc2aqgKBr4Vnb0MqLrp57/OjVawXl6P+4MV/Y1MLJQoux5q2p0VfwbewCt/2r7AUvqT3b2tBaxA Npsd+08pBH0gv56WrneM/vFf78joYKBfwXkiJSMXiIaSJvs5CWahdaxCqwZ7jCW1Tm1QhOaH1/GQ nG7UV1lGLP19DHmPU7vsWPIXQKnkrrrQnoqamsyR//mgWGO3xd0Yg6rs6A2Sh3owg/6ExyMIeQOE mJnUpJH8ezTjrLlrsXLlMx6/9o1mD4BqpHcQooUpARAlw1/jz4byJ9s/nv2URXvs/K4HilNcGio/ /ZazgqAigESqzTYTz3kwd9DbyE6TctOsiogsKiZNvIeCGPYxWflaYzNWsxPcRtP3rubo/hi/LmxD lgGmSBTc76e78W3NmcVwXFZ6vM3VBFkVIbkqToU0/h7yiTogBnYCJxrP3dt5kGkD4JE1c6yGf5jN eV5lH3toSGKzOjs1yY5ftdGySPCJEo6Ux368BeVk4IcH1DAs1fLQ4yXrxCkUIKhCwwd0CTM6FY6Y Yi75Azsq9sPDGDCc2UsyItQc9oL2lSBP3vELpSqSz971WgiP5ArN4f/M6ddPfGiVO83BX4ikVjI0 bVlHRiMfB3QnVezUFx448y5x9G6RlW5U43nj3g1S/yhmjHoSml+HoObrjlru/R6Ru+kmi3RSdnI5 CTiawURRfT0Ek8uCTq49G0mPRIAJcvM55jDPXkwb68GDwkMZ6oF4l8N9q5aT9o8khM4C2+niRIJ8 0F5IVa8pXuntGwHlv8uaimWJ4jC9mmu2GTOJp0BIVFTvpJoIBjCE64/4bXuVKRXffKIdQj5MJx6W oz16KsZ6s1PoBxheypatt8jNq3409NH8qVsKkoEQijBuRXPfYO61y2d9Vzug3KbLSpg1PU7vOPEw +tNrdxwV9KpNmeeMpOiKLNtLHAN1NPIsaQ/DlGpHvUWMKv4OgJnworY3TVx7Sz7lSYySZUtI9+N1 GaBRp12H/IuK2QPL5RgMnxvElk2ARaVRyKt4gBr2DdoH2DrVp2llTPkdPjTU0D+gA6dxmF74Vw14 dLIfbelY9mFvlE57TvTfwYKr70sKxFjWFmM4+Zsc9vJjHr5bUCnGs0tKDq9qcVlsiXxQPM/1U/m5 KcxnbRPzzIuFnCJO9ZR9DW5FJF0Ttc7Su6nWYr3zn/M0CZE+AC2XDMGudJlkcXhQSrcVFRr0+6XG /iIfUmZtbEsgM6ZKWd2mjavXa+hcaGgLq2vrKTBwy1suXBcFdgj7mMEP5qqODOzyZbV5kpy+olGf rAoxKRsrWrpmSWT/RuUk5+nk/vA8bZTQJENV8GfaCUA8FLZNR8IzmhhDHL6MG9u1Ju6bed1TA0df shrp1q2YYXL1IqN0t76jGllLKu/PLIgNpSWVJofHYxX3DL1saClrYgRMREsdS627bGfmXZVMaZtx 3Y1/wxZV6bGfp/UXt34ZtmeuGcK5Ee8pXVi5oL4ak+YYvJ3BKQwtDhG5HSdrtSIH4NUE7xGDUe5g u5k0WLmON9uatvNUM6Tyv7Q2bI0SZicfjVYYKPkZF4MnuKfqqMGjEKq4HH+/i1AQAdcOihPmFqV1 B72Ut8QKgn+wGqfZP/kp03MkTs7Ys9zIGI6oB/k4mhurLFppObiI4LqnyS0U1UEcJ0n7AJ3l3K2L xmgS/uoFM+3AcsZzNVAdwj2DLit5gVgUzEgI2FCMdNlfdcec7WYTBwmGLnBPlLtSKd7SX8qGAZau akV5k/Cdfg5HgKTlp82zAr3k8gHpq2Ur0ZcVOZDAuaQXAe1SnFTDRqQQ+kUUgmZeTkL+HTlQAZY0 w8nJxKNlKlk+ZbceAElJxYI91PRPLG8k4SyJ1R3+om6C2PkLGC7Sm5d5duGvuW/TsRU0DPs30I8b KVSjAGrL7gBs/DVmujQpmHJ8PCuvTtU7BH1sMVJ5QMGLP5qYbiOLQnxM+aOG4Xxw0jcimFLk+RVF laYrj9aZ81K9HQ3hT1RpT8T/r5KZKLSFzyYA1cVdKGBgyI7nNhSvx3X53KX5CVzfh3jNegc8HiwC OCZHsUfF5bk2B2/C6WKZT1Pbx/KqCFNMHAVz5Z2fxOftF6MPvFUYMJfbDN5oPvKIlLJ1lZiULPlL MdqHdsgFyG+m310Wpi2P37tVyVLE9ZXDfl1DuexlCAGjjJLbNMCjl6rjZkQ0bDQhUC6nhy352UFW WEkyPYTcc4ausMjEa35ZwY5s8929bLMMlC+9IEZUdAylay7GmldSLTSfYVRbSYQIiHnF1vXojFm4 cq3zdMcnWDINKZfzmkasyD1Az/X+0h3WRzblL3OLWBAQZXkDeE6Sfa9O3wuQDSz7Jj4OVQMNP2Dx JZ1vLJp3HLd5Ne3ANUhHq7fYpqwPox4ZgTrJJupPLPhEpf7X9kergRyyj4fgKM+J7v4YxeiR2WRU j9Hei4De0KH9HG5Yf/t+5S0+GNdBcUYLLI+QSF2B7zLo784x9lhH6jj/a/Rcx2fPn6mNTKeXP9as R5K8cP18y16FlOGZENnGW2yQiH4VSLbPhX+UIW2Aihz47b90cFKT6zymxcpzEhfuvyeNR1Mo7U8B kvuWmdug7apnTJf0g6AcuE7Vc0kP2fFj6pM4zYHqvm3oTj2Hrmh4NnINQarLP4/q/xb/9VD/9YRU RushjuLrUmCSilsHZUig6kvIH/RX162HULOs7NAHfqNXhXjl1RUxxtZ2H/je0vNIGjwX2u1lFa89 ylicmLzZKAMLrIktf262JIjJn/D+IZdp2JkDlrWfaR1LQlKpgn+5EgGq+Z1Yibq+W+/szoDL2GBa vZF0pFzqWbPeZyg2wyweUfs/dxOBLmq9DIt3uxEu80ZJYRACg7VxfRoCC/ATWOPs3JEhHFDZhUzu pVBA2cerCA4o5zaZxQzyZtzxp1eDfDOPLB3bHOtPR8pXAE5aF2m6NBPKwMD3t4qYPwnj5YFxxsTL +ZRDsRxbuA1N02xUqgncZY4KhZ35bzAQDCQgdE51x/ju1aZrDdl1xSBngMZRDbMFgf3tHdyPJjzG fB1A8ikQbbFyPyWeMW6qH5lPPvbX3YzKUMVBOdKEQphslT0FhNHMhzK6sXGmnh0U+fAO62AbAThw dmw0xSEXz4q+z5Vfd5j1o+IXA939ZS6MvuJD0ymNT0ubYFm3y7JQrxmc8gErJjlDAmIxEActe79V qOvXtjRswWhqksFv+zimwb79mS8qykZee3aLz6X65Aqr6DXz5THGSY98vwVebrKiMPLVqFUHsCv1 ChaTScH9FEDIrpJP5KRtdR+IYSt+pWQ9q7YhDzv8gjoHBL+KdumiH1hd1VL4WlcHdtrErmt0I+pV nbB5W7QAg/ZEmY0p9acvXc6LMUx0PMOB9atVDwnuAyWi6mf1PR4R7qsWjqvbkOkjmP8jLaIHBrWs xxR9cT81eyEHHTBg4EWJ+qm7czM9N9rsxpncfRcw0txPwjnRaQ2R67Jk2iRbLltOirY8rJnF3q5P rKotTfT7OlcpE+VZY+6vl2zbSaQWBnbSIvmVBnLzgHBnWyH3KST57cFXH8QJSPtfFVpfr29LwIjD 7JxRpbWOP9ZHFy5XxEVyeNoRqVOxDeUulrWPRYraf7UmGheM0mcNKp+/LtGXl1egvh/ms+33PVqI 8UwIUPd972fgkXQstkyQE0YNmjYz8rbTYIKJ+oW1aRbuSpoWrGt1D1+FETfcLQyLQIK87IjYEFQd AQ+5Pw4HqTEyT6+dxZzgkoaYWxNqY+kvuDO/z1GJeFEvSBrbp6YRLbwPJBifVCI+VjzippoUnKRM rKK20AvvSMrEqkXBpJ0bECwIPtTnDxgBcsAgh2fVScGA9qClzQdYACbFYkx/FouD72QWfxZj/mSz uoXh7uPJdC/ZhYgcytI80r2axAJ1OXV3dxvONhA9OZBj4OD9Gr3X/GCjhBMAvfImGBqp5wN5kvlf l0lIy3V1TSYPsJlsRL++BYP7+Y8IZ/6AOfjetcUFUrEFIzRvy05QJiKjBIhGg/kYVaWUGbPibDJU XMSYx46gPjk8mMpw/ZX+e7JkGi0rBeGagw0HbRsK23cMzODCRKOkVUL4mT0tXTx7xWzmRFCKInH8 83cq3PBUviD8gtrplHcw7NgqsLic6v9uTRlBgBdettZKNfO9E2y25dRTyzXsMu6n6tav7mw4nkUK +RjBw/w7847lmeWc7XDeoH5B6e4ThIiDIsAHnamxOBS4KUfeQxDZxYiCc9uMj2JtnHBGL3IxBT2O BghBf8iUGACaqaTZJhXJSU6hV7CaB5OT6ukQ0zfDWZYVSfO2M5gjtq+iXU/nHtn7gl7/BhMjuwzg yVKjGQeMJkThAJVEJ1ZEZvjwC2fHYAOoKq7n1PiojRkSygq+NaqgrBeiONQ1bhHt33Lk/X2V6Bmb Si6G/nmithM7CeFJo4OIWq2UeC5aeakNqVlOMnAOk9T7Ix5QCiOIvTH2t8P/FpZPZ+9T6dRHkdL4 csflsZ/EDK/FFKiEUwXMuSbsaIknnGc/sy9qpcxGgFZQMh0am9v1E7R8INTQYfa9g6jfe3VsAPTr FYpSb7fovrNTpjus+MAzoqjZg1PBcnKZ8kp3oQc9begewpOdzBExnsgEQiye6AOWdJVdf3Vg74zq ql3LF4dYKwllIQh3i0yCr3rgCMjS2ba9FON7mCaI/QPK/eeirdhW51Wi/GZpBRRwqzVHuzlGU1Au tPFCuTE6IrJ01W9UMJ3EReHHxe+ygSPdWSxLO+TKSt7Vo6FI/NC+aKC61xfcQw3ElBGv+6ukwsag E7mm347hqp5YMJ4c1IL+6Njy8s0cMqRgNLXW6C0wCvVLh+NlXhjmMSMvNm9rD5VEkrlqAkjt8B5v PuCXthxSZUs2nE+AxHIp+DW0q2OLSZu24s4cwkEMZwkOdtvBVSsFsdx0iKr9uMJEBzFnvvKxCzQt a9qKiVZpPJH57pXQGXUg5abEmlEaQuyWMlGZMp+uBOr4BZYBeQVQY+LZSq1oLOrM/oDBrEqIc6xl on6G76pcdpw/4X9IsSa3nSHrJSMIsN5giOf+89RMHYYzu4wWRLyHLpBN/eOrFWf0apXl89QcHauE laCKfmhoW2L3DtERUT6zFGIGcIF05hiP/j6qUVyXvGNnNfOJIVHphuR4dAgA7tMZzCnzNUgTcaZF 9MefGtG+QmrqSrFt5WzWHS98ArO3R5onEI3ji9h9opyiX4R+BtLlRicn+l7vMtNyHuFIrtEr+N7c YiBhTo+miJ1W/p7HGZPFUuGB13w/y7trpKSyvTPXkgxXf52ERZH4v87Uc2+pfsPsn+iLdsUi4wBF W3Yf/Wlzd+m6kIujszQlS/IKIRCX+C3qpryBXFa+mNfoWilPZgXRwONvOa0rqFlr2gPju5M/pPu0 uV+NtXwLzHPqq/0IzZTPC8skJx/ppPhj1SxUXRjvKwkq+N1jyAHzgNbJZvhQdcUNTPb69mHbhS/o Q1qj0Xn0b6jU6Gg4HR1+3WlMjTYJ4vMAScTUL3YzrU3sNT0GR4VCNOu+VkdAFAgxcDTDkyHA6d4P HLq7548rb9jYXuRD6B16GxuhAWdDaSUDSFxLBfiaBVE8XZWJn4fV9bFUMzexCJO0tY9gVt++/UKc di+YcaEMN0+X+JzbEl7RKdJrVGxwFhlImKDkj9G8kKrcxaULVbP2RhvP/wrkYcRUuVuwh/0QS4gx IbYhImpNoh56rISQ0RltIwaxbsGSJM8ixo77RLfcHasRiW0O28L2z3twplQo8t5DrsD73hpX1cXk SAbRuBEuuX62ETDneAymaihqvl2iGOv4AtBLfb8N+1JcEbsQKPBqwet4q8fJb/DIciQHEFS8d5ec XJqjGKd0flOv3jupV207dbXLZO0VHDXCJJaVro6ndpyb21UBn7Ckv2K71qatzV1dH6A29vci0lPz E4hS09XFRnJleMxnoPuNgzA7Cfae5Eu68Fm4uiwaFZR38ZGdZv2OrkY/tOkz+vZ9sYSb+wcgQYHz d9t+8nHag6W+PEE5epmdmzr4qx+Ezqt+4oV2lOKosukOMSR1y/HMhDiN4OF332ZzHFV897K7A/B7 B5GR6LEoj7Y2ccaRJpWyEWmWJ40escqq7F2Ai6FLMf/PkmU1kwVX45tUURrXSPz5zaS11YXZWTKN h2WWzAaE1+c34JDQbfV7lYUkI041pXvcCbmgEjS4sfh4c+Um9zKy7GCPnRhisw4jYX3pjTxIm+/k jpSIWcy38HCNb1P7tqgD5iAOsLWA1BmtYUxIuz4Z0jZraS0HwWDTufZiKgt1pJIa1gdF/rG4rfqc b2wKIy1acz0/ktyElww6EvWc5Y55J4M53FpxvP1e9eAP+C/uha93OMqhGB34ihBRweFF4rUe6qtu hUk2tbasOAXKq6BL+Tk+053Ja5rhVAhqCDZeoYZQHNoD2601j5v+icRBAXhoFMd734xSWmiDkiRT tJtshz6vzWm0AC/g1ctinIGbdI6JKoM+C3WMctYA9C6X54Tly3ex8+0bdNvPBZHnojBeE3VngwJU RC4J0i3W+PerHQHci2GVuB5HNwvSNYeyf/EUgjO3HUJYeOi+JD9vGZBewQJB7auDdJ4Md35vfSPs J3pxGSmKfd0in1UxMat3M+w/EOX8KS+O+/2T31gfK9HU0bkt1Qhp/6NEDpzquCUoIcTy0jYm9PpF 36TtkQHXC/DLydA0TRGuwHiSmfz0riOyL9n7HrG+xNjnesiThXzhq+wUttDawEjuMTZM7gs3I0nW acqLr/+spaYjZDogAuwd7WC3r0eOsgJ8zBL0Ng7TyAD67QetDmuf9u1Ux99jfFQGvUehDudSQoKV H4x/EUkxJMSduLV75GDqHJcq75D/WmKnn7fTGQjUAfN3iWg6ZPDk6cDYaRqVi+FfLF8DSiemtKwP zbddKLV2Jerc9up9K5bmg4LBWg5pP83knM9vaW3EeiAUCEwNYX0ZI7f6ae+i7ldgjR15NrN+P8k/ SDQu49ONUbkQLMaxv24PI0VbXTK2f/2C/OrJC0kY5D0oMyyJTLLjUQQrjK4XizTCcOCpJ64svGZU yf58BWAtuU/2np7DcZqekVz8XqIGcH5apWOwRmlQkEKdGx3duU9G4fz97KsrWwf37V64pihGqlLI Mswb4kVj+Uw3czcnCwmFRH1QGf7VzCuGsv2W1rXw+4/D0Q5c7dfefResr7yAy1RJ4HVD3T2qXF5x 08wk95wpmph00y5xxHkyz/PHXQzJNFMpwDYPuJ6nza++Rcvo6V780UN2n3yLmkyiB5u/5a0Cac00 lvrMq7Ifh1JH5q0EnWeh/c16t0W3mvmP329YE7RfnrDem5x/nBOU4pylTAi9eskve+Dc/vLq/XdQ DOZr+UYHApk2NvQzpPM30ejiZ8E2xjMFy7LQE/ZqUG9bAO8UQZiKNbo0HA2XWFyOIDJKJpbEVijR +UP6+NWkFwNHjGYJwUWcY2OKEy+Nz+bsdRw8LjsM/zuDNznJpiPKtuSJcmyVCURYBAk6MUnWqntj zYe8fD7X0QC95SsHnrVzX7pPMPrv9TDmUjys115CguYKbIKRC1WH4NwvgO50JdZpi2V6wrVY0cRH K6nedftFk26ut/YSjzsnGTrkpHNYW7mg3IAlF78gPnBsirMmRzhhLoo7phggiqriUKRHIJeXx2VR H78RgO5yLMJ76EidT9hv61hCyp2zxDimLkMcKVUJS6kAMg2MDW3QIrcACrCTJ7LyhT5b2B1PTQ+6 Bzo/pstC+6AUCWTX4fSM5mcl/EOx+Q4aDpH3dCbBnlqpWz+Y75hH6MBBg0xeVjEnPWISeqMf25Vj 2lZEpnZvLgQ/NjeAx6EayoOFnA5sU5/X+zlUn+Xpa1jKwV1XZNk0vd+pUvvcLIzYqqklegkcq92n v0X9W7lRyDJPD+vxIGM+1O06HcklTTT1kvjBwTOE6CXZ5Aspx36dt2Ecesih58+rPiQKZHlVEB5p 16tu5x9jK9PHyRJLzB07yONrVC8VrmolLF741/9eeQW/GGnm6R1qWgxdF8O6ca8tMX648GraTjSb tE2WF8QiVeRE6oSW0GfvEwfJ4V1zU9pvsYYflROeCUyZII6iGfWQPLq4wz9g9RUCnm662igCo+51 WnKHEcBJxXA6QaHtB8yxN8rB+YqaopkTUfYr+SF7oLnvFcRHEyYZs3ja/T+3/R/a0I2g92LkgFdY ufCuZs5KPDYL7wCy/tJHZe+lKxlDRyff3oRGnR2mvyjQZbjrw1xriXVE0qEyAj2OutnnCpdIy1wC gv8P1aHb5QZZkucGKhGJLFURWrUaKZFmWjONNGC6B3CMpRdBOUzz/Qtg5gSLK2t/aJjp+76Pe4N1 Ien05btZb0z+uash28LsyvVNdEZHX8b3+/geSP6JxGMbsdW0LZwCa85PghQ91BRs5UJpd8SbZgt6 VQ0avtbpgz5LQIXlsFw4pi/bYWdDIa4pYp5XrcdhjGS1Vh3QNWZtS8kCdU+Qju4CyiC3X7R9GkYV rDBEeWa97ekglY8CBgl2pO0SfonjZnSuNC9GM41wBnYjjgL+pcJbce5O4/F5Owi6yH2805LEWPls 3Lqkwf5o5MC3xj9jiDbv3nht62fPRfrAbY0mmsIkk1jV6RljCzoAHChqGfyj1n1Puyn3AxIcA0iS NXM+GSFm48t7ikSwDtqOdb6R3wRPEkF1hUPe7T2c/q3DokQ8yZBBWuor2G5xYVrXZe0hYhRzTNcS Q2DM0gboq2Yga1oynesB2hAJJTPZfNheYruTGVN6MMic3n4JtC1zIWcmBnZG1MiPhryWbKE7i3go phmoBqyJvyY5C2kGf0OzZaHSHL1MEnPkiEnM4twweAvZUPqtbQosdOdJLxZKvCjeWFLKepg/X4UK NPIuHf12B8SgJKra1bG3cEWH5pzxQNqBd6bYV8yzDDSFcyN53n49OX/cJfYJ0SpBmSONPpXg6pM8 YS0UgWmi03CZzkvwTvvETwPSPsDllBcRHF7MwPnmJAmtg7Lw5p01g0eeem9DBfZs+9GMYuY+hJF6 yryiSW1C7Rwm/ouZr1NcCunQn9rWgeKLWNhKQ3z7/FzCjHE8H9Jm2N9DfBnEZjvE4Cqyv8JZTGZm ofLsH/DKj0xavRbL79Q14vkfgs53AqbqghWkDUR5DFHiASEjb9u+x31kphTTF8EMcgETvJCYx+5I 4rKoBxEqMoiz7uUYDdcTWs0ZC4KHycoGxm5QJLuVNU/di31M+nADQBNyKqMg6lt0nIJm/U+/jj77 bQgSxYThnwRRk7X/VfN5+PfHtBZT5M0X3lbd3En0NjCwrwLBf82tSARqqShEVzrKiyrkrmaNVl4d Eqk5fYqaRIdN14SGon8t4GMs/qSjup29rnqsnR0+FbH9gAplnD2jCz3J/ASqHyKq3Xj/+nzs1yrK YGihcXB4Q3ustY7sz3FOKpmF+Ej5EPxGA6qnaS5xJSuxG3nf64fyERndeMzfN7Eslml6Zp+I41XY N7cbH2QahYjESuQ1z7NG3uIGk+Z38HDWLg8C8cDYVY8hKotz7O1+jfN4qAGScOYlJJrmbJcs57fS ID48bxNPIj/BlrNsxWF+7Z324avNLXcQAa/m+JfBU94wyJvtEuihZklkgF4a53F6n8Z0Glbg3Pgi EOe0Seuu7mH6wRtRNA5hFpbIQNXGjdh6azdSP8SlAiMd6PZQF9uXTUeL7m0RpBrqcVT2YfkeSW9m BqxTPDVMbw+P1QU3I32AHWdpbQBQTZkweYuZvida/5NJXzSN+63JUFrP3tM4Y+cfDGCM3UFblekk rYFdW5KhFUDG4EY12qQ4sf5aQ09/P80JNaLEKrQMHrBeVRR2BmBpmvQ/lqsLRTqOuErIzwFFonuI dtrz9bo91wdZ5ZuYzXRDCMd2qMlWH4W6t2djNhQzmmMaMCivJnj2MktGRy5vAfjaWlXHKsg+PxDu 6k0+w6HD34RVagrncBQbJvH2/lG4zXChHfnuMOHbGM7ycVehP45DCGGFP5zo+L4Kfdn2A5K4atLx ATKYsHqgqlw1ejWE6iVmFFD5OSQw6OwaUEt8LJlQb3d6/aJutj0FRhJl+OLvh2dwdt+bLk03UE6p o9vxQu/BUIJX/q0aC0r8J4xSkvJ8L+qIfGCmsgA+PtMqD5yCqsmcoQ7wH/0kAF+Rfts2bXiWhDjD kETCN+cvMXCZVhvvZiiaBFyLEQ0mWcIiZUfBjT9nwAjDk9wgAGYY++FYBda0k8CoZnbLTd/lIRlH zqQogmke2s0kSfWRRtOgFZg3TbQ7h/uDau/ygG5XiFXjrN5DC9gAXumqd2af/+v49jO4DXNw2bwN 0TNuI/8S52jLfzdAsaohxtB8Jq/hEvVMrW8cxdLyLCazgrZOD9eCdNPGIhPBltah/Dpd/uAbO+0l gxuK7FDN+tTLVG9r0eRK1rqi3FAJFHV8aBKmvhZCr9qH9WAUXTonCPcFYSTMPaAvuzXJWTJCmuod ScGtbBpgCamEpvyR0mcdlLgv5ecoWFf+u2BOrL4wHsVECKmlFrpQJlPVRdEWt1wzBW+VUWv0oMvl rU/Sjmc1Ee0LJon5QlLQyLSCu4nq1I7jLFERNL8ucYdHz3huAohLp1c4V0/JKG61InGyWA/w2hTo 3loMmSeDSKBvirPDyXat1P8UEteNbYLNDDHBW7kMkzlUiDOaA5XYW0zVjLyWGQV12G6pGvkYG+Oz taojbTJlFruCqV+OovSz9SKILJTWZrUXvlnf0nq61LHif3Q8b2BR5+bwU79ccuM90iEOa6MPzdKK GPHl2GUEoQIb14ZXy4ZXISS9Y6lJ4yv+qjCpzS6KS972YyBsfIy43rxDMRuXG9OtvZ7Be80/MHdF khPitvf8RUFL5BAViTkIM5i/BH5SVqOYzrDApFdH8cab+fGDImeeJ/sNbcvAmFOutICiO7TRdE9X mT7LED5Fzu/0NiUcYqw5vZolPx6juWEpbwj8C1aLizqQ5kriM5ROUCGUsmuGlABa9P3arQ2OQ54V HdceznY4ABOlPN9UtUKDwfTTUYo3zJ+zSLNkNUR7+Wx5srrzmyyTaqXyS1QXXk8BOhfVhP23mGa/ 9fcBFTSsZZLWeMhDqFJLPHG3WF1Ml5bOpXK4MGZw4kWgD7YxGFI2eEuYENp6UvBVDoj97tnGao5r sPe6k8h1hiuSJcZvQR+DWVo70cPXhltTSu4vY5lWl9ulCNfWdZkdgMNgkLUCHK5omyfpqrGoNtUh PRMsMb3xP/u4w5B4m8oVhpwziRZ9aKN1XWpdKhTRn/h1ibOQhtitq48wA8lCZWd1ExPYtnbcp+FO +NqvjaElIjookcq1369N0x1mfP34QZCOpHheXGM4WMo1/9Myj2kiP2JudkENyVkNt7EsgZ6eNv3p UhBGWusRjcNoLyn/x9eY2EC33UuzdOdwfyWaSXgHpU4k3KY1ljPvIIjoLG/Y0wC9xTAIIJPTLWAx BroIN+XL5EMfRdGaTGlGurm+C4dZkP2Ukk8MGUIPlib62eUEIc35U5ij4iD1uVN89T90L2fYvARu 7HvKfM9UgWAp0wBpg33K/VrgsPphj/agYig4xxbktq/cwniI/xJBfRgLQ0Vt6pK95ySbdIAnQwc4 CFIuAojX0HESdVJqcKNi0RaJjManjd/kiR3e8TeA39mUNd2HOH/+Ef2q49ikZnwGCa75EcdtkO/e vC668n9r6dJg3Ic0Wqg1tkAe3RS6D2a6fJFcrDrA6b+uIa1CwY73OTb60v1JUTgYrb/UPwZFi5TH 1TAlFu8sIfqHFDi0mxcPB6QbMZHpFkmFwAJ81KllMvjhZ1pCwuvFXqhYwa7EZxBYxTgoNZpPMsZV J+Yd+VE+g1Iv98M6Vp35MxX0cRExmFDt1RBSAC7qcF14nXJCqzfyC6Ke+hrOjoLSHK0vXIXVOPFE EecjD3PYkU4W7Y1nmsZvXHSiaS4ByGs3OsicEKgwegwGNocwUgCEi3OMNCH6LP5pLHvG8mIsByAB GD0TSKmAh9aw1B64fSr5zfJ3qYBnoDr36D/2ERVnp6xaJ0p4PEQIThxMgI8frB7pVwjCp2iTGVt+ KdDCX8/e18hAn17hmtOb+0E926dzw+RqWY5zEndNuhTQ+5KaCSh2tmssrW52xlR/581uocQyp4aq 7fGT0SBPlgAx8MZDMO+GZ6H8Yc97lM8+lVotKjfn/nQYvp42MjNLM9gEprhFD7mtoTB2cthTgn0T euKyuxWEVLKWynlxieBbCmd+qA2dDh/FnBxh5CKJju6VvIVQhiqbuwoC3vidvQxFmCN++79a9Ong akAOaQL9DXJKVvK+2KuHJOvTfUEj4jcgqX85+fpRZERuhGgI+SD4nszngdEx9H3ffJdpnLczU3wY 9ItCAICAqaQr7K/aiKZqHgApZOGPdzIkedbcR91VPhgaN+5tpu7KBYXiEKqQYhUugzbfbU4YhtXq Xhob7oqlYeYb3bM7eNvAzuepM0gIyzmz2FxKer4fUG4pNnHBHq6pNxAcMidqNiVAXLeMS/ZEoiP0 Q4oBI8VogOtghncD+AUPyxxmheiKLJJez5eM/hD3E58jrHbSJREtWrzjxd9L8vAkql3fiUrzRQbX DN+P3DcvqTb89En7XZlfE1lz1kUs6+9qCmW40cms9hQcarS681s1PO/HjDkSpW2WARSAaTLL19ei wof4wMCzWDTBHKw0vjvenA43XhzeS9+/hWTC8T3p8fO83AJIp1f7Z4B/zRk9oXOI0/guAfuZFLmU hC+mYK/bIC6Xk7dbJnR6DxS/nM8DNj7L9siFPnBq/aWX/+TQVV+yBAVxm31436u4/8peCrJedbjG vpMsJoPYhmA/Bu2MEoRTLKLV+kxqmgR3aHgHYad4QIocSBqoGffUWcsKR9QagO3JtK9VyZ9U9YHu BXBZV8fz7C1ma+Pq6s2z8MfOiPT/T6yHEm2yBbhZmFN1if43KxDYz5gjajTYtnLD56Ond8V0myeV Wu494EDTfACi6n7FsD8/knfKDrHW9jhetcg4gWCHTQC2GJOKC+DXuD3ycT+Heh0pqgG0zthOHXWo 9HjzuXgELXvoKpGOR1R3GH4c1Z+qn1lAZOAMW2abIE38C5Cm9mu0QOhFz1mGoPZLYP31L3vWVJXn TpySwzSVkW9QAX8S+HIs/mFqIoOVGBHttYWXsQ5jJdUNwOshukcmIKUAXc1zE1M1DJeyRiRMuuK9 DUy49YJPW2LwAiXHCieTe5BcosWSZXxU2xp6dw45IIz1wJ3l0pzhS4xD0P0fYPTti7x36HRqyu2Q TgZvUsXyhZsLwvZpzb38BoIyJLsdLorvpxaNSkN2nxy7xJal0NcDVm1aAdsYQ9FKgG9L8pLH+aaB E+Xluj3zYEElX8Y+8Gb/m/EEyO5a9QRxbHNKCCM84kqGR0p0janr0lFpfVQGCot5T3u5lPipG/Ow qbI1/brDh9hikek3ET4twWOBraBNOwROV0/6dAVfLD9oC4oRREWNnOnW3L8MmtY36143q8NX93B6 yZmtl19Qmt/BBzbkf9fnuKcIyFfiEbSriT6pWazajq57FEAgFV68F2u0/ujhXZqcB4ihnFzimiVi liuOdVHjQVJ2Gm8hnOZsAsR1iSGvs5+NmBEdjcqz+8pxxlCvSPUNJuzZXpiH2T4aXTgBNEqw7LoZ sQYownNOzKkkEPpIJmayoGO+s0B0FauhKKqjkGen6z5si6umZ28MBd+WaXlgwiiPxBcpj1hwc5ng neAl+KG+FxFNSm0My5qkPCLNSvamKR3pwISkWi77h2FYl/CfjNvXGd0zTN4l2Gy7QyR2zBjLm2IU gQwrUzH6gHW/9VBx17gYRk8RywJWWcHYopvbK7baA9zR4LkG146R94hWJ1/10we45/WsNCANr5l3 ihGZbmWl0nzv5/yhjem5cJxr9Yx2VliC/+pZJbGpuhsmKOLliYlMGAr2ueWL7WIyidXTwliQo2UW 3KIoz7WCNzCOkeHAGPGS2zGqVtR/mH89VvkSW/xYQ55e0Y15t319mZAgNk3o1tF9cN2AcqbKZLVi dbBcUHIjOWLO0TFjrpzIUJqaziWI/FieqeGrUWy6fZIzYNdgeKVxUKeltsh78fs7MP8WPCXs06Xp H5xjmVs69udQnujXtjhWxl+xKAqViLCPjSJ4ubWyIVDQHR4EqR3YFCIRbw8ekwn7omUPF8jbEZFl FFMsvR7FeY/BRMjRCRFukAp5ae20C+BiZJlUIqyLg2aEmBZjmVWG/p7BEDxaPRiIiYW3/w3TxThV Dbi9Y2J3s4lgyiM1wZ0bvkFw/4PrifU+2o8bkkuFZfwTMQ5GzBjccUpwuC4tkFkEe/kcqYPG0OE5 n+08KAybGhLNuq1CYcaL86blSRwYMxb0qwWl/K8KVTNHV/WBaVM/61I0z9eh7QJS3af9776X6/Ra GMD0izQyNM8mYiRCCyuj4Tt5R7IVsqDVVo6ekupfKQ0r85JcWjimUZjV2sCqiUwgjdxD2XddAfUr TbYun1Is/t2JwY21X94vaFaC58wLyzc9Sm15Gk1gYTzlAlfyLkIKAsKc2AbcDAmKg+2qkUzFaye+ 0jka7bRSdcMkuNaOXyuKZ8cQXeGxJFseJKnK/y8+n848ocYvaU0CnKZRcD836+lgw/O7T+R7DuqT y5rFfJA6FNMCeL6y9Y3cAbBeDhbtR054p9cDLb9MsjVjcRIMJc20iEO2A6Pfe6oy1Ism6WhE2VzE dErQA06tFebTixEJv0n9ux9Oz5JS1OXYfpaNiy14Uuy6Ex0IxYxwPLuqwohJfILTVzwFKfJeBppE ZWTuXTxfOvO5xAehDu+QzIdcrsvQGLVs2Zg29QdsjOE4m9WGE1Hpb6IYXX7AYqU3hbA3rFYdLwhZ a08CtBIa9qdS1rJjX5QVNueixeXjJcRYaW5xFodUibogc2h3SPxByt3dqCYOmVbXubqgBsni631/ 29jOvSK33z/BJg2AtZa1yV4HYtQ7jGMN1xUY3PTeXjcVrq+oG6NYJoZaDuCqA/Vo1DwZ7KXgYa8i KTCKtMj6r0JpA9Yrb2oO0tKe5IP06qfiBiHb1pmsL9Xw+rT6FUN3RqGl7WbTj+S2FWtz0i2/39k8 gkc46mO0ar/K1ATcajXc1qG8VKKtnKnjtvf2OZZChEJOrtoPCS5EHwhcBubll54EF/ykj5IRL834 dCkVevQTd6T2mmafDQNOJ+/xD6XqqTgKHEdp3/7fPp2OrOKNsJ+T6T2xAdpZ0bmhGJvgUX6Wzzml oJXCBV9RJSA03acYWx083+0tcY1if0R9HO72uXN7I9nIhblflvG+Aju2pqRPqoAZGuBu+VVE2Z3+ qkD4wc7hA/ZgFOlClXvYoTWvMrnc0S0O8b0OYr/NpUKksf22QqDltlM1iBvMovxo4uaZqwRir6Qk m5LjpojCJZYczItAAdS8bx6w6yVrMifEE/alFoneDiDIRPY4kDFeKlLq5PPns+uqnmLxLhUf7vnk MJwGSqYWNukGumsGD8JLJBgZ0amfOn7AORZflaZhthfXvCfSpJXjEO1muxQRzYP8mmQh+I/QA5l+ FXO7nLPjcyzBtNZybL7z/jRAKt3OUhAN/p9lgOHJlttDMeqPafJ3Pknu43ls7jqamG+kKvePI9ty MuunXYn5ZJzE41ci/PIxAP3D32cWjJRCl5W3Cb7BhrfFKfijBRudv1bbTw2tSm5+ngk4TzWEUWfr 5LJ3VF0fkLoc4leLgjhMOhtyOf6XXAwQ/BEmn/txbIjYvH6n9RC0MQQXyShoK5hWGnMSBM8EcVl8 AbMPJBQClg1GgKi8miVClsw3lhRQj8CxRRAWcL2LNhqSG8p1pr9imNjhaUWkr4WMXv0IOLvOuvdJ zXUu/OZzb8zs2NXyy+hCdJdpwf5O2wqy33B8+QBEJc+jSz8CGijU/5n1j5QM1kB0OhtSJUeh06pU BOTnHuoSk+qFVFe4GrgWuQCVx8k/ccSVDYm0chasAHpgUiL+OXji9WTpxVx8CnkX392I8m6eZ+Xp Ba1OSX4Wwr2ZZOm4vECg3wmxkGkeNGinq/Z0OAkEXjMDlbzHlIrwQTaZijzs0Q+7Lqbo3NM/tQGU UNSOgrS8BC5cWfAsyXwFyDbddg+6pOn1pD18ABi6ScCwmLt/4KDIeNQ/hD2K7oWSzZn980g7858p KKswcWp69CKFTYrzQy7AZ4rbPrtdUgOcl2tgFFR6M067s2ltK+7g/GGDK16YJPwmRXTnO+axztY3 e1YkD9lY+Mjt6XClJv1BCzv9rj7yEq2jkWdf6TgC3KjaRvtmi8XYkxloSwUfEc7cb+BXQYmGOwkK FDlDQNAHWs9EHU9vaBVNxc1K0REML+Xe0r4f1G4ZuV7YSR0Z9AZ2eJHnRSIurllrhb0FXsyb8UfD KXhMsmflco4KymwBqd/7gG8g7UTryFNYzIglLu9e/LzdJylNWB/ROn096SCzkLTjZ139b8vTZIgE NwLazp9nbRaFrjyTFvFc3dqRbR5vrYjUSkeQYx5P+VuhH2wXlL8V1DUpzs58PXYSLdKTNRv4Anxz dKLFVyluOPGdqc43bSlkS0evqx+1TsJfCHznFbKPLNrEUNMhH62pNsPkJt3taJtvuh4NJR3B8zvJ lj3Y1NtSMV4F+MLcB3iUMJtcgQfI5lua3Ry3YE1LqxnqzxF2IF8J9ud4obOYfODg4x3l7l00GWPM 5naJRnjv/x3LYgMrsOcm4xxZs+dQplLYOa1ALyQTj/ZMXpuCINHBVCJhYzNb03JXarWgv2w57EMx zWm45jojgUTRq4UL8OB2oReQrj35ieiVeAqnwodgG3orCNuxOX2ISjSxgL5BSnXdX+rN0d7WuZAQ 3UX2AC8S0Uv/ATTzmRaV6X7Sf/NmSrR2PrsXRqWihQCzH2Oh5JrRhUq5maPfxAGFPYVyaNqKxLOo 9mxRqb3/EFFK0H2qxZ4+yM7+dx9vRL91FDDuMh/hEDUL7O91ROKv532FA9Z08vVrb+pddVgRubAV +DDBVol5FTBwOaEuvrPbQ+JUq322GZCIAsYgaW3M60MxWV4/U0xHnlRxVYl61JTpsfLHbiwzmkGv JWzY6q85B8msBAzBm+YVN2ViT7Uirplo9BkK0rEwCWZbsRBzDnJTuti/zk7nzlF//AaCXraQy1xY JqBr6bv1o91KMvEkhxDiLK53GIzhY+YAv0acEBmVD4cIBUM10zg08V+oIdBZCAPX3GkrvDDKD2zs krQKYG9RxnjQFDZQ2408n6SKPfzwvxx7+RuiMczvE+it7sBrNQEhlGhtIVE+v/t59hSIHbELb+d3 vKd00rfL0W9PfhNJAI3dPzXVcGGvMw9nm91brFrJX56PpDVAk6y85XVEKZsRyaZwe/F3934ZbJax NLYZfnF3cf9v1XK4oayjS37MovaKXDKSja5YTHkiF24uOMkJz1OidoaZ33e7Z3E2hWcjU1NaFQW7 juaj7L1q1nUOnTn6WkgJpRbY7MDZanjZ0kaL+tB1IcRZCka2Ql0Yw+9FiuLudE76BPH4UP+VaYnk E5Uonhoi3gQ+EaTuAcTpsuQvHh1cEvMqJqmli/uCPgfOfYQjU6ntqYYHY3P1d9uqDplqHijxAr2U Vr1kxVKhKfL8zXxPeVFOpxEJut68m0+UOQF9ZLgglgLLi3F6X5Shmu4qgSRR06tcYCSpCpS/ZqGA 7TnUDTjq70vq3Q7ZBRMLfrl2pmVequoKcXaAns9NSVje44GMJNAyQkmr+Hw9s1W3SDNrOPL91jre zMaRcCQ/48ei+NjBsmZat88/0SauNQc0bKEA7sgXR36wzI5j0eDfmoGoOmI9ONOrVnXAs58bi1Gl 78pgsL5qu9cte7/aLBVCEwAXb3Tq/S2dvLpZemmfA6yN06Q48Ls5wk/+BKwjqKg7F51ySMyLhiH/ 93dH/WCXZqkSeVgj6+ZBbYNsiDwoRm+oi4i0rkAP+vEVG6xcPXg0opvlQv7XjHlTzYu0Zf71zigp xcFIajWseGlYQj2PJoFS7ZtncybiMrCyZEydVQ5EcqPayl8IirP8swFMX5DlD+NWJ4ohTQs1BDm6 wdbsHtzZkQMIaRvlOUQg0qNKPIf6/Mx+vIhZjHepKLIh5Tprb322hx2aOqkkoRMZDXO+JjPIfDfq IdmUGVCajNcKKBh+RpeR/1//vBjwg/GnmIKcQvaTnQ11tsJNm8miTikDToso7ZmYxVutygLOwj7l fIve6dTcDvDlXhA5SM4331DzGVxFeepyIVY5Hs05CzF/iXCJakPodeIQDtqC1vsHvMy/jUIf0sim I77meCbbzWcusMIpoVpWrOfBT10/5wXklDOhJ55TfGK7biSY8d8ERRKgE5MZISgKB2JfX8tTxd+6 76sLMzMac+mbPjW+ld3iH9+tuXWvuCYEpnDf5FGFSiHxlaZzHvqE+NchX24DSHPmHtp+8/XGeS/9 p4IRqNvd2LvkhHUwCVkAhE/4fzYUiRschJdsTjxXz+S157dDHHsMOSJfxcFXyd0D5RCYx5qjOgEU QD+pjDEOURITZKNqijrU8DDcLNoYvCHI9onyuEn1pw5W1SzrjA5Oe6j2CeYeu8w5IG2PHj+TH+2I NR3a4UFTQeIDKL4vmplZCBKF3bJxtCkq9DqkYLpvoSGZ5viT9VGJ82jSBeAtFI/KgOiu+C192eC6 iFo7iKCxjSVWKqdkHXrPBdgGfgw+gK+hpwxUX12/gohWGRmIG1DSFuMBnaW3S5uXa+BJlNiysIM/ u9yrCfGEJNGoUFpxHFZfXaHTLqvOEOr4k1mxsDRFXUc1k6O0SxU+uKpmQ4fOCeuhBacr4iyCieyI 6JmmU1Oy4aZXM7Mt/Nn+RcPQgGjA5y49RqgaMRz9s5wfwpSICSLCC+MFUjPWqFwP+O66bwmJigp9 96y24N00CV4C0VcL3r70MKxhnX5u5dTHtF9Kz09O0k41I29b0yVoCflY4O5l/qh94NBZlGSSNRBa QtPcl5C8U9xYNld0XZt+4OCJQWrb89jzO2p5jA4CEPW81NusntAjgw/Qotw7UqEoGWyoFBdgndfC fJZ5PA/2Bk0eTKmFw4xWtGu0wfaUg4mL7lk6tfb7NFQbW0zuQ/D+pIRyU7z6hBrWewtWOVH0B15S UT978xANN14U1YzHjmUAIab5N73+QSLDfYcPT/Nw9mcIvgq1ZA98O73oNpNSBsN+tqE11yVhE69D KuTDcfiuYjKUt5MeL2pG3NRkVzfPjunXxnPPy5Wp9RVKcI40oBjxYbSjrh4CN124g9/WqI3E8NMj Ni9zcHlsoJ6ASxvkd+u18i6j8w0fSPh1BNKlQr0gne56vHa974Q1bOHUtvGMgLeh/UWQF04s4gOx k5WTP3UO5jrFoJUuDmJc8Wyl0siZrEHLZHBVYfRzXAkhKJnuwSboilf+KfyADzXkHoJFfUPQjtCR Vh8yKybyGDo5CNAPynFcYTSR91MNl07a393AOHHbM6wn6cZkweUpnK2zfRTJKbw3fiQqnzwN8cLq xG+c2npiDNtewrLWiaCQYgzhUDyL40Az5icX/oTeeRpo2lxYYIuvQPJ2mdH5HdKUxrC/hrRd4RGk ++GEWIwDgLBj2nzo9VOp//0UaBCTQ1Wqrfz1PasfMoPpi3dfy6ewHBR9vhveWRqFKitDgWWrJkNk oSAZ7s8wJSXT0ISsxV5Qt8ZalEDOQPlc+fEMK4z9Y6O4FdwtypdAAw6OjYVx+97quMO+gd0wNdJ3 VhZnOum8WlCwzjUzHDHcCoZYg7tDmSDajX3PNnlHeRjiAbyOJXK44qOT2q8dJq5PDVMwfMKAbm82 qERjsn++x8Vwg54UijLCJsf5Al8Ei2wSbtzRuMakLs3sEgLRXhe5BVS3Lf6kXLsYImLEkTkYk7Q7 +P3DTR75I164ccYLZ/zlvro1cVgao2WVK8OqLceC/BFXu5bhYylhvvvzkE+kTfoItunk5xky8ypg vHflVQqWDXRzl0dYV2gc2OcyFZeeQCPd9ZqO7rBph9a0LMe0ctN/fPi3v3DfPKB6aSXPj9pMC91k E5yq5GvtuiuS+NrAE2eoJBrm3kXXBpTxgB1SsqZHgMJk9dyhLAG422qL0Yhr8H24SXt2qnxr/aWP K122Li5XtF4qdit9EJfwfRyRq21hn4clq2fG4pGTg+Xan6D103kbUOzh/Nb3YMtibe36/En05u3b wop5DOxadNsePxK2NEYS2zitVQA6sBSW8na/i7PWvNrecoMACWSVYsLmOb07Phc/WHTTEH+CN3Fx udrvp8cX3k3LSoZHCmCg0rXrpFfVjxOTfb7mGR+TnwCO2ooIARry/pOyIuFKmEBXLfebnzYsEV3v VT2kYwROTVy0hBqYsXz5ELsotq5SWBN/xfabLxPdkDyZC5AcLCbsTYC+LjRypNugTCpqqvZ8JzYR ZRt0Q7BCpYNKpra3Ljo5H7GVAGrTmFJEDE8YEmBOG99oA6UX3H4FtaAEd8Zg9O5hqbjRoBwmViRR UHyGoqLML6n5LzyOimDzH1qu52HyVHP3t3j/9LJnsdfqCHSN4Sdgw8b1D0q9yx53zzk1hCidFMi0 MI9AD8qpfcDm5Onl6ol6uxBxxZkX8Ia/5uOUf4ySJhQh77jRuGk32W2EWW4ACX+sXkt5hx9OotKn pw/ogQ6N5/tDtXtwdBNtnzVrydogFejt8jwi/OcCncbQVCFkkNZxwHZIW5C2CrXwoa8U89Ipx/IO mMbeStKntO1yTxCqu/VJ7SUbThBPw6y6LJ0ozxXB41uwb2sbJyr7FtLR2I09BVEuPzrmBOSgg8kR E3aQU5JZ/g/AokNQc2uFGct/Rhbolt3MrfjVMkeRZnM43/YA822aGSdV45Y7we4ercfdRuuwWvE/ hVdVofBUY9Hr694bO0w8z5kCmWvde7TB7ZI8NxKkSSbqBJvpZD0rmjZuVCCjNGRlr625qA04nY2s CKBElggqmOumdRjM10WBtEAYosGlgzHbM/lJqKc0bR1dP0u6dvXG1hJA9STroVWOVr+09M+j2Ts0 XJ6NZCJ8qIRinMIgGVZrC0z+l2cJPEKyBTxH/2RhZoQt70IO4QG9Lit0Jz07p4ypAtRszTZO6xNg QgY+ExWpQn2zKcziVQkB/81c7u/XC1FMeV4n8w/R68mgKve91mkr5ixINzzvSVWR4iJR0klWDjMi guPHL/E9EUr0a/XxguvuPXz1swDkUULjtSTHJFQJ+I8UsH/fff1uB/vUQrPAO913MPmx+uKcmZhe iosV/jmeBh703vYvUEKHJrEhysPdYntutTavqD+cegmI3oy04QoOnnKxeXq6clLa6cNtOSnNxNtE C9EORuPyBI0v0bcHVPrEM/+ZZ2txtU8toRsqifuVU5XN2J7Z8dOsi8U6aNm3gLb8pkH+Ri6vxAj4 38c1ngJirdLvruCkMF7rKqYB5ureyezzNahTe6erZq3YVVE/HNkO71vzImes8GcpAf8VpObkC+jS YjxRAhYXWwk6jxzmT49qw8GLwD5A4rRt/EI6mwm00nX+qm6UGA/tZY+E0o4nFlHPjkqOSoG8q068 DLYi2ttF+ilxzdJmhB2THWMqctLk5bWrrEeJsz2MakKDnObv+FNbF0GTGnLXWrw2AEtKZQhAY20d b7Ejlk1myGzdGBlTGtQ3gmfc/YVPK9KYfU21uxX5Qwsl71cMbIhkogqAYVP4iI02jD8Oop/qtEAL +vz55f5IkU+ZUILfX+FB41t4+HSB9mrLLPpuzT2yd5MOL4KVeZSz3Egq28yYKfZs+jfePtvysXLZ DKEBVRyVz3AQ0NPUqVWDWv5naz3b+qAisBeFNwv83UHRMAIHAQ4BJiCIeW7Ll5RzMghyx3iEne0/ 7ZBeWo4ln6cssUXoXkJOT4rFxu4BS/QHbiaRJQFbnzBe9nJDvESK+TnefniwiG/cYleMuOt51P5K 2Q9Bh+s47gK1neqoqTbJtlZ2lBX/zVIcBKDNkrXDR9Ajd6KU1Im9p7LHVzajb3+JgNF6w37DsEEG Leiet0pVvEKfKQPvWioS2wgdKlO52VPgXGtE9rtBOd33HE0lrhllPzJS+tGCIGDex5W/FqBQNLkG QdnCidrQPj1ns6Tte1/HVjB/xl0NESHT6h3vWN3roReg27pqE20Ru0oMJcmSp3CU/mKQU+5M1Sas yJUUnwuB3vioTD8AOvG65ffgLNpCrt56wPxFLW+yBSw63b/bf1ZfhOuRBJjtemeVffHBQ9XMazSY 91ts9shIOM52ArhMvTuzJ29fsb4Hej7ia2GZiSA3HK7IGj5rGylgJrnsavY7JzbsroAHbWf5rcMu BUWAMZ+LtW4YvPjsmPmOqd7i5A8W7Ivgn/44cRym50HdVf1rqnjwGj65ifCBEFBFc8KhEiK2J6ar 0H5u3nSYbQJ8IoFvuvNH4eiAj6DCZKtMaJu911B0GS+aaRZUPiTJgY0QEx7gCA6r7zpnrpA94jDI 7vhwdNFhfjEvkpOvX7vBA7A3Ya3cypb4FFNIiuyxM4eW/gZ+McxEPS8CQqEWTWJTFvPxONMm62k0 0NaSM5kQI1RvF10GCzWbs7nd2VRxNSJP4vnwnJxBrpzX/8M2JXREo+7wqPbgcRtZIwXqhkT2mAvZ MtRLuoBc8t1/V7HRbGXsWmjZAWSg4AE0a/i5AsHASowzuG6cL+a2tZILa1On3kw/2b8U/NukWaP+ trTBjbQ7VbeIaBAV6qTZs5i6SuVbNxGuAULo2mRroV9aqQpkBKolMBioQl/GFQ9GfO0/5xrGCdLp 6AmpEOphRKQ5MNDnNubht6aQYr9VPQ95lDStxKR+4PpjDUHnCNb4jl167lD7keFpKh8NzgadIJUj WY+gyLWfAcOTyn7NuZ/8bsgDoA2OA0S9f43P/QRFuI51eI0qHQicJyhigKYT7k+L1bLQ1k5iDTkb KDLZCyg27jQeOEybcvYKpdZu5IHqoP26Zg== `protect end_protected `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM c2MJ8uzspQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO nh0VGFkqOTg0EJC/vp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0 z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc Qu35Ye7NmOqCHA9KngI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/ VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB 1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKbGhV1/FZOg0g80WSjhdP9oYk88ZTbVb0unqQ4ZKDcwvADq9vLe/CIxA4LXZTR/NJARybLlLvuVD1h11EhjTBruG9iVpRNV7hvN5IesumKPzADF5XY64jnFCe1EeGhSsoCFQPRdsHgMUp+MGbHBWPTq+eGPCoLB+RMA5d6OaFG/cC+jcfXUEfYlaabF2mlBa9QWpxJEpzp047EC2UHYPmotHxPj0a/rBBuVBXIS2rMkrP4PnBz5KPKKmRMcrX2JjgS8pxCoswuf/gBJONBHmnx6ZrVFPFgx+Qzh87VxKmJdy/ELf62D2EtC+lbHGWzeD1znOzkKNvAJvQq7IVIQFQ== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block QgrAhAEVwNKXHUWThUX0qA3QZ+hWr2qpdEfNP/4wwvz697dnuCzGeUg947+XOE2L2LydzwoiHzMK9vO+OEUbZ5hzqI2OUWKR8KJ2IeftQxp1wiLn/vuKnmExBY81UZ34129N7krciCCeuxjE5AlMt62/nT29RSjxBy/kyxg7n/Nb6kkJJGUXz9mYRMvVFSQt/EbMDVfAQmSIHMnpvhV8QPByi+/gU675SP5+9vZbdazCOMWPM2Tmy+Pe6EB1h83lXCFsyJLqo0MBB4yHy+gWwcQj74d+Wlp1PAGpLd2xKAjUhuZ0+mV20D6MSHS2xzDsLbPiMVXEVgN6AMnwW+V6qw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 13040) `protect data_block ar3Zx5gZ0rc3PQ4n59enRcc3iJfMf9paDsZOSeMuu/8bxaYCkYgIZNipkX9aP0UblDlFb8zmgL3u rGCgpzLJ5dK3domXQd5lhE82SzZDgwPZEI/iYGPKfWFcaoR5tOenwxtuTA1OTp7BbQciq3A2Vmxt rWNZwsZg/QPaSF3qNIKL7yGLLSXnnjAyMbamBFi8kE7T80nB8h5pJyTQuKcLknkTtTwiBbtOq4bC PD/IDaPJTrS6B8kw26/2obGLyaxO1HRo3qGvr1q++ydVSS+0lXi3IsGu9f7ks5pWWfMDsEqor93f jzXToMSUuSVSG3HYdfbfXpRRuZL6h8xP0cqNfkSyMF3DJsQCSEVmMBBJOLAoskVgLIwXAAjbA45M 7VL8a0PUzlBBY3US1vynLA8RCvN2wD+zmL+I1Nby/EM+gyqPN0CurSF1MlseOMeptQZu04Llec8J aZH0p9ihqx/rn8fHkOzgH3HPUWYO6dT96an8eP+lg3YWS343BMSQbDBsm+YOf+GbiCqgSIf6eOLN IEtFvA9OiPGblWo8zg6Z5p0ZMWVq1liOhPIPP2C75OPa09RvixfYw4b125KuAvhOfypAwKX51BEp rtILfTycTMGL8V2kxk5SdD7RiCocAFmcFCF/M2mza2dndS0knX6l28TNOf+VzRMdd8Dqqu1N5oPI dvOzclfLUMqwbMa748yWGxkFYgSDGs3R1xNGux65sP9Q1/2EQbLa2Dtam116Iln1o+JTbgZ94aLM alBo6g2lV09IZIdOn/Nly9ZZdoIg7osPioHVjQQPLde0Vj2W85BLnJB28ZL2vyQN9nDhm3Flssfr DqK385Sk7NiTF5IFyGHPjVEKWwgn+PXeOyzkQaAS9PEhv8dXoa1DtEMmKm+ipAXdiFsPHiJjKtCC 9BatarJ6osCSCMX68S2PY4++H9vpF+wZNqwcJ+0HebaV1O8CtPVujsv86b9gyFuQyMiysIJvT785 lsY+ytPlsWD1OEIZaYOoahKZ2VHVN52z4ZJH/pat0QfZLsXnb9+HQAXFnkbqQTFd2YJg1Uidpapy M160RCMN1EUCjZGQRTtOW0v42hkh+YWEMf9rQEGROEjzjJo6ZsCpf9lmW3zRLSPwlZ4qjmQk8E8K bRiWx/mbRPYs6F6ULQdiUfqKTfU3cMtzlW67AEwQmnXqj9frcVhqbQyXzJec6U9D+yfz7fSZ44RU 3XhbdHPu3j9hNN87OEO7prvXQASzNLbuWoizze/8IODA91mGQXC0hO2LTKG3cg6gk0t6aX5esfLT gjFT57NFujLk0EZ5dqKygWLFtGU6q8jXsjQ60F/9QmVvotD6UPi7CY0QLykgpABI7iRQffXyj0ud vXAChSS6NQKTmW5dvZpEH5+l5rUWGDDKGVKJXeLBrqYYfh9yNC+oxMfhCwuQjJCQN69jXK+ERJnL uZUPWn/+4tN1Uk7H0Qj1Li3vXcWaEmthAuRtPWh2khtZCPaGv8ZDsVfBdG6OB8QrYVx5jWTJxyNM 8wGOjIxegysFLONU+KJCPU5Ke98RU6e9CUPDuD13MW3SaB2NBmUG0aRUMsqXTu02UMyxLU9O4eY5 I3W6LjU6Z5mpdwSlp39sAArjcF+rqYVvHOXxM6tBKGC5A5/Fx5Gb3DVi7fOaWlrN2cEh4IIDhJWL aX4+PYjPGFUS269KmuqHyMjISQ5oCnYQgeoU5h4BPCG80Frxi8a3RcP3mot7E7O9Z0KYwVQw1jYU SdaNYjdkr/2Z3rrDuSXx2GSAmmuQTJxfuFMvFEeArI/DHUwL+HqDSNZrD0q1wo8on6641fDhZrVb 311Iuu0mFCLx2593jezixg7r6GsJKQcZC5gsDen4iPDM9n2a2WFVapCEFqADcpBhoTlW9aZpzJS4 VK82hrEiLKFo0ZDuvKuLJOUl5UeiDq8s6QS5JxdNfgKrke0N2PyyMbHFR/1Y0MwIq6GYZT2Vzh31 5a2YHh/ac9butqxM2OGnGL7d+67caKfhWyhdKQWOlwCoFN0RYyEns5RL6eZzsKgBJx7ai4buKKbz 2u40nIJqUyoWvmA62/Wgx4q7gUUjCspS+p+kyXylk+SK5U47aXPlCIfj49C9YJIfhgtCYJ/0Wr8F FTTqZgDuqM7PW7jGc9/8exhRNoeGKs7o/LPwC2g3bL4ottd4Mf46kverr76h1j7gPl2eYq16w+ZA fOMZvghwVGq0PV64WOvdwxpalOvtKFjIoy+QgSrTz/3DlxlWkBdVLKPmW9DvYGgr2uiFj097Bpzk CfW+bHRVcXVa2ufCIVSHyidUhoLtTKS84Qj5QfJIA8bHxX9G0xNgFOD+ldM/SXb8Tkh30+FeObwB aqqHz3FjOFislGZBoNDAJLKn5YQ9nBWgl7yRmfJKQIzjvg2WwGNzOS5gPmtiqKHNl7o2FhruKg5S FSHFbuKrsGQTVhPAIINfIMbSDlruCjMUz22TrQNbJZx6DZWckYnGGMQ2baBNpzOt1bqD5ir5uPy6 DkSsS0YxF29QbnZnq/OGm/mcOzQNIJmrkptteP6MPwG9rUkPcBgaYnqtbDAP/ybWiV5ZI9t8rQb3 Zyz2GgdbaGdFyhKjQPow66DwCZ8G+HxZZXOznRcF5f3xRhe2PMVahNF58CLKRUs26K/oKxgM0zXq mCopfjanQxzh5R4lEyKAIMD6BAoc/lFBmMt07h4b5ThLwhmy1umBN2ZY8Mccb2EpCSnNxw5YvIjX AygNyBrVVtEYKe2cUk1CggwwgYrlcTJL3jNkilLE5utLnbN3bcqw9Ycqj5L0QL0NfOpkG1k4wb4K JyiZvZlRWmmMptSKcR2Wng2HkLIhSe7CQTJ1b5ym+tRCfnM7rwKY7d/qqRLhqRZaKf1XbcQC4fU8 EGbZUdS8P3sv5nIOG7G86ufvWSu/uj8TCl8H9rGfEa7BdYbkcYfpZURAYYIzqTwNyQetSgzGG0hi tTel/rJZjKiIuMuBQ2lQkh/RqLD5I/IMNzgVA+96RT5fx3h/TRoydopi7aME7toqymHKM7FkjAr4 ea6lHTgHf6uF11nr0MkFqyWckGsLMcH83KW5ybuH2N/Z5Cp3ZXId7OIIzSiEQT9ieY9/PAeHliLO 43Gf8xh6WKwEYR3mvCljls/KQ7Qd/W2NI6buA2RShK6NrQvm0cpYm6f3xt1dPRa+8ILmzwwCSFPW 0iN0clgUPGz5AmwCH6TQm7/CK/c7uD1JLnq0VZ12L4Z3FjHcwZh0HeU3KA/wOqT0F6uNHP/Ly+28 /2DmbP2YYIu66CCQnP/RjhXAvC4YN90kyDlxJD6RCdWORQbaW9UBUiUsZXem8u62ZO061ekmzts/ DmRp8drWejB+Fp+iguIWzEzNOliZUeA7QPuROMKEjtW+Q+PElGnyHZM9iXhG5PdNd76/kg06Hru3 WYu8D84/sxSbvPXQF02IRWw/Kgf/cwPw1ydbbhNdkTjXJiJwfr3hGEnwy+wG6oPZA7QFdj/jU54Z J+ciQeSbmlzW5CDKhxY5bkM3m7V6UzosjIY4c4vrDuJL25qE95GzWIqRMe8NsWPjmbLnXvaDlDif HGBY51LJBrRKZH6fx51SeoEWiHMDjbWRonZAIaVQcsS+JcA4P6n/1aJMBxg7bbMBFMisuneZFcSs SM+YA7P7p+GitICX8KEf7P0bLxNljVcF/Kh0OPYFtWoYV3+kUJ2LD7xuJ/L+pDmXxPd/M9WEBf6y ElBgL4FAeOe1kg6nhwpGiH5py++FpzSCA4wN40qh5oFWnUrDeuvMiQL+Axg3pPnnL29S6Rg5o2ZE lgvGUjqGqT/EtLi9fUIMV7tQ7EH93pyDO7/Ljk8zNn+Msw7FuNhO3S2QIPXy1EOCXSpFfw+8Q6hb Ffr2w8kx0uTiROXM7+WTeUb3gvWxBQaMBwHeE1HEOpHzeHITuoXaloDLbZCcKNV+Vturm1ySbSrG ZgKH40MVngdX/Yg0M92wAYpKv+ULmnZvoPu1hdN9O8MCHC86K5hlYOZLduu2u+sCIh6h8gwtCa/y Ng9THo0fVDV/J87B5tDCR31P0jV3m++sOFbV1ybBrz1bdC45XKbHK0dEIJXhsoAeKRPv0rd7NGqr +9rKi11TKdRriaeeV/aQe9H0p9Z6CPW2NtkLWEEq/YBwWGy9lmX7Ca4JjhWAMX6tsTTy/xrtCBfp kTDECe2xxgsm/02Ca3UPA8HtrjdTXXv3/AkO+bsCrBrIvURZa9GzbR/qRKEI+/BWumK9u5qVO/4e n0msRyLW4uUfz1hkvVYpBVofXXTwAPjR+zoI9Jb6h9QAs2gETfJa7xMwGUDU/oWOIVjXXOIBIA0l lDrk8mvKOxCbVzZAXEZQDGdmNCsOqBms/EszIXXIUI6BObUH2CDN9dTeY4+krczXyDTjvW8DxuUg 0/xzWZhD7pBP7Q+XoalhNTFqu6DPRLUOMiodF+Twg+xdnJdP+eI+cnWssK8jHWCHyY3O34GgNcOA GkBq4mTNEmPFq1U+v+8xOyIQTed0hb/fklElUhr1Cff4jWV/rHY0ZF25wwZbI1HZ98d5NvzfL8OW NLq+Q+BKocZPoxZ2E/Q+jINxzuzpQon9gf5lC1AqPmvZ2clRY0KNogmOHYVBbYsLOa5Ph6kfqzNk BL8Wxk+Hapxz7a1cwOIlQulNzWHl8UyBcZ/O9LQuGyEmRj+AnRFw1HNZbbLj/WdQ783eCS8kvZv8 ohqd8i2SHtuJhUK0I8fb2U4qFL2EOZZzA8yWypEfm7DWZa6GqriW5mgDjnZybdCBApWxCTGL8RVZ +IAgmrltYwlhftxuBEdR/DrX58nTbIPn5BA8j4uCUYjdsS3VpGcUq6sjde686c02A4RprCzjNEX+ vCEJ+BBbWGxmLSmI5WY4/7GII6A+2C9m11W6zlIRlEIC8r6QfqPeDpAYQO0kI2e1iOnDMEahFB4S CT19i67sJi4mVz/6zg5ixn5ZncC8vBhf4t0EOBDL/aD9wjyvzuDcwSwAoWjH/WT8/5WYze2Yl2V1 W6j74wmS4gic3MyIhrEeX/CWDRLlxECAZ2YlwhobkjfUIXKn9i8Fa05T/EfNCD/tq+nIiQgUY+3q i3KVgDv0P1q8D6ywz6uJO3nEl0hY/dtdRbvVLHXXAOnNpECIOEGkqF9TbIDyyvlzkq/Lb/IxT4Hf 8miUx0c4gXRlO5Sws48chI35ARXx6TAyvH9149h3Yakj44mXo2w8iYGXOSxLzzyWrI05SXwV7ZiU mVPcvtWqyyBjS3XFQLHkWaN9KNXkgTVJCKbmgXg7zUxSDFftLR0koazZuMySahw47yEHhZfdbT6O /TZqHZNd+BzNrclVlUCWoEqO8VtGyF7PfcQS/vuc8lH6adcjNK0j0mI40LxJoyTvUiTLZToVFdjG 4dUYJtiHQhiM57dj/bA7mfIFVWyiCQHQHnUbuksCfVBf4rYW+yijSTkJ7Yu5uRLy9A6dtCZg21Xz +5tkO3NJ+HQQY3l7m+BBd/A+Pr6vvPPBjyfXoUmpZlC9+rS06gL6jKo51vgBqjtgRyBgXKXn54IC 1qkAh1T7KI3bp7bJhZuJoLz/2Vn2J3UMVSuo7PDEsJxdUVgfRZH4l305Pc+to1bkYB04AW/aRUYr PhgLeHqxYss7ijVtHmSpcuH/IZ7uswH40MTKqUGPMYGUXKDceFjTgnL+A4gPti0rhAPwILIYF1hv UH56/oNJ3pY0ELrOJ1ubi6T/sSQdN7N0Cv4Lc5WZI2Lcb3bOqMn76pkNywGPXrUSms8+kywS0Kkk 69NsiGvgvbJqpOr2MXB31zt1vlJ/v//x3DweIystaOBlQqAQXNw5GETpbYHVdo2ddWSrk5UJV1Y+ BKmLj5KFsCGZZV9EmFNU5g3ejPBsI+x2EHrTrPfdiusZJdqIw0DaXHw1E1fSDkKHp7sm3f58DuVs RlN2US9pjMOZVNN4vVVs+LbGfMBwy934UAEPzNoBWDfgeawK1om/kzi7Rq09tuyGhlSFCzlrrnTj P+EX8SwDWV0Oy58uyZFMCAw3S1JLOL2qW9G0X1F2KKMJ+jbqH6VANvXFcbYb+fNPVAW1EMN2skq5 TimBRz2oe8q5/hSCO81mKNZyoPcKsYaBSQ4y5WA9lSvpl9GvfA5Hs9ej8sndgwnf57k1kc59PUxf hxEqN4TdhJgBEBA48BPEKkU0JyzFMcdyk73j65VdGais+BACGgPkxfG71VAoC7VULjtddAoxYabC 5RqOFNFbNaa3gFGUkRhzrj/27xxz/XFvSh7BTc2G+R8g9XBkPN3nHtky65+4L3jyr0mdr2kweL+D GzFlyHl+e+mkmAeUU6ifCsGEK+WukDrjwFVftAwbNmCEHPJHZvBMjgYiKpQ+2yIllZheNAJGurW5 nTT6MuuODrFGV04GToBvedCqJ/arOdQ01M8eTHIHLvKo5I6zQuhKBEh2HrXzKNDGzun2WkyI1zFr NeSZ5OdKXNJ+KJAjNcQToJjEi5gymLsydp2TlhAbY1HIAtnDB9RwdjjYn7Mgwez5S2Hh6PFZ7/Gz LYI6MTLbLuQexuJ69xHjGZ+tyS1m3jPsAOfmoZGajh1ErS4j7OcHmdoqIRqkKxVm6WFB+YZvNYAK RsbbE9trP6/fr5PoTpWA4gLQDHLa7iZYtxZaFZ6f0Xlumd5xQlAAkHxuY/9U4Cw4boXhVEsXfqS0 AobeZwh/q5lQKa4AuJKVXc3zV/agYjgYW6aB+zzlnN1lRu8s0ZPcYJEm1qof41lZnsfSdK9CyXKj LBjvQRfJrbyP9Ms3gTtKyCzyngWnSn+1W0ZjmRIzaJ2t20eYxyvy6OKjR6Zg0kYcApcrUhv7c/gY avcfQw3BzorFpmxQARoL4T9lDHgy81ILAQDUwnM/LRJj9GS1oYPQaAmcc8d4+FxA1XAtA6SNxdst l5lGduoW1pUN1FTfOL83pwt5E8fJ1fNGmExMZlu2UCnulOfIaJyTTzs4S28615YsD+WL4TQtjLns tuALkqfLqu7nmWQ34bwJE8L8zIyjoLUqr2jvf5sjc6Ass+BLB7uurgKgkY6VRyzIpaGFYvAnEQi4 udpNNxdqd9TEm3bfQAdEMVez94esqSLwoM4h0mtBcQOFDuQRIc2QQizmFpTTZ+/oLFUzp/l8FitM r5dJSnb/ovPzDTZis946XHU+A0zwX1Er1tIqsmmVOarm3oPF1wm4y4ggU+S2EAnhBYaPGYrmXN8y rFHbLzeh55dfOwLNgtYCqO92ct/sDBuUuh0QfXsRU6xxq4x4ZBsJywZZTt7RlDP5xylgTSEkqjGY ktUxPjPeKdJd3cMub140TSQ7l/1Thpp7DsTzKThnCnCn4wKxcLPLq89YyDf7IWnVYxOIDY/13XWH /R+cHpKJ1MY1HJRFRbu7GpIdICI40WSGr7EfnUstmZ5ghZPmqMJDDNk2ETPLT3Pkn933LcE+47Zl kvx4IZiawSoZ9waKqFiKPYWObxBe9LbU1znQTXqaYKSL0B6F+Zk/PHV6Az/GxTVtlwIgj+h4PWnv 05+FpDJF6bZYVN+ovFCQI6o+fdm2wY9x6e3fylphRPWTUu/bevRX2g5eIeRPRFui137yBY8HH9uj vHFo6PXNvUtnYVaaupaQxpHhf3RziTViyiStMXlyhLYXEIVfkB7ljxukRJK6aigXcMCm7pdO8KOe 6bQeVc0psaK60tmD1N0SRJFoHMdp7PryAbjjcUJ6X3OeIxsJVcqV8tiacpSME4GU9iKMTjWMTR1K vR86M2K2HUoaYOCTYj/k/I5aRwFwBexetUGD9DtfM+wECsUxKN78+137V72MgdM+1Oz4J5cjnP6K Vn4RKgv+dDt7uMLrIxfL3GtQT7nqxndiQjMa8K02I4V94tmbyYd7ckaPTKHWjGMde/0BW1ZSIF+P COrQWG8K6RqV8/lSx3MilqYo9dCY4p/IAuMZQfffrOF9zFvo6Qh4qEHOPitlRYg7xZRa7KnK4WcZ X3eyRBxXf29ADdHeZvRz13bVx7ErgVno3F4xEK3FqsS7PS4mtU5e1mOs1zvbEaVKCjNX5ovTOUEK R8fPTbmpvFBYaGT6cDv39XcWNLbMGvR44w3GqdyUqK6lNXADInXyVZGeLHKyCI5fcrhJl4SoKe+E Ak7CJG0XBcgff+b7GnnQkVqeoTYOWvWALiGKd7dbmPBJW2z+U/i1xBIYfdiQlqpaMAZjG+MUWOmt XXomlnTGXhTUigxMviGo37LN+1tB4hjRLWjlyJCXFcj1T4VLppqstdwpnzkOd58T2tgn0ZyW5INq aC8fsHz3i1PYh3N3d6AD+QqPTjsEeAx6wOcsgh3PPUsc8Sz5HIt5waLDCF/wiCaw7EYwXFP6IadP AFoDMLKCK0uR20dfD8soZq1BXgnslb3JG6EBQn+f/c6hM3QCobSXCRF1uFu3urdQPyZWKl7x+MIm QRQ8qIvDMEePfOMJzslH63R7V8Gnx65W2YOVwl9tm6PLgIF1aGDI/EcCaIbHthoYaqCkq8jqtwGm YmAK8hm4bO5YBFYLfP+nerVgzIxbtt2TNb63Wpd6MetPpPtu3kX9jFVGBP90cxkEFhHVqCiMyhNL mD2cgDXf+o3ssuiaX1PcrcUIFI5k3q0TcpkB9YG62Kacv858lssGwTg6Zz+676Jey+rV0XTq8bIj 5F60MtJzqC7Az475bwL/LN7YOJzrXWroUxsy91I/8fM2Dsq7CZB6J+e3+H+MfYfvdBzVxg+LHrbp ZNusr3cAxqZAvZmFeLsfgGv/QV/j5LBLI4SBaq9S4I++GucZ/mwcilxv3ehmRQlyQg2EQd1wHOrP 9YOfyKcdtNDgqAlqHFICBYCOjth0ONhDFjHkKnD4Uj/qLModzh3OBMQzXN6V9TimbcBor2JNdYTW n29S7dWZ7TxoYUPA/gnZwO0zz8sZWhi7nCtL3U7uvxOcIbE+Q2EhSB1QFwp6L++ISpKB2ExSVUad hT+Z7/2iHKkJEotQO9alSIdWU6omKvjpYUzr3hh//GpAt5wKZK7qh5vJFI9lai8dxpxvBP6Nht56 NbcKEM0/xm3Y6eP8mPdv4S3dce2M9i7NBERPwHX9qxeLe+9EVsqTDAYYsSMpvRlmNGTIdVUSjNNu 5IIiZ9KdDeRdBeQGphvqHd2qyrP9ubGctA0GeTMZTJ5GwPFa1zRpxFGIaIxfw44brQJwhpmkWkh/ 1lgZWN+wOC3SPpRmWb/CgFqya6jfQTjgU7rUlKQNikEHw/7oadG7TFESUZRS1Zd7Q1S2x9cDoXJY nphpUXGQdXIa1OWmATrTWa2zCwyq9/lVFItS/kKK+FUT9t4xWVuZ4VqSwPvLgOCAkY2NPU9iyIqh geSjiXjKI1qzfZ71juraJAHOtJ8oXaunRkYkCbEarXrVvhDsXAv92wvXISp9PC5teQsOpWa90Z4g w5reh1tSm7BHi75jdL1/B+Ufv9JaJI747DywvQCInNo9rlQRRprAmbb+p79B/qK/FZ5wdhvoidRs cWK40jPDUty2RoiP0AAN6zZUdgOT5xuwhU4P+l4B5u6JRj5ju2i2Fi+3MsrF8JPm4BxGVPG6QvzO dzOWJzthYrkTESosocQkv6QLoNf8IgsvDSfty8PsW+4Vvjbl0RFDK2OTdb8D249SfaX8wSf2GH9C 1jz6Im9f0DSTqtL6XOSZ76OoE9j0YM1edtnOoY7FhN3tSqcJPrMS2p4k5Vc7aWQnDA2XNU16+RRd zan3svvcZOMaCwTCS1yLdghusmorn7f9of5+/9MwHVgw4E69Mq64EAaVkikUpZtPr5oNyVARAXyf 4TiXgx0u7qrN5af+3w2Cdl+EwyykJrTeVdCw9JDnbMRhNmNV3UGOULLPMG5FDISz5ntZGZavLOgE tmgz7WiDLGGCvA8ZtLwLEyby1ySw00PPt2Kq/fMn5QQfICrJ2423xgGaxXm7Y2qa7xcmdENBpDa6 E+mMS6S+abr8GtXbvKpO26IEREVrRZJWjE+4BDgy60LHF1F+N87w+iZAhRllZtaQvgAzkZ0jkwB1 h+plO9/HzqGk9bhKJoE7pYTdHk7d068DKo6uDGNzOB6dOt6hr6KJV3ZIqE9gYYu6iaNyu5Yf4ckH +c/A9Loq+uY0PJ+qhimesEkWyCrpB/Cd9LObybHXzoyPNStMGj3N8jvvO1oRrjHvI5ySB/64uP1W 04j3lXgaEtQ+/AjOeCwpDb9+fKQH2WAVvTuWwGVCG+K3osFjduFKk9n73np2/XqE0A/LcpDJu8Zn oq93N66ck3+UjaN45nofmGyLvE8zUYJ1i4MRCkkUUJyWsm+sh1j1cbwfP4JzpS/bNJUus26wFb2u 8bZ8KIM3LqJ/t2fXeVrW3q9MLu4P1W+bSxo6ClohCZAUvKPAp3phlaXIPDdqi3t8ya/g0chv2aid uPdasa1sn6KKAwic3atEtahvV4Zpf+QPr3cSADk+Dy7mSfOZ+6whQvbcokR/f4snaK+KdMr7efim 3MHNhhEL2bFcmhSrkuQWMb31HuEPwzcl4x8QfqWI2mzRtC76bSBskvSDUXNwouXYyhdcnctiEXMr VVEHdfc2yEhW7J3sfneP338URM7VGGa3p3vnpTYPVWsimILfrQzxwSNN+ujHFK0wLJelMILTa0/3 DHMAx8I5yyI/2/Nw7fj2B+D7cxKR9gwa1qTRTtCCGs1W1/7q0iIqe4lGenxelGp9gRlsadNT1tG+ 8h4bk89vkEZE5NibNUS8GT+yjW18/Nd/W8tOfrdK7gMSpNag2PYwCFP/sbeshBwFAVTE9vzhvow5 Tlo6mrqfNaC4znCf2lpE/+ElJAV72VY85aXq1+0HkGGo4qbL9WSX+iHCt6xFQzFaY7+EHznI3eiN xbm6tusQrPZJIQxoJhHb0SGYXPSFz5RQVpk5AOmWOU7R+oCX3EL2A5Oz+Nt7UQItXbZpYQqzp84m lm6+GACz2bN8mUXil8AnAykqdZ6hC8zomgNIBpukt7Qj4mA/oiiaBIG4bTfkLLYwf5B68uY3k4zx A6EqXikG+6ljlA+jPv8xXa7FFnt0zRg7TZgO37wv5aKmgvXEwwqVbUwgFD8yWQtADHKM+KH7cNRs YunSw3zpJ+yDNL2u3IrloWH/DAg1bpCct3VM9FE/yrNggcFDs6Yh4YIHv/8rn+Cq/dZPmXtyx1Ry +CdJh2InyU6NQz3BRPM56Mk7MNuC20CmKZqH0nXPf9WDAdGylK04ISs/koOpyuajeMGT7u2vsHqM /v0uSVR9bEyguJpmlC4PDifqc8UtG5iLr9O04jQMywG7r4iwzaBXj51aj40KNpdPWbg2Io71LqGb tKZyPhsutri3RppM6fiQqDXaUcpT8mhOUsD6OnrCUDhXfcdRL8GpbFHUUCvs79SVERd5W0X8L6tD v9/usd3se/c/JXF2rkxLeKQ1HDWbDfMCPPJzYXmaiBeXmpmVW54ea19ywG6RJwH3e+Uc4tWjih/A jJsA947eaUVSq4dOtCj8fJwYz42lFnaMjGcMj8g1UtlESRe0jewccDdGR7B1zvtUUinBpezXY7Fi 3OXfSC+pKRY2CmZ+CuUXShHJf/cLr1qtLr/AEudGlCaBuvG1NTNwi77UyqZ8vUXOFAzRIZAogLSG L/tO/bkWTfNtvDJDch4qMx+rk1zeoRcp8CNog8DGOsMZ0VLXEGo3jevNywbNORb1XtJ9HA9X9IYC FBESpK2wNj7Dg/HshJzTDbdl3sgPVZlNkaWjoKyOmIxC0EcLkq8YgqgdOP3gYK9Rc6QiPnNA1Mya 6Y+KMxS9nwewSTX/zLQ85/4wR4/H7aK0zoTmKqx3yaoGIIKdSLeuEpn9MPn+XlXzkh+YGoTtZjYn Uv1sQR2YZo9lkpMb3PTyv0C2LsItEGcOTavOd/wRlhWuiSqJT0uqnC8P1xOLPQWyLB1d45Db5AHu JFpxeCisC7BZ3inW2FwNIQxSr+be6Ny9SR6BZ5SjPo8xVc+N1nM+8Mr4ININDqW+U31newXvnZi0 mQbohISwARzltYY1uZCGtpB4EHZH/SmITqq7YbW3/WFukAVuB3Ngeq5jUVw4KJr8uTR2bPGFJ+B9 4jDc3GyNrjYUAk+eykokjEeQGJSznCQrGb54YlU0C474eKJlEHkOqqm33zGsDQVr0VLoX5aT5rdt TFcTxUifOdORB1w7gSQDahLE03Uu59Vtc11RRY7CO2VyPDby9DOwvP3yRviRQn2vDM/wBvA7XU8L WiC+6HTSBOp8QimkFt+ED+qZ27ksn9rBepyi1CDyrItJ1lBw2SOBlmoDdSakQeFnX9A6LAhimE+q 6EYSHAtVEpstzxy08FZJsSJYIDn8wn25Gc/3Jw2d/jf2YeoVP7R8+ggmiqfp66b6A//Bv/EV6RNw RZ2DNAAFW2CTQlFJ5amaUOYaYuVaJe9oZ3H02cIe799YbxIR/8j8r3dXH2iXSx7rk30GjOM6kkLa w1F/4FmnVzUbrFS0sDsFjuZtQfW/bbaEALgVwH1aKIuaZ/DZaVs+P2OofaZWrc+SVIkZB3c5qjBD Xdh7MhpPJ/CbTyY/7LHabMyO0wMnIXFVydokNqpewXvnS/01L0KzUt5KlRq7Gnagiep4hDaM/cWT lNrcX/vdjtjjNsga1eITLIuF37dy/wpyCw5pHkG4B3U5LJfLXJwt1HK3ytNo4Oy5ZsjthQtSdALF euCJC81aAYJzYp+xwL+PxUx+Vlc7T1lxqq37AldAoRiUmAYJ01kEC1BPZTIZ8FhGyRcXxMqp6pF6 Xi8JEaIJu3RrYQVOQ6eZafTjSYYZvzEHFHomcvwQxly34+wULKAKKg1tiA0D4TC0x/cqYRUXsb5g kfRfRoYL79+K8BskM2n968cHL7FLsL+ZcmlsaRMSD3xXlB/q/wmhsc+WxWUKHheR+gnbM+lIrhnP 93ERZCzR44R/nHTsnFBZzhBZMkRbmJCejoWH4ghILy/ptLh5hUccMWHDvyo9SdCK47KmETQN1DX0 cTcVPMpz0EhM2WQZHg/6wVfzvxqQFMv5Na011NlPzLZ9iX+tNtOifTi5QGt+7pPI7q0+6LBv95U3 PMrjjvHwl0p9CwDruoKTaeGB/hBQ1R/EW7cVK1Z/8735vK/ut0iGq7mbyQK8OUdMFdkqyn54SVIO 4CId57aM4EVtxU0MkMKJ4qT+Aya8HiUWOnG1GPUGHVbv5S6/R8AwaC2GHRvfLd2alkmw4OMtPiTP MJGl/+ur2Kbh6f3fvrJzGqWsJ10P3KHNiIFC5h08oGpZpsDM8aUApGeQxQyn0+gbZaxoOh5AE5Sx trupCaHXe8dCPBByiku9+us0PIbnT0cS7+XhQpcYXkZuWNKQ8Davp26DiQ8c6QGHzBJw/u4Hqd1j VcPVpavzxhD1vkwvlJdIXV1abVrNo6K6BHjHTIIj2bfrPLlMnfhhT/SHEGwt+aBU9CygbSHB+j5U FCQkwdlJUMAve6lC4vvEyGI/W7lkKyFNqBsafr+6UJZQeo9MmwJtw7geCEdBpwKKVdeGuU/99fk4 SEd4l0QnKQ/KxGAuI12yl9/PuyG1RofU2tG8RwPCFcWPTgn1ifDugLZDPri7ZkWn+aD7kfbNsfub 6Y6SudLvw1iw5uuF7TUDBf00fmNziCPYFYNOw/YFPCuD2Bs9RFJKbv4z/Xg1gXbZYTB3pv28i2ZB HEZuUBuDq3JgEiq3ojJlPpY1lBflI9M/ZhL0vq2j+VBlihADByKVUQgJLS3oYGM+Q8+kbHX33+pE MPWaBaEJD7cCVakYWsexpjQvCaOkXZo/PKHAk+lorBd+D2HBL6k2Z8Dp+IHexJvIuw8flUmVMl1u 1B861osi3OS+H8ckxWuGy06c55S4cmRA2lzX2klS5s53VQdvuD8USN5QfmtAijzbCyxqyb5A6bw8 NO7n/6jQFH903iJtwWIMo/ndDMAnghqX9AGhUFCpAD2yt+ABsetVYIJB2G0Q9E9d7Z+FpdVBgm65 mxZDLe4x8fA2m76LKQoIUvo1QocurfpMAG4c4euPV2/R8+AMojzJ1AvboZlimkaUMzoNn1lG9Fza w/hGU4nlc123xaW1TlqyneM4Fj6ADqAUYEXPzj0Z46Aq80vbIx4OljUAajjhdWfF+7uhWqqck6OZ 9K/jfuMPiEzt97wUF8XcbI+urXV5P/lrYMwBmnPlj3dxJHq0jkb9v+efl1g+FvfVjxHXlgBFJdzZ REirW6YqIabzdmDhnktOtY2P8k9ghKy6kz8L7+4ACFF4F1DINUkL2Rpg98B34iED+AoF5LToU7le NIU6QLu6cvywHOckSC4jwvycqFZQ+SHukZgHKMXwE9qrKi6Z0BoowIX7GI0pglXAmufgLvTnmV16 G1VxJJp4eugNWHH2EefxfgsTpCcyJgOgGjfOGMX3IEyz2x8GxoGU8+DSefI72JB1iwJe7ThbKnX9 zfmqHh3xESy3jbGEC0RJuTroG3J0ECCAGVuGP6DCHrRtbbMkS9ZkVSJO9Gbzl5OZlzc+zwTo3lue I3yw0iitQH5HZef7RoHVzjDd6OsyCPztGuJjRhmsojdVr0We5Le3kU42gqNF+M54DirT2EONlfwz bN2iYp5F3mL0VzlOncMWsz46oNu/2t2o+j7kXi3BhnAOGRCJKtFkVmIMaP4RvmGOAdaiTAYNxiTW BYJzBDQqpvEfVw9iQJnrRzPdpLrbru6S0XbUi+5JAD7ADrPtEqXBCevwbakON/wuqm+jUHMHRzld W/hcjWWaHSXEc3okf4/StSnN6uUYErpXsXFs8Vwnwo6Ea1bxtxvlZKUOHzp2/Gj9n3Id79HISIyS vY1fpCkBhLax/n0mffzdLHweRq0RBNFQ1Uejpyo8atUOVE1RKLthVByFrsgvLOMrNOyjRR01JQWw +Xd+ufns357QWE5UmqWhs6PErMEkjd0QsrBjk5BEPPQCqPtDbUqKPcPXR8/d759c5uHquD7mA5RY bLwpPn4FEaY5n8MOBFxSVkkx/Jjn4DsaCQHWXN7TSiV2a/Tg9uZzUnZAB/NBPEOR8SV/sM8xh3wY MEcW+tfEubYXoKIW9X7qoM2McXxArdWeKZ4MCeUyAYunJ10DjexAUfQt1Y3Sup4xUvhj+nLluVvG 6QmXGrYycUdhfyf+Iy7Ii3rNzaQu53UaHgCxWm9DOHCB/S6k7X5jaRMSnh1E4tpA77OL0WFGEQLT 7YjdR8OZqHXAiPNGb+Vvd+K7xIJuX/4jnoMU3s8fh9TtuoB99P2CSMVH3VryCMMmKN+3FtSXkWCn C2vhgK3VZJi9IYpTE2GoxY32iI3FWjNNzYOW4hg+ut64O/HKkU/pR9kMqNCVXsyUtB96F7Jbia6p PSKI8yodIOUKnJ+FxSdx/FPGjUgYUNVsr6LIqsGP8tQvgGSEPiepsArHSMI6MzKwkJjViN6Ddfvz /ChUWL+3ewGHRzC1K/j030eYbZMj6F1ITMHJa0ZUdk1PBHcMzdP5a3Iee7lz/z0wV89F1ek+2iyj uUI4RC2kriOLHIfMU9eOzWQTZphSoSapfg18u4b2LIII5cOiSFkv9y2yywXQWO36hHbsise0EYH8 ZAYW8ZsB0KY+BlaLYHx7ySuBZF6C4ObTdImI7SaFqd+62ehNJNm3mMVHqyMPRf2XmrErJcRpEg6m 20ImBfESCexX9l1G60FaK1gK2bYcScUQ6hpsxICldYOQJto4uEBoCsufXn/M60FCXdpJtTcJllVx hvepg4YL2QAzxAxUuFFMBoIK3QQ5Jgpvrclo6GfpqU4YxoUfYNc6MKQd5+sl3zwXxyUx7ujJppmF vTgTy1N5e+dPbXFiucLacVgLpfWyrsvWbDJzf44XbL++EBLcNXZx1zSDr1IxbkrRbWIp3YWXYIfs vwtqiETIU3OAYSaVY+hr/lYGXkIGjbC2WzQwZsB7iLBVdHuWUWWf4tQlH+pYmToeChkRCleEp68l 6s8PPTMqgTojsQJFzZiXmnplzWXuS4qdBdpLDYRgEM/6NcJu6mtJ3lLTmZLAfR6bJi3ZQnzPYY6V 5uCjyoFJLndpa2zUE6ZCLNe1Z3XsLyl4CYcdM31eZmNHhYhuAKjltJhv5R3bYmLwRkCAE81B+a52 fFba1T/ARdGP7AcEOP1ZByQcEjZtKASBicY2j3lU6yeNWkCWJMc7Y36jzaoOqMc/uwZ0aQ+DCVdP UzHNGb/LpjEsZrGsUzkC0g1fBqq7RtdMpI3gDIAQoxH7JRjj7/Nkc0v3FxSqUzdOX+JQwKQud/7o J/aoRsH2lQxhqqMTK62Gyfyf7WmaflBhD/+Lcayix8PSoB8n8hEUt6y4wZ8JZT8rduuwFQhAYWNn Yf2+xEmce0MB4C0XKnIzhUYZrv0Olpo4L+vcJuNO0YIPvnSFmK1SiKvMvGhguRuRRf7lNe0lxr/M YxHC3NnfH3jQifrnXMfTHwP0YRj4fH8tMTjG3W2WUfkG2jwrZsoSxo6R9GOvxoFF9JwBy1YMg0AV kvhWTWhC6CiE63HC7nSZhTS3Lk2hH5PoK7LaFrfZsfel3c3STjmXYOF0zwqzDNUTZl1CO/WeoZIK L4Re9Yrh83TUZ494rfZRecIg19SQRafh0o1LEwnPr2Tnpy9kVyHR6fSFHLblAbggSOxjrShNylg3 KZFM6+GZozo8tQxlbvmASh6TEITcsPK5XP2On24omm6tUEjdkONC1W8eKx/ByRgMheQeuzGn8nV+ Ym9WAdn61jgTxodyUEHoLBGqz1dWprdSgLj6uyHJ0wVoRUUdOQxDzQDvrmn90wIPFPgEY3KskNBA qZTZYZJrErgXCAIgLpf7MKuDPZMReL4H5IUB+QPtCflvEBx4v3W3cWOxBd1RoQW2noEXohabYEQp mfR0RXNZ3Yu0rEVnaZkT8IQW2g+jx9YBnAlLF838COSs3ocig91lk+a2iZNi6B+D3bf2T1ZpJ5lP Od/u1NP9ALFbWDTVHd/m+z1Mvk6ZO1iK1w6jlOGF/4GmSqq7QvDGHXhM01co2vTIFUQKkttW2h0W hKYigoqtSGl87vQt32436CTrtOG6GmzPdpW9+AHcZpQV+l0z4zopo+I0Dcnd7zW0XRw5r95e8xoj EXAjN+NtvWNmKvhEFVo7HqdAFnTWrTIwiqSsIdnxlvjdibp2homYk8pHXAmTpxUV6dGhYdO6iymb Li0QjFg/RVVnQxISJkZ1Fu6xff0h3xzv/0UtC6vo2GZI5/DTgTb36U43FvOj8JJ5YgM9mfjuQD1D 2qLg1mMSOEsSiF7DHJnJdxPr6lQN/1Sr4cnlHX/CqMFCPIOvXyocTSl+WVep2oIrTnrFojbJE4Gw 18dIxZdsSeHd2osJDMSIsOQFmhEUJkYwxUw8C8InUGqXlvXT1sdUW3oXoSM= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \half_band_FIR_fir_compiler_v7_1__parameterized0\ is port ( aresetn : in STD_LOGIC; aclk : in STD_LOGIC; aclken : in STD_LOGIC; s_axis_data_tvalid : in STD_LOGIC; s_axis_data_tready : out STD_LOGIC; s_axis_data_tlast : in STD_LOGIC; s_axis_data_tuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_data_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 ); s_axis_config_tvalid : in STD_LOGIC; s_axis_config_tready : out STD_LOGIC; s_axis_config_tlast : in STD_LOGIC; s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_reload_tvalid : in STD_LOGIC; s_axis_reload_tready : out STD_LOGIC; s_axis_reload_tlast : in STD_LOGIC; s_axis_reload_tdata : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tready : in STD_LOGIC; m_axis_data_tlast : out STD_LOGIC; m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_data_tdata : out STD_LOGIC_VECTOR ( 23 downto 0 ); event_s_data_tlast_missing : out STD_LOGIC; event_s_data_tlast_unexpected : out STD_LOGIC; event_s_data_chanid_incorrect : out STD_LOGIC; event_s_config_tlast_missing : out STD_LOGIC; event_s_config_tlast_unexpected : out STD_LOGIC; event_s_reload_tlast_missing : out STD_LOGIC; event_s_reload_tlast_unexpected : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "fir_compiler_v7_1"; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "artix7"; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "./"; attribute C_COMPONENT_NAME : string; attribute C_COMPONENT_NAME of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "half_band_FIR"; attribute C_COEF_FILE : string; attribute C_COEF_FILE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "half_band_FIR.mif"; attribute C_COEF_FILE_LINES : integer; attribute C_COEF_FILE_LINES of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 5; attribute C_FILTER_TYPE : integer; attribute C_FILTER_TYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 7; attribute C_INTERP_RATE : integer; attribute C_INTERP_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_DECIM_RATE : integer; attribute C_DECIM_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2; attribute C_ZERO_PACKING_FACTOR : integer; attribute C_ZERO_PACKING_FACTOR of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_SYMMETRY : integer; attribute C_SYMMETRY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_NUM_FILTS : integer; attribute C_NUM_FILTS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_NUM_TAPS : integer; attribute C_NUM_TAPS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 15; attribute C_NUM_CHANNELS : integer; attribute C_NUM_CHANNELS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_CHANNEL_PATTERN : string; attribute C_CHANNEL_PATTERN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "fixed"; attribute C_ROUND_MODE : integer; attribute C_ROUND_MODE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_COEF_RELOAD : integer; attribute C_COEF_RELOAD of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_NUM_RELOAD_SLOTS : integer; attribute C_NUM_RELOAD_SLOTS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_COL_MODE : integer; attribute C_COL_MODE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_COL_PIPE_LEN : integer; attribute C_COL_PIPE_LEN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 4; attribute C_COL_CONFIG : string; attribute C_COL_CONFIG of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "1"; attribute C_OPTIMIZATION : integer; attribute C_OPTIMIZATION of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_DATA_PATH_WIDTHS : string; attribute C_DATA_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22"; attribute C_DATA_IP_PATH_WIDTHS : string; attribute C_DATA_IP_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22"; attribute C_DATA_PX_PATH_WIDTHS : string; attribute C_DATA_PX_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22"; attribute C_DATA_WIDTH : integer; attribute C_DATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 22; attribute C_COEF_PATH_WIDTHS : string; attribute C_COEF_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "15"; attribute C_COEF_WIDTH : integer; attribute C_COEF_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 15; attribute C_DATA_PATH_SRC : string; attribute C_DATA_PATH_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0"; attribute C_COEF_PATH_SRC : string; attribute C_COEF_PATH_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0"; attribute C_DATA_PATH_SIGN : string; attribute C_DATA_PATH_SIGN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0"; attribute C_COEF_PATH_SIGN : string; attribute C_COEF_PATH_SIGN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0"; attribute C_ACCUM_PATH_WIDTHS : string; attribute C_ACCUM_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "38"; attribute C_OUTPUT_WIDTH : integer; attribute C_OUTPUT_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 22; attribute C_OUTPUT_PATH_WIDTHS : string; attribute C_OUTPUT_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "22"; attribute C_ACCUM_OP_PATH_WIDTHS : string; attribute C_ACCUM_OP_PATH_WIDTHS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "38"; attribute C_EXT_MULT_CNFG : string; attribute C_EXT_MULT_CNFG of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "none"; attribute C_DATA_PATH_PSAMP_SRC : string; attribute C_DATA_PATH_PSAMP_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0"; attribute C_OP_PATH_PSAMP_SRC : string; attribute C_OP_PATH_PSAMP_SRC of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "0"; attribute C_NUM_MADDS : integer; attribute C_NUM_MADDS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_OPT_MADDS : string; attribute C_OPT_MADDS of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "none"; attribute C_OVERSAMPLING_RATE : integer; attribute C_OVERSAMPLING_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 5; attribute C_INPUT_RATE : integer; attribute C_INPUT_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 16; attribute C_OUTPUT_RATE : integer; attribute C_OUTPUT_RATE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 32; attribute C_DATA_MEMTYPE : integer; attribute C_DATA_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_COEF_MEMTYPE : integer; attribute C_COEF_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2; attribute C_IPBUFF_MEMTYPE : integer; attribute C_IPBUFF_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2; attribute C_OPBUFF_MEMTYPE : integer; attribute C_OPBUFF_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_DATAPATH_MEMTYPE : integer; attribute C_DATAPATH_MEMTYPE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 2; attribute C_MEM_ARRANGEMENT : integer; attribute C_MEM_ARRANGEMENT of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_DATA_MEM_PACKING : integer; attribute C_DATA_MEM_PACKING of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_COEF_MEM_PACKING : integer; attribute C_COEF_MEM_PACKING of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_FILTS_PACKED : integer; attribute C_FILTS_PACKED of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 42; attribute C_HAS_ARESETn : integer; attribute C_HAS_ARESETn of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_HAS_ACLKEN : integer; attribute C_HAS_ACLKEN of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_DATA_HAS_TLAST : integer; attribute C_DATA_HAS_TLAST of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_S_DATA_HAS_FIFO : integer; attribute C_S_DATA_HAS_FIFO of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_S_DATA_HAS_TUSER : integer; attribute C_S_DATA_HAS_TUSER of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_S_DATA_TDATA_WIDTH : integer; attribute C_S_DATA_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 24; attribute C_S_DATA_TUSER_WIDTH : integer; attribute C_S_DATA_TUSER_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_M_DATA_HAS_TREADY : integer; attribute C_M_DATA_HAS_TREADY of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_M_DATA_HAS_TUSER : integer; attribute C_M_DATA_HAS_TUSER of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_M_DATA_TDATA_WIDTH : integer; attribute C_M_DATA_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 24; attribute C_M_DATA_TUSER_WIDTH : integer; attribute C_M_DATA_TUSER_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_HAS_CONFIG_CHANNEL : integer; attribute C_HAS_CONFIG_CHANNEL of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_CONFIG_SYNC_MODE : integer; attribute C_CONFIG_SYNC_MODE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_CONFIG_PACKET_SIZE : integer; attribute C_CONFIG_PACKET_SIZE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 0; attribute C_CONFIG_TDATA_WIDTH : integer; attribute C_CONFIG_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute C_RELOAD_TDATA_WIDTH : integer; attribute C_RELOAD_TDATA_WIDTH of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is 1; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of \half_band_FIR_fir_compiler_v7_1__parameterized0\ : entity is "yes"; end \half_band_FIR_fir_compiler_v7_1__parameterized0\; architecture STRUCTURE of \half_band_FIR_fir_compiler_v7_1__parameterized0\ is attribute C_ACCUM_OP_PATH_WIDTHS of i_synth : label is "38"; attribute C_ACCUM_PATH_WIDTHS of i_synth : label is "38"; attribute C_CHANNEL_PATTERN of i_synth : label is "fixed"; attribute C_COEF_FILE of i_synth : label is "half_band_FIR.mif"; attribute C_COEF_FILE_LINES of i_synth : label is 5; attribute C_COEF_MEMTYPE of i_synth : label is 2; attribute C_COEF_MEM_PACKING of i_synth : label is 0; attribute C_COEF_PATH_SIGN of i_synth : label is "0"; attribute C_COEF_PATH_SRC of i_synth : label is "0"; attribute C_COEF_PATH_WIDTHS of i_synth : label is "15"; attribute C_COEF_RELOAD of i_synth : label is 0; attribute C_COEF_WIDTH of i_synth : label is 15; attribute C_COL_CONFIG of i_synth : label is "1"; attribute C_COL_MODE of i_synth : label is 1; attribute C_COL_PIPE_LEN of i_synth : label is 4; attribute C_COMPONENT_NAME of i_synth : label is "half_band_FIR"; attribute C_CONFIG_PACKET_SIZE of i_synth : label is 0; attribute C_CONFIG_SYNC_MODE of i_synth : label is 0; attribute C_CONFIG_TDATA_WIDTH of i_synth : label is 1; attribute C_DATAPATH_MEMTYPE of i_synth : label is 2; attribute C_DATA_HAS_TLAST of i_synth : label is 0; attribute C_DATA_IP_PATH_WIDTHS of i_synth : label is "22"; attribute C_DATA_MEMTYPE of i_synth : label is 0; attribute C_DATA_MEM_PACKING of i_synth : label is 0; attribute C_DATA_PATH_PSAMP_SRC of i_synth : label is "0"; attribute C_DATA_PATH_SIGN of i_synth : label is "0"; attribute C_DATA_PATH_SRC of i_synth : label is "0"; attribute C_DATA_PATH_WIDTHS of i_synth : label is "22"; attribute C_DATA_PX_PATH_WIDTHS of i_synth : label is "22"; attribute C_DATA_WIDTH of i_synth : label is 22; attribute C_DECIM_RATE of i_synth : label is 2; attribute C_ELABORATION_DIR of i_synth : label is "./"; attribute C_EXT_MULT_CNFG of i_synth : label is "none"; attribute C_FILTER_TYPE of i_synth : label is 7; attribute C_FILTS_PACKED of i_synth : label is 0; attribute C_HAS_ACLKEN of i_synth : label is 0; attribute C_HAS_ARESETn of i_synth : label is 0; attribute C_HAS_CONFIG_CHANNEL of i_synth : label is 0; attribute C_INPUT_RATE of i_synth : label is 16; attribute C_INTERP_RATE of i_synth : label is 1; attribute C_IPBUFF_MEMTYPE of i_synth : label is 2; attribute C_LATENCY of i_synth : label is 42; attribute C_MEM_ARRANGEMENT of i_synth : label is 1; attribute C_M_DATA_HAS_TREADY of i_synth : label is 0; attribute C_M_DATA_HAS_TUSER of i_synth : label is 0; attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 24; attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1; attribute C_NUM_CHANNELS of i_synth : label is 1; attribute C_NUM_FILTS of i_synth : label is 1; attribute C_NUM_MADDS of i_synth : label is 1; attribute C_NUM_RELOAD_SLOTS of i_synth : label is 1; attribute C_NUM_TAPS of i_synth : label is 15; attribute C_OPBUFF_MEMTYPE of i_synth : label is 0; attribute C_OPTIMIZATION of i_synth : label is 0; attribute C_OPT_MADDS of i_synth : label is "none"; attribute C_OP_PATH_PSAMP_SRC of i_synth : label is "0"; attribute C_OUTPUT_PATH_WIDTHS of i_synth : label is "22"; attribute C_OUTPUT_RATE of i_synth : label is 32; attribute C_OUTPUT_WIDTH of i_synth : label is 22; attribute C_OVERSAMPLING_RATE of i_synth : label is 5; attribute C_RELOAD_TDATA_WIDTH of i_synth : label is 1; attribute C_ROUND_MODE of i_synth : label is 1; attribute C_SYMMETRY of i_synth : label is 1; attribute C_S_DATA_HAS_FIFO of i_synth : label is 1; attribute C_S_DATA_HAS_TUSER of i_synth : label is 0; attribute C_S_DATA_TDATA_WIDTH of i_synth : label is 24; attribute C_S_DATA_TUSER_WIDTH of i_synth : label is 1; attribute C_XDEVICEFAMILY of i_synth : label is "artix7"; attribute C_ZERO_PACKING_FACTOR of i_synth : label is 1; attribute downgradeipidentifiedwarnings of i_synth : label is "yes"; attribute secure_extras : string; attribute secure_extras of i_synth : label is "A"; begin i_synth: entity work.\half_band_FIR_fir_compiler_v7_1_viv__parameterized0\ port map ( aclk => aclk, aclken => aclken, aresetn => aresetn, event_s_config_tlast_missing => event_s_config_tlast_missing, event_s_config_tlast_unexpected => event_s_config_tlast_unexpected, event_s_data_chanid_incorrect => event_s_data_chanid_incorrect, event_s_data_tlast_missing => event_s_data_tlast_missing, event_s_data_tlast_unexpected => event_s_data_tlast_unexpected, event_s_reload_tlast_missing => event_s_reload_tlast_missing, event_s_reload_tlast_unexpected => event_s_reload_tlast_unexpected, m_axis_data_tdata(23 downto 0) => m_axis_data_tdata(23 downto 0), m_axis_data_tlast => m_axis_data_tlast, m_axis_data_tready => m_axis_data_tready, m_axis_data_tuser(0) => m_axis_data_tuser(0), m_axis_data_tvalid => m_axis_data_tvalid, s_axis_config_tdata(0) => s_axis_config_tdata(0), s_axis_config_tlast => s_axis_config_tlast, s_axis_config_tready => s_axis_config_tready, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_data_tdata(23 downto 0) => s_axis_data_tdata(23 downto 0), s_axis_data_tlast => s_axis_data_tlast, s_axis_data_tready => s_axis_data_tready, s_axis_data_tuser(0) => s_axis_data_tuser(0), s_axis_data_tvalid => s_axis_data_tvalid, s_axis_reload_tdata(0) => s_axis_reload_tdata(0), s_axis_reload_tlast => s_axis_reload_tlast, s_axis_reload_tready => s_axis_reload_tready, s_axis_reload_tvalid => s_axis_reload_tvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity half_band_FIR is port ( aclk : in STD_LOGIC; s_axis_data_tvalid : in STD_LOGIC; s_axis_data_tready : out STD_LOGIC; s_axis_data_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 ); m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tdata : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of half_band_FIR : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of half_band_FIR : entity is "yes"; attribute x_core_info : string; attribute x_core_info of half_band_FIR : entity is "fir_compiler_v7_1,Vivado 2014.2"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of half_band_FIR : entity is "half_band_FIR,fir_compiler_v7_1,{}"; attribute core_generation_info : string; attribute core_generation_info of half_band_FIR : entity is "half_band_FIR,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_COMPONENT_NAME=half_band_FIR,C_COEF_FILE=half_band_FIR.mif,C_COEF_FILE_LINES=5,C_FILTER_TYPE=7,C_INTERP_RATE=1,C_DECIM_RATE=2,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=15,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=1,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=1,C_OPTIMIZATION=0,C_DATA_PATH_WIDTHS=22,C_DATA_IP_PATH_WIDTHS=22,C_DATA_PX_PATH_WIDTHS=22,C_DATA_WIDTH=22,C_COEF_PATH_WIDTHS=15,C_COEF_WIDTH=15,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=38,C_OUTPUT_WIDTH=22,C_OUTPUT_PATH_WIDTHS=22,C_ACCUM_OP_PATH_WIDTHS=38,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=1,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=5,C_INPUT_RATE=16,C_OUTPUT_RATE=32,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=2,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=42,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=24,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=24,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}"; end half_band_FIR; architecture STRUCTURE of half_band_FIR is signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_data_chanid_incorrect_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_data_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_data_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_reload_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_reload_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_reload_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ACCUM_OP_PATH_WIDTHS : string; attribute C_ACCUM_OP_PATH_WIDTHS of U0 : label is "38"; attribute C_ACCUM_PATH_WIDTHS : string; attribute C_ACCUM_PATH_WIDTHS of U0 : label is "38"; attribute C_CHANNEL_PATTERN : string; attribute C_CHANNEL_PATTERN of U0 : label is "fixed"; attribute C_COEF_FILE : string; attribute C_COEF_FILE of U0 : label is "half_band_FIR.mif"; attribute C_COEF_FILE_LINES : integer; attribute C_COEF_FILE_LINES of U0 : label is 5; attribute C_COEF_MEMTYPE : integer; attribute C_COEF_MEMTYPE of U0 : label is 2; attribute C_COEF_MEM_PACKING : integer; attribute C_COEF_MEM_PACKING of U0 : label is 0; attribute C_COEF_PATH_SIGN : string; attribute C_COEF_PATH_SIGN of U0 : label is "0"; attribute C_COEF_PATH_SRC : string; attribute C_COEF_PATH_SRC of U0 : label is "0"; attribute C_COEF_PATH_WIDTHS : string; attribute C_COEF_PATH_WIDTHS of U0 : label is "15"; attribute C_COEF_RELOAD : integer; attribute C_COEF_RELOAD of U0 : label is 0; attribute C_COEF_WIDTH : integer; attribute C_COEF_WIDTH of U0 : label is 15; attribute C_COL_CONFIG : string; attribute C_COL_CONFIG of U0 : label is "1"; attribute C_COL_MODE : integer; attribute C_COL_MODE of U0 : label is 1; attribute C_COL_PIPE_LEN : integer; attribute C_COL_PIPE_LEN of U0 : label is 4; attribute C_COMPONENT_NAME : string; attribute C_COMPONENT_NAME of U0 : label is "half_band_FIR"; attribute C_CONFIG_PACKET_SIZE : integer; attribute C_CONFIG_PACKET_SIZE of U0 : label is 0; attribute C_CONFIG_SYNC_MODE : integer; attribute C_CONFIG_SYNC_MODE of U0 : label is 0; attribute C_CONFIG_TDATA_WIDTH : integer; attribute C_CONFIG_TDATA_WIDTH of U0 : label is 1; attribute C_DATAPATH_MEMTYPE : integer; attribute C_DATAPATH_MEMTYPE of U0 : label is 2; attribute C_DATA_HAS_TLAST : integer; attribute C_DATA_HAS_TLAST of U0 : label is 0; attribute C_DATA_IP_PATH_WIDTHS : string; attribute C_DATA_IP_PATH_WIDTHS of U0 : label is "22"; attribute C_DATA_MEMTYPE : integer; attribute C_DATA_MEMTYPE of U0 : label is 0; attribute C_DATA_MEM_PACKING : integer; attribute C_DATA_MEM_PACKING of U0 : label is 0; attribute C_DATA_PATH_PSAMP_SRC : string; attribute C_DATA_PATH_PSAMP_SRC of U0 : label is "0"; attribute C_DATA_PATH_SIGN : string; attribute C_DATA_PATH_SIGN of U0 : label is "0"; attribute C_DATA_PATH_SRC : string; attribute C_DATA_PATH_SRC of U0 : label is "0"; attribute C_DATA_PATH_WIDTHS : string; attribute C_DATA_PATH_WIDTHS of U0 : label is "22"; attribute C_DATA_PX_PATH_WIDTHS : string; attribute C_DATA_PX_PATH_WIDTHS of U0 : label is "22"; attribute C_DATA_WIDTH : integer; attribute C_DATA_WIDTH of U0 : label is 22; attribute C_DECIM_RATE : integer; attribute C_DECIM_RATE of U0 : label is 2; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_EXT_MULT_CNFG : string; attribute C_EXT_MULT_CNFG of U0 : label is "none"; attribute C_FILTER_TYPE : integer; attribute C_FILTER_TYPE of U0 : label is 7; attribute C_FILTS_PACKED : integer; attribute C_FILTS_PACKED of U0 : label is 0; attribute C_HAS_ACLKEN : integer; attribute C_HAS_ACLKEN of U0 : label is 0; attribute C_HAS_ARESETn : integer; attribute C_HAS_ARESETn of U0 : label is 0; attribute C_HAS_CONFIG_CHANNEL : integer; attribute C_HAS_CONFIG_CHANNEL of U0 : label is 0; attribute C_INPUT_RATE : integer; attribute C_INPUT_RATE of U0 : label is 16; attribute C_INTERP_RATE : integer; attribute C_INTERP_RATE of U0 : label is 1; attribute C_IPBUFF_MEMTYPE : integer; attribute C_IPBUFF_MEMTYPE of U0 : label is 2; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 42; attribute C_MEM_ARRANGEMENT : integer; attribute C_MEM_ARRANGEMENT of U0 : label is 1; attribute C_M_DATA_HAS_TREADY : integer; attribute C_M_DATA_HAS_TREADY of U0 : label is 0; attribute C_M_DATA_HAS_TUSER : integer; attribute C_M_DATA_HAS_TUSER of U0 : label is 0; attribute C_M_DATA_TDATA_WIDTH : integer; attribute C_M_DATA_TDATA_WIDTH of U0 : label is 24; attribute C_M_DATA_TUSER_WIDTH : integer; attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1; attribute C_NUM_CHANNELS : integer; attribute C_NUM_CHANNELS of U0 : label is 1; attribute C_NUM_FILTS : integer; attribute C_NUM_FILTS of U0 : label is 1; attribute C_NUM_MADDS : integer; attribute C_NUM_MADDS of U0 : label is 1; attribute C_NUM_RELOAD_SLOTS : integer; attribute C_NUM_RELOAD_SLOTS of U0 : label is 1; attribute C_NUM_TAPS : integer; attribute C_NUM_TAPS of U0 : label is 15; attribute C_OPBUFF_MEMTYPE : integer; attribute C_OPBUFF_MEMTYPE of U0 : label is 0; attribute C_OPTIMIZATION : integer; attribute C_OPTIMIZATION of U0 : label is 0; attribute C_OPT_MADDS : string; attribute C_OPT_MADDS of U0 : label is "none"; attribute C_OP_PATH_PSAMP_SRC : string; attribute C_OP_PATH_PSAMP_SRC of U0 : label is "0"; attribute C_OUTPUT_PATH_WIDTHS : string; attribute C_OUTPUT_PATH_WIDTHS of U0 : label is "22"; attribute C_OUTPUT_RATE : integer; attribute C_OUTPUT_RATE of U0 : label is 32; attribute C_OUTPUT_WIDTH : integer; attribute C_OUTPUT_WIDTH of U0 : label is 22; attribute C_OVERSAMPLING_RATE : integer; attribute C_OVERSAMPLING_RATE of U0 : label is 5; attribute C_RELOAD_TDATA_WIDTH : integer; attribute C_RELOAD_TDATA_WIDTH of U0 : label is 1; attribute C_ROUND_MODE : integer; attribute C_ROUND_MODE of U0 : label is 1; attribute C_SYMMETRY : integer; attribute C_SYMMETRY of U0 : label is 1; attribute C_S_DATA_HAS_FIFO : integer; attribute C_S_DATA_HAS_FIFO of U0 : label is 1; attribute C_S_DATA_HAS_TUSER : integer; attribute C_S_DATA_HAS_TUSER of U0 : label is 0; attribute C_S_DATA_TDATA_WIDTH : integer; attribute C_S_DATA_TDATA_WIDTH of U0 : label is 24; attribute C_S_DATA_TUSER_WIDTH : integer; attribute C_S_DATA_TUSER_WIDTH of U0 : label is 1; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "artix7"; attribute C_ZERO_PACKING_FACTOR : integer; attribute C_ZERO_PACKING_FACTOR of U0 : label is 1; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.\half_band_FIR_fir_compiler_v7_1__parameterized0\ port map ( aclk => aclk, aclken => '1', aresetn => '1', event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED, event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED, event_s_data_chanid_incorrect => NLW_U0_event_s_data_chanid_incorrect_UNCONNECTED, event_s_data_tlast_missing => NLW_U0_event_s_data_tlast_missing_UNCONNECTED, event_s_data_tlast_unexpected => NLW_U0_event_s_data_tlast_unexpected_UNCONNECTED, event_s_reload_tlast_missing => NLW_U0_event_s_reload_tlast_missing_UNCONNECTED, event_s_reload_tlast_unexpected => NLW_U0_event_s_reload_tlast_unexpected_UNCONNECTED, m_axis_data_tdata(23 downto 0) => m_axis_data_tdata(23 downto 0), m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED, m_axis_data_tready => '1', m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0), m_axis_data_tvalid => m_axis_data_tvalid, s_axis_config_tdata(0) => '0', s_axis_config_tlast => '0', s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED, s_axis_config_tvalid => '0', s_axis_data_tdata(23 downto 0) => s_axis_data_tdata(23 downto 0), s_axis_data_tlast => '0', s_axis_data_tready => s_axis_data_tready, s_axis_data_tuser(0) => '0', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_reload_tdata(0) => '0', s_axis_reload_tlast => '0', s_axis_reload_tready => NLW_U0_s_axis_reload_tready_UNCONNECTED, s_axis_reload_tvalid => '0' ); end STRUCTURE;
mit
ff2a3b8608e38006c9a1e0351eed7fe4
0.930932
1.884729
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/axi_utils_comps.vhd
3
35,937
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fg8M4ps2SmlsvXVLiyIKLGtDqFKWePEMQa2BufBy2E0g5BlSUV94lQOF75Ed3ZaKtWNEOOfJQ+af xLPdXhc3JQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Un7GlNBgl7b7FUxnqb3UjTHUD9GWSXG/gKZIa1bNdgBlCwPfPJLF4TNo45+qrXGyaq7n0TCJaqyB ak7v3MiXz/2RScI3J+SsMDFvfro7iNqYrwuNyNS2OXg0BOslgHYdsiBfKX6ibND6G402K0k5hvJK jdZZwafOGvk5gfTQ0+M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Jtd/Pz+kfUXyn6lFiJM0H2x2Ge/IVjJoambRuRJn2UtSm70qX0FJPZ49MzFyvNV6QhQG+NciNdzw eLKIJfrLlc2MT31sSZc3749nJJ+2Oc6emQWIFsirl7UB72VlBIKXBkagRviZi4lh9lqbdn8s9Iu7 TC1cCx/miw/84cV5oHFPc4thCY69IoacccBN9uRRSX8o6sIviFT+JsJQ19bVGY05rYVxO88D6eLd 5rbPkhyit8lX/oyLkwLQGza9LWGYwnWZQuDFZiLcMWR/zemmlPI9vuiRdMkASOP3JP0Dt9phPLqy l5ZvJCpoB4brq9SK9cCcYMrDWngjy8e8gBw0ow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a0pHX9dFaxun5GI0ZLc51IcXYXI3Xn+XWmbP6rQDEs2mGdRBwx8QFcl25uOiaO/+XI+CbI5gDnrp evbuZteoMcfh9Lv8buNqgtx1TE2kvqMCF9eNLTnGmUNW80lMNO2JYhVnUPW+vZXdaJep/MPma/Wr lrnAz/ZddemrfsHX2Y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gMZyI+5gtISYNbmJuFPlo02buAWNgvHGNEknPNed/1kK6eRHyUxqY4z4nROpjgPbGLNQsexUTwP3 3b/GLmhSyta0bf0jbjqDP/YgD34JWQznNC1XlaeomMPw1XMYTfLkskFdweaBj2USSKN0aNDm99qA 3hPQEC8CrjYvEZF4maNj7mF1NgFfetn1sQe3SgVrec3VrqdYZ8XkGUcjGyd2PG7d0xAjw4t0Wi1M VABMQUFdS4j93hLu+zqiw/U8aginVIl/T6ZmYOwPS55VyhNaPWvBfi+i6i5rHrzTrULvgBrZECIO JSSoz0bRyYnMl+8Zl3L+CQkls5bkBbvKzzLRxg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24864) `protect data_block 9JCaMhwjIMXmzENZsMs5b80BHZbWIyU7a/MzAgSpdXfxbEbsvfXLUTysDYP2dUdYMNqeCus2QxV/ WlRd2+sgzpDFc2cYHg5qAHaP5vFMMJ7Lj/gGQc2v6o3ZYOO+sZLd3JzO7kLBgmmJ6ERe4bcpqisT DpC/SBR8zbxqXJNjjPBLph90sAy5xvL6LJp/crtVbcHJGYt063hEj8PPoyBJFkDQHpXgY84NiZWt +HKnphpdtq6lL8CoVpO/IUkYeHkNyqdkwvi1tjbrwQM8aX4Pl/c7YoTSBvwA0xPcA2kIHhUSUnoJ nyJQyMrYW5iRPt2ZIDjecbPtBf0qk1APeARkihpXXwtMav1tT/HWr2kyBNH4xDmZ7seY+nxyHqeD U/94qlatpgUw4zy7ni0w9atuZ3K1ffQ50PidTbZZaoZ8Vvs9CubRY6wobwOIo603YPeThQGfPKmM nUDBj2PK9dkIIgLxRJixCmgRAgNANLNQ+0MpQ0IZ/Q1H3aI6mxLVKRanaky0WpindvXs1nIe1Fif J0psN5Z2Fjs8yKlPaLPZmh1xCTZGkOsLbgRnQJYHq0vZe8FEgKD/l5eHZexCjp6V8IzMgNLcdUcA 5CGT5pWBdmZFmkvQOd/8D0FuKkyMTAuohmsaAse0WcZO5VRN00IUTWmno2rjKAPFjWc0t5U0clIz vLn1sVYFEowG5JJ9SZKot++o582+XCYOkywZOW2r7wuXiikFkaTa89dfb8Ux5GBONUJHRsrPRWLV NUvZAFeKKCGTkWX5byKGKbnr10TsgZ3+Q7uhozotJuZ/DfqCtJwxsCM/0LBzXRaYvyzeRXGpxLPm 9Wf/GmvvH9RUDcRvFzb++3Ot5KN8+4uaxLQ1kuf+JIYu9mPCe2wfYVt3ml+HCWsNH2EufDWLCCXr 3pJLjC8aU9n69KS7roT31hiTI2t+EYQd/rY2XtxblhXHMIqAL2TARFClHnoC+jdkkdk46cpVdEW9 z2W2JCKi+hId8yYbORUVnttj376bVKPEJ+EhMi0b34XGaccQC1jKSHmoiuGyKvVrKBlNkXWJ29r0 YImLPpOvA4MVk1wBY+phcw3pf9w9PeGej0GDikDVPdI49M4+/loV4JhNQimgMR3mPZRWTXwuOtci WWAAuBlv+Uz2h/dHdVxAj3rlA9HosWozHOkOJbRsPYhmDaH5gcNWNAvUKov3w95ZOd4OdxD03+aD ALPwsdP9ibRHODDNm7hlmmM89uIdHPQp+r+ghTgJNIa9eRD2HjYqUfuxodF44JGkvlbu66OBE44B Fc3wmii+oeyjv83kGKzzW4eJhfm7mVmcTVYgcQ1uMZDOamxLvRodVcpaXLOlOIgf9VZWuiI9sYkr L/aoLLh0hJqbXy88cLp9RO7BJ6/vXgEpfW2FD4NuH8Qja72vAT74NQSgL15ezTuExbU8tGBc1rNC gWYeIFvsLgWVjgpnG5X9UR6HBEnbicNpyxqqF5JCrXVMOy7vCstj+7cqtu8wGmWEwr04++hzBraI bUDLkkZnfHgKPvo5H/yugwcfnx2BR7GyOSKediGtEQkVmPXb5j7OV0Dr8G2FWLTrxSJEQt+FWQ8c DgqMTovQ8eGWmnobt+eOczNEvpUlGb7+f0kRr+on/jlyS0Dmo7ISFfrgN5eir7OqOtsSwv2JnS5c NLcMSDp1UNb5snWR6bvlbsCFDxNGoEg+gLgschj2Bsn/0Mw6qDQHbcWj5a/mF0P8D7SdUPMJgtEy ThJAbvz5sgGUuQl1eddYPifWP3JcHqDKnruDOLD/Xyhx23814nSHc+J4fPrjdKnMFNbRiNtNYs3M VFU7QPCQeAJCldpJ4oE9cIUvkU+6KnCeCaxeRubfUxXKSEpxqTp3Iv3teS24Uxkce1wxj1lc9RJ3 VPahOhwGCC91QLIM228ZXGoy2kEe8MjcBHMnND1ntYMjZVjjRfTc0jR/PbHeTxjj9u0lqHBS+4am Uv+9dZ44v1b2NHTBJkswrS8fKPtbtRvkLFw1qjTX0UQd9uYRT4WBshDxkUaacfDWyWi1HeCZgpMV 8T5V5HttPz/kNQtU9n+1sJQ8FxfQqTrorHRLOsxZc10JgWE1JaWJN9m3CJGjEAKXpY1e7x7Qm181 8gdbe9qd9X65c2K18QaZSMA5fYHzrQGvLDnFslzENTX6V3O1OOmbQ4+fZ/F5CVi7IRFi1MadpaKh 31OhhOV5yKzyYHvIzrLXFaSge/IDscXkmj8l5pNKVLXeHt0MnNprf8MlCPCsv6byWQ0Ao7ZHZpun bcBleJNaPpu96V0KRo6i3Ox4AkxcLME9IxYFq2TEjpR/agpOQkOywnCVFZzXm7Nvg8Jt6hnTmb44 v+vJR3rlR4HbYM4L4V7x8+APDZfWjbfBZ+kh4TH15noBZ3d58hHRrTuB+XPeAn2jxJ+Zt+vS5E85 9KWz5GorIjJghHfRxrhvSnSm+9k/u52JxxzCmKIWPq4/e1ahl54uMq+X8ayYU4wmTQzjBhSDG5c6 JhfUSTHnwOI4Y/0bvYL9hHnheYz1V57/fnxcR3VUpnBW7ck2vnr8zE7Br1I57pCst/c9Ct2SAC6i 4/O8rOGUXsFpg0ZkD8tsVBnma1PBBoTSc0HT5b7c15vOYQGRFT9xTZxGrjdlJSLlvO9LjubXgEpG ZwPkcp6xgiqxHMlIWodbu3DJ7rvMEgGzhLAc4Uv79stAssscuBVDseH90FvyhhatCVPsOLnFtm+j Jx7by/mIyVHIQka55zU+cpIDnMKB6TII0vfwOxr3KUnA8PuMupQtQ217N8cEK4uKApo9ua59Igly I6jbnr4R5L/FwQQESpNrlLowG8ocWzCY5Bh2cow0w+toEEOYePur/oSmRlXSAz+/IxDZtAYJ4K+U ugbfW+DbUwx4yW7YAhNtdllbPDkTn8/9crNnAqchkHfhmQp21rQ6HnHbDzoWjKFmfRNMgLve6mSV ZEwI/FhWkNz9hSfyWkEu2JAvuVRyAeYRa66tg95q9YYCowdk32RFOv+jKHRI2BGxhKzCxwxCbMG3 ZfTXLfQ64Ah4Tzkplu6OAmxMreOjGDq6/tLK1OCd4C6EVKh+4TYD61Jzv+uSumfconR2D1XUMOn1 PLAgdcQOtiRnCVOwz85CDmcz6/sOiMUr7Wmhnhoolq5oxq/3ccgLxKhcrFVdjBDxj5mFWhGgC/FJ dN6kDGZsHzW7BmDzKA37Ji1Mapv30FU9urGHSAGqD3qj2MrpMRxrdmnxUKeQfPSjaRdQTyNQAj8q p0sNiyQ800OHn1u51cJ6mGd7PrniJfWuur204fa2izZRwzi9vHgb7j4EOy7PRFWa99nawZ9Y5BAM uGbxH8S8EH6+J6JZuYMnb1H284f6c9XpelD50n5RrTs6YbUZ0elJuUVbmFiDvUKrOJb37kFyeMHf 8J5so207KI/oD9UigbyNrEbvNrj8cXOnsmsJZCUYMwEMf+oOzcOOt+6JPf2yiKbXevvnII2S+sOk WW94fOwECGxKFyLjuLLCy3WAVsP8WSlPGv5IacCenHjo0WKVCPdVhgLbEsp54HDPK50x+B9EZZJD F89mO1IfVOeq1c9ZZ0/Z7Ului6C9auWw7BI+p2jSQTu0qa+EL5LG7+Lwsrs76R+8cJX5W07QUlib UQrOOD7wosD1OZM3KMDDxsF9s1I71QFHtJyPVqO21xYDFig+fCk+Ric9MS00PIW/v87Vh8uynntP q7s+BJGAMwr8AIq9iWcuuvdLkSEznUIGV2jQdClZT4k1W9Aj3CsKtjp1aznQx4QpFRGmSTJOYHDL iZNl5RhGGvglVLMdbA7zvB1X6Rk6mCrFH3zEx/hQuOiazg71YTCawdafwK4fgzztHp6x9XL/UpjR RCYc2d6P8rCX1OYvAMiO7IDXdaD+f4nhxhysovNm7b77HfaTQZolD74aEe9YQeN/phiYTiCaK7GJ VS66pzA8uE3kFFvCYbRL1UFaN1LnARwwfNNq09E7v+LrNk9xDEGAn6z6oEvnxWyGTCZ4eX5241Mr RFTI7SAEKTYYAg9KWy5VHZajdLpn0OFiY4niEeod5//d3h9xN8PijBE0uanbZTKRV0Q6vVk03rCa BTUWZYv5fJa4HAL1nuhXd3Ra2pjRdjqryHfMUMcmk2Vtyi8J0DC8acDoIXuJSVCookONwupP0ZLf b5bFNyxAvtGQQwzHgcBycYnUDWXp+nGvusbLNvJZrZ6j3Syz+te9zcNTJpOfrvRy0ITnQh3s5Pw9 o6PhN1iG+CL9wGgy6duBmp0G33PDbSIDT8I7idOahGUmqfcHiFEuQK7PJvFa4TsTCCoeEW84Q04a QkR1NtESPgFNSEjs6nCg+Q29JXgDig+z2JqZB8E/wg8W1aXLLjA8vj7gfPR/evE0eFPhpS5b/UMu B01krCqc4me1qbOOALCc9Y/kcOb4r7qmWh6ucoVegC1AojRuEkX159GfRBUgt3Y0wjHDUYoYnVpW EhEkzttdR6Mep+bpgxU89ndPbmEs3te0/lbSTdgK84yFRL0aVMPBbSzAUPqS4JFKZAqxkFr4JJDv QtNArx/oNaEXihw8vlY76KYato0rgwDa6xiD4HlnsW8RIfJI0r++NO7cZlyNTvH0oM9qtVShTpdo whi/6g45Un7FKKSrd00xJemZTHcvyeHclejQ3Q8FN9B3Rz8pq4XZxcpb+b/IZYSnEuGXskmT51Fe rPhNl+uG5cxKPSDcz2sNXl6RCQQlcY1CfAl2UdFMUbVAShoUwQkwdrTVRBNzAPdRW+c8m256z2lB lKJ2+AAI8YAC8NrUNCcel6IQeaas0NU518v1W2hc+ioqEcCs3Zw6qEB3mFtofqqcvVZ1ZNVpGRHM XDJEeRSrhvgGdjzuHsN70a9YK+qJsZAuTwxubheMKa7lD7bpsxQZDjhAt0pZL0ceEERib5VHpSt5 CaOzofnB2Fl3wF6o0cVJAwBi4rUD9UeTWlUMiUr4rveXTo0bQB5XL8AkWITy4ifMBPG0Y7EPnu8J brlfa+AexG9TisWwnbmVhACz8XQ9u/5ndWSwBE9WWt+IPL+oVIPl7HL5ffS1u/FYhTvMAdOeFad5 towsUotlVPlGg0+c0JWfeEINzIBCkvsSNmlTI56AV3CDENDbtT4SSONLYBHjCoIR2fIxwnVGb7ac X7FWuBYmqQZ96Neql8nkEi4fWgxl/jgVna6xMxkt+bTo4kJQGh5CpBzmRiRyhgW/ixG382m8TMWH XAj8z1bK/RDyu6kwmWOflCnTj3kixf44nHLgHRKPhANVMJMvz0G4BY+Y9ZhTj1OOhMD6KiYba1vG dKIrOz1psT9+Otx4ge6wBR29Ag6+fh+O+0VaAYLwDN5R4yKAQv1wXEuSyLSdJ8OfWfhBdVfxFFp3 6xfilQgEr4OlN90SRC6HqMqdSFpkGmDA1X5BreYxbGAKUSeYSzPEdQYJPYNjAMlF+1Azmi+frKqk NCns+/gA7ZTgWaxHbZkwRKABwOLNxptM2+Gz/2gtctI7Ie4oiad/D86CYeR9+2jZE4WgdO9UPx69 BlhpZxsuRSSb5umJSPYJ7wIlH/1yNBG5kEBLvfr37CagbSfSepu0/LVvJ/EnXrHCgGLllz0JXFzg 4+eS0tQ0R+dwfLCyVpcAbBP75Fc4shBO1QnP3kHEZkX6YL4nEVj+kK2CotUkGvulQlxMh+V5MN+p 6dUCWnJYG0Yro2lmA2O+qadDyeR7VPsj1m12aueueb6HZhaphQjyMg9L7b73AcCsjNlVwjJwrthf yjT4FJXitTk8gL+ma/oXAO7P3olhj5KEczct65MnQ7dlx2eYE1g/yJudu+JBVTurqLzuGc35V2Gm QCM9oPHnJO/cweCgss4t2xXamS1dQ1uVEcbMxiFGtzchRFbTRthArT5yhfER/UkyELS3I/FCD5XR fIzm4sbEuuW5XBv3wxx9UrN8nl2lCWfnRXP6s96GNwha7sn5/OTJSGII1aylo/5ksV7E97o6T+aB QczN1By52MUMPeiDYDK/HCvBjqyu4JQkMQcp2DsZMcKfVOf9kAyTa1K3isOvCQn4rZ51zm3YjU2V prvIqMvPGFREaXvCtj8Lc5BlgXjILC+aggxz0uz9NtFLLQLjXIQTwGHlw2s4oNW7QV40kiHwUHfq TnZoPV18SAEPhAuQc48Z2t/j/qA8AkbAfGfsedUs211t/Hs2unl9azowb4XYexFrQM3RA50BUrmP fEJ9wa5yZ+VCaD3BX70b/UV1Q25Bb2qEkMDOaYKAkOtnODWpbWTbidBVQob5oLCsvQQkbLFIxgGl WB2oTFQjmOrcpzHukRM/717qcRKKq+IQ8oA21XnaDhsYB2BEPHzPXJIr3iOatwJCNREiGzgA//eH I/W74y24YROKbS29LR3YvpqYCmmq68tbhFJCNkkXGifILGMx2iT+S2KZT+5pNc7kW/tgCN2P9B7E IPcbqV/zK6NS/Y1KHwddPydx2f0nZuUwVq4TqL42CXECY9aJsrLuN3Nz3SDitDB8U2lLJWvywoG2 L0UErz+aZrhGC+kSJTMagffD4CvSEBYw7ilUsPqmB0BbVxHYLbGfE8k1W11LWvO0wq3yFYn/H8Zj +i+rax66dFxQ9d0+Lxc9EPs62YcP0IOzW0RtpoFbdxkRg0erqqLXlqgg35D/kI/WUr1fiL8bjQMQ 51mNngb4eoggzzTPSeGaeYrr16d445QiJzKtvk6LMFCeq6n6WW7aajOm9yiStPBhncy1RfQaApCZ qHjfchufxLQuFrh4XLQ6LV6DC8HWkPfMMWjJYU4xawb7nkknt4aL2l6BgE4JbhoF99i0kNDYitYY Esswi8jLJZvUP/rdEKn4RC4zvSjqkc+PejuQDx4zygIvWU4JFTncbJct8xoYHF3WPhUUzhFO1fTO KmJYgNtmmucOAR2ukuaO5+MiRBQykF7rUrUkqKbSw6qBNcSNIlpPE8P08LbG/ozokPJf8pcFNSAW K1+fzFXqML1v6cnyQiRoBCzfpAVt7WSWbIF6Bym/xNt1iZNZIQSE/e85TF/tvyUfLo4OulpcsBzU ocQOvH1NCd/IFKHQsk4dDM45aKvntRHzJZ8w43TdNE2K8e51ZhdPw2Vtmj4eyyZ3wWXJmxUSGhBe B50w+5tN48n0iWgITsWSyg+hFp7ho6jvw3noyd8CQbre7TOknxO5N0e1aqU8cwcQ6rHm0uF8QXc1 8IboUKFNl5T39md13Wx7SKtyaK0GxKDLUI325T2jUCvEmD8PD/a7KxMes97+0MCgLi10YpFepu1W lPiKjmF1/w/iAJEjZ3MjAQ7+v3p/emJdvvKsNEneL6YwhO/ueb2RvY7Dc2kjHwjbNuk3DwhYsedi nkNh9OPMWhz52ufsHupUrESNEMpaAdykiE/8Y6PTDwqvH7K9vsWD7/+VllyxvwAPvPdkyc9FJ6lE Rsfpk/H8wV0ucTec/AvHryewzNjHF6SDHtnFNRvpRqIgsgWCntM7gaW4t2qZLlggS5bfwAIK6Dfc 3QQ8NKoHhRFWRMbT7Gww1XsNhtLHxASbjYH6XkXGQLwlOvgBgHJWD8w2j9ShJApzHz5z8skkcBz9 AzfXZ6tTFk8x6+HtpNNKL09hZH0GgGlq88Pj3FMrQZy11VUku5nWLKMXnNcvWBftx78dLxxXxp5K iRUB0Qst55XNuT3KDadFZn411SvJlcq+OUaq5msi/X53M7jHQ6RgJ+NfhASfzAfLm7YHGXTrAcLM v4qhC3vmjjkfP7M9Lse/4ZhCGpvGiMLOL+BipdJE3AOfWT8dt47sCT1FV+7YtI83WYM8tYI4ebPQ 9z0M/3JZor8n9ECqC3/SiXXuXRh1pqwhw/jnk56xbmMqYSrcC2oSDlphmPRfz84AoIFZEtewkwu2 aXk5czJloFDRKSr6c6pWB5BlCKEgN5Qg8UONIhGrB0KMdDVoAZUqCshW+y8F7do2MY9ZBhmCuAWc aIEhjdthednTJq38rHdQpr4Sp4YeB00f5gOe+AnoptIsw5gLzf9exvHcgx8uTjO3yE2zwXEMwrDK cfCkzcZyYOKfrNOa7FyJIYrmTMp9AR2N8mC+Vziqkc7KDpVfZ4Jl2jDEfjjlSuFYixvGkapSoAYw bWcXZOj0lO8JXkT65tzt67VSrSZq+4sjxxuyHqe7xIfW+olIkPpbLQ1ZsPu+T6zCgRNnOvEDovGc pLlEMjhW6DWkfTdA9n7SqjyR2Rhv2xd/5Zz5RbtFZJOyQgflY8wyhHk1RWnlgfa/+yPK1cGzrPSK icRm3nrh+zs3bZiCnfHz7ovm0gtfX2nYcpN2jMFyrYFav32iVn3vKQ/1GYpP2C6PeoE9QnYJRFO2 ghWCMkkKS/P+A5zFDp/9jPJkCUwVzTwA7/c2QnClVpX/mu7N/OW18JlbpPjIAmOnL/NBijRQPUtX uWjvq7qKsqPxIWIQsT77+DTGy3/b5uqkJoUTW7mYU3Mim2VrqyfF3zvbpCJ3JS45slqq08Uz62qP LcUM1NyFvi0vjLo0fjsQxYqmJau6ZmQMsZNnwyKHgAMfEzPtmrHbmGvsFt4/4tVpmDMBXCLr8hOQ k01K7F684uiF3utpPbPZ+oA2dlo0nCIpCYLbwFnegoSWUu67O5jjOsi0RabowG+YhwP0OnbenXln 2MDcebpZZIXUgGTrHBqWC3eRPn9ZSR5B77loSQM5G4QBX1mY+gc0vIJdH2PGwfuletY2kKsV+ZxV lCHRWxB/gBX5LQE+7dZaJTfRWyAzJDcda1YbFZmT4U4jS7XvG1JjWmDLnCt2Vri5mSup+KLR2Nik /nkgn9utsQZuHyjIjzSj6bTvni9V3GWasiRSy5zM0vICrfm7WnFfpLGfsp2H9w2Mbimhje98vqDW XNiPrQ9JBvvNTumThTU9D4mYbVb6KzLHKP42pujItLcqf6en0NeKNDSMUxqoezXI7RVlI1dHV0g3 RWGZ6tTsVmAdMZJQZyrwZyLDfOEMyL+wg2kyhg8v4YqCWBNBfhXkWypUego2KyugOVAnxisZxxRU 1UOpAu5yr0JJocpWJabrqNaMM76KpiEAGOLO720ip8ABmC0dZuJJqdVu4k1QGvOBMN8rWWo7ri1e StvWmDdwNnvCsS7HLoga3yMLpeKjtU49K22tlVDKYTX06VEslGe/OFZG+1pgFiE3oDzYt0bfiD1d 6B0Zah9NC60Vo7wv4tNBGCdVCvYkO3dVk019i989I0pXbDJ+6CUYCaV1aFhM+7DLJMGO3NuMF9LK PeiozGUiuhCk30X34u852SOeLtyTJl/yoLfezGUGgLdmFTg/ywMQs+ekrXb9/NijfFr3w8MGF49v RQqSE+S6pe2EfnsOlxACxu0mT7a3ZToy2dGyYyGSwolZwcKGbsRbIDreieXUxAoAH6gmun4StgAg g8aQ+ouTkSsJbwmw+ZL/EfU/c195MqhFOksGTqKkZxhe7KRq5nfRGe/PRmOpjNcmd21CKOXld+cR 08H5E8Nii9aHh7F43rm7qOjGp0B12V4+pG29DkfFe4mXAW1WeQbR1lpIHy4r2Fckygvt/NUUZvPw l5k1EQ6PqaLMVNerMk1kSJqMeElWJQNAoK/fSjzTEQ0Ll4o0dBwunMDcUi6iZnE2UFgwW8Appvjc m1v2aXcBxHWTC6tL07NM1AWvZL9K35dU+oobb1Ydfg/12Aka67IUA6bb2i9Lu1u6WCMKbPoeDXp3 XEl2GbZpq3CdYqPKix5RNVs8Mri9t48pp1/j8LV/Xo7Y0zLiuU1ZNmAH8M+v8wBQd6VB6+CwB241 rThbBqgSpIdjJ8JdIpomZYwzOrkuDOYz8Mtwafkzj86wbcdZUoyH56A0LBtvBQKR91oerRF/pnrM 41y9aCJjNGkMwH7qcpaD/WK4JqpgHZ3IlVAJS1NRLyWU/eQ5PoSSaHalGQnw8NUT6cbYE9yNCDs5 vf1aB16Bbr/kYKLxtomx0Ar7FipY4d4dVPpefB+Oz9MuJMcy2+j90ID6JZIYOQdRVvqnfBy9tqYN SttwXSEkBDWQbN+FajCZi0ma8Yv1qY1P/G5loYMorY1eRSi31r9DUnej82bwCmXfu8BYNgKIwVOA wFITKIWbGHiOVQnotUil1b6odtLoaEiky/z4W10/yBtYO2PG4XOu2saHXbA+x1Utwoe3juogzYoq zIyW51sIg2NZ3TnCHlqsFgZo1XECUVauTBZAnA8CldATrQ5TVRLdW39OYGl8MNZ3gyhDibPFAHCP s5GKAbdFAs6Zk0pxeKEvqcNpQPbcxCgiwPHxPO9gEWfQEIC+lWWpldranM4A9qmro4xGb/LAqmBi eYn6gv2flG2CQchM5FHjkK47WyEBHDMXevo+1tUwjwLVkAPASS5gdKaxlcJFyrzL2stRP2qKAZQ+ MUBtFUndTMPgMJoj+kLrRLsKDCQ2rBMSUMhneLPg5WUdC6ECPvIaOsC195G8qgdrrvLWiGCHrOOM GYbZdb6p/lcCSN2DujAYykr1GYO4dW8bkHUsMdf3jHj6zJZVlFGC8mVupmFqnSsfXmwQUwlLCfvQ ZsaKF4NkPUs6Ni77B4hOkfhsJdtlgOLsg0RnOLCGLJ5Bp+ZgmOKzUGcKWHg/qND30SKr11RPzzwt JoUFQ6pnzmhLRNjCUyC4yf8qKFtyzWjMxhwTC3KrcOzken58046OBrkXgfuL+Z14QEUwwY7gNMw0 VoWh4MEkvOl+S2lsKNAdbNIAgDC0NL77Fs6shOAtCW5JkEwD0hsMqiyACuG53PigYBc8BSW3YBR7 1+Gvn9BLt9bWqnSdtSo+KGbdqsJFwKPdiXdg5Sry0jDWebCH0qvFTOIq4JtsLdzXfOYQqveYvjIM j8evdNtQirPt/5pas9DHjP7KlLaFZzSxsBpzafV4VHi5Ki0xqPHyrwN4iwXbX7EZMiUsdOm7fneB E2wNX3DLMPrkVeFxl/3g+RxLKo2ZUbOFIN1pB1hCsS/6x3T5z5A4+p6x7BiNPmyIw4s3gRvk3uN6 L4V2jZ++Cjoz77wvubVY7Gn8TgZhbSIaixvNfPq9RZ6akes8kYS0vPn0Rgj5z/Xex8DR0G73IaUk 0CHOShoVJBSnCSC6JuwzZKCsittgx1boK0hN7SvK4UYzM4zqdQQNybrtxfa+UC/DprgtemPCVps7 gBxvHmzGiqNR22eGp6tE8BIgfzBqXzFlc8dMRuPZa/Bjo+MM78vgnW0AKRJEdSvZVlkUI1G1pSLb ZY1+rCU7m6sSC5bN+87HC0QKiGZtK2NUUTZfkvdMMxtv+vPbhKRnMwWMEzXrRqiASeWK7kC/yQC8 JGwohL2yVsV7gozHwkbL1DDCNB082daesw17k5ELhSIVeEA4jDiuQAVCv1ueVVKOWVG+ECCvBxrk GA4xldoQP6ZROZ642EeXewbY/sUOyNML1rxbWJPmuY1HFUKxszv/J7y4mNAuM2KPqy+iHcvb8euI RxhQvZiCne/FCm5g5JzbvXr01y6JdPRFnwbypICniZSrOYngztWDzr2Id93X3+4L1cuvBGSc4hVx CFd10P9ToymhwphajbpxpvRMqJpf6DpNi7GujEwxGm01q42Qf4DpDTIVkDBK5MN++zN4KKKfGCDg g3b8fZ6X79zSD/JTIuwbT12XZBpYJtN8rK/nRAZyIwDuzoSem4fMsmSbAqXdDiDazrpsMNDdt3j+ i/emxIkoZoEokGbcuCEIp4Nidc5xgTBI3aBDchgCCScI4DeunQSM8JKP6oVRgzxrisGP4wE75sUi aX/It/v2Pu+A5GbZ2sHL/wlCwGDA9apw24O4391/ntRlTtmKHAqHN0xQHzYcSHP+n6iLSEDsU2h7 m45nxOrvepJ2LYhvQrPYkoCd4iQOrCP4sKKHQ2PQ1zWeENJlgD+q4ZPwBHRahm0rmmIFwfTKbzn0 SlLwZi1kPX32n2YwSCE1NK6q4A+KamtNswU6zlMmlJaH2d2+5tEbSEDzgHutHX/ccfrYo2py8jF0 49jxsQC/sVxXMPcYybg2LTeNaAEoRHLhTqsX5FkKQ0nfvLsYQRSBNb3nmabNWBEKqAVKAbtB39vU EKwgeHjoeUupYTzBn9naT0gN8BiqcVMVZewC3C9wVJKtkKwoGKh7MYharaerrO6LvC7+koeNmIeU EzwCdMUKho3/3eB/ELu8SXBpcwPiOGY0rlyxFMzRXRWS9CelCCXXsOTbBvUst3brJkvoEim3AQle x+A8wA5UMVzfu5qkhKxAdQrkyAjAzCQvPyYPXudYvJpluoqnGmG2biYG8zD3lhsvWa9poWYbXmiF 2rCncF+bzA6lYkdtRCYimKF4g9JlPFD/vVRS6JHCEbishHj7knTUbK64rniBX5RnmoC6SLW5PY4T jgg3Yss++L5SeT4CndRcIKUjaqr7xZv1PuYuBFsS9y6hpJtMXWNAAvE53zCayTZDsVAVvB6hCGrN Qhpzo9kbdc+xRtrjO2wHQok9o8gNrzbtMnkombP0dJ4vjdsrHGjDNIUi6gM1oy7DyF47OO7PHZbk gS6zgqj/uaLNZ9a7Y+fYEJQ2TuTh+axI6JuZUc+qc1mz+Nsqo9I1jzNQigUZkH1v6QEmzXgcZFEU JOow6HBL2TKCOpiwlgbsJucG3iH3z6P+ao2QPuPkNlKoAKWgOVFYnBMCxzJEteyAmHZ3REMIYxIZ BT54oh0PbwaOOe4FRKp7xy9hQ1BHTuEtjLKN/wsHyFO8qKGxEqqeO2FMGCX2DyFaXM0bFINSm0m+ 15MWRQDOe65QkCpf0MkTIhiaMooK5a7MnKbMeDCmW+lCceGbB0/V2mZeNle3avsn0MiofJkp7A24 1EmlPfrLjJZe7jArOaFEck4Y81UQQOUESexP0cXhqZ3HdM3p1bjPhyH7UUGiKy5C2mQO1JmO9lvm 9EvpV7rczYsH6eFk9xHN67S4iAQIn5cgToTy2xxolssIadrs0j9PcSXU0+IJP7l7Smgso1VWYkzT 12VKtK58wNPLoPJ9wGc8IDVdjwb1Kczr8UcxDIGBkUAAU+oFo5EEDSZ7nVNLL9bZZYLB2LfD2gW2 2no/KW2WfV15XUzTniM4XXTs2rSB9SPJikvtdagiZz1sl4oIsa3dfIyRWORn2N6vSJaLZDcQqJMR ANm/whZ8GLwkrZOGTnyEOJdJYdYG1uWbYWl3qo8Vmzu4+35rMNwQRBbNqaQMP5d0Kmsmbf1W4WGB 5v4Pljwr2oRiEsW/03dgUocNfTvnHIZeqqOxCBkQx+JsAstS/fvx4qw2SHs3szKek4XNBJo99bGe AguFnaabSPkafxbUUGhSwJS9wMpVq92sLQerxl4DIliI1c7x0ylFoBJSwRPSDNwiefZYbrohoaA7 bxhchC3gglX2WdBDzOTaDlMRzZNDWkAsOvQCELj9aXyAtZZjd50pNdSUbgrmsc+e64WD0ESepfqZ X67QPA2WDxokHPg4RcEEwmRpCgMEgYeJhdDDayTVN5ofB5UmIBKSS0PwsgQ6TlWcaX6UtQ7UdkxK 9VsECcYLSpRc3WH8jPuYb80lBZSbtGeZ94ED4nvGs7rMkNpt4JVB7JJpMrI7MXEIsa2NeU4wNYqX liu5ugwa3pjp6zcabOV2k3ivAb9TkBExS5Y5KCYifDDaqpF8kKbqD0ndP5qGetiOvGuxP0qqVim/ mrGdB63ekqihiPw6+GSvJQueZ4cS4UsLZTWNUqZT+HPkrlHO5W26MbOV3/p4xqEky5DWERQUcWoZ r2XbhlAXDbduyRiBVEOmtblaNZc5ABZeGFwESKgM0fH59Z9PqpQYIeIequqzDWNd4er+MdRmurfF ZTV5JRZLLMN+nYlF3i7zzXhZ2Zxp4XlKGlL/TAM5lIWy8nEQ07v7fdnonf+JOHNNrxnWC+/Q8SS0 v6zFrRxbrrvPR5jbJ5ha+Zy4zCHvhwyTaHLAO0IPY35lQn50nZQ2hnnkLBMu1aCbAu3uIzH2I+3+ eyGUNPtHuXlgwCzOzhPFDtHfGB+FxW/yv6IrVkXSYojIe1xWgrm6zzVF080Slqz6chid1F51Vedu ZAFBqehb45EB5anHIw+X5RtY7ArgXxEL9c0PHRCwH5iiUXnGWHRzFWiErWN13FbknZbmKnC0VGp9 u2FtbtGs/y8OLYYe+YUH5uehZnPYnV80rYXw9H0MtXpdeCZJCbIxO20GuWyekwYfVPuP8gCz+Dbr TZyyTCTkjNVc+rODURrgodxsDn2WCHpAQkNTILfm9FZ5g+Vf1ADkMnS3Ef5wlsrlyztmW+tw71uE Bai4IX5T3XQf87hGWIBWkG+MarBMTbDDSBmgA18oU79IkfWAIe39Pot4OW2B1/yAEMwAWsxoHPaf lUECjul4XLR5KIiru/tcH/4nsABLW4cIVdkdWJFwlFMPqFhcmqTjj8Ljhw8PlNHI6CdldV0FyVAx mco0dM5/P9AyjJ6X7wOxgFR6bOC6g2JHYqzL2UGRAOsOgQmSxAIV1TMWerXHTa9O5rR8z7IDYbbS ykLjojEA2kMepKnMgVVLraKUlHFf42DVpqZptbDKFW87eg8ibJgVkhlBfDMQq2Gt/W8Rtk0sP+Ew H+bWvp4bedBJtMXB6He7a5AZvcziXyXZoBXS2EPkgMTm9pJ5+lAqgHc+qjTHa0+1H3ASJrZplKn3 afQtnc+Rr6pw1NswilkoC7NQHtv8a7nOMMCXWFqsKaqv2Wqg02NG2V42O32WbggmpN2oV0f3qNoJ SgCyS8N/XcMkQb3PRXD8GSTP02piki1gcYU+jvJ+F2LQzFmJ0YGR9yElArS0QSnI02h7TWyLOs+7 qJcQH5UovdQCRK05OO2WIRpO4izmCgtgnxi4qf/kcaSHR9+tZ0e4jB6yIiPE4lVTlylosUukgmXg c5Pg+96sXLc21F3mH7Feu2iWRw51oZZOcplJx6A1ptOcXgO8f+9z+JSUEKLBu4UAbRlp+ZIC6+tg DvjpNCmAyvLs0FQVYJNAhg21/898/xhv2RFk33nXDaTqHfMPR6G5CDb5lBeZPucwpKHEh+SlnnRW IKUAG62944zg9AUWdCnYWZF8gIysw9C4BscpJG7+bQXauwu9gK21F/qVKLC6GNQjiPxV34Q7Zm26 skxR1VrN2jGT9ifCSmoGO4eWkCxg1rUEm3V3Yd6N5uBhocf/CLsoIkYjwxjBgusjxENCzuzAfQn7 Y+xdJsLj4wL1DeCZnAEnilTkqnTvis4ix7BELT/czpXBMJWTZ5EBmeRHPkZNqMUwBQemLeoY5oYm 5BfSzlRTu9MhxlB6oZ0PHVrnhaiQij3pPfjuqJmXDs4a9uyXZr5oHJN8J8Iprj2U8lhkr78dx6uK 7ookvqtRoipQl+vJCV3DvPXpLFvqaUq7ic4DmwDV8KzXGEpALIQXPuc6d6pEhoWPV5+8YSRpQIy9 etLibqmwWlxvkgwnxI4ziMAWrxqgbJusJjHzX39xyyFbrDB+uew4Twoso2JMFdgnybIr0BM4H4AC AVYBIX8cI/Q9k70FvxlVSXCmGzPfCwZUlTrPmdgJD8VvwFqpR6mig1ok6rSC3NEVcdjwQn5waU7J mqdmE8t+LL4lxfDVBM5tJQ6Yg/nuy3nsUvsk4rLFzlD2RxDAri9Ng6Ttmjy4nWzBBndXDT3VkKlr WmXJ650YOTsmhXA7Vuus51uBa3w1cOQ4zo0XvlPCIEO3uHBw4U2n+C4N2cqwvxvk3/chJoY+UvNB Pml47xn+JvDg3DYwE8v1liVT+ZHdL7VOWFh6EwwiSHiVBXioaYyaNfZIloWT7xeWmA2RuWbtNRBI AO/Hto7aknmi+1kFlmR5atUTF81MkA6TvS493Wwh9pn9kE4hMJrXqAx5P53Hk71zNNH0nt7fCC1t HmhMcf7F1kVdpyTACZPNzurXh1GUeS5ngKA7tOhvJEObrO3McITpx1+X43+jmGsdYskH9t8JKG83 QDuLbet+w2CJMG1n7ahCIaSaTkP+MbLNcWACtsZ4J+gEnRCOz/7kzUhIK3Ks7qcMP4fwjPd7ZUXt Yykr8/wq/60HzSH3MsKmUJu+0xy1brW48aGb/+SbPVyT4kMTNbFdbW5vab/QmPFG0rRIIWMAxtXm wV1KxvwTgl5JDdivQGYynMoqzQMouIIXnhxxzwiQlCDQH0N7OeH9GH5rcjsPj6vzTkSiRKLY+KyN JthzsIQmj0tltjw7a4jOcDgBWepykEP62X6+PXufS7uLuoQanZ3SsQobhd4G1/snzcS6Lih6IdkL 5PONbbCYNjrpE4y37WZxP7tPnDLYF+ovXBMRKtq7GtIqgQuFRqy36MTW2SycZXsHuWJeYSpDWwvn J6h2ZvOoMuMne/slfKZM4OvlWM2QjVSrresj9TXSgQsszmz3fL8e33ZVS6UFvMjgGRuchJ9qR10r CsEVmId5cI1x0+j0ACTmaUe3Ie8pbG6OJm6NfksVRusBek6zd+HeLwZKENiUfOerJtBrVAwgpwug vMnQIQQreSq1m8GguDOfQ668HZMx9dEmUUFnZMPpKVRf+QKWSu9KnFQDeiySC423KSayYYrv/cu3 oRUFOrRu1nRBM95Ipm1omLdv1zhMFzpSKpmdlMpXv+hNsUpVekMhuhk1nkN4nZujuPgvcohRAmUV Ubx1PbqBXj1J8L3MuAsB26av4jPo8cFUkHCvnnkpDp1sWY0woEXQmNonPmZdPQgl1jqgdjGG5z/+ k0i1We+Mjq7oLGV9Cs2IXOW+AaixvoZ0cMrMKAdiBj+DTdFCAT8KPYWq0GDrhhZuRt/4QMSeXJ6G o5tfa2qC28FIDqnKFhRG6sgrahPFr9yhdT9duesYWLaCoUIsxSCrWcexINz3eaQ38hTHiuSL+liI 8uv0/fvzoWVnjcrZRRItowrxOBsQfvExk2FHQyHq21TPPpMKULd1wTRe9s3i7PsC72N6oyN+QiWg QX4QahfsGKw7bZ/OGGmO6EHoaY+uTxRKG7NJrrC7Y9zwuFAujQpetY5CN7p1DnMxZ0LPZlPdzR6f bvH1ZBPIoDB7zUiybNjvgDpe6paEag0VEpSn+bijRPgA7Gjh6ARQx9WV9GBzSZRbW8+CxImyGvq7 wG3OiT+y2mfEifTu273Rgf7WRmZN0Fj+bHerSj0L34zSE6ejHn1VFlDZc7Lr/rKYllKDsWNeW6ff FuEKRSG+hjx9TI05aTjdwWme4GBy7AZReAncOcCx0jsJT6mwBRJRxXtN5PaXu/D5ehg1gsaeZfFp OTmsVLROrjARJdrg0jA+vQV9JT5cQ4240feAaxMKoMlkM/IXQGdRMvM3rwTBEjc4jEfs915COlcC gDdnIFF5Y/vJ/KXaLT1Wl9Wljono4O1Nx4xOdvdKg2cb82Q6wWh0MhCPb6P1SVZYS9gibQOUn1oI IJ+oN8D+p2QLkelILf+YnsGKHcHESbZYIh1i+ORYsjtHnD3qWHTsEN1DXgVpmy+i4LsfJs4IW9c+ kkyMVsg1LT4jrS1tVVzxDRgbSAke5hrIVfBq9XMunU3Y8j7VVxcEOxhHeyuw2dpK6kW+G8aXhX8X JqcUUrdTAzTV2jf5QUcboMYMxLcWPd+2fYV/3SWaL5vR4a0dkTT0xWPQ0brIo/b9ottezkK1cGgR etUuQIpg5xeLTiNyNE/IAaCIdFzqgRvEuMB52wYtr018LKsh5DqIirrblFa+cX8A9adq+iMP6Grt cDJECI8T4X+7SBVTBefITRFMr74CtJ0rl0HMwdi2eJHRniKfZXLNAcVl6D91onSLdCIx4lKBnQIL lWxywrzSYrn7VAyoaV9xShDXQY+NfytPhApKVzgQcpQsRyhA8VCQajTYLYT9hkpkY0ASaP6LY6X8 I6TEIdAsO0vAPYM9ty2szG9dVvf7YxNLOd7zCFIczc9OFO1d357YoSQeJT3Kge36vtvWlUOeAKrz 8/E3lyEHCjPmvnMu+Ja2uYTQW3POBB6GfBTsVr+8rVaFBH1SG+iF2G6iS1q+Srr8esw1fjwrsj7l dNt/ZaLXJLaqTS0Epm91JNQBDfI+UYD0bDjXXj6cBTt1tohWblFIdx0t7F1Jx00eipNaZg9Y8QUg 2Lqlu+Mgnphi7Say4LozGiG2ONRT64bn2Rm4sVKv+kYqwGfSXWv6J0ZhWuemdgUn54CO+JVTiRe6 xs24/uMJ1QDH7ysIHk4KYmSzczz4td0pQmdPQeipYEy6+8jaiSqm+N3AqrK2DRHxXX0lD8yhIFip 9L83SUP8bzbFO4qd+IdgPJ00GJoM0D/TX9vVSGlkbjpoBzC9qBWVFBnLMPSX1U+v+bu/iIufdC29 1BiRkxFgizhmiOgUzu3NXhQ2FpIM/DD5W1eMODSyswhvudZVWcZY/JFlJJ7RYywLvAVBuTZuzdnH pk8IEcKeowbdgGnYb/KBV3AtlnU5l9RyYh8FcrcE4zs0Y2Kjl73pLmLQR05jtl9j19eSYb+mj+5r trkKSe1GWNSHnlDGZVC4k+Dj90qP3VAvWiKzZJ/b109ukk/IN6WO4Ls9F9PE7xj03ur3FkkDBELf Ww+8cunItiVWEgfL/4Gx5TuWfP6Evvdt+8UGnDkHCn/Zo7ggwd59tru8/ABSIcf7ObBjyWiDKGNp KgS0oLOs88PeGpAywLk9CTPMnCtGBjmmxS4UKJ6vBNgZDS3lrhRYazXfA2nd0wDa0EsgoiiEj445 gwJRo41YcstILKBVuw7mpF5tBO5Nx4mhVXngZyk6lHMk/JYmVtj8m1gwQTl8ZtSPhhQLWhMuODr+ NmCTW10INTXmvdnyUpbsrKlbUlF3zlz9Df3UP+hCqYLgpX5hja9DMwxvuK/Mw+FaKSnX7XyesQPy 5sFA5I2WBiqqgqklFbQMK8Zlb3FBeMN0fJihFuWOC2vZ7KKwiDaKh0rElzNweFd5mwjt/3RLj6dj Tet6SOT+qlJ6OdAe3D7ywuKQ9+9GnzmTG8KsNna+Hqw66QTMNBjlvlOZcoE4ai5qbrEDPP0R79GA 6GLryaAVLzzKAHtUdTpKZu1PJCsMfiRgC28Ya0vftm84/jMgVtPoO7rA48ng1VE1WYy5HU9W0UDe fq+HS0GZ2eqoEW6t+EM5sPO8UufCuvngsYGhdH3GqTNjh+Gl2aEvXA9y3RPddKbZKXUxxe17ixED AcUP+M62WwmlcMR4eBbl/yeIIM0ibVDw2Qy/UoLhwl1d4vQZ/axR1n5aZUUNkKSG3iyUm3l/pvvV SPhZRa3i0QhTIz9J6mEDCYe0Dm1z7j3Br2r67qOc1MhvK8eFxDSpbAuhboideP7eYx9z36r+QuEX 2/kfpMGCis1MDVa3FlPWy47Rof1HV49VGchrGQb7b0Cny38Nv1CiI8yw5MS/3fvZC4AAhefiXdmp aQnyUvrYOkBYI4NNaMlQMYYv2CI1Rhw4FcGgWTX1spUftpcxu32MtlM8HGjiTQbo22POgIUHwVi8 VuR2utjfwbDqTL9mbvzphe+wbcpU3qLdA4JwQph2WUqbjA+V8awDFlGj1uyB//yh4c+8Y156HYnt Nirjlao3gFd/hAMCxL9N/k/qeif6rqeEypGEXZP8GPW6L7Ev2Mpv+hPhGo+D4d7Y1xyP6JBX/QCU 6dSrmfk8Z7tF6QAcK9S6IWuufLyqQLE0kAPfeu6OLXrEDQWwJcnTD9aDscoI4PvawFYl04nIULbJ G1cQF3eEcIBrcBd24CHf9d7XzILhZYNFgfVLvTuQSvTEwjgGDTqnGx2w1HXZHMMjNZaIaGwKiFrg zlOnGFqu0G7os0CnmKtyj9ceuKQARKxo7nKPy8ptc0s4wHVUMjCRNmCPcyQ1RyZ1qZqvexrmzIl1 WRSjdL6CdiEDXvafQN7Am3zGP9gYZFxdTIhg455Dh40QyOHHjKh6nYzIOYD76vj8HJSSTcMw+eMN +aQgeUmHj0XjEAhL8hg9ybe/nhq3fPoYh1Q1Odo6OrxVfhOwUX+vu6uc5FkggZRoUGwHb1MXNl6/ 1JZJ0w6F26RnSuqmetuO3IXN9AQYW47QxVg22PYo6SrfKqF5Fh/3XwokjIL0o3KzIJAbGBAaFiga hOCrpTp/hXoIR85SYdPIG5qWDVIRu4rbt8DfYl21sYZ+T4KbvIGnW+Gh3yol9iOyAeBurMnfIzCv PUfb41exdGhE0JC/ztMnJjZnaA9/JgMp8/ssDiFN41T66uavhFuTVfS1pWPzq/c4KVPb/Jjpcd9Y yNe2v2jUHOjKqP3WGH9ayel6SSMrBZtP7NyFXedPamc0JZgxjKwNDSDilWcaLOwzG4g//m9+CwXk JG1B91bLMghIiZw20aE1eitWRELQK4NTpNfxcuqfEpaXFAN34D9Hriah0mBBbrJMZAIs8CqxEAHy GELv1jhHnBpvMEvMg7ILiM1g2BGakzPSbiL7fmURH1tf3uCJoxr4IgZKVZI2vErk7TxAQn264BHp 53R0cQKb62zIHac0E5YhdhBsO7x9AAPPhX2wOwLxlj+YKp0Z1rmLCd+uW9i29rJMXzCh6mP86bdc DHmD2ObMnFXKO4QoRifYBFWOsrRp8PztwkV4okC21RsWAqxLtiiRtcSm1eMlAxcrpXo0SVNxXQrP n6VaiwGBf/lkyqsM+nDbSUa4GqL+RmvRrqX9/pelfvRStqH/p0x46GlGiG6O/xUX58omWdF12U7u 2cMeg3TEc7RVxDPQmtwYLHyV4kjl1utRcv6nDajCFJGrReuZyr4HmnY0PVqut7cMkhsCvtyP3YiV DbsyFpuesTy6Arlbt4vulrQKW7vUCcXvuQoR69AIILqCqkISX3AEL7jmPqUpZHDHP5Koak6pgsEB yRxPXmTyGh1ljZXbapnDlq8Sy1++ZC7OjEABpahbNKuvTNzptdgQgHQ4C1bnfJjlbOlcvwRXeeU0 Mcs2q8SjWdxnYVQ6jvS9R7Y5tKMGmi6xQcnn6vQlGdj30XbWwlFVSbBk9Ac6QdsR/YOoEeqkkll+ hXylBzLDHplR+MQ2MZUWPA9BdbqMfhLa4LvnD7fSsSYGsjQAhU1V4eAQuh0Sl3NJOhEfX7Xd9hwh 7x85B9rOs+J9Ook3WIPuiKDEah/gMKAQA9214jx0iuoBt8kIebagi503UaOxmXNoh4saV9Wj5+q/ aTFqUY/Yo+e3SJtlXjQLHSFK9EQ8jzNtlUWwL62mgvuYYlM4fnn3cchzsF+KxyFyFMObBg/cuhKb rq3VctxylDgc133Gha6hbR4lowErVPKI5EATH1h++q448//w7Ur4L/GFZhD2++H+0hUQSknEj7/9 ZZO2mSiVrXjYrRTmXYx11F4DW84XRlNATKotL4rdyublXkFWhzsHxJlCrr2gCrIrgxkvndLlWh8M 6VG/+cM4YlSUe8KAa+y908D+TLT+tpjEgFUsuLPWQstPtFrW11y1lGsDTKsMJA1zCY5YFwm6h4Nl lIREpaxqg+9ysnQdIL1clrHFlMZRQNr6taAZrma76oaqyjQI2oB8hEuLDh4z2Q/XLLuX81/QZLXk Y2lc2kyZdrArVwMsBYcXllR0ew80BPSFlHsoOOWj9zFKXbP/36tPpzeZ7vjhOZBnGOgfykANOd8o O0nCHYM+92W16TeSQw6plwcRckO/YNP8DtBxjgl+RFo9QbYoiYh+Iz7FY3R+d8DUI0biZPli7ylH hbGjLGT8G/VcUn7qQPygzOTE79FfCvNU6lR6iMbVyOD8flfNmoEMPobs46Fmdg5aUytgDV7NTdxO m8Yax308LekM8BiLxIUtFdYcqeXuqaah+Nmetp0M5C4tru9TVZeXVJw8gwSNbGLoyjRNSTWSafFy KrqVJFgx/VZj1x4jdELVuHcDNMfWIJ0xHiUipVDLWF53TjO/saeBwgCmU34lhcI4NoEMzfdN96zT RSTW7kBsROkwP2W/v+qJkPLD8A4OIYuvCzBuhtmomXc/2qRBmOIvHba2gH5EuEkhY4C19Xuhb1Wd ZLjwq5HqZr5HEJhRp73OJYpLwwuFwsAnbI9zcCRzWnUKAqyZtsjuANuDo793ipeHTQYrXhaToKIv SWPQR8lF843qCBGWKNQZAUwi1LvEjdVHQYwUgIxbbiwFlKzYiNG/I6s3QOgJKgGT93HvS/3r6sQJ deeniMTylQugW4bXndgkDkSdL/sj3nFnqiWwe8sd4GPXhO8w7Eyvp5Mww5ryz34DT62bkZibpnSW WnkjTGQSdxOweSfETEhQV3ycy3glWeollpwBHHZb1ZIxWzrW5eOukblPC5ShTv78XsbrPxmrDU7j g9k+innSC0bvZQdCabgVCSaOHa1AOZtsX4tTowADci0Igf4apbUvQhtVDneMMFQ839C5IbPXQnZC FkCSK3psfD20yeBPte7cTl9a34ctKP4pvd16RlNicYvg15dzSwy+SBui9nksFbHiNTwa0W5vKZM5 EQhqEEx4gdE88P8noleF1dhpyT7Akp4mO30pOvUAndCUnArxSom23T26Er3IgBIgl0J4hf/VWpbD mG84m32WV5H65ZMt8zuAjTH9XK5XgdS11HTSwUw4naOlbiN1PYxOB4LbnuPKVTFU0C+6Vj3EU52X 88VWgE9A/WUsExzdh53ydRcS4XPPMRGQgemwiEpli4O+g0kSiTBvvJBtw+2h957IBssWv2Xen1XK c5TfuTOfDRrTEIR9ptAg1xUL3kSpAGeBoYQ846zyEs+AbSrdhhUR0OThIEbML5e2Tftpwu7EwXKI 3jLFSDVLqMmGW5hOad6/kJ03wP/Ii1iawvvrzQblEbO8fctdcp+Ei4lV76KU8MvuSz5MgyhWqNpf t+r0T546ulgHXHF3go1gJu7MkRpx7xbNIER/r/RRg/hZ5tzKvWmNBTLMGFNy0q6YCSFC8u+83o+I IDpG39UmIUO3nioUMWKhlTwR34OZwqvfaNR3QMyKj35v1sN+lGm7lADDo5WkE7X+uig6b5Eu2lFR XC19k9lpRv4BMXWLY6C9W6uX5cixSctEorRlZGzYQqGCIpK2L2KZ51KVm8KYMv0erSSPFYuBef6F +qf7jcR6l61CwnmUdyuu07CsYL9Txa1RK+Bi0miP9fI8xomGeC1ra0zZ0oW1ZfFiyJlO2tgXZyfm zt3XfDdNOa4g87IRvTmNudyLXUR26q/6UDw6i7xiZbCzd2lLmSAt06RUTBQUC+yuT8f+6nVPBUZu bLqKROZSW8uLBZn2XDcAQifAjqjSGCfzQewB0reqfI9YHdOJitdTFabp0vn2XZ2TFz238j8OJUb1 aOFYrhmpKx9VacayQas9j39OS/do3Owej/Zlv6DscZ05U6oTB+H0BOiTQ50BnZnRBKIgzVZzkA8K c2Cr7SyP4lHjhzioTbXBinaOCJ0GMCT3LcFxus1J+nWeRVAvB0G17GpgBJJ6wL/TPJ+i0sEf3mpR iFEIgzdnewF8QCzjOvQhCUgC8xaX5+KEQBVnYexhefQuC9jFfzO7zc82c3N6920TXAYnpx03r36C Nje65IxQT+gPjRufcsuwO2t9IeUrpTbtHHiaaw20N9COFhp668g3Ur5P+Or3+xFyxbIjWEAuuNig oziweGVUe1+zhew6UPVLivORX6yc2TACdmHnXHttWcJmrv4JESKiw9Yc3oNxb0xw06182IeVY2KB QfaF6+icvyojHWYXXvQ03DDo0lJWrdCPVoy198jUN+g109MX6Tq3UOqWVRtetKepF7T/BGLRHR28 9o7Aq/HlHdnoXUCDJqmYppIPteYatOfEwuNdfut4AwMWcj+eYJJyHir53UYcxWAi6g5ACKKTZ2oB u7mAx239nDytmBLuRmUvuXvRjONgnjOf+mpxgGXHBX/qQ74O8b/6HqawX+qjp5SBgimpwwyoEOaR 2oxMjfLvvDC83RQ+DNfgHyLxMwDaOUMt7X2YgE60ni2OQ56/04ObJcQRlXI4o58TZUBHrIg202lm jz63lSQ08dGD+Cs5QnGSBw60egACGLq2s1LR19LefSHrzGmKHZXFUzhbpV7/4abq3+TdchxjmYPc R63GbSO3iWPgEZcZSSymV/4MBHTO3zfTTMj8NWfqvmXswKxKiNkrR4dE83FYRK1zCNg90FzqLI/y STzG8CGCRop3L3dojUHSSKIWKwSVCw5uujMOWRt8PqLc5gU1h4lFDTxSXNQw0YmNXATomjGUoG+i ZvaRwpFxchgnw05+HvmFb8xBjwAM3+24NBdFpaoipY/yHKIjNurlwQ26AAYUJ/liVAhwZJY94l/m FBTjQhoSr7+h7JAI6qo8zxYIx4ZZF4oqUWFo0fc++p98nTo5cyYPI5y48yUnhOdBha3Pokca59KT /o5F7iJGr2xB8sERKhZ1wtm5LjNzFFUc1Lu/Bf32Y4SAoYXAXmxm2gDrZEWDrfRgVT7VQ6Zfuz0z 1ppRM4hslHnPiLwJsnQGaJypJ+EFjx/p7RjQ0lYShmPUzNzqABp/FlqyyvFw38GneKCHOrfJEehb hQYwkNGMuc83Jx6FiCLDqtUTfLw0z0nipcKALZE5jqB1VQqzk/ycQGEDOPs4ciJV9eziHHBUeuIz 9/Xai/Yd9dHsPbXTnyTe09LfZvfRN5vX3whQpttedz9bjV9LV8pSy/SSMqe0aDgihKlaTbRWz6+I piJvc2wYZYezJJrYX3R2JaTfem74avj87HbT0ZPrEjLJa4dknmkJ3jfypKXJbYREC7wSQCgOzBbC DuH1fK/hWzCfN1ZhzXh4TGTNju3dEPP2mhZSDfbbLwSpwLamXJ88AI9RggQ+k/g1KOIWlFn5BWee a7MJYNOZHLCXELkGWCfDmUcOFzU06FnBwZ0TDvcuPBocUbcMqzTKtnlM5cUJlJfydVezMsc9XAIl ZeOrPVGaoTCQWOW5ZNo0hxWdBxHLblFbwaf4nUpZupohvg1oEI8zwzWHoIv19Vc47+23i67I2ErS mJYEfn7yCpiD11wHVwbg6DrC+gvaQmaSiSx3gtKbEvDBTySVKscdkIE/fbCIK5dgGwIRpHDPRPyY T5ds35uodGqzQciTDguawM9KAiXbBglH0vPqFeQHr2Ym+zC7p7Is9nfRgsbuyFye+rUxKx67TqRN 5uji4i9uHx/eaemmpVoFq8U8rZBdRuw72qKCG3d0JqU1nJ3Is46a3OJeHJQ8v38pl/bEUjfsaoIO AyDprITwQdB+4CrgF/LsrgoyErMY797Ys95gSo9aQE/vqZh8VO2RnCgeyNBOD1ZSPj9PPd+qpSaq vjloJnlRGE1mk5ca1tHn5JG2xzK2oOXlXzjVX2tUhChKaZYtzOd4je0S2KxWOxsPVE6Qb2CNLVbs j8NI0iDkOgXHnHvKkopyE/Epr2DQeZ/1S8wnnH5oN6tyZZiUSXg4wpbxN6gWggMpaMYIgnwiQz1E OrfBv4Ve9ITJdBZg2amhJcehQeCl/iM6kJrMSaEjMF1hKNkw8yPrxDWf8K88C6onIysKgRu51mD2 nCCzkZN0rVXWn8tL9/65jk4zfOsV61LRqWpBYkEpm/EpF6ai8XnvuRYwY8aADAKSVHKblhtKtNoN HKh+kKcYpBksRwhqYpo4DuRoA0O/lp5TnlAdFbRLKpP0lOTefJ9MGbaWA1a0c1YBbR5TTWzlSIyK 8IiS13C9VuVdzVf+9w2tv6UZ0pQIvQ4UPdNOgdIvo6r2iqo0tWF5vfzGltsXxnBVfmavA4MVualp ye2LaHFGr76ySrGK6nDVqxiHpvXd/jfb1Rmlrw46hefxOLMuQN8uNX/X8HTDOOAckCPR0CMdxf3H Y9WzsJJah9fE8wnYCla54ZxJBdKF+HMMnlk25GiU6ZcAYH4JU9ZyC1Mdi8Q3cwfZi5R8BGpSPV2D EVME9E5MJV/+jxwvRHaf1pT4g9NMZPDEaEloIklZGNI/raMeRRMWT4DS1/d+TC40HsE2pxQeviIY UkHPgbn8kTCzphHYrUY13ee+eMbrx2j2AeYRlTCpPcHbcCK77zsNuhNXbFGDtSYnz4qSOs1S9JbA T/LHZdnpqYETv6kNa6Ep6NMDUrT6IKKL3lDfmkFaBvD7a5wWwgIl3LO6uBHXAyIo8REX1V3YjWsv y8D7zjSY82uEN6741Xh2E1iqjlxoU9fDDlPSID6Tvy9bZyVP3WXLpeNPCqqvdCTVIVe7juRIxm5x 1Y3we81YQzZ3Cid9hbpCYbcSmO1rBzc0idQTRvc4PL3+VqVRnX8DY5l6ZSoLLDlkaKbvP31F8bp5 yANQN5L+KuyEpyAm+RbF6uHw0NVcCM3xszga22jW8liU1BwHUc06N+SAN8RcLnWBXDiUH6wVk0uF yz9a4bVTkPUx8QR9p1zEtGWJDlcZOg8uYGNTHusBeZnzTRwrzoq8cZoF+93Zda9AhMkCYhscgc+K 5YnA7PUXP8zT964QHOJeY+PL+aBgjbZz2F2JAvL4YwRZj58nMIxc7UqHIXYc7OS0dS23SAGHmvUW FTXB1Q5aZm3ytIGzs94c7R83FER3p/pDx6vwXO3a7RjxZYG5NGuc2Q4OeDaa6KErHKuhEPF/X8jB 2006FtvDvhkaBVXKTkxoWbmidTozAcu/qlCrtZ3I2VtwbCk6t5cRdt6pqPX2QJUVAaagwdmi61wm gQppB1nYMTtJlhGl2uh68so9cVxqhNw5XYE8dbtza2+x3wHa/6BjrRJH7gFlfWhw6MmXpQRLGK5q JNSrTvN+A/gCXL++6JeONgXq43jVuZ5mr0dRLXwLf3pug3Ikxa++vyk9s1T2AwT8J5gTHDYHwanG pkHi3c6wdLoUvCgtJ56jJDfT13Xp68umgaKr8WJUKCfBi8btqSFgHec8d/6y3WsC4cxFxp7dNaDj TCbGCMnTaYrRGrha+UMtaOL5CG2fGgwlz0A8rBMYdd8Jxsl7FC89PAshk3RJRRevBadmXmN+MwOC nmPPP5oL/M59fseGza0z61PaZEI7/1GGhh3jlxxephfJkkbA076FSxLDOygUmptURAoxyDlEubrX RT/yM+LjkU+4I4Fsr9gyXJ5kE8fU6Sw5Sw5hpjD87sSoIno8A92OmjZBDpfqMDt2vYbT810qnBnT 3zUDHoFYdJin045oxvtP3RCPeSI1P4c4LgTA1xkU8YrsBDLH36ePMt2d73E4CaAzO+urKRfg1tCB khOKynxps1m27JdZkqVKVUO2KOh8NF2aVbVpKanyrHq0XyRjEHv4e+GV2mZQAQuCd1irXf+8FMhz EpsLtAHdGpmoHx5pAuCxx0w2AE7vDarRIhiD5vmvBm7pkoMJTsvJH5ZInLJqMd9C0FHndZOVAkWH rZfrpzKIbZKKYDcF14BIMYgqi2vpgBdR2nLtOvd/nEd2rOcG84Ln9lUF0l8TPlaxoqetdlPzvzym o95fVohDxd/E2EjICA/xo+16T9y+FhEHxX6GwpVZ1alJgFODuIQXaHqt4bJU2WxaybRyUcIhX9xI bAHR1KguKbtC+N8x84qwu7LbuLkMYfsyN1ocBDqwjTBqq9yf8iDmyss34IidZwyx0royAx6UFECu wwviGl14AwYIlvIrgZ3rEsXeXg1RD+VHzAoEZ/NRqDDpl+wwJbyeEYRU2fOhr5X0HGOepkvZ+4N6 QWPQaiGq9xRPEv+KTstc2ocSTdsv3GvbQA6NyeO44L+Tk/DOac4cGSM5zIqNLadjN28Icr1xpwX/ HDSETHnFpCqIQYi+Jc2CaUjvDJymCxZ5HS6EYnVaJz4q+wMkOqfcC1ZdATSX1kIeSjuyzv+2R/Fa cJ23yYc3YpJ1WKwA4c6N7RA6k7ME0ctqV0mphZHXcCNVCWqg2NDkkNZgcfJ4Dh4BhwOmDJo56MC7 sOBrt7or2Co5kB6RYB5Ya8KRDo6tvrbE+PexqAVmHaXR3sR2aV0x+uumbEJgPqiF0ejy3Eo0XRR6 5rz5/sXrgxIk+gHhHkD5LkoV+rEEVhKgwQQ4RFBhk5kFPkKQhlUOCWDmKY7gHjWvIOxH2zLZWLa2 m66+XcEDu8ffY/QY9m1mWeE2OnF8oZgIKN78obU4iF3pERx+FQelFnE5eMuaFRa0fSGgIwsT5j20 St2rny3ia/uXOWZUnLdVnjNKAGftcbBiDIxrIZ+4nnYn9H/Xz/MNH/OLH6fHkmdrK+QQ0tTQFKaE kuWKaII7IV/W3yk3j9QYwBNVts67zZIvi98tQSa6ctscS075H6fwE33avpWtIK01BhcUxKNGvAlu T2lmSFqEHoRPxqZPLHslkrzfpjDw0QtjJPRro9YAye64f4/j0+MXXABja3I9EMODy2Wn48IVQsh3 RQ0/dOOLMuTmAJ4EmebsyWIrHvYGS3pLu9ud0KLLleibS5NC3/gmt37IHxvW0mS0A0A+agLpyj2d 1T619eDAjV201jWvnja47SKt1kZg6+JOJIyuRZJcDyLYIvNRjW9QOYYz8TmVrzBzA71ztdMglD0S IoscINH5txE7vjdv+ErtRcxK8+Iu6f6GQlQ1iq4qgidrAEtlDgQzE/D+KeDnGruwU9kOi4/tnAn6 F/N3LoGr16t5d+P5Woz76XOVyc1BeswjJgETzK4JCKvn84SkHnkj2dhmDYs76nSOdihkdb1sc6UP ryJ4HOUPZVIVbaP4m1Igek38KB7JU8CubWbYX0BkaaD1jS6aFdT4QbwcNpPqus/NsZByG2HSYoGT EHtpOzj/7UbyXJ5WcRbFrjGJvTezT1AohzclIOJGrYFIMgv1fduPjD00fSm+45+4GDNAsNsT9IfR nwg3d+5qTpgdSQx+o3b1pVSKEtZSfmSvbZtTUn1i7YR6m47kT/zLsNysJApvrnPttJ5bSr41DOih NinNEpGzK7TOnH2MBb6YBNBLAYPGHBn2tRuCprpvXougg1yePqk3/6zfBn1ThSPAjjTJIx4FKEHH hJ2TWjZ4/ICLQXepb/t+VY/ZD4Qf5kM0RxW5JuqTYHtW79ecX2pFS37xvA6BwzTxXGpm6PbQRnhM +zFPlUt1/b5rgCRSh4hKDvZt2G2mwpIwJlIvjCa+kAXtyOyYYhVvc0yfVJ7kMkCrvUc3fnSX2mQ+ txrXWpjRg7gYomytXYc4BRsDUb135RQlg9JSaCD/Opas4jHet0UchGBu0EMZxM3r6gu/ek6WM5Ig Ihntp6Wb6mZH59iqN2DCWYu1ZgaV896kOkfVcwiE17+QAMIq7M9Co6Q6leaqw0hVgcSXTIZ0U6eS Pd3FOF3ycR3HK8dx1E0X/g8kGVGPLqeERo9UCF3mf2RMhY5aaoXrTh0apZKb52Uxp9nIobB46615 2b2pjbWuF71ZQerZif/uKGQ07aTdBzWylyEwHFUs4ODacWV44CtwJPYDs+AUR7zIah+p7FUVZCjy PT123mk7yQ1gQPot19EM1i1PXAY94M/tY92uZpPvWOcqejeA5xz7+ofx3I2WCiCsQwZY9J79zcbu RBZDjMLa9u93ThXNjAJyWw1ip1Ih/0AqAjAj/m+OgrDVW1UaAei2Jmb5qQgFwYAG1MTuRzkuPWhb VsmZaULQFx+jFc7kXiKvyKZ1X6eKGvRzC6x0p1hRWNme3mrY2IZLolphlDg3AHLtbdzEO5qKpk6c LGkaW63NiCJtNLF4NTi2zYYkUTVshD1gpPXa4DWgYvxs1iNdCiahN7rsjVH/Le4y/BGzk8hJM+BM MQ5ISFNHrUQ6f0jTu7LgVXIEn+4loKdxouGc/7EDpI9v3Iar1rvdZRYE4G77idDdgtOE6h2Y2MYI 2ozyvs5a3jdNj+Okw6BoVTPQYeJiE2i33hbGt82d/VURi4tEwmXIHd0YQuf9LslSHHshlaRm82+U 5B7RxYGBVGtxLW7ADNz3YaIH2je+uM9afathameXh8ccXiTWYczZD2PKVfLUMppRA4MAThijwTer YDCycsmxMxMpsUnn0vxCMCX3Th6QB9N36dfN78aeuR0j+4cKLb+yOSaCrNTlzFYGb1oG97dRGboK 0dxR+BN3Ep5CvvfAWyuO66jzurKD+9ZQQbr1ibOoSGio6yirzDu3ycyyx11ulia2W4mP4ZzDNRu2 K07swq7pKDaRhM5SUWGFv7orzFPiIqpjgW7+nnWNRCT6mdwPN1DFsodRNebQRLBslO3b2v31NwzG L7X6uoKQCSZ8ZXWrzR4I557WBDmsdPzRtVTuBUwj3R0Hr1ozNLspUOnsx8Qd5RZDVZop98HIi4/j fq+1upqZLcHBt3RfILT70wSTrQLYGZpNHdMw/Pv1Er/zq4Vu6nVuL98bks3De2I2fH9g57B/LQQA VyCqtdBEQggZS2HhdeaUcg34gs55aCuX8JuIm16M/r0vOdu3sAY4lIIpQ1tmCf99B2gxW/X59sNn om4uEd1UFBzY0AewJMcJAjELpDYsHMXh/A0B4v7Iw4x0rjCPn0K8djuFsMfkwA6yvDGBys6djw2n EwNComQ6zpEf49KB6gn6t8Bnj2IJh0DA1qZnK3S93jXQO7j3PIkEmuIZiqSLOQPf1RkPukl2Kopm 7Rotv68jgpONxqEikjjP2OimemckKy4uSntPacpJhjWYHzVU51RSktXc49gYenf43zeqXBOTo7SJ QvYBdLP2AFnF48GTEqNoniBnIJGZP2k7AfudLHwfojHHfErPEvJm8gVr7sj43e1AuXxsxUH2i6gJ +kzKv2ATct1mShMRhGFoG7oEXJzP9m5L8dsKUFSPwfQDLeZlim0rxYqZxO07mg1YNj85FMU8c6Mr 2iQjX4dR2h/6N1bH/5YlngdxqeN4Msa8OhDjwVz0VBbv+1hM4BLG3JNeYN8wYA7tgM3abEVGXC3M BGCOcPR/N65Q2LWfIlxwo9BsSt+NHPXgowOScxik2Hl/yf70osDx9Kcv429JnztdxJ0gyBPiK2xm uKMx4QIY6Qf34Rp0Kh3tF1DjgPSqHJxX7iCbh2Ze5ICMG8w+7RY02YSeyycgOBdoEJbJB4NOBXd/ ZzL34fhvzEKMIsUvrv76JpwT9fmmPRB7Kx8KWPOYvlaVZ7uEVkpea+vWz0Lxwken2g+TVZYIT3yx MvJoq35uP7bRw8Bja+E91jSIbcYemB4sIiDh8L6uW2IskUFMyt0XD5GDpLCRT7FxxZ3JhP9EvZrY wgvJHXQfbQxOKY1si4xrHu+ffwkkX5sUN3zsKpzPujDioQnV446UXn+itvVljKa1ATGxHqE++WPE EblZpkwij9Kzr0UCgK6NCTzxduI087sFocNWqFDiQU8xWsMpot8VRxzru/1YgPq8Ty8eiVUm4EdE p2LNNiCgBKAEjbfOhtUfDx2m41QRl6krkOI5bE9K0uxSmimwXq53d9lyNHH7hLUmfTHWblrmHrLP wMr78Tm4+zV3yQHwMYJqnKxbhH3sxTNKzUVauZfPzUI2kLoTqMWujARARtnswcgL5XUkfwv8I+7A ieaYaNCizhVY0oZWHyHVDVbGufBoa8iziPhUdKcG8vJasntDjO+S1sYgT3VJ8xrqToLvIg69JgYZ 2cNC2yplXM81Rsd9cij3KAs5DVgfZLUMbYEX5j0D2LZyYADprDlv7CT0nYtTVYLPyOntNo7a666J /DXd1p2dbeArO18B0SN1AxUbKR/QHRVvJY+IHuQ59SxnmoOM3u+lyc4Txg5chenmHWitI+CIOjAx tTPLsA7pqDSv4jC5YkbREgJta8GDiab+XGVFv51diTyC+6Kp8MoWHX7kBI9gPI5GTJh3gL3RAqF7 BMr3OEsrRtXBgKWG5SGNMASh9zeVXJLJ4yZtadL9nNBkf1LzOktUcxEbnFx7J/J0f9dGdwOBvZGI 02PqhAqhVw45iDNzbqcsoVFveCjYfv27cuVRA1fF873N1tuiYqDCBZe0USKXZQAxoOJTpd+MOM/F 8TWkBbFzwBMgTiYgnP0JGKNXzkfA3ISWXDXY0THSXO/JFycsns2WKLmJtn0oMmx6XNdtYypB2zIL nKvGv4ncryq6atKtLD6K2C2ermvhpOfdsFzCmLB9EgO2cnQq5pccbDj5L39/7apJRemwdxCZ5AEI Di2Az/su7qSwwDVA8UjqvvIp1A+bBx3gWGrHvszrfNvm9mVNmSmJ7wyIkAJIpVObbBtcFohndr4Q 9OBqXux0LYMhEH9HxABtJXM8nczyi2mEc89zRVBQ9UtMaU/t6BIiQUBW7cngEStq3jce67rMN72M PqT2WgNpSuRktxBOcI3qx/qx05j+ZURCP6e9gEhLbCtWoP2zWzP1W/Sh3Cc86D+gH8U9wDh7ZqB0 wERLpyREoHJ/JdRz6VFEC3STIWkyaXhAHQQ6zgnNwD81JPc/GZ/q29sWTzikOMaF4A+u0GhgtLgj KuPuERS4PkCKdB/jpXuAb+TdCHBoh0/TM0Dyx7Vgc6wU72oz1/gxVfWaxDUlipc/OHJFqgXKw0N3 ZU6PqAtZuiaaHoe6gkVhjPYTvN0jyvOxW5wAmt3OTRDQiZetlEmKdWkBuAmOGX0ty+o91Y41mhwX fwu2oHc4BdB1AyIGaU6yGqLXYFV8TlWLvv1+J5qDbHitirxyurxTDHocOm+zy9IHU7i2eUMkYJ0e 0QrlFZ48c8exIKqWqwVvJkJmW6M2d4p38EDyJmwGx934TZj2PhfrY+uKGRFtarM12xGv/ZbaTJtT UqR6Y3m6Zk17fkfUN84bW6nn9LjVS5I5j+eg3aIFYO9307BmZrv4DVfkTNyax8/TGiLWIOpjPCDA cSGYQ4lH77kos/JBxP4lXvlQWwyYjQVrLwy+k8l4TLyo5hF7Bmi0qEz5xKEURPWxS6mlkAAc5Z2U Fw2S4NelNMlU9C9/kVHas40w1o2Jaqsv+4/8z/tRf4PkBhMd37p51D9aohhlPII/EfY/eOTyZrib tVxj730a0+pb+MMixwJVKc0/CtqliYPZdqI24N7aTexFUg9omonEKHy2ma7pMcKzeAbIlouDhcNx kpifnXyVxHv/IFrOQ/VsunARhyxr/AyVYnur5l8+ba9GqG+n87ZGeWh/ITqIyhgne5AQeAQ8rnpk JzpgQO/seF7s4SMWt+shtMmEh/jUODbu1HP3Fk08qEucQnDrVsAJOqXZULbXcptfX4uUdnt8DThu hrWnhAU0RgO7BWQkdgESRh3E0vZStKqfy8Pj1h4ND52bT6Byd1PIOIl0wqH+cu58oCVWVIa9tw0E rmwd7fQyOxlSQCEl `protect end_protected
mit
80f7554c6eeae266d61ef8fbad507427
0.949718
1.837364
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/checker.vhd
1
5,607
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
bsd-2-clause
472d8d5fb941ab3c0d79bc843d24af15
0.589085
4.254173
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_input_block.vhd
2
45,404
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block D5Wp2mY7gx2ldpdCzSNyiXEbeE97yRfNV2chCYJReZA6bVyNwUtSYEABX55qKxGzWpJZq/A2oGyD SXUd/8qk8A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q1tZujRSlOctTRj9owljE63j0YHsGfpmZ/vkvBuFBDwkXxd8LVOrvZKT16aOMkBEmlwx1Mdk3jLm WQ2nza1a2pWZ9V08YwBqyvH3BbPUegxNk6j2zc+PhmDnzafRFiTQHOAu2QW4E7OCD6h0GqChXCzJ ASURcLzi3hV86S3bvFA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block K7fLfEZ8w/wNqjAHQxQsY+P3HPgyu0YvSAA2S+Fr6RXMom54yCud4dYQM9bhixiBV/tsFz/g2lly hjBv2SWLlJS0kkIj2r/dmBu9yjZ3exiSfJgUIGHr9a44nxl6ypOIcXDVVzI8hd5jIO602wNojRxf 8jmdTpzrhJxOJKJqfJ1rj2r1sodoQLprxTuwwBsNKT83a8q/5V+yKHD7PZmS9lLZ7MM2UxyRz+U8 qnqZjwMqb/cmnrY4OjRkApIisNn4RxNZoAUrWZB35ayJc3C5CqcHkuD6Vog2diP2x4txTv4/2lac 7xnN9zS4DlpLjKEEAc33/PWoGNxE1glu+mkVsw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iL5MoaR1dRaq10z3huacb/8cBfnFF499MjptQ1BlfCEfVawJY+tV5HwdUfIeE8/pujwoUcWgqwZH FuJ6678vlU5fng66hSrg/Iwl4688A9YpwMy5adAq34DpQcrWiSLb1wMOo7BrHZjYOxVD4WFBeuQn IRCZHbQIkMYedabR1i8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmV1iiobEWoSmwCMhNuzFm7YKlxpUYbkFZMX2w8/vgSTSu0NC0yZiU8LPBtZgZc6j1bdu/YQVKbA CQXDaWBSEUlhlqLBqpVcYW3GbTOozp4Lgd5DYr/AaJL5hUgl6zzsYw/rQFI1VGDNMX6XuMwyxyHx TdjIr6xCOGBCCX11nRagjFmIEvMn2LiF2YxyJufuSIOzNJXPhvnuJoxAo+T741p35NW76llLVdXQ xznSCEooxLqwHdJevqGV23Hm5MepDvfEdb/kffIwJsAeQi32UUw7MB/Gs/nEQnPXC/VxQyBYql+A ProfR7DmHqs4pGytQCYo+C9WwycmOMeMoSUpcQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block xHTcR2Wa/yr0oBn1mI89LTA/R4mOei27TcJ+pMd8vFRHGLEu5qWYekIOrV64TIUr8UZB7r/SOoJ4 rWqSB0fdXOAeq8hJZIfDuHGziw5jLZhaCNzpmDmR6Wh5kxqGGIw2hoPkXwBQhML5kUII7/R69pcI ZQhpGdDzO5EY3h7wYBUoV6HG9l0d5hWIpy5fQy5BqxQzx2h4Z99KzHgtVudYGm92cHU+8V9d8EYe q97Ktn4a7QBheJ8i8Mpf/hd/+dsAVcjrzhQhdrdSOatFYgJXsZVNgJ0sJzh37re+hjvtPf23JQCj /RHunal1HRtyqNOeVkYrNz+h5BKurkCVPoXxks79gkgMYOZeWYKsEdddXPbHEG/SrG7LMwRHivTY hLgavmBxuKbsRbcMa3sv5eek2CQv6tArK2cwwoicqQ21/1YFzM3LymNCmHHUz7R2lUA6yGiffkl6 am3Jur4sBtPEFYqBKh1ofF4HeO+7H1ld13KyR7YLBmV8dHqeiz0DbE9vyvpFyEJ6wXfQKOv58pSA XMh/ZjN3B5hNXx2RuqbhKKE+4cU+SCiJP2zxSY4YcKOJ3wTUiuuVzEwl9UfLjRaKOb94i8DYfipU s258PiMmxNxW7B1geg/CwCC+vdwpSbNG6jR9TkNXGNjvWR0e1AgNArlUc6I1lWKH3pVMfHHonVIa ugDHXRX9Ftv5QfL88+5xTT4/O4gi90+s7jOwapQSXX20E4IzDfx0w/o49zyPGNqzBXT1jF6udzF+ VeT3bbOn0V9qoLxd4/zBo9tY2kNAhl3HhyHHT/Ppp03/u/y9rrXJY2m1FHpNyf+8WK/b+B3qC3Xu tk9aO9qUzR/fyCB/ztQWF5GxAA3oxalPkJ56GLphu8MsFIureBtRoEOTClROt3UouTxQr1xlh8JC zf44+i7wmot+mBl815PKBNjibOAGT/TXLM4VCY63I1fQOzSABL0J4/q7wzSaSQq7rhDje4tI4vy+ xa0If0vkFUtajveP4v2XXMO8+dBRidOU9PRAIEDnWvqW+DT5hIy4/PMZeAOBnlsEm0Lai8f1NMOm 5AZ12LLkHOB5326iKbxJF1fgkx5InRZje4ZDBIw1o6cyVqtMPFv6TrMbOxORh7FXkoVimdYqoAx5 ePqkrdR2w7jj+ElB0p60k/hYuJfL8ExLv5LBhgVc6iEfAWA/pIIPt/cNCOxaPT77v8Jg6t4WFunW W0mz/hkOEhkKA448U2VpMtz9nIWWmKxrhwxl0d9gopCOW9V9Sw7GbJpxgaknbnOoUc5mfCHf+bb0 IoyeLF0jeAr0WvALQK667po7+7z2vwI6Fo7xZN+NW+ZDJsYcHjkUbjcKDefV3p8GeqT+QD+56cQi DzOB+J7g2PqkCI7fGg33o43GVZRdlNvcJa7wC9rbxTfkoUweI9TnTx4sa9K1tbyFM3iDoPmAjKeG aOvknKJqa/nDBUNE6c36ZW4NZsfvlnhg7B3ssWYTboAGbQiFMlNIxpU34CT2cJ+uRf/15PzqytzT 9/COqQjr+iLnBO9v60co2uTXjX9bgmH6+ET6lvmRKdNYQG5bpIffRYP4/iG7KFrpZ8w8OnOXv7Nm EgqOGwX1uSJjog1NshELwHkFfCAMCqXKo9TBrg6NiRtzPZGOy+HeMPEfNHhg3wo/U/fXVIqVKGSx KzjqlDfhfNF7lCJaSfcn+gX5qLz8t9WNc2VhsTer0fxWsjQxZKrAgqeO/IwG7yh84RDXgIkntpLB +SJEzbJ0oD6OPBSjE4m20e1bEe4NGSSq7NsweTB2LJ67KidIcGPlg4oRKjLByWzwSeOYvUJeZ6jq 22j6Iy2pD+fn3aM8XWCWdo9OH+K938Qeu6kHY0RoBHRm+KZRMHzgHbHuh11RFQsKi1aM/zQZ00CB B1rD+BED7CSa+rVkaebrFj+DK3PSixoC6QKwOVSD1WTtmtEGDJQBOdKE8UP/4ydvWRVxfo04iyDp h3gGFRC95DrsJ5hcmfFzrE2EMWdsid871aNT2HxYTlp6MDcbU87QpKzGbhj9Bx4q89fZP13bFyqe uph983Z8S9elWRU/BYdbtwzBVKUriHiapxd6HpCC51UPokFuxBi6SMeP1eKXAjxZ8QU1rDgGqG8Q XXjgvRNYgTDKRBSolgDFOQCaKJhUvcgtDXhsMX5DSEQkvHNPR0mX7CGsqfxRYD/r+jZC+GERz65g mWyuGPlaLouAnK7NannZZ0e9knSc2/1iqsbU23t9EQIDvRRIEYHis8BOJ0nG7toiUiXOmQ+DuEuZ 6LxeeSidkevL9CHnwEYWHKDxtomBS3DZ4BAmSpnyrJWZ/ikKXxlLr6ayPdaipAt6LL4k5j1VavRj dCKUVIJ6xQe2AQYjhZPzfMtv8VTVT9SKLkFIseR2G/ssP9TJRw+I4AHjAT1KBMfb3WwXeCItgzTg NHN3W9wCfsfkPQHgm1sy1nYBCoEmZdE0zLMNpJNh9mapFIfP9w3yHcU+iD5VLNs6IzP+HRw6I/Do ZH1+y+6mHVbjpcaeArye0yciYtbJLfCSlgxD46OfqhSqaGIliutRNV8R28cgg3dXJjXOZaOuzsO4 xwJME84Ktz1ylJIOveG4O8fHmXeekW53QO/PkM4ieHl8ai1ngiUO9EnPrbzK422UdWlV5mY2yLKd kYoGda7zx6uU7ZLWvMzCiTTZMq7BiVHdFEDk15k/y4qgNs8UMBMHMziFnfTiTwWQvhMrJXBfws3u rhxfFtVvVmVMYzaNEwwG0vXiNdeGxdRz873F6xrPUwR5xsyV+cyAlW9t0DSs7hODbpJTCRUObVqP TzR//TMpM+8xzYf1g39UNOGqacinhx14xFljQZNyZDAOJb+R+VEl5ZaWtwJOvYdLDYelvSgQyIw0 WiGJ/WncLOVHo+M3FvUfkW9gRQpMntnV7sLYrt4l5OZ15LhmbStuP43Nj48lb5MoM9NpI6nxWcmh 41bLifheL7/pcy6RxBQv0SR1axLpwwFtG2MFnOTjckHGISYh9qI0nI5iMC3Nh3lnpXUzgFQfh5bq Q9WY0YlQVVYQogiO96LQXFynTV+X6DIBGlgoXS4bslG24FY7SMMhTgnItXdk3UsfcZRg9nT03D2S +tOWlG2Pzs2CgxqKOOHb+Ysg0WEp2GbOj6Dzf2dBXte1BzsgqQ5MO0UQwG4C6psTIX7tMutn442N AppJYVuAuHZ0avlKfTHF0azijPUWU8Cty2IjlIZrVWFqlNeTgZ6lmZa5LUfmcCzAhwo+zUe52oGx zdwnp9MHV10sioMCHqYf5eTHT3jLSjKY9GVWYFqk7lT6HP60hb8H6jRcuE633IyRUlxhdBajQ0dV EQmyuiRqocJsq5DZaBi0BUQKQq32VIWcoqS+mO70m3VBjIGPf4ZIio/eNa5Jd9gVm2Ox7luOA51e fNdRPIKSAveG+H3FaeXQ05Rp4iw88yeafii5g++sMJc92B+XN1SV9N837+Ci/cfR7SC6GrevZjWu 7JOAlVBpu3zuVX/sJL5sS53FRHlzrhfVtInBGQKQ+hf5a5x5ZPB3p8k8pB1qxEekAF7zShZCLN76 itD9gKpMaV4xxB+YmSw7eQEiAdFFLJWdG1Ed8zetKxNtnI2+CHKrRDO0KCTi+U8kcwCVZkAoEalp AUNzYRaN/MU7fBHrbU4xSFyz3zhLo8QJgW9I4VFbBeSroZWz0oT1hU6pwNXMra6RyqhkTs/TZNY+ LLoa5iUOS6b7a1x7b6wZrqtXrE+l52+mTJAXFjhPFERXRBLWG+AlMm3XmUX6r4/+mmMxvLTxf7nX DaCdjyRKKP1Jd/IQvUvIeigi8E9HdnoTorTmP/rWq6vd/5ysgRToH/OiJa9xTTBq6l2hrZgQDBwM TGITV5+yZ0SlkZWAIymum8A0EevAT2JqkXhEAOoY72uWEc6cHAY6ER4W2n+sCuLSrRse+gpjkDur vHGs21SaqTkYA/BX953209SSE/4LTIev9HHeM3+knfN2wni4r6j/yA/iWrezk2qa/p/wQ1sHpOAH t4gifENUmqfKUc23pC1plFB6or9qTOWomdtAYn5kpKK+MbsZ2YYCqr/qi2sk296AnnX18EyJvE5Y Vmdki0tlCCJ2RhHiZjhknYdqQ6rEMULgdyOhXhiOLerwLLCtqYrwp1OWLYz3IkJHpQXAn7nLRMAo 0bNU+HepOYSOW60AE59assTtbyJ4r8JIxfD54d3RzpAZHDhYd5GobRnsEU0Ng/T+nO1BwQu+sF9N XeModqxLT1XqXcKhgFlapDKmykyi3EKmtLxaBMPGOphx0r9AVKeKaW2qBNy/4sslJk4CxlKF0jT7 c3geQhUW6rINymTtM2HyVg23Z7MpTIaa0FdmLCVE2gUKGb3gf8l3iuna0OH7nFG5a7A4F7Ig/joA jmZUAaRB2zSE9v3UUyaYWwUhRf9SqPudZgjurOTlymcB0TDxB3BmqVRIlr2WI/8ufFDdFebTpybd TA1Gc4YYUTZkpeT4275g9r3ybFMjhuz/RX4yoNaNCMzzki4Wo/dDBCa3c6Gwu2INgGmA2UU0sjZD 6lCRFcLUhJbVyGtxoTdSPwm8nAvh9mTmRliWgMn4BrwI97gVI7WYjnjWLmZvwlJrWCUw+tMLHDM6 56kzhnTfOAIUXyqSDsCwVQvPnDPzZ0tNBOUTUGbMgq+E7+7lAGlcbzJx3gVua3Tn4mvfUa1Ip0WY OjWA8xP7j3fg8t5EUvoWQflWVIfYA54AJ3nzh5EguX/dxvpvM/CQ9XCm7b5xC4oGUo7cFx887C0L gMHXD3LBnB44/u83ut9axEu3IJ13Ldewtn9B6oLtMRDfEGhtGfBXw/R26ELTgL+SfEUBFJyl9HJm B6Bl1k1m3ujQBo6KpmJJ6+OexFl6cyVzanZt8In+Ma+1ro4bLVIamG5oHc6yhA6LYUeKTRlAo72/ 9+DbtaJpdChiqDmd9CkG3B/PUxJsbdIlgGjOQkiZzJeLGA2EhPxFt0TqXxlWYV5PhwZw2j1fwnKe LSxK3zMxLbIucyc9GfS89JhJA11+UpyvTidEn2CN6uADI/Y84nR88xyhkQc8bS+K385Pv9lOOhAE c/gmx5JoHDprbSZfU+N+F0N/MumBxnZqLOgkxBKeCzI3zImqzZxFWvyUvGZmaSfwUqdi8htIeOB2 HZpPCxroKFpSSlIcxJbtqV66quJlG+Z3uyBkYzaQyw4DE5cmiGSCkycQuXvdsw+VOLyxEOQSmbfN zp/DXM743cA8iogvEMqgkIw0XbS2Uvn00wLhFPwZowgJPIt8AWCaoNOvScnc/vAUrfy0cH7DXIyl f6k+phbHn1ly+sZHDVaDwBzqOeOlMYFgUvgaNxoy893TIoQkOzm5M/Xx0e0JxSoixg83mv/nLpyf JCRyV8aoZugCIG9kRxmpQeSlHuy3WDP/ihJBOAMpowUNsMf1QX+Z9RklqT9Jk8UVIKPHdTjZXVV1 ScRmE311YZ9u5gyIeFFY6IrIE8bK6vzpA7ELDHVYS2J09DzG5unV5XF6XZDqQUIv6FdoPY6drgat 31VRXa2KSkA4e53NSXZo9A8Vsv8q6/zOnkKa/5Zdf2+1hyisr8Ij9+GX9Mfu+P+EA4RmsPtikWPc +ziT/9Cd0myY6wcKHJrsI428Zx3v+LDRRUBVE6boPyp3pVzte4Sl7UXSSoEkorTs03BJHMQYGPMa 05BS/Q3FQsxbgkhHBwlurTtoHNLtlqVLZIVmP3E+NmgX+8qcaFkf15sZ8c4xXg9XbzuQYxPvg5f1 3ywWXcgAoD98SnY0r4nqddKT0MFlpjmQWEBUJUY8DO+cZ8Fc0txB/IjiH6bXNzDtlmzR+l8+sFCf 59NYjBddBZ5GjwT9NpLt+LA0SsVrhoJv5wmkG2NISXVpjuFCynokgxPe/9RKuF6rlRPbqY7V/pjf T3N6rSe8JedNjSbLAzr1iHgw6vYrqNEthuhjo4cyhAQ21F9KPjcvObhwP+/9y8ZCYzOqrt/vlegE D8dxErMsaAUc3vO8rh89tpX5hnhvNiJCslqM4YYjbW05qOPgvLdZhe64kNVEaL8qlPEx5qtvhT6P TgT5HxSj1BMOdBuAVAC8wFLcWpLPPxX20+hC2F9FDbNN4k0+5gG9I3MCoy62pNZCAm9W/RjPikrj /PXItlxyPIxzl/9p4PNHxgfBwRUkyDzOawyrIUVBbc/h9cgLh1My0Upux29iH5bxkyJ8nexig00t t7Zb7RwEP8bh4R0ez1FJg8xivezwNtZIQabHJi5XVWLyOp+gp+tpmoeT063Iimt7V1FPYSQ7pPBy cD4pTFRIvxm9zW6J0EazT+/hNJz4jIL6TTwHP6YCtddkRBjhQUqKSlTIVgSAzpN7GaKsv5XizIDR cv722gRKyBFTQTtN/0V7yTy8Zdn8/VToE84BVgsp8J3nftw+yDME4twbvSoBniMq4hxLbSqLA4V7 Z97RMudZZRxUrL9ZMWrGL4aZRn4fx+yn+ZW84SO942VU2uqVEQgTsQtcGCKT9kbGV3ypnNwTQnrW IW3pRSr58BRna7RhKOX2wBlCVmgN20REE43PliX/59Oorx37/eCDX2gt86l+Ab9sXps3xMOD8OIi PkCzGQ2u7s7R4ou0cw5GJZh5Y0ZLooI2HTGYJSToWpCTcGdIMWnfZQSSsDuXzk3ynuIwm0OXR4rA zL9I5E29/5WMSh2zeCx6kIIrQ1nR2xrW3u06Gy4cLUtubF3CX1aGBHG9unlhRppCLDdGbAIJLsZU WJ1GQ0qhVcegMjxFAvCzVji8hVxYb1tBJNPC+xGhzLxY8PZSJJAJ3bYeX2Nv+ncyJ5qsR/mA1Xe7 IdOku+rhg3EqYKHpk5T39lo0D4Pm29Ezop1MHeQ0UgiW8eZiH4iFQgie2N9TmsfNrqo+jnYJ9TKz 4B3YxPYB3zOvr8qaM18ldjFd8/tHAthaEw5fez/qoMLS9ZQX6P+CWav5okPBePwQWBkp37duehhE oNI9vYZ5bdPNvU/QibgqTBFZ84bqOgXOAJxtZBMP+x53W3xXewsv9NTSkJbtAkrbzxZU6Kpz8zI/ oI8FMaZ+KVYSZYyPBqOoG8GNCvx1HCl3pE6likZpzgWa6iPNvBpmqypQyjOfmnO5SRrVTsq8lOW5 2kAOIyaOJZJpczDn7aM489houbvKQBbiuiLuwwaZCoBUHrgD2vV8pJqYg11nSr+AnQj8me4jOEQ2 cSdpBKOmDjSNKp/D+LcfGeOvRNitbHw00/4OEHsU7R8M6owAqKWyviwjIAHb7RF81m44LJ/MuFSf cqebpSTwtEiW9wWgKSzZDshh8J5ZJw/dgEIFoDJmT/Vk+dfMocrwVugpUijNmOceC8pqiQEDdDHM ETjjcUDnZu0qPPADSVLjjKBbVSDXcYQ4csIT6lo1l+fznLqCrbGcRYzsW1Zz5hwR1AfwlNdV6TIi fBTJjWHpFIFE4S9737eToynuEJ5oTarKvW72i2qBFuIYbg4hPPFKi7reJAVpjvt9KXo0gRQKaT8u eIRx+7p1nbUPeDowddoGp3dqMFdsRnHQbc4LEtILsAG9SqG0nKkkHWH9JaoLa6GqUQYK59ZqJAAp V7VaFSiSr2AwxozX4eWU5FX1fM+gzW8s0kzqKwNKgXlQwH6sRGy9rsd7BYH4H2ryUsdt3zTgsSuV elHz35PoE0dwkxWOG8XifsBfg8XVsmXrPf71xoXKy/43e9G9I5BfHyyaohtWmHwf8BD/jzxcoSve oYijAwCHaqCj0+vn4EmxP+c4HUnHu12bSJUKmecVDmYBit2oBg9aneeSA/7JVK20kHiOnc5cj4Tf BhgEhaDbnd0/AfkmtI2hiKB+FQPwekbrc1E8HcE6++2IFrDjpTCW8nBRokIfw1ySLMt32TuxROdd X0N9t5hJ38W8U3vuEi0/Aq/Y/Pz4G4DcKYQsLOZjq3VpmQlT5Lj94HLDHB3bCoMKBOggnZiOO7Be I4eH0n7b+O+lTWzFigthePzXfKp/6yx39Csvs8dzsHYamcS/V7ivyTnMnQTnNEMHtwTQxS156LL7 LSjIJY2+LLE3hBZlotrg3nL7Vh2tixindahY46J4NFzO9iBqf/x5mn2HcH1fkaksq4+L+VC+FrZK M00fvyWuCYyk5Rh9wrkI3SV/0z1eCBblG2x2ndEUTgNAGlTti5TleQbftntCKT56ZhCCmGHqRVsF kxuwkEUlk+6N6ytk5vMmFA0C0z5CzSywD3vkHePQaW/nuCxJ95dPVEkjvWikc0PZozIgr/+HlruB hsxbV7sULte6VAFHcqboRXvXO3DsZzdXP+Ius5FRHmfZ0GLvQz+gk1PJRgJuY+22mHtHICJHk9Wh Avt4ab2cmSZzjsxTpzPmpcMA9Hqqa29FR/OmozN4G3eYSeFrq3hgB9YrNinlvTOZBovfNyeSrSGu 7O/JZ2pdW9i9rIR8P5FMRAjgcj5j5M8h4MUBGsSoG0Kz75ejJDdsbHMawI+dbhvEJJvzDwWLnSm1 gK7hbVaZnq+LtYawprcNPh5XVazG3ivzI9WKKDuDRXndl9wqb2DjtgVMEDEhoKFt61pPgvMIfQst D/2RMHzCwusVrgdXGuh3p35ekIML6YeEgNHkrwFvC1GChBVhlZYqbvvh2hslIpEa9z8Umlcy93ck 2DRjrc8f1trw5YvxAJiZzJJqxaZbbH1jn4jtZ2bXfKAUPcBYYUdc81Jc4JW74sO6BXAyQq2//CYY fMANY8JYgtIPuYf3WcWmRgvpBOk2ixBktr28h7bybrnKCdk0NzefaFEwmT1t+tQs7dXIO38pOlbZ q/3wc8WzYBOYGGYAzE5i6T9J4fwes986G/LzinncSr0awK8gy9y0H8apr8QZbpSBzAq6dOmb2rkm K7zFfrRKf4WHB/bzttRcKfnaMS/H1GkFlTv+9rCdKReqxCAVVwLCAatbru7JqF1Crg9geWNF0G6t hFwNBjFlXCjZXerR8h+xatXuy9VuzTVB13LMeAX8lQP/2+0T7Kdw3c4MwRtS07s1grxtaHvvVsRp G4DrH9SMFFL7X3HuKjfMLe8xWQ50t/Et2TiYFvv895Fh1fZzFiWD9L84YFUdstwKyP6coaGLW0vI GEwhHjLdULXvY2c2PJDQf8TO/8ls4Tik6t0GW66RUKq+1IdfAy7Ov+YgyU5KPdmmTINzm+oacd8w L1I9Wvkl6ujc+kLL1lGzgo6wbWBlMvGM4p/xkwBIe6T6lheVodkwsLIawnH5sa/8s0RbS8NTekSG 2ZMlbkQfBr8+snmql0PmXfTb54qLEY0Rlm6F0JTVHASNqOCy0Wa6EJoSXsXSI7JO9y1Ypiv836fB rHj0pniWzW8L+/ERnEvmN3y+rtiBWvq5WAdT4Mo5P+GN6eeAi/d08tYSw2HU9OVKJZgXGxZb8IMA H1X9EtVBjv+DIXHBx2OIlLaF638Yb3G7Ecg7mLVVH/l8dDHaUNxFuZe+7p/kq46179TdWMhMrceL qCz2d8vER6dCDcTSHhHO/MLYkaUKvQJWVcWGbQMeBJwMPbckJD77d7Rs9qbeJj5fvbrwvBCQEKCD jb/J4APpaKVCWV34c28z8SZjj9AnbFJFvQRDPBjU4lSdv6KCJqOYsZ6678iQa54be+vuG+MMSuJy G36t7JZLcOEmTsY5+vnRdMP/NJpV1JQuVwbmdhvSttXSNyMlcfGZfKqSrfXpPxy1V6gjnRcYM63Z nptqzW48okwA7QNncs7LE83oPj6s4mYtNSiz0xTM2dgUnT5rcUPx4zzNIE8p73Xzxsb6WDkY12EM SKEg6mG+tDPVnH7HqDnMiQkMnrDbD33y0jSP98cDVNlIvApDgXIfRQv7ivW4QHTAoUXY97G/a2JY 3MvYrJgOOkWDUerNT4On7r53/yrHN2FDGDZK/AgG0BxUhB7Nc8c5eSVCRltMHCPspso7NYT/Gseh mE3LOwY6sBd/tvkwxr3FwlEipwhS+Av3UunmvDdRQH6x33HmcuMuPjIAjsjph9ZGG086OF6omlCb V0tbcV+d/qKMt+KrS5kB9xRlsq6u33B1pABlUTTl/YJI2Y+y34J0QcWxZIQ5wuM/w5bzO8B8cVe6 mdN8sljTE+VwG28tkkvHgJvrsR85/TkBy6O5BGaNkMY/kuiHuMcfJnsP3Gi5U6eY8yO2poeQrn4d NN1CwIAbK+l9MEWSY4wscAuddVdB1/P9cvBRkgcor/D33UUppUEz36ENB0TPx+/MvezxfEzJDCDF 0Vv1pFKR3GqR9zoPh16224R61SLNVpeYQBxKfRDoopaYMW3idqFN/c/+rud+5OdNcMckeVR/zYdz L4aaBgdpkc1sBI5DWQTtdLa3BWNl8FV8+WAydTQV82FevMC+9c+DhPZoHwwrxw+i88UkCBKetMtj KDYP+xtPPzffpTVxHXSO7jQAbXrdngyvWhkbUHna2corxTAypV/rgbQytYqW3QHAd0t7QqSqB1qg OwLE0+g/nrwTfJfYOQjXyMloW56hFP0hpp7IRY3UaetxjNXVxfX6H6CP9HibbFopdVThuognXGGx gYWF9FnGXqVHvYxzyZO9kQf9iuUhI8O9CQ60AfR8yGqA6BUkx1cgyVGue2QSrqYChSJjcRqjY10w Jr5NHC2RRYcTmEwsc601iGhiOkJPqsynYUI+dZeL75pcZssOGyOXuILFzSFu2mnIJ8OrMPcz+u53 cMsrK88ZdrGeliuYETM70hvTb2AwATz1LHR+Hayo0WN2WsC4LaHFHnx57Pv9LNv/I9BbVKjA28tp wfmeLmyCzubYmDjwzxmbN26pMLAf1hciA34VwzVrHipS5QuhReHDr5CWFnJtTkmCr2KZAzfAY7BA CAx/NhJ0/EtkZyM8bZsbOnonCg2acTyFfxjxWc4AINoTs/dN8dZ+d0myqnI37V4PDAPebt6RS6lU kTmKE0Qgmc8fSKUz117lGNVlhqfU7mnYyiUyuYr6hECntGaRhiE5MCaV/b3LHcdOnzgQZpbcqOwG m6b+1MSRyMX+QShoonBElmogsBo7hdnp0KTlKvKv1NJhtPLTZ2A8TdqdjhpR4Lp+7AAhrDm5jUB9 vXuZrruHwPWjFEvEcQNRz2uDAIiOY9wUYPa4IoDcvo//agv+Fq16vFDft+7fFXRMKb7zoOt/hQBm dPTHj6R/kBBCft5LT5DYW1D9M1XvYSPzFg9wL37SXjhu50Omz5x3Eg/g1qvNvLiHI8otFxcaRzr5 FdJC6R4UbTPElBm7bDNGpvuGk5/TSRv3+ROa1NBp+gFWDifczPA39nwn3tWbMxUxROIyv1ubusps 4MK8/yXvJxaF0UqEwl58+A52EjID3PP5xtzEZp9EI5KKvYGp2WgX49OC48w5TQb9Id7wuG8u3JGE 9B/XnClt4NJpEIwD1vjJtWm8afJCez5CgNhUe35jVss1HqnZYMSWlcJXZ4K8yhlvYyp47UYqubyg 7nIZa7+i3NW8zQqztnvqukbhr5SIKJxfgRkUcvwkDXDFUGN5DJBB7i9VikNbElrisGKp7AZXrbzQ +Dy9Jtdk57W7eQJErYpDxJzcg10GlmuJho+pGGvliSczZgkGDOR8+P3w73c2rz2Y6QJN/P2RGR1X 8qOXJxFkabnKg5pMzsLunx8VMFydPGmXN0dFCoMdTcv6AuasnzjQGBo7xW/6e2xrDWG7MbRTuqcd XoJ8ihGDMpiE1CuHgEtso6fM5qYpKxeBhPbGlGiL+hRbfz8leqx+rieFQJV944kSlEmtQ3zyKDTy GcXZcdZURvBj9OIigWK5JtCoYjhY5YNN6AFm2a9OSAbYokga4YqdpTpyL0ubRMA578d+4xa6iyyC YHHgbt7UXjEAOw2kUpXJJsSaymSkdA0JeZZm1ZlaAvzFIvZa91dl3E9JCxdMQbwnzHO9tPnbU9+4 fPTdPJhiaUGRk7D0jEHOlpFTskdMlNDIFOXggZXWjy4+fyDywUTDHhtT3CWr/2NKp98D6n3UmO7o AUut8QT3Oq83rQTYaAcN08YcXn/6M/M/TzZygm8WAsFdj8x61Z7a0sSToqh5Dh6TRtsUj8pq/Bc2 7uLBwKmd9LdG0ZSeD5J5D12Lgb1NJRLhCYtJE/ZXaKB9lbg0CQQtbeZVnGCWABsV4zVDYxtUJAim s4ma9P6ScNd8oJ9CezFsqEHB20o7XjNcNqg+ls2AaV0Yi1SNe08ck9uwgrtaXlmMBmHcclyyh2rN UaOwT9gd/eyIFb6rhCMZsmkZfuyWGDZIhNh0vIcJIeGUvrRJe4bDgZTaKHmHl3IKE7BMe0TAPSgJ fZeQ/SFHia32Vkn/eayxN4ow+wQnXlpb9xI4wKO/1QI5XpUSh5+MGPxjqZANE9IG+Jb23FwgmgSe nOlJBHRwbcxCcX/mUlAMxZ7xbdfbthLURoGAI1caMVUSNe3/B832XGRCJ1+1Po8K8avnv6MS9FuY 9sn5n1iBHSYGuiYKzkNTY49lumQoUN17o6HkjDxU3CI1UoFNiZHRnhKpFwpY88Ow0xBrIrlEjXAM lUoKYuynbCX02RuihXm5RDUfklkrUTSVV1PvNWoSyPd0jDV07H1WS7oBAXEhRiyur76K2g36z1Tb wV2hZ7Q2Ip8ALCCRJ/o6RBFHXIjgb+3VC9PW1qYvs1VoAinAjwWvyiaMkQjT3TQNlyzmGVZtyyAx kdRbM+De83nWffIDw/Maw9WoLNgEZMrZgv83qkZsgG+LjoTzaprA+xgLW4A+A904f1KqVQPBz3h9 ButCZGWfxrfvNUNX4wOQmoo6+jyqSehhqcd7dsWweEAhG6+E0uOasIf54OdY0+GJSpD5oe2smI3W xCP6je0B+shY0JKrpiy3veg8LCxURcmHajzSEGFttyoi7y+dyPaMaxA8VWydrZrVI8iQcf7WYNb1 xoOWJkyZc236XAwPCj2e1XlMzYTutj2gYdsybu1yWrVAMoNqz8rlZ+wLEjHZYzbMz9R2CJs4MVua TRfJVVsRDuxdb7BfEiNLRZV7H1suN8XBu2E3mUr7ACix55TuGiIDu54ylovEyMZvtuwY18nVaoaO 6k+iDXTxHoUk87e8df6THiJ7mdyF+F3UqYRU3NkI2ZUy7BLXKXLZlcfUR9wiXYCZU9fFNF6i6ngh y6vUwost6GkJ8Pl3XAtKUyIdziKXBQ1ZoqBWdh5Ld9qK5qmEXKsVtRk+Jl4iifHJA33iEUctE4qF UBCcAF8e89Rl4JuUTOgucwFnaiNbgUSfYRv6rSdbhRLgwEYOOg+Fk0oD6kl4YavaUYSvFkHNtu5q /xF+o0PlrGfRvW6QjYRRkfItUYzNH2B18TlZA3IzmFW93c5VQ/5fEsMQI64JpBHbY6SaB0fm6wgb JvKa7+r64tilMOLkUhHuT86triMsOJJkiwrEkeXH5yuZG4C7qpJldmhgvdGMPVMo7Zi5IG7b8/WE cvtszT5FkMZxz5qN7lYl2t3wCztsfSE6gYODp6/RF2racx7uKZS10MDhMFR6DIhc7KPylOxjVHQK A+1iWmmt36g5xqZYtAIoArDw3D1gNpf7yaYqeWsSAEL252ARrmHWRMiJbCUgQ5MCuLXR3rDKJrIJ wCGm0NrRwqXVNoCNSEHtZ9DHPKzwYDyKQmJYihbU5semOnEE6ILbAjIdrollb/tM+ib1timvitUD VDxZ90h91d9SklWrDtSHVDF1xqrTRkOQFRXRZ873a8yanm/MNVv3QpLdVX3rj6+DnlNUSfoldkVc pv1RZCz838WetRN5owHRpZLw/6DuUy/VtrsosFmYKK8toBKD/UYHmQzry4A1MolWbgboYZa9VjeZ RWb9Npn0PCegGANMEpYDRNstFK+NUXj54lc55SkBG+8FhX+4AcT/06pq3j9z/LedbJv501RQL7y7 hp8FmaDft2F4TsSYvjFcpW/3t9VS6ErvAxFkDaSIRb8y7z/ifwxY3Jnihe/snhXDzqBJVzlnMSX4 +S3EDyEDbH+GIqK+dcQFI/KYh2EKgkMe1c8kctFYIT7ax7ldELI3A/Ww1ltNgtZOGwWevGWkgKsX 4chzVpjvCrKyIt8X1lSZksV7rwjpGX9E6b/PmFE+ZiaJaTZ78BhPO7XHeMqFJgCwaZ8u3wJoY0Dh bLH5zrlSlnzhRPnKbmj8iu2CDAxy38Kae5rLSJ2t6XgW01iiH0dDyD/ePfQtEcywLZ+wgkJrE+SK njs3emFwUh1HXpuo7VMT6mrnXr6D4zX2sjMYEM2+aCUKXed8+VIYtrg+p5M3bwlX3tNf3biEEQxc BfpA03MhkrWKikAz05vD/ad8PbYpLcGwiPSnbamvhUmigHXWB8C2B4XXKdH8qJxNwB5n2WqgnYEx MTDFolC3L5uDmegQKppxh1hliQjGV7uOZeJUBNWh/pRj9+aQUoSQYn9XyhS1BlLW2/VNvSwRnR/l id2hWsrysmFWlmg2Nm3LH+dWp2oi7HEhex+P9xcd1SZInYr8/NMto/WZrdlQTUUhdD9/U3eJOW18 g2e2X5iI6Mr2tFJloEPSCQCp3MUfSDUmBpFnxDDlCBj8lXCjYNIRbEIp5y2VWkuEmD12NRs9d+gL 4iqvikD+UpzI/g7yyupVg2ceUkjxkIT3gpcTP657DEUAGaJh/6k1PDa8LEQmanPeUi4e5W+eUzuN k/d7erKh1twjXZk8nMfXZijvNlnXXi4wW9LV1z0hGkIjp4LpH2lBILcj0PnhIxWcAZfo4N1qC/Wk 2XDVxKWtnaPYN6zZhOuyOZfkNHmNcZ5YAT34rh0mcv+hdTnwU+7owALzzLZvFqONgZcMCJu3V3vA 4347s+EUMZY7W+Obswa2nu6TBbJKQskKMgLThNPbI2Fml8fMBMOlNuww3Y8RidNzH2ZHKmTIcCpX pH3hDIRo8MdgDOP5f/JjxrWeQAMIQY8ToykEv7gGsWLTg6Uxm5dWbWxZwi6A4CPiIVIakaGQGz7r LE+A7qY5thKmhbmLq85B693+4kPE7fpH91C3hhSRlXSolJj7ECpgLcVfXuGFP+YogMQVAkhS+R/0 N6lx/wRe+LQh4oGVYU8X7nyPtDta+UJUFyHvbIbPt2US+rWDsNNvSEUdMGxz8+hTYen5WHk02k8a mv4BGY+uzBcjAJ7R5lo7khTJSmDKhDWQ0rGV2d+bPAcc0zF9uxa+zmbegzraIjVczn2ITtBnMA5r f/NLLhWUlK0/VYh67CfF6s8BZ7Y4bfhk/t972SENBvWMJtwPEXU+OCqjbpOBptaVmCH5/r45/tI7 bv8b/WKzweKLZ3gJG0PD/8MlVQpBSZXMFEgxgLmkGzRPhRLYYpGIzNjKp/0ECP207fk9yQpc97Uw wu7E/vsdkHsyo4M04+VRvl118JWsEAv95lIbki4exLYdBqBKA/zgEauWQPOpZmfEbp4Ge5lwQfAd 5+FLtROmUIj7VOGz9Bz09NYTttCfp7wTAUERN0fAF2nmR2VHJ6WwzNR6kvZSu80aLGJj4VjkFaz2 bFC5AK3A98JvDV1x+VjM2iumKygaaOY6lC1dNCLz1aToss/gx+lJLtRcd8bhaU8WJ1bqV9NCuJUn 6xRLDI9wxNjrQiMwMAA5MQEyGPIaEVe9e55dlbKyGfg2WGxp+dLBDNLMcJjg5qBm/GbYGThliFmx u9ej3a+79B9WgIr8vZShwCJyZVckQxTC+U4COlviLfrG6rd1oO6qcbqVIhsD9LlbzBjwC210lLNU +QMOy1ax3LCnNtFENC/8+oQr0fOpmmx61vJVz45wt1ReQQXtP41F6MmW007YcBMFHM1f0wscWo4S o4K/GaUGB51VjpQHJhtH651YsKgTXDd3JOyO3bM4RZonsJ+BzHx+Nfm6EveJGp2I8nZ8slm8kHaT 4I5koToJLuvN83lIM9uSJsoPgfFpl32aERQrgnB77wN4W8KtLCaBwrAoTKtEzX1eJ2ETz+DE2taO ReCo0fHBxA2Jf5b/LvhHnNEiTE41+OUV+fY0PWiH2k5On7Vuvrq28hG43NAZnOA8RioMYe7EZYvf b2mSVQtzZhi3JJxWuufbOQDVP4b/q/kfghkmqz2gz9Yf1o4OfaiazVdApKZCNeSZINYuXwpBJCuI ibO1LgUv9jt8YnQvgIKeUcA1njN8Hn3I1YANjadl6uylsP7MTjjKaPFYAWNuxsRNLcjrcURG1dds TxWynQW2wkJlUX2D2KrYU0VEytVY10CNSFpBslQOGh/wzaPVmLDeDOm/llDt0ISO/PdXgWPJeC6F 4zGZw0ieM/GV1mB7RCX7QUjvxNJObOlSNNehQBGNRc/I4NR2uazxcdVMKMGBSgwb+AGbu42c1ttt f43OsphK9/mJehtgqe25Yt3pHkSDYVF9OcjJyTPmpmcUqbgP8YyfNfDi2E/Guu0atkSrZ2cCW/NJ UFoNLb7/z9/zfx5yDTvjkb42vGWk9t/xDaIJQPp9cJhHw0yt/5Igs4lR8kRcUxLXHEboimWhhaUY 6KavmG7kpX/TvHfiCjX3LRZ+wKZd7CEihDmQ1wZjc4ou0NyZqpOPw5IMZl9ZCnMcUDgSH/xQPuDG u/w2YIVkLxIGrkXmt/Fgji41AF1SUoJGPgDbdazrGVz776lXT+PsFBQa1qTqzZzLSVaD/fHho/CR /X5dWTkW9Pk24olEu4JJQHmiwqXij9ZOsueamnzaCCuGG16zwurwXTsKN1tTR1s3DUry+LxU+CtC ZoLIiFtIQSCVQSfWjsYIdVJQDcVTQCb014SQrbUiLJeDhLKncG8FLaYLN+tHw/vm46ul9RSTvD4C kbmbllUNpDr5yp/Xfk3YzMv8nOUAIzlD/iUtLa+Q3gPWTH0AtzkwjBp3Qg7kwgBhg9PtZwzdbCrB bFfXOCEo9ht3Rg41VfCjiEsJm6s+tvj/mtqjZdyihd7s0lK+vCzTR0OZDaqWxkyT/C4Yz6JD0CTn 50ha/1VFbzxQfn1sx0y7mbFKObz4+SzCqwfGsiB0QynY8Bck6Z2oeQecD1Hn9a1g/2N36alqRpFl RmqecEJe5FkzYYY/N0RVzCUNllBoN6HgLyDxbDDLGJvXhdvasRQ0NtSfdHHmt/6PyTGnbPkpqAh5 4pnK4Gz2L60skJ6cLETclTHr8m6WaDNfcJUD/F+JMwVHCwvJP1lVYWZRZo9ArYHXM0M7FdjDRRH7 xaMt+0DykDkqviFnRwlETohK5mcdDx5CZMK6q86ELUELFGKLd6Xd25xIq26lHSaWtGfsxKiRvCRo 2FjoIppfrielpbIJ5yuoCesdAzJDy8AZo3u7wqKB/Yu/Nscd1VgcYoFRSrVZ+il83PduU9B/ijrw 5P+ePldbPLUE43FxiHYoMac8goi7gCDaWYzcMJDQ7jjl6/00XnfCqm0aL68iIzZgbl1Lhwj8zNi0 D6w3zk6/Um9se5Blo1i7OvDt2pqfJT13Bz44yNQ91DvL7ac7ziAzK3wzgul/STzrsARFKccrsfSB ZTmxbA4eBbyDOKgDHsxDg1te54WfVJr5jyeBCDg+zASQXaXy3wT9wdFJ3JTab076dgpB3dQXQlc/ 29QiEx02bj2tLCGtiSdm3xDxzBCiMpl7ohOMXWvZkhoFXNbly+vYaBKMy3lkkrVM33258r/5gtrR 8HMtNuLseHuj875/G8bHY0iU8kkaUBQb1f0MHRtXMhjM5yK0X11nPRIgfZJ57R/jqEv7OS1ZyP+P gvNB9QaONAhnV7RSCZuUjgPYYGUcLClS0az7TgtKIt9UJvSRpYrM7P/VIMHKM8gQfNszAQMNANd9 b6nTCfGhAEX1Eqw78OniuyCnoakuZQ8rc73NtrN+5YSFO97J2GiXJUU7ivywKCEY2E0IjTupy+fj akJWq8567FCkIpVRvWA3jEs/kE8nI3Tw3d9KAMhKsAVshc6VPsJEBP5uNTGaOA0Tbu77+R01DKBn T37DzegFReo7sVklti84wUV65z8FgbiGA1TYlWj36KtZ/XyjTjA8ap+qQaATnrVy5hyELnY4w80R /qBzyg5NCIwb2zTMMbA/fMygXv9zbS9XwkMUN4knwNNT1y/wHh2jASlyKpFIOpTubasnAyVEozBT S61FntSfws3O6XNpHZxUFzXW531sbKeCPK8tXxrtYyjJTfOcs4b6irx+jrl5btpEjfXT9iaMnVy/ OESfTiTisvxBv5pqQG27YA6WRCT33E6LHfRbpK2BfZOTyT2LIxZt7Kk+MueGbe9bPC/L0jarHMLC gEdPSxthyahNfZka4f3YcpBcFxOpmQV1rTdyq78350Mi8V0D4xuaBBnYgik3c2P0/9W/6kc+B8yM 6wK33VrNcIBqQ6wVB7MT5MZXwhdnQZRqvqA4YnANsdYPvRljB78BF6nwPUuHkv7ufHJYFNWWV5f/ FuG/c1KztTnRv8HDZ7GHcxwhAGPy5wEEKHsINa+L6Vdz5SZgZa/nKH41XTebAh538IVyxkNy5IPx QanE7/MWTjRmknTIcpNzeipXV05ANPq29JmiEnJ9vDUe3KmyPCZuysoUi407W4NzQZ+4L7G7dZFO Udrz4t85kYW1YeBR/W/i5Lg4wckQgtTu02SJeaxgF856g9bm25GB/QM6BPHhmo0swbkGi/rJfb41 5b1iwTDgWzIZBot/U9Ln1RbPXGCCoUH38QtOVn35nHsgZ3oMeCwwmXVui6enb2fOvGYBleGexNbl x1njOOlXGuaHhbAJarTsDlbMJlSlwimlV5ylul8NgVimTjltj/qP75fQ9oQSBOCnc0zfM/RbIcfy aG4jOh1/SVw26LTO9bYchQalgkOoAzKK34nedBWH4pKdBfAU0dxrDy0Qc+xQN58xtCv8KWe3YSFv vagtozX/hznigfauwlW/reUATaE/nNjQphWHvqytTosYCxH2eipFlBu6jWOC1gzS8EHaNw7Qmjw+ qrTxFy7OX7bDdSULeOPasxV2WYj351R1ZMt/0o3wbY26rijwsrqkpXpetfChaYKob0RwdxQ2zPBS 75Vzi8VGIc28ARfUmrlsPfSPj3ICVZlY+vJVXTQGrU2J7+pCK6SpSiK0c9uAV3aj7P+frViTmwFV zj4ZDkrja7UuFbrXK6Gzf+ZxJhO3ugaHmxE+XJMUeZCCWuaHZ6VGU2wpfgIstB+b/dxuR9kBzulM GoQ3PcLRo8OzS/0nZF8wgT6zX3p/PmQQAxIiVIz4mgqTTbMMVFwkLSIFZgoCduZDMuhhq8wYwmyc Hl0eRfYoDE2tIu7lwPC4Z8Snyc3KOoUJa1HODByp/Uks3gto3VGcwVQoeMjYfYV1+HyRhi5bA/M9 O5fA3YIpP+qhP/4CbHXHrm1yvhmAl3JiATTNDSZzSAHhsqj/H5OqdLvOIGR9WauNU+u5A+z4V1bw 4Ms1E8mKwom43iRGnEElJmJndREiJbER/JbeKSO1wAcftCiJnEg1k0CWEvNhKZcPTYS8DNuKm4Jc l6RVXXXG2H0mJ6q68YDoL0edq/YhS+NK2Td5cAA/qpwraJ8lwaIpGuOPCSv1X8S6ykeN4kx3Q2H0 atxcfly2Pi8r7inRENeKZ0vOi1Ykohq+choQ9imOIvA4mmHu2h7npn1K3xCgQfPSJZRQfSWnnRZj b6m9kIu6q+23xP70q7QeTC79U+Cy6OJ01EyvvykVYQR3Gt9GAarUEwHe//cRj6c8oWe9RDvMmATZ eJjp2itJHg6kbHdNsQXCK7fHvYJDnQ0piqkrE3OSgOpQ6PyS+dwlOfGXXJ31rKzkgyB07ButZHQi 2yRIfN9EIXMTRDKUkiTOfVFplPOFeAQdFXnuIqW86Njg+BPSW0FM5HPxOYili81LTttoFV55Qxo+ gLCL3NDRv+RzGtYs/iEbyo9M7u2lm8PHoGMjFJeK8eUI+Yd7UNvKwZEUzlh1rc99Jv/MYFIZiZVt ud2HoRoTdwPT0o8KUn06YXUmTWPpQWLC68C4kSHOkeG94DOgXZZRuRz2LigOk62Uh3jQ7a/OTZC8 Yvui+CvChIa7kn9UWtbkMc0gyPENr0oD4frENrFAUueeArcnRIq4PPXniMsJVFDkO9vGN3mzUlNW ucOqzjsHZHYcvTwsv4/EAv/7yox1f197+bkr+cbgFuaMC/atEhOyQ5POALVg7L7aeuCtEqLVsr/u bLXuhfnElB50ExNyrwCJDqArjHjpK+skdVV9hLGEk6y0oIf9pRfEwjcpZtzcl3P5jxWx1plyyRfL T7+KpodZFd58a0b5Y777mM7XYo0sJMvU5Hg2sr6YOwZTbKQTH7+jNkRdLbO4stTgYi9VTftTS5ap 7FGVVjKxO8liO/Y/7qR8/rORaODN8WiTnB/rWuSqame2neEL+4zvwzSwqwBeYO080wGywgyYwodA I6EYjqkAbZnGiemAyS6x4E6F5EyZvootQnIeGhctPaIZpXXC5//ynPEEq6O4pNg5r77KYlvFcUey baJbJIxWeEhCLSbCgL0cF3yQy69BdcfHSuWfAJEVtkBGhP3wrw+9ez55VRb5e9iFe85w3NIocpZB DfOGPgDHQbBopml+Ol9UOTBoHmp3Ef4IVWPgyp7/JFr5n+TnafdyP1dMkjpb8L853MnKXFcqBxno 3WyrP02Hz2dqiihPo2aAerm70k1du7MjC9XxuAbSRmW0v5qWdiKxgJhEi0g5n1OArPBhw7r7wDfe C5cm+LpbU/iELMX3wFEWOtJjjIAjOPJfmuQ/+9NNA2VPQqNq/IDMbNhKFevs5CDMECUcYmM4HBO+ NuueWTzuFXET7RCPt3HnXP1y13EpO7EeLHuiVV8ZTzJVZJtbU3rbvCNzhrTfKbO1qA/ufUELk+Io 8q+S60LoC4iwmW+fAOSMLwiBoH3Ef2Wb26F6rlJgWdR5t5BYYaoaY+RhWUNFuVC9wEd/dM6y7dnS Oht0SKyhSW4a8gA7rUIbstGra0J5Kh5eYzhImL++CCUbj1eJBVdIvOE+LljDdQFbztliG7qwvIxG cuCAYlBVzSZpJd6n/SxOszDs3iYfAsi1z6r8n8glS/Ccb0OZralNPlFKT0sezaoo/6QR3RVID4CI ctCejvybGk0RWOrRkwyZPwQQq/xeRy0a5uC3klM7J2t0hlgP5DKUs9M1NEqHKfrGFBy2pLeNuUzm ya6hKcBbwkc03xPeA0lbEJLLaCOxvcwrBAjLTxtfcg+aS02f3OVRZWsYmXo4YsH2BlUCvkxDu5pf SR/6y8Q4TzcJKar/ztONVkE5Cch6B8a+bJSC7Gutw+3np89Ohmjkk1EhnEtcnE7eN3ebvpvL5SI/ JXlEvrWut5d4C8e3JzU2CHKU+aL/ew8O45zXa8CmHzBPkZfkWwVrUdb+i3cyndbWngXuveMCc+bg bG2dAxvnT/UQZYAASbzu2MuVdhOKn+YyDEQLYW44UuWDn+tpcgMiws31uIqQ4ihwIGqEj4EZLfw7 AaZsUmW+W6qFHHSInJOKEjwbDbtBwffdiLvsKng4Y1ccfkRTjUjw5XvfghILDsjmfm5D/p5alWYs /UmGQNEmTZwp6HhlkJpKqun3MBsi6tM5Yh78vvjlX9u2TLHsagcZbx1U6h1itZN0vNqRjR0usQ5M /oQaamo3w1f31ooj+7Gq1dlwR6vTBsz2736z1TeTjhEVinKJBptFVhWnx1p1mM42ax1ZnRDre+7b XMxbZsuZ7QgOVSMi2tDjy1X0FvsP9+XQMjEn4zjfvG2qsrXSdXzYt1O+S2dQ53BFVdY44/3I//Dn BYHTKKJGAOkrtKtuHsk2RUQNpPhcDgR6MygbP+j25tBgx4kh1vxZOTxYoSMo4ilI92U3iJ6sSTOH NHxi3thxAdOlpkZRab2anB2/NUBn0OQCW93ZzK4wi5h57gsEYIEHl1ceql1Oi+ElE8L906Woiq8d InYdbFD5JOgq+iIj3fmsHsvXxe1rSO5iBEHzVVDFRK4cvkhvgev/DWj0W4Plxrq5yla/z+jN3D6a nx4sAfb2WlCbPSw+lO0ELrXe70SWzFEdHRQIjb54/GulesUfYrhS8B25baedgrOMPXOeHkcVswRD D+KBHf+zhVj6y4+ubB7cpI+iGMjGYSVqH2i1c73zKgqmV2eo+jJZzCT1J3OID9/kp1/zrOJuHYlv f2jkPPgCH7uxK6bEST/kqh0GW9tgPECib3Z9dXpvFVJ6kNU3a8l6pjQlLTFn2weS/QLA8SCMC4f8 U6zTLrdLadw0opnxA24n9D3RihmFOCWE1FYhhwLwfIimAKu7ho1MrPLxMsfwM0agyGImoU8yNsAm 1TWBcV3WFribLbQWgClnDH+6fJALENjV6srD0QN8dRkRKfMH4qIk5E4h5m2dFs4On3Lx0Ybdmibo qZgpBFv9Hn9wKyCeOWYceBEFuJ55XKB89n7BSkbG2rgIt28U2FzI5TGS1v5o0MgXxrYqNRuwIjHU oHgIb8wTqOv72UyqFylRz9/yvpzQOMIOvBKZEmLTFr9WCXWqdrt4DhYO/uzM5KBoJTxAd6+XEnWq K4XIoQuY5gP3+cZJANMC5581hvPBHLz+gJcdN4PElNYa0YcxSqcYeUKiPnc5nOpZ/qi65BWKHO8Q c/1e/fhP2YcXSFbbUqmSo8TuX7s6AzjsSSzeiqVhzCGlyiC9Yt0iHugVRRnkyqZdIt17Cn+tW3kT SDpOfhrHVRGS1sQFAdh6bNFGOgeGmyL2oO609EjjTVk6slqsU29a7SFeqGXU6/fL4U8iTwWvjbj5 /K+KytgMFsPqT5DdGWNDiqsy6Z9zr1yaRvOqJpDeAgnltiH1OvIsDSr4lnCogR2J41BXPz4iFv3/ uQuYrRTH/LyyKQeFTXfIE712fZI1shu1fPTiFAeANCfu1rtev7uptQv0743Zrxk4IPYSzldLKMsx 9DuQQ8ixOCTvAIES2/cw9oQN36S027vGp/Z9qAjNAPLUJ+7BM3HAyx/8zA0ATcFVrzxzWDY+qK2B ZTN4NoExYiNCz1Cqn3/3UqnhmkoQhQNbxHKr3JrYwYtjqzZk7qG1p93r5NjC7S36nFU3Kttxm4hg Kp6A9Ab1aCXKh3HsLlC/ZGdQ1aSP0Y+xMjw1dW344pAwedwj6p0D3avmEwY1SG/Ony4dN7EcxceN HQutptDI3yeNZgBZQU/ZScEysucBUD7wSx5Znasw8q3IQ+Q8fIdBcS6WUWavjr6mfNV+oDlLNlWp ZmyQRd3IsE5+SgnW9l7nYVuuNcVIOZmci/vOul3yo0Js5TSDkDnCU/kUpQpZnYzH3lNFF2pASc91 aIwNOKTWXhRbiDypAFjuU2VgP1lGofRudoX67ByuEnv/ldV1lACs+rcfdWb/toKvVwI/unnw3N9R Q0T1XOXKHUlQfO5TckMEavLyT5leNiPAs6MPUqH+jqUdTs/XCJNo7Ox0RhHEMkvSdA+tXU2eKmS2 AoG4ZNEYOxS3tN8RjiCkbz2GKfyhq8NUpb4f9Q7SfRTzRvyyG6iPoT+fRPAzg1DsxYCt1N6O9Kp1 bmLEKeNkGJdoW2KsjFJ711Mo+St8pkG9XygHbx0vayN89/hpob1wzEfCK+XJKjByEgM6oOE2vakH yOheTaYBME8rNcyYbiVierF9+0b6DHEN+AzTVbMJ0P0vElJ+a/bbiqtE9sYvm0ShewIGbRJCNzt9 LXqiDrDd3uGwN+nSEprexq6Dgc/Jx5QqB8Gfrkons0/AWEAMgfwXllZ15imc64ekHN57EiTU0l9x npSGbHcRoV6oLjpJCwSdLjCSFRRznIhYcv8c8cLXkxlpuDBAzB9j4BJb6mFHvRJ5e2tn1dtZTXHt qtw8QQaskksaBeSbNo+V12cshkr2+0SKBlJkHgeaZ4cmOFN+jAGp/fHiwYhairJ6q46+DWS6fBrP 5udy8W9Q/36v6SLYk+dkhUFWF5xSXbTMu5C9TrHqE2FPriBZrHfUBHj6ijSM3VAbZrVCmEbTw8UB KNQmI+Dr89pi2MGPG3RMiqaHrlzJI6QExjOH/dUyBoaR/LTR6gsT1cjmZmMwWxyYDGxEQjtJDQ1j 751Mq/vhTh7C3H1bEnfUsDh9YkFtS1IsyPR4uJWyuVE0mqP004vJgMgVCCmwuF2m2kWzyhm2evoP BfXMraaOPS0bj4hQYGYPda/qHDxfU9cy5gYJySobCF5eaZAtI5WK4di9IHzBhGAeIx+fwm0RlusW s7xlfqHhRxf13H9kLCMx+BeUM0GE0KHATjtHDqM9LDDkPJo99pM2SInKM75ey9VgyCdFrv96nGa/ eh7DlqXaxORWWyH0Qzzxb1kKcu4NAtGc4HP+L07gJ4/m4GmULEkaQL/NdEb4JdenpjOiky35ANvQ /912B7GV+hQ6TA/1E0QesoHXMA7LOsWnhTanRJ4ygaxisArnJWgAVFIuKN9hqT8hgNZXEJu/VvGV RI59Pv1okoVs+lg4fxQCGkCVksbtu46pEYPc0hhjonpdh3N3RByx3CdPqEzKA1wxHWHgRVessZsf 7X6IZb0XbEh/S1j9GVHyUExuu0r5LagWIeUZqChW3HPmIykXsaeS3K9OqpFhRTT7AWCUnNCnU74Y v4AGuwjCGlNQr2tcB7ZmTzLNIMQYhE5zpP75Z9cXhz0n0dnYSGOATX/rcPoqjP0AxisG7IpanU6z +iT2VjRTtSwvT2YLygAJ3eybogwR7wnRl+O0uHvNcE0LjW4qHzxvpAzDJ+R8PtnyzWfpOOF8ZDdE LMJAsDS4HSxyleSCVmceNccz2gdk2AImTREYkU4q601U9O7Vdm8rj5vJz/BUS22GPUVGkADdxkoO m/bZQbZAorGHgTQXOmQlFNed43kmVBQ8jwyWCExOcuer9STKPtA4ew58pdb3paHZ1xCCLMeuvvAo viHdwt8Hgyc9yRNQdC8bK8o03thmWYXupFYfrDHb7WZNax8C9/7VlgbPGgnjUN1O+0YZYdaxov4z wGLuo1oCFCdky8f3HLKhM6QULsIRKJkgZfWLZIxRiPMlFtAYas+CpNarX5v+Mfx1gDKHxjm5TEwD MLXjW5q4/lPCrIzGA+/DVAp2ouTBM/TWkX3AYjDlQDXjOsmSREhW4WftL/yS7vJtB2cyCmr2fC3E IVVPhCMIK3N4vyGaePv+h9+RmOgSo3hpQftPy0q4uIGWu+zzbjU12efTkG18r9Vmkh6xr4eBIF0Z h9umTfrJiKOZTuVTY6V5n6flozGUKXRRQAaIXZx676uSt0HlFc2iVdvTFmj/KQ6Zd6pELH0BOPmB kGOaFCtBr718BE/4ivg4F2OYGQHHA1rsxUt6qhXFBA+f79l+9Xc5/4H1A9z8GM/T/kayX+Cp1ruQ K8/OV8boXU7yWuMOW78TA9m/ySiA5HBnvxi2zI2YhHRq6qhqpNx89a5A7I2wTxjwIam0CpTmtKYE l2FKhyCGn+uV+DNhlSOd5ZDrCyj/VqFs6GckL1IIjwYsyR0Galk1Tp1v19wU9eQVlQa9rtPFMQ1n QwUX20oQtHBg1VvK6vK4vF9UzAGriBWHu6aBTasQ5wHIA0OoBdxmda7bYrGFbvNW4SqnGGvC8PA3 8h+BZayGAaAP7xa0t89ZE0Shkh/hv4AjWQ5xVmDHxBxF8RpPCtACbx51juLYJ0dCuwVeqhyRGP7+ 4jiSB7qD0WEufTXm/+0JoJlofZZoxG3YCkLswetg0k3kdIytv21tbBHbVjzXrzMB2u19IuOPbacD v/xuuG4zTt/5PLysiEvxnmGPfTKsAP2PeEm+IpPricj5CvYmM3ZUZXBKoVFaniH2aC6Ej7fdaczA 5/B0suCVwZ9MOSJ2wATSBhDAnW4OUzrwbjzRmfPcp2yyzvLJLbMKPSBJBGIyDkUGhY0PmthmMuLO O1NDpCH4XwQLJMPE0Uvg0O3XrPLTncZ4d9W0gWOKCcjXOKqkJS/PhdrxRP7pwb0QiG0wJukKEn77 itjdZwp85p6rPgTzpNOvSqCDEAWMbaLW20ft+2yyUcDGdaIqKWuvcqDps33gLCx//ZID6eflpn1X vEESW12hqjFAFu4+1ySNxgpMgj6ZrKxuVqdzcLzNZq2beRgLoKxOpefF5Fsue5/rb65JElokWlNx HzQd7jdH6Ux1aEBkGPU46mYI9NFZmx32trzV4r6TCWq8wOt16UnX3upMzWWfba5Ni3orBCIfS/wl QBtZshzwn39fEXbWtMwXB+GciD7Uy+tYJFUoc5iTf5aqeOf9nurWN9w7YI2HBAJ7G0M5Z62Pssbb O5I8bALEaFmVXHCh2ZxlH3VlvcyiJOeLSvV83AS6Quc+H8o0rED8Lw6MVoUpwsGC0aJMCfm1fChM h55ogv8pdCU9MrmQM09mVjtBwi+sq5JkMhLVRc1Rgm2kjCorMLTfVaW+uFSHaS8qOb1HmX52RqMi unLegNFQXH/IFh4r7F6i5caWVNVxG85HfKadjmXM/S2VeXuISBT87+tZYYGMCzGzKeUDR6id2838 1yDqHpGCV85hPck1B52ygJCA33nkcIjQUQzhoaS9AVzN6iK4itBwfHtPGpsidjDXZ5twB+7UdFDK ZKTS+LiiTFhjiok244mLjaChQDervH9cjd5pL4UoNBGY+SB2iHwFhnlEBLUzVNrSTJ3e9Uay73Sa giBCbJPAsXkbRxYllakaX+Job72jjISJyjAmSkNDCtRaYt/z/dhBfa+3yEgOYNKseSmusW4rSF+W j4sxDkbjqRkBdeJKkK8kOACKYhBh9EzXap61c6N1WiwF+d7bcvoWbsVAWjL+WHYiCETiQ0+8QfiD Hc3MPmmkhmCI/J/Xly1iMIlrbdxGHiBfAo97hfvGjr8l4xl6qgsjvarfzKeBwR1bUZHszhU8KxYd pSovNKZFu9/nXSG84VTr1TYktBeTChZDu9tvrmfpCOVmGllvlIq6aTqsXMSnxNZJZFMjZWwZzqqv TJW4N2+WBRpA7HwOZ8fXnUo7UIHs1Z4PRHXnmXUgyD0IKR+JYMCzSXT4Sa/7d0dmtcEpD7IrWLXd +7s0gqu4fNRDGXkcYtoJDyevsV+WFQAVVZCCZhJPD70xYW8cZmvqMKYJxet0RrtGWHtc+IdI/72z 59crKdDHMOdcMRJWYPxWLfY6JKi21xI9J5QJg1b+iaosfr5xNGzZF1/bAX3ivtBvBNJEnK32Mu7S 2nAuSLdV0HvPFIDKY89TUGM9G8xcfn6pJqFv48b03uUU1ggj/RAlK6fgZgzk7dk9F7ayXd9o5BIA u8HJDTQRA0SE8OAjptnK6uSgqxNlbGEwSFoFUqNroCLyIZTpDis7LzUrETulTgTek9rUjkyPOrq4 IDKHgDUk8s87omhicCbdMHqiCNTm363UqijV+cZH6jHq+jAhKl47V7W/iAJ2rynK88/npU8IG+DA 1KkTooyzVVnB6ExaRMbreqWfiv9h0HlGgKNwlPxEeS9imHZ8ZxrU6NLA4tBtFGMX1AhkYO4G3e6m ptPCh5QRjzNByzhyft53Hiz0wPsg/rThgtmU9KXnmbkIk+KHpxXsgOccjJy0DN1YjG8ATd4wpr42 Xx/DxtatXX77nX5rRIWndB8q+sAmt5n2SkMM7iGJL68okZTmnQ/aOXVUWiFm1JqwzBMH8njnJ4/c 78TiNi4Sj29dBoocytjkPIpRCloGNVTr48V0KkpsVy7jBI8qGze58qeUzlDp62+m5epwjtvBc3Y8 Y8No4cPDIHAYL0EaYz7AyYwiBJfHNOT57J36qnthfa5mMCvNMoYj5GhAoxsN5fvA/LTLdQpy601a 9pLzXAwgyej+KT3YoDJd1OfuUdPyyBaHh1IE7IrHezeFB0UvsacDCtpHg6TdgQ3PDGn0V8d2bnkm WhAIHfVl85lxam6BqjRXBGf6GlVr3maFinNC2sm0LRNGCuILw4QcVhzLHaiABvNE6c0+LuafHZig ODZLxH6EcX8t47TGtHp2Utv2r3OaYKRSMZeb1iwSlUS8ewxmUCDloWGouimyiIgD7x/Ys6r17W+w YhCkyufzbX+DxGtXis45+EZKcZDH8DAieXsLlkd1cBYGcleEyUJaB4UvpVW5X4lqTBRHnhM+1+XF o6xmJWq2P6xrcAeAFelwK101eAWE8CWgJdGQA46V7L970EZduLxNbtQyTeR8Px9L5HWRBp1BISIe 5zULsERs0m/sCNhiaTHYTs9zZZh/jg3JtMuZUoBnZ1yYEG+hAw4SdAuMmFH6XTP0F2q74/VT6LDw RvADmm3xB+g5f8/lGP4HwXYvMQ98VvfAJAVwfHXMrAtJovhZFIsTm4UR8v3a5fKuVhvy0LduhDcu KENyp5ZD6Wqokb0lVSef18Wugr8adz0IdGoI6fCVGFuA3+URY3ij8USRGWur02kCRluinV1j/l4Y ulHMNaQuuuHiQLf2JoDwqLAlZLmXhotVV+cKBLg63rvbdTfjNdm3a3pN7Pe5JJdl4ijjtjyrjjXG vc/NjTQv7fVzJPNrn/9al1jHrTfUUp+G7GKpPfH5y66tJ+iqIq4L7mNPiCYkHxG/y2BiRpyGCiEW TbAMdNcnQF919g3Ebk61W4lvjBSEzphkop8BvO7FK83MQjD/zw0PI606222xFvyv2wMBDtM7Hy7V v/sCS0hiduQ+WihmxkM2Ypu2sEu7fnIUhpcP5uUSivc3uZ2460RROW66KHZy7iPwDUaFu+xtK4KS hvvUtuPmEmN1dvtCULc2sGZ1wZTym6nttATIb3VJyZIo9ZCrC3+66RvzGP6PPeupADasUX6by4EO cLjR9LKXfIWJBNwuqBEUwjPtKJ3vvA2XBo0R88H3Eh/hn+PB9V3yIXujfDmHYYfl/NNneVo6VfD0 i+HY9SiIVuQoHhiXDrdlAqY8kxnN1e1S1o2eI6MMkmxg4EWaVz3rgfintif96IwElZFvMdHYPX4K tYGxnqn4+A/ODgeWPLj32EdVaSB6f0ON1aRoMBrIz7aHUn9U3scer4F5JfhPpTDXcBxRJYJzmIb+ eWwvuzY5Ood4Xv2d64ugoOLuL2U0syca/R6J5BoqcZfa2UMN21nIEC/3B/l7Lv4VH2YmeQmGVHuw ZYiof1U/s7zp82LrX8ojD5cA1YWlNq5xNTQy+RF381Q9AwJrTuiuNR9zHTVklzr60xVu0pmzNK/y +Ij5ZQi7IBmpW2DYnuW+DslWkEkFtwZOWcRU76wyR7hThkTxB5ofhYP2AEBY3YWymYEual8MvfkE WreP6UddnBkZqLXaXBmMaGRyak+x0Za4sWVFBDJVoj63i5cLLR/Kqnoi7tE+2Uz/dmzNGU5gHInM 1hiXNUyCyKeu/V8wGdasme0IQB6tcNbjufMtoI9yw7BUmHZQccUsWcbio1EMfLFi1dArQbEwPwwz MVVruX+neZZghJB7hE+6OZRA7YjuYl3DptDsK7lmon+richSiDmZDZhNbRl+ExaBWUeGdcSUGrhO LlRrBGq/kGGKdrbswvawutuHVTnl4huTQpSnHYt+EE6FQRkkPZEnuWm/3b/wwbNRujNb0PIu05TJ SET08N1lCo1WT/0uHyR1gFh/ybtLONoH2SityVmDETJ0cDzxwZ9o2o0US5tQ4W9XwnfCKnhc8GgJ hLqN14bp5J2yIBs7NHjFFGk1NezgPVklnRYL31UivXuT2g70PtxS51fMj4K+ZndWSI9M8PSCf/bw NNtPsji1AXdnJuqmiYmHERV1QNMH4GdRyAGc7Af2sdd/AH4poLr5Z5jbSDRCg5W48JLiQAi3F84g xY76uZzqyEraN49nTFrzisYohNJjuA7o15VcUVfY2Dfn4WnjvNZMeuV3n4AYXrfOQs/PHlSCR/MD Nt7PhTKARBaW3nFZJdMtz5xynAroRH6x45aIXGH9bwQRMeVbHf7y9NlmuW309FiK4GdXBHNeY7uS eteyC48I7fA1+54dYmjUCUaLTFTy34yjXMaqRzWn/WSsexET9Bibnaww/0k4nSs2OEc4EYywBbGo 0ODXh4Y4QX1/3Lll81tntZ/Ri5BvqpM/mRKBq5/pIzGiee40ceXeKEMzP0dnmgJW85BBcSbHb4Ws KmEQNUppGNG6gmvrWTlJ4xSdTTbRQjpkVNOTK7seoHvPdoMkC4o4H6SqCBwLQHlLIg8MlSEW9JIG 8PYSU2fcE3fDmzIoBYzZ0wc00hAAiimucXBd7zLTlCi5++ojGXpq98dgC3jtsP5kmi9JK/r9R6s2 bfOVf4PLWrnPlBI+7yukFTk+CevnZ4j+3tC94FhxvW55z0By9woyYeOV/ktww57TZdpbS9McWhGH tFqbhoPdbUYKhlqKuLFHGy+0DUAJgykEHYvyDlm+oA+le2VcrpYjLG2w3zWe+w76EnJ0GDf71RyX ZgGida+T+zVeoTf24X8keMFtkcZXQQb1/4c3ACie8zYVcae0rjjCi0pbDonLAU3fhXuMAkQF4j9N wZHVvwbA93fX2b8F1jZl4hhVKSPzxmmQn5EL96RBRLfU29mojqPgY9fDqhH2/1f2yT/LUlz77q9o HQjSJgSTmBPI0c6VBX7muSxhYhgspfhxTvVR69s3rypWBZD+zpRPXMq1tB/hwPGkXV37/EIBfSIn uLoDaVm/4yTlijzZCNw3nnyx2G5rhcv+r6CDaLNauUMhdV3XwmUSh72hLnn6ZX8dYFqW0nb36hFO MC4dP5NJiXgiN3+5bkXsnEieLto3tiLf7X7OKSl9U2OpzBvefkq5w14ZC/t9pybrFdIN1wC0yOHS zCLDWYCmEwGrf6runRO3m0bpxPCSAlzFwaQrPvA8l8DOxcKLfBKMitRx+YVvJGTh8uZkPyZ/HxzI M2bDJJ+S8SbW7vkOLhLF6AebW+bj1ztdbqpCYffVycWC+GpGyqB/jE/urUctF3QV5/3kQhjSuuAs 3QiD5PlQI5wt1sJfDJEIdmNZH2iUopTUvkkET/UhSNKzuyQH9CvZ1r3tfAiFsy3kNBiYKDHDTavV 34QZpq2mkD8OIHWFj6j/3MFKL81Oynf7OzLA9SrOjfRelRQzIwKYiZO3l/1iP/X/3ziQADtET2PA 6WlXIPJ6JNHS+eOkjsKWCqQbkDbZa/HxR7Ggo/pG1Cswjw8uvO/veaFoQqrzQPJSkETi9H+LgGLN P8W2eIYiwJOp7sIMSoPqdK0Q08oHLQgxo9jp/Mw7J96MYp6dER74naNukYxt5TIOOepsoXOY8E3z hWLyiU4iNXV3JYrlLmMdWBGlGkDGdPSIBFaCealOcuRrTjL9B7WukoIvbLp1HODrMt+kuy04iMw7 lJQPJbytfIbDvQmGcs9fC0Qqabr8oTOh0cDPyalI0GYg2Cps9VzNiZBvzE9rrXEfU5EC9dRPQ40n 5bLC7vYxe/CeXYthi/Gevl5WmiRzNoG7jP514bvEQLuneXH4tIGhdCIRM8TiK4QN5XoUu6j0kHAt ITaIMzllKu+ehoaZrhRB/kbknH33rArqSUBBW3KIrW6KApHgE+kByMNR9U/B7tfd9lxGMX3T14Wq pVPDqGdzA5pa+tX1FOYpQJsHLqu2X2eD1ezhS3tRUV9LJA7ONIBsPdalIR6C2vfTMHtpW7Kh7ceX 1HHgs24E/yhMSdA8CkWO/WPtt3V61B7DppGUMTSEE0WBHjBHkXNPflyiLmL9SaIwMPzwE1jXQkvn 6ivhxdC1NkihpOa4tX0HGqST+xG645BcA5/uQf8xI0Q7IGC28i3DSnTybJAGTIKSNztbogSf7SG+ cDFv3g85klahajxSfJ3O2NrjzVcFd0d0ipWV6T9liLyEQHkM6pekc7T/k6zEAb1tG2bCsOrmyrMd 85zrvMuFu3cSK7ZtJM8hZ26RQmXCOxm7lvZPncYgmhK+56g8hXULMHilmQFgFUbHKcnYELMG6ZCy WpqRyAYuzbeaHdPJvcs4HzWOUWHEg9qSQvuby8YjssJocyay+KXwWTFklVlD3YgaPNmftrIyrKGW 5/ppW9U/r+AmM9/LUA+skbLr1/pBER+lHvlUQ2rIvmyFC+fJ/UnqIfwGO0bBjZVkoVh+jfjACaGV O529qwQgr7ysP6hnGG6Cslj/mOSGjAvAuo7hhmdHritOrNfjQ60OZBzUk+y3IZkQDN1l0e4Qm885 6UcZbaWDDF8NCJ5Svtgz8I1OipQvNWrfvHGVvgbMZDq5YghbS5p+X3LfZ5ocpxTSsRpWfhaFd7PB sUv8tGs4e1XaUcVUy3Aw2alxgLwU4k0QyEtEYS0CdR+wgEutWYW7peCGgV0gKBmj6CDD9YhS4snI ktG7Pc/Z0EeDliuWlRBGlERVPicx+UV9tE5b039fK1FhMI7O245WYSyw7K7ZQ4D64DW7hcu2ZOP0 uqW4NiMopZXKYft5wVWpDctpxh3RUgiyG8P46keR+EgMwyCyAFW2CIQHhcYATg5ZI0L96cyVpx9w j5K274UAS9tnyJTe1lvGZgI6l/ZUUznJO+L6aODEH7JNUxjPkHPwRJ2RKfLnpgx8ZsIMKYOLbDDc eepgGhwD1lN3GeYCZdl7PyY5NFzhFaUJFZkRWBZFeHsmP7ve4L4HfceGeHFX1yMW++5aPQknadui krVpB1O62auO/YT6U8/mya7JUHDTMKeyiqD5o01jlXThHOwu0nh2rrPLTFdmmywjMd2bYc0qaHD2 KCNQVB9q+lH9P/61gpPhsqbOFUP1NzvESnjaFBAbkfYWI/zCLUfWXhik5ec4eC5dN/9pQ2M73Yp5 zWFelKXB0FpResmsnBHd6GQqLyBHtpwgrQw9yAPj7ynnXgVFHrSJrVMPogbNBUgBaoBQ2dh4QgbI oF7cfVebRf81j+pHeeEhQMOPIzkGVOYp8dhrL5LBrDu/9ZDthsiKwa39y+sTTS7WsJF990Jf/1iH X2jf6ikQw5DLOAO0VaSPzXqD2GrUKGywkE8za1Yo04lipeKtDKerCZP8udSFswBPXRvtSZuHe131 32P7cwdx6XtARGeUqPInZgL+c9EC8BnJQ6p4T37LOmU+w6Kr0PWdVN66MwkYnZgOHE/yWDwD9LpL qApsJsJJ6v+vs2/LwijvaTFW/997tku/GqwiHweviHhHEfIAiLO+ncyExp34Do1K+DAR/KogGXbF SF4E++mzOu1yPzUYKE7axf1v6G5DC5K3xrEzbrqQJAv287WWKwZ+PzGQLc6sa6vjkPbjz0kYy3Nt SJJarAZUzPNFOcOUJTf69d+XFuUHKCjV4V1ioo9bu7DWb2dYJk5n40Qi8qByHEaNWOxvJ3vHdSOT lIosrztF2nX1cZ9zADM5HdEv/3nizg3jWXPI6qE6TXRsB81VzgZtWD3882jgctL5Vqn1+bStIbbf Kn9aL1at/xMNndGHuT33csWpQ63ZMScnjv8Pv3JuF4oAfh2buOFYffm3reweuneCBcl0JKQmWngn sFYfYrrHn1GbieuO+As6XgzAEAQisVnqx3pmNvDkiWkYwnl59tgKUwubzSPW5nkn4/V1RlhnGX/H De2LU5UGNUl7duiVEE2ZnIJLwWxhTNbKzGg2s2j/wl+EpH0X4MXYZJYQ2bUjiyIhUTOBqHDhxYsL APQ9ldD5bXBXdgxzcju4nx500AgWzmxU3su9qg5OOMZ89FjyHNMpMpZp5cct7jJRIkoLdHLUqCeF TLjA+ka1Ee525AIhGfbTKlcJDDTKUtJdySuvfJtBCL+TtuJQ9/38251NJzxPt26vjTWSad8YVd4V fOh7MZu0xUT0q8nWsideuNIWgtwGsr5K5j888QZJfgYH/mcc8cPaZnVUQYePxsQYIncYPgxMEuZ4 Am0B1i/ezG55HPOzOYiLAME8vEIbqiE/CWlZBYM8VYb5/GoQ9FeqTxTtsO6LTrYQeHUyaCEujHZe XK7BmdYNnOqM+hI8UjnNnOwT4ryRe+BhLuhT3xIH+lSeqliKJAw4bVW9YaF9s3clmKZjdM/qNBlz 1wsy3RFBpWXO1Iok1ONnykf76V0YS496glW8pBNtnEYsaVX8J/NReEQoGJcvTEhRH25EvTvZ1stF /gShaqiN2K3LRiWA7WS3ldnH8CSK8OuK71OW/ggqMG4S3l91uyJ4dIvRJD3Xh01xmCUMBqWRoEh/ CG/C221od1ehXSUg0VRAQhlhFu3WRGc1LrIhepfC/ztiR+o4jEN/aE/MiBLP1riFbYTfANE+FDe7 oz8CNu9cKwvMZSolisQx0zOnxid1accG1F2veRTmisLvxEstheWNEM85iqMLT5J8RQzz1Wp27Xjg 8Gcq6gIx7oz+y3WBKQ3ulltAaapEToLvBmJHY70XSDEUKMEOcdL5y66tPxqoprF8SMZg0AL2qPrF MF0BT1hXuZ5+2DqHGRTVVGiaCndAqgSqUVc3PuzoaBl/7Z9bVfNY+RRpaYFVcY01BPII+ReIP7Hy G+ETQM7XsVOi3du9V4fQW6RP50d6uNs7/kFU2EniXc2yOwTw6Ms2CxPQFkVG5Aiyajx3RomLA2UF qVzvB2mdwdxcU6mTh5MlKweYTclMBmGg3hxsoupyNOr+KXgdg1a+ZRA/1peyr1KG/nsouwuOG8fn WbXj9+CiVbTLAXvmz9F/PHVjivTAZGhLpvniLIE9SzMjauj80TLIpX8trP4T1+w/vgAqAn8ywW0s FZ5O2wxRmVMD5AzFdSESzhs3p+zLXCbxpxfzkjgd9SIYCbkrld8oUgFZvR47//qVf1DwwCQQZzz/ QP8aWp6JhTRLshgm97g6Nn5FaKgTJnITIuOGqfvRgYV4j9o5fiXih2IIrL/oHM61YZq9uJU1cdkh r7w6mCO0J12DfLvwOq3DQA3qgCmSpN3Qd1fpndDH2ymsVzFeVRSgDMgpUOTg2OJNS5Vb7+BYmAgt sEmqj9R6bZQhoekT4ie2z48fcejkxGiXMFqJDmG8pW/6NS8P9oRYKHVI3/0p/NRSk/I+is0FAzxC p8+cqbEOFECTzUngD9TBT/+02TT6EjuI7AXRJSGdKhnh/6xuv2X+MNj93xVrhQP0mo29t5L61X5C pq6fxb4KyWoYOlgCZB5GG9NYahy8ATQmJu7EP/qXi9fa2IG18S8a4xHwDSLLDIOoOr8l4JLMMloH Nla/iZmpgNKmxxiTAIR2SGx96p6QmVB+lH4//pJracgwVkruNlvHREeQJVnoK1Vk9+fERtFCPshY 6mO16BP6SvA+P5BjvXjL90Y4BatigKsjtyQsWULMM4ySdzgxL//dEpvqWJbqWlW4880JG/HRQDQv JsEGODegtqWV/M9VIsBOXXqE8FxzSVioy/csO4mWN1WASkBKBe1zUVnOOiw5R/Jz9NXlSlJpRKnS IqnFaXrpaKcMa8oLbiCeCnyxKIQsymKHrXtQybooo7veJI4Y7npsfjaALXiPh12f+IGTEX49ArtL qmFGK+HB5OIUs1NRzWGEpQCSw8lZfeIgaNDFaWWs6XJdI0OjLBs1kXIm6BaCUNC6heB3Pj2jOfjp 8oNXYBois6ZpTMHMs6vq+hGql8xknM28qTrRBwvuSXdcmpyFvuaxpIAKhpLlZPh86ewO308K/puu hY23c5C8Ilwdh0N8I/fcH2lJszwoauEj+Szdq157QWYKMfKUidFnRXvWp9U8+E4avVoO9ElT748J sptZVcuOjld5mLXy/SMFcsvDwbITH94fcDHtCSSoB7eYpSv/H3pb+KHfpbBbvPjy3Xa1qA8ENwXv cdf7kaqJzrIys5o7crzBTCx52DJVjxFW41gFHh17pIzqoDBVTFxLu0i+WoCYzB46lQ4g6XbV6b5j i6s6ypGi5S3CG6BfUoxlaThQqSfVKuc1xBrodWdwdKWiC59Zs+qg5Y589mVXln6a9Aes+Kd8dxrg eJNuMwO1+NYl//qUnP3CXYLZ3prcoV5bJRIhfHoV0hDnNLcjGHpbbSTq3OYvbWzWLnLJZW8K78jw r1UsJvDOaoN2obxeN7STL64GBddq4tJ0ZGkXQQlpR+lW1qvS+9TYGN2cYecVsqg23jXBMrJ/Z0KH RWRfEcTg+/aKzccO0sj4uBJpXBW20ablwi37lJxKxPFRGa27Kbv1vHzkxT3KUK6gn9aU7bBS6g/G 3tM8y3qk6O4sjE25GU9HZKmMC1OMC4VetejNx1K9YaPVcINBCV+sqDHwSaHQnfSnFPJ5Gp0FvqD4 QgrdgIoRmb4sILWZTiso8Wx2hdE0i9H6btgiBqi0vN/r/O2ZnscRH+EAc+Jd6411AUwkAqTwllXb GS/9mIbiHQ5AvU6Ig22sXd3tKjR+rJW4bxGqp/VqxGd9pSojwl5N7t+sTYjQPJl6QOCP9BUHgxw2 0VjNDk5KkUoocjqsJOzcEjruxKg3ukoJVrJCRd514bw6y50jyyz9z6w0nCSnOe7f2Xv/PVNX1Ko6 8l4vQN7cHu/PUQYV8IoudB2cci5rrZdbqfKaPr2Ra+BBun43S8LVpzcclObH7yQNEv6q1/yhcocr rlarB02w+ojUPoeWvBFj5nCif2OWeY8kUcMvENvxFnED8xrJIqBMsXgYaF3aPM8ABEXvprwt9dIC KIcrAdW2PQso91Agj7aalhHhO0NGJI+NW/noTKYyS/zM7Lf7uTXE8Bdq77RZtEHxzaUaW29NXl4+ 4wlxfoH4X6Y3r25yuQFa4FZdNWa1DoD52lF3bcQs9J/j3l723eRqFUWM2SoE/dw6dX0xTdL1i0Mc DmvERI/ZqoSLNXp8Hkb4vRb4iVG9+l+WrB0f4M+3EJqkTsgF0sShTBPLPHB3nWr8N+7u31iFMlp2 epzRhlZW8uAvz12MzDYv3BuYobTCDLue8WrDpQmZS+LEpaN7+qdfaf1nIA6YLcinPYQT3j6ksNGO dZp8vF3/h04RzLXI9MOFkCrD6Jcw7jYF0Q0rzMQheFgtp0QbIUyxF9M4JOXKfMgygpFFFiE015Jk m2ZOyzhAQyUlRuHbOo5OKLwe4zpdoMWMdB35KD4gdqU01ebcas6h5gHnqQOoUwIQ7aJnufpKlwNE NuLlR+5NIOjoxYWc6WL4c5JZvPtYNUcaedLY+3qKhRYJqJrNTtsCAU/K0Z7KUsinhJdqHvPC+Lu/ 9HpcT8kwNX8OJ4NnG5P/ZYsRwacKaWYlcQO7j1j+dL3B9cA6rzWU1lp2qfL8ZdJD9xQpCFn62sh2 dcwkSvKP15m8U0pHPPiRdJD6nQaYX9l+u1snGXqjbAAolCn3B0IHmK+o+Cn7SGCj6ZFCmlxJWhsW VeuYxfcowakkRDIuCDQSaQ4Y9BhVf/vRMRYyu6XwZwEkVaddyzbe7W64rQgo30/aShd3YHyZCFEI A5z6b920j3Yanok1VDdyP+GcW+Zn/zfmWKX7F8tXhLwtFrUMVYJLMktwo5aXIWm30k+uETSCSCkQ 9Ct83jfuq9QoXOAJ/jZQL0QI80aJpWrfrkOLMWYE6DY2Ky8kRRNRM/9nJh3ddmfwHR5PzDe3xLW2 cTbdSGGhOYGD2O+2gGO4anQCe8w6ySuPcmc23MB+QOMwkqwBS4ELdidVd4IVCZtiG3O051sDFQll L0IFBwj1wKVCCpUoac+wpD5I+TZEv+ykSZiQPJ9YdtXliCKMVveAhdJWcj27skSGiYArvH5McL6L b2Tyj1zOsu9lk0og6o1mkkY+7DzUXUW/5fnXcFUUeE8xWLd6fG30q879JhHn4Y3ql+5muUqD1ain Zhx620JfXOxg6imgx71PUzFNg4de4sAZw7HpKUL4kedvh4587IO8ciMBMPP8rINk/rzJMHWgZ+Ak rx0TvWD5MePhuorIRMogVUtzfz3gxfBQNFpVdxEMFKAnsQB0NlZNIe78CwDe7pZaOSwwftRRswo/ GbpfPR++S5sPrVdWJbsti4T5sixCex+qePxJsoqb+kBO1zMv2EfrrrHuQe8XWTkR4/dX9PKmY4Ua vdwU3DL/Sd+DifxFLD68Ymh5dltcJi5RdLGfKJn+0RvRWJL0/03Mu3L8ba4hJRhes/tDuj2y+eCy 9HK76okll6sDasuV8gQTrB3vBNiosyfvA0XrxbTmgyAt1qSqcPraBxNwXs593kHZLY5L+MshZysj E+NzYyI8adKgujvel8Hasn2NvyXw+RnhpGdY5DMQ1N0cNTvX9ZTv6UbdMeS2+wvyIKiW7/z0okyu QeI24/O3/CradxK9C52qCeEYr9XTR40zA8jvUjNZHrQPa8zdxn+FcdR34DulZYEjnk3UPRrWaWGy 9EUfSyUytTs+JM+rRer+DZOHP3rtYoPmhVxxmdXSWXqD+tNTrwrlGWogs1KIk66dUSseGOVUvH+u MrhO+31H0tBz3d4+30f8VDlmjShZxY8IwEebt3UfpqcjHsHH/g6ZLREGJjDfpIf3O318gptCjJIH MM+RRrqOrPSX2jStqnYOr3/5rf8sY0bGKCMKV3YwRM+NyuCo3VobP1rr0Sf1KRLGL52Kxxj131kY 5zB40JZHqMLMzMOZU/LKGQUiFImlDmYeZAEaUIeWXK+Fygor79fGdYUm+GPp7XgvJ4AfaGLjGl2A GHkwhvGU6rb+MluVxhukZYRMB+uTocl/rXe01dUn6aJMkZrbe7N9xYSDfzgGIiJfMYiS8xDHc/pJ dsKZJKqM5VMntVWoH+WoCBStEsDG9u9n/QogalowB3e74sGhrqB3Lr9aqVHrogWUyPWrqr/EGwz6 gwmbhsJbOBMe6VHptEaL3Jw0gldVsjxHtF0StVictUYt2sx4r8wwHyNRSNCyCsfpOzfEc3F6C+iz rexsuGNClW5XauW+urR6YXQdHkHV7izHTZJPuQHbkty3hQG8tfDnifodLDeTAQa2+eNb9t8B5hiY MGYFzsezDb7qQ0bcj4e87xi6GR85hBjmGeWDgbkxngX9Hq11zhTkLkCyPvEuzJ4tDmZ691WO6RlF KCa7T6ofxI2Fwo4xGbdSE4URVtF8beYG/gAAp22WYsoDRgJ95uPaWLhqBGaolbOulDF75/pD2zU8 Cr7SYX0M9nkFgunfKea2vZ4n2HUkCIPdKOl75FPd9innR6EsaIIYXhtCCkMNEPfVaQHbOb//1ROz SlWRXooHT2EajER9PjwSkjU0lHJFeN7MGqvzIKjxbN3i1zJUQLDRK1+ok473spIUd+VMml7Ow4Uq NSRnJMGSdA1G6kZTMZrD69mJRXALrZ2NXbLp4n4gRTxkK7+Xt5QKLie+0njjyhftcBPRULsE3/5+ uI/j6YtuXGoUU1oyM2EQmrT8Enps/L+14HhO8d+krRHRhU/H5FrA13OIlKCsCiVC9ELKzdlfBdib kbrK+pBN4PX3fRRSxCVlKJDcfwzFaryRQeraGw361N+m/Sun66IeqVvpCuZ1k9MdNpu8jmaJF9rc Cn23ovOiUt151zCM16pTgBpPZ8WnvCobNVVEDn1SsNJ/3RSe67wt72Sp0ht50/iBmPerrGCRz7+/ M2Ynbcjpivx/SoEY/PxbVligrAgKWxsNL/4xHm0mSSsw7VPRbCdouQuhFkQgPL+jRzKSy4uPpHUf YHirEwenvtQjnKjcYMjqo9MNXNmHnJMEXp+ZSyLaXf4aCm3plv2xKwFmIFykVv5OYIKPK4yNRMuY tnVQtpeQDtJQUZq+QAT3Y4lVDIeG5t+vT/5OWCvrdxl/NneSsOVd/E3kNKH9pbShbYw4WrPOAqS/ 5MWSA6FK8JgyTDyqrRWomKH0jEcGxd8KQ/vdkppvX7X8WMfxv475Dxvm0C1RsJ2f1PgFFcYk/KyM VKPH0pS/4RDwF8Yw0hd+uLu0bWJKFwJvFM5jo50tA8ABv/OghjthwfWEVskkp7kXpvbZ8HILjSOk pOO6VlDRBHVcfbweuw4SmERVkBwc6zVbVll4syMqUTCFbIVmx0a9Gu2smhiZgaml8/nXn3/q+0eO lvXZe9IAvKBbWqveIvzLJxV097Y7aG+xw3SUyV/dDX3wfe2nTblsHDo8cr0oYgorktK62qWC/+vh y7H+hdIdphn5YFl/JAx0P8bgwJFCj9sCUTFAXFyu9vfYIqawIAqQnRSepkl+AqM3mUpzY+aiJeO9 HcQ7QDDlxMEeYiJLeZGlEZMv7YmRLEgnUV5PRA+azNJgzjoMZD7VN49FCuzmscxQtZ2Ee5JlfX28 pzOOI82ZXmsGI4CaHltH9pmJ/7uDVAfIHzzHKNnP6BeVUTpO50M2XBZwNAVJ3PzSfPzLR0qkfU7U dNdBHeIn2OJ3gzMVofn60DUOIMsuZRNr2KhyULuk9w22vz1gToDeiW7cJEgJipNJ5DaA7QVvJJOv 1PQaIWhrIiToQL63bc46qYwyTl2E0M2Zg3xG2+EHShUf91cNkhKMY0IHxRKGwBWxclYj6lYm/Cmf NLqCipsCGDSC8+IUhebxgh0ncuphP3CrmbAyPLJB9vxTFODfogQ8AFHrMXqT27P5S2ju/lvCn/hE Yl5GnHp0dOjkjMnaVT8XjEKzcRUz14PbUPl5BVcJD8QbcR0x6oMMoNz3jivtIppkRPtfzru5M8uo GoPWcNGFXDHZobR/4kxenFd3El9OaLjFc8HxZ1mRS5EtgEH1Xq9w18792n6W7BwNQwQBYfdVBt3C iLgeO7/3LVH4Qi3bpjmUvMtCHkOsmMOFSabn6WgrDm46I+xKdN7ofndQLCjSiBHgZvamWqEFiA3p cdCsvvU9BZz3CokMmk2m7dFxHDHFjrnQ/boCIzMKkJuOjCP0DQUWSykZ74W+OxzyHfZxIVnkar1W F8Wet/lg1agJiZ1lEauIbyGqqsNRx+DoDMaam/wagknnzhslimUb434qX5mUv9e1o3DoL8PTutVY P7tp6WPqnfpmvIYXdxpbVnQhFt2RB69J4+uefGIFMETMsEdOkfhHl+/3O2mqTHSHDjhYZqwp0Ziw 2KIahDWpIOC9pU4AmF/Ri/uo0pndKY/6PYW5nuC+7Ua8Bemg5kUmNjPFtNbWeM7p7H21ynN1704z 4hwjIA4Xe7wZZbjcsNcfcUILbGVLZc9mg1a1jTMCYU79ARndlODqpnc1z44Cjh5JsDU69bGuW+Wg gA4NTFCXx5M2wPukpTUkq+SPtDV44tMmjiGJ4GtS/nBqLDpTqr+IcSZL8siD6ko1z7M5T+v9FLr+ nhZClERHA+tMgMOynZcg1CgrWoVQwIBVyoMO9q0PlVjkokUEhiRxSlPcuB28/NDejRj137lWM0BX Aedoyrj5gwIZnaAjjY8orkGaNOiOl5X5perDbYyZXFicKR5YqHbmq0uIV14FLJ1J9xkS1vy8x2X0 p/FH2f2pwI9WACAjA+l6bhJzPSJjYnh65YyXkY+oG390tZcWLvrbfJAzEwsSs05uLeN66fZmQpOh V9wjRqw4ahO+67BSZIkh8XV9dFiRhpgIykdEfkiymhjhkHmHihLoCaryIi1GCT01wldrwkFzFQQY 3DUb3KSmp7swjJZcuFAXyZ3aDgDTh6G8diE3CK/FJR9f1C5vT5wNYTxG/N3342odnl5kDaMChtvh 1OOqSZhiHV1iq38rx3rvAcjcLUb0wEFrSWKrqxjfEc5XPTQm/LFQyqNx40YKM6hzSoLy2zVpEG/a dMItAJ+oSoV3nMfsqAh22l6g+FHmhFY6/U0scmpClDm2XqEc36Pq5E+ZVpILx690oFpRfK9HCaTK bqcRm41gqx3z9GcRAA1uVOaWWPBtQqmaCO7xfsqCpbhCIW3P8S3gFPtC/7tz9eIA2jHgR0Yg40nd UxvBsgMYflWa0kqwBxkJitC++yzBCkHutoyq80e5T8/5m3W4gEjqsbHtTuUHTtiRAfm9T1uT+FsG kVPkvd7uArqiGzdb4Oa1HXa3HY2ocBjCl+Ib95sX05LK6IMZP26zM3ZR+2xuvA4ikDzBHUKVeYue oHmDNO6Ab6tcauYEe2bsCj7MwtlXbwe/RKjsn81nnH9gpD+4vQ8awIRUly1v2yTwJM3o0AGcGccl BLMOGjjrNVo9GXtFyVZMsC1HrZShgGrkps+sfxrqgrEvGeUNqPg6Zrf0s1a8ws6TGRZVtQyPw6PG 0qFcO5VnLKKCM6qGEpCu6mAw/ZRaShiH/flCGEGI/XViAS0jma7/mzcrG4Hd35wIbKELSzZtdykB zEmF6wEv51HldO+COxIw7jG2Wh9na5BJQ+ZqgO995W5vzcEbB7TKd8+IqFSwExNvMqo1++RMbhUK aEtYWfDdb2VGyGeZF1ey+GSzrADnyow3KEdmnisaDAkEhIYR3k/EiaXH+AuQ+YMOcl14rIQKPINU +zQZHsbsjEzvu0wipOB5MDlTxRW/gM/E0pff/oPBN4kQTPQG+Vqry7LNKFnhiY5Dza6uRYRyAsgQ rpiP50TDCMqorxIjILbqPPgXoSl+IGNDdjFLAzdM4clyX889XGW+JDtVX9qufK0qU7G91PWdt8Un R1FV9C40iH/fTjA8i7wOoZk32kCzoJrOaZSa8RaL/xRHSmTTc2PNLtUrUINVR6jJitr8shh6V+YX 2BJOpGtAP16yyuKJ4DO7HsG2oah/8hDOdP+Dgnzut7ipZWhXVq7e3DJenVYvwTPUY7yLnNKfVZ0k r2EZMqT95Hmi `protect end_protected
bsd-2-clause
4321cda01d00067c0f85e5ac3d43cde0
0.948022
1.826241
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_rst_module.vhd
1
24,298
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_rst_module.vhd -- Description: This entity is the top level reset module entity for the -- AXI VDMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ------------------------------------------------------------------------------- entity axi_dma_rst_module is generic( C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_M_AXI_MM2S_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_M_AXI_S2MM_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_M_AXI_SG_ACLK_FREQ_HZ : integer := 100000000 -- Scatter Gather clock frequency in hertz ); port ( ----------------------------------------------------------------------- -- Clock Sources ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ; m_axi_sg_aclk : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- Hard Reset -- ----------------------------------------------------------------------- -- axi_resetn : in std_logic ; -- ----------------------------------------------------------------------- -- -- Soft Reset -- ----------------------------------------------------------------------- -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- -- ----------------------------------------------------------------------- -- -- MM2S Soft Reset Support -- ----------------------------------------------------------------------- -- mm2s_all_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_halt : out std_logic := '0' ; -- mm2s_halt_cmplt : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- S2MM Soft Reset Support -- ----------------------------------------------------------------------- -- s2mm_all_idle : in std_logic ; -- s2mm_stop : in std_logic ; -- s2mm_halt : out std_logic := '0' ; -- s2mm_halt_cmplt : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- MM2S Distributed Reset Out -- ----------------------------------------------------------------------- -- -- AXI DataMover Primary Reset (Raw) -- dm_mm2s_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_mm2s_scndry_resetn : out std_logic := '1' ; -- AXI Stream Primary Reset Outputs -- mm2s_prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Stream Control Reset Outputs -- mm2s_cntrl_reset_out_n : out std_logic := '1' ; -- -- AXI Secondary reset mm2s_scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- mm2s_prmry_resetn : out std_logic := '1' ; -- -- -- ----------------------------------------------------------------------- -- -- S2MM Distributed Reset Out -- ----------------------------------------------------------------------- -- -- AXI DataMover Primary Reset (Raw) -- dm_s2mm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_s2mm_scndry_resetn : out std_logic := '1' ; -- AXI Stream Primary Reset Outputs -- s2mm_prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Stream Control Reset Outputs -- s2mm_sts_reset_out_n : out std_logic := '1' ; -- -- AXI Secondary reset s2mm_scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- s2mm_prmry_resetn : out std_logic := '1' ; -- ----------------------------------------------------------------------- -- -- Scatter Gather Distributed Reset Out ----------------------------------------------------------------------- -- -- AXI Scatter Gather Reset Out m_axi_sg_aresetn : out std_logic := '1' ; -- -- AXI Scatter Gather Datamover Reset Out dm_m_axi_sg_aresetn : out std_logic := '1' ; -- ----------------------------------------------------------------------- -- -- Hard Reset Out -- ----------------------------------------------------------------------- -- m_axi_sg_hrdresetn : out std_logic := '1' ; -- s_axi_lite_resetn : out std_logic := '1' -- ); Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of s_axi_lite_resetn : signal is "TRUE"; Attribute KEEP of m_axi_sg_hrdresetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of s_axi_lite_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of m_axi_sg_hrdresetn : signal is "no"; end axi_dma_rst_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_rst_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- ATTRIBUTE async_reg : STRING; signal hrd_resetn_i_cdc_tig : std_logic := '1'; signal hrd_resetn_i_d1_cdc_tig : std_logic := '1'; --ATTRIBUTE async_reg OF hrd_resetn_i_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF hrd_resetn_i_d1_cdc_tig : SIGNAL IS "true"; -- Soft reset support signal mm2s_soft_reset_clr : std_logic := '0'; signal s2mm_soft_reset_clr : std_logic := '0'; signal soft_reset_clr_i : std_logic := '0'; signal mm2s_soft_reset_done : std_logic := '0'; signal s2mm_soft_reset_done : std_logic := '0'; signal mm2s_scndry_resetn_i : std_logic := '0'; signal s2mm_scndry_resetn_i : std_logic := '0'; signal dm_mm2s_scndry_resetn_i : std_logic := '0'; signal dm_s2mm_scndry_resetn_i : std_logic := '0'; signal sg_hard_reset : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Register hard reset in REG_HRD_RST : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => axi_resetn, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => sg_hard_reset, scndry_vect_out => open ); m_axi_sg_hrdresetn <= sg_hard_reset; --REG_HRD_RST : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- hrd_resetn_i_cdc_tig <= axi_resetn; -- m_axi_sg_hrdresetn <= hrd_resetn_i_cdc_tig; -- end if; -- end process REG_HRD_RST; -- Regsiter hard reset out for axi lite interface REG_HRD_RST_OUT : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => axi_resetn, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => s_axi_lite_resetn, scndry_vect_out => open ); --REG_HRD_RST_OUT : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- hrd_resetn_i_d1_cdc_tig <= hrd_resetn_i_cdc_tig; -- s_axi_lite_resetn <= hrd_resetn_i_d1_cdc_tig; -- end if; -- end process REG_HRD_RST_OUT; dm_mm2s_scndry_resetn <= dm_mm2s_scndry_resetn_i; dm_s2mm_scndry_resetn <= dm_s2mm_scndry_resetn_i; -- mm2s channel included therefore map secondary resets to -- from mm2s reset module to scatter gather interface (default) MAP_SG_FOR_BOTH : if C_INCLUDE_MM2S = 1 and C_INCLUDE_S2MM = 1 generate begin -- both must be low before sg reset is asserted. m_axi_sg_aresetn <= mm2s_scndry_resetn_i or s2mm_scndry_resetn_i; dm_m_axi_sg_aresetn <= dm_mm2s_scndry_resetn_i or dm_s2mm_scndry_resetn_i; end generate MAP_SG_FOR_BOTH; -- Only s2mm channel included therefore map secondary resets to -- from s2mm reset module to scatter gather interface MAP_SG_FOR_S2MM : if C_INCLUDE_MM2S = 0 and C_INCLUDE_S2MM = 1 generate begin m_axi_sg_aresetn <= s2mm_scndry_resetn_i; dm_m_axi_sg_aresetn <= dm_s2mm_scndry_resetn_i; end generate MAP_SG_FOR_S2MM; -- Only mm2s channel included therefore map secondary resets to -- from mm2s reset module to scatter gather interface MAP_SG_FOR_MM2S : if C_INCLUDE_MM2S = 1 and C_INCLUDE_S2MM = 0 generate begin m_axi_sg_aresetn <= mm2s_scndry_resetn_i; dm_m_axi_sg_aresetn <= dm_mm2s_scndry_resetn_i; end generate MAP_SG_FOR_MM2S; -- Invalid configuration for axi dma - simply here for completeness MAP_NO_SG : if C_INCLUDE_MM2S = 0 and C_INCLUDE_S2MM = 0 generate begin m_axi_sg_aresetn <= '1'; dm_m_axi_sg_aresetn <= '1'; end generate MAP_NO_SG; s2mm_scndry_resetn <= s2mm_scndry_resetn_i; mm2s_scndry_resetn <= mm2s_scndry_resetn_i; -- Generate MM2S reset signals GEN_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 1 generate begin RESET_I : entity axi_dma_v7_1.axi_dma_reset generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_AXI_PRMRY_ACLK_FREQ_HZ => C_M_AXI_MM2S_ACLK_FREQ_HZ , C_AXI_SCNDRY_ACLK_FREQ_HZ => C_M_AXI_SG_ACLK_FREQ_HZ , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_INCLUDE_SG => C_INCLUDE_SG ) port map( -- Clock Sources m_axi_sg_aclk => m_axi_sg_aclk , axi_prmry_aclk => m_axi_mm2s_aclk , -- Hard Reset axi_resetn => sg_hard_reset , -- Soft Reset soft_reset => soft_reset , soft_reset_clr => mm2s_soft_reset_clr , soft_reset_done => soft_reset_clr_i , all_idle => mm2s_all_idle , stop => mm2s_stop , halt => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , -- Secondary Reset scndry_resetn => mm2s_scndry_resetn_i , -- AXI Upsizer and Line Buffer prmry_resetn => mm2s_prmry_resetn , -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn => dm_mm2s_prmry_resetn , -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn => dm_mm2s_scndry_resetn_i , -- AXI Stream Primary Reset Outputs prmry_reset_out_n => mm2s_prmry_reset_out_n , -- AXI Stream Alternate Reset Outputs altrnt_reset_out_n => mm2s_cntrl_reset_out_n ); -- Sample an hold mm2s soft reset done to use in -- combined reset done to DMACR MM2S_SOFT_RST_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(sg_hard_reset = '0' or soft_reset_clr_i = '1')then mm2s_soft_reset_done <= '0'; elsif(mm2s_soft_reset_clr = '1')then mm2s_soft_reset_done <= '1'; end if; end if; end process MM2S_SOFT_RST_DONE; end generate GEN_RESET_FOR_MM2S; -- No MM2S therefore tie off mm2s reset signals GEN_NO_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 0 generate begin mm2s_prmry_reset_out_n <= '1'; mm2s_cntrl_reset_out_n <= '1'; dm_mm2s_scndry_resetn_i <= '1'; dm_mm2s_prmry_resetn <= '1'; mm2s_prmry_resetn <= '1'; mm2s_scndry_resetn_i <= '1'; mm2s_halt <= '0'; mm2s_soft_reset_clr <= '0'; mm2s_soft_reset_done <= '1'; end generate GEN_NO_RESET_FOR_MM2S; -- Generate S2MM reset signals GEN_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 1 generate begin RESET_I : entity axi_dma_v7_1.axi_dma_reset generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_AXI_PRMRY_ACLK_FREQ_HZ => C_M_AXI_S2MM_ACLK_FREQ_HZ , C_AXI_SCNDRY_ACLK_FREQ_HZ => C_M_AXI_SG_ACLK_FREQ_HZ , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_INCLUDE_SG => C_INCLUDE_SG ) port map( -- Clock Sources m_axi_sg_aclk => m_axi_sg_aclk , axi_prmry_aclk => m_axi_s2mm_aclk , -- Hard Reset axi_resetn => sg_hard_reset , -- Soft Reset soft_reset => soft_reset , soft_reset_clr => s2mm_soft_reset_clr , soft_reset_done => soft_reset_clr_i , all_idle => s2mm_all_idle , stop => s2mm_stop , halt => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , -- Secondary Reset scndry_resetn => s2mm_scndry_resetn_i , -- AXI Upsizer and Line Buffer prmry_resetn => s2mm_prmry_resetn , -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn => dm_s2mm_prmry_resetn , -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn => dm_s2mm_scndry_resetn_i , -- AXI Stream Primary Reset Outputs prmry_reset_out_n => s2mm_prmry_reset_out_n , -- AXI Stream Alternate Reset Outputs altrnt_reset_out_n => s2mm_sts_reset_out_n ); -- Sample an hold s2mm soft reset done to use in -- combined reset done to DMACR S2MM_SOFT_RST_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(sg_hard_reset = '0' or soft_reset_clr_i = '1')then s2mm_soft_reset_done <= '0'; elsif(s2mm_soft_reset_clr = '1')then s2mm_soft_reset_done <= '1'; end if; end if; end process S2MM_SOFT_RST_DONE; end generate GEN_RESET_FOR_S2MM; -- No SsMM therefore tie off mm2s reset signals GEN_NO_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 0 generate begin s2mm_prmry_reset_out_n <= '1'; dm_s2mm_scndry_resetn_i <= '1'; dm_s2mm_prmry_resetn <= '1'; s2mm_prmry_resetn <= '1'; s2mm_scndry_resetn_i <= '1'; s2mm_halt <= '0'; s2mm_soft_reset_clr <= '0'; s2mm_soft_reset_done <= '1'; end generate GEN_NO_RESET_FOR_S2MM; -- When both mm2s and s2mm are done then drive soft reset clear and -- also clear s_h registers above soft_reset_clr_i <= s2mm_soft_reset_done and mm2s_soft_reset_done; soft_reset_clr <= soft_reset_clr_i; end implementation;
bsd-2-clause
b9ed676eb264430fd90049403427b094
0.422792
4.427478
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_036_test_input.fixed.vhd
1
439
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; end if; if a = '1' then -- comment 1 b <= '0'; elsif c = '1' then -- comment 2 -- comment 3 -- comment 4 b <= '1'; end if; end process; end architecture RTL;
gpl-3.0
49388e6d09c97e7230f6aaaba5d53d8d
0.453303
3.158273
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_reset.vhd
1
26,929
------------------------------------------------------------------------------- -- axi_datamover_reset.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_reset.vhd -- -- Description: -- This file implements the DataMover Reset module. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_reset.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 9/1/2011 Initial -- ~~~~~~ -- - Corrected some CDC reported synchronizer issues for the async clock -- mode of the command/status user interface. -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library proc_common_v4_0; ------------------------------------------------------------------------------- entity axi_datamover_reset is generic ( C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0 -- 0 = Use Synchronous Command/Statys User Interface -- 1 = Use Asynchronous Command/Statys User Interface ); port ( -- Primary Clock and Reset Inputs ----------------- -- primary_aclk : in std_logic; -- primary_aresetn : in std_logic; -- --------------------------------------------------- -- Async operation clock and reset from User ------ -- Used for Command/Status User interface -- -- synchronization when C_STSCMD_IS_ASYNC = 1 -- -- secondary_awclk : in std_logic; -- secondary_aresetn : in std_logic; -- --------------------------------------------------- -- Halt request input control ------------------------------- halt_req : in std_logic; -- -- Active high soft shutdown request (can be a pulse) -- -- -- Halt Complete status flag -- halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Soft Shutdown internal interface ------------------------------------------------ -- flush_stop_request : Out std_logic; -- -- Active high soft stop request to modules -- -- data_cntlr_stopped : in std_logic; -- -- Active high flag indicating the data controller is flushed and stopped -- -- addr_cntlr_stopped : in std_logic; -- -- Active high flag indicating the address controller is flushed and stopped -- -- aux1_stopped : in std_logic; -- -- Active high flag flush complete for auxillary 1 module -- -- Tie high if unused -- -- aux2_stopped : in std_logic; -- -- Active high flag flush complete for auxillary 2 module -- -- Tie high if unused -- ------------------------------------------------------------------------------------ -- HW Reset outputs to reset groups ------------------------------------- -- cmd_stat_rst_user : Out std_logic; -- -- The reset to the Command/Status Module User interface side -- -- cmd_stat_rst_int : Out std_logic; -- -- The reset to the Command/Status Module internal interface side -- -- mmap_rst : Out std_logic; -- -- The reset to the Memory Map interface side -- -- stream_rst : Out std_logic -- -- The reset to the Stream interface side -- -------------------------------------------------------------------------- ); end entity axi_datamover_reset; architecture implementation of axi_datamover_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; constant MTBF_STAGES : integer := 4; -- ATTRIBUTE async_reg : STRING; -- Signals signal sig_cmd_stat_rst_user_n : std_logic := '0'; signal sig_cmd_stat_rst_user_reg_n_cdc_from : std_logic := '0'; signal sig_cmd_stat_rst_int_reg_n : std_logic := '0'; signal sig_mmap_rst_reg_n : std_logic := '0'; signal sig_stream_rst_reg_n : std_logic := '0'; signal sig_syncd_sec_rst : std_logic := '0'; -- soft shutdown support signal sig_internal_reset : std_logic := '0'; signal sig_s_h_halt_reg : std_logic := '0'; signal sig_halt_cmplt : std_logic := '0'; -- additional CDC synchronization signals signal sig_sec_neg_edge_plus_delay : std_logic := '0'; signal sig_secondary_aresetn_reg : std_logic := '0'; signal sig_prim2sec_rst_reg1_n_cdc_to : std_logic := '0'; signal sig_prim2sec_rst_reg2_n : std_logic := '0'; -- ATTRIBUTE async_reg OF sig_prim2sec_rst_reg1_n_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF sig_prim2sec_rst_reg2_n : SIGNAL IS "true"; begin --(architecture implementation) -- Assign outputs cmd_stat_rst_user <= not(sig_cmd_stat_rst_user_n); cmd_stat_rst_int <= not(sig_cmd_stat_rst_int_reg_n) or sig_syncd_sec_rst; mmap_rst <= not(sig_mmap_rst_reg_n) or sig_syncd_sec_rst; stream_rst <= not(sig_stream_rst_reg_n) or sig_syncd_sec_rst; -- Internal logic Implmentation ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_CMDSTAT_RESET -- -- If Generate Description: -- This IfGen assigns the reset for the -- Synchronous Command/Status User interface case -- ------------------------------------------------------------ GEN_SYNC_CMDSTAT_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_syncd_sec_rst <= '0'; sig_cmd_stat_rst_user_n <= not(sig_cmd_stat_rst_user_reg_n_cdc_from); end generate GEN_SYNC_CMDSTAT_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_CMDSTAT_RESET -- -- If Generate Description: -- This IfGen assigns the reset for the -- Asynchronous Command/Status User interface case -- ------------------------------------------------------------ GEN_ASYNC_CMDSTAT_RESET : if (C_STSCMD_IS_ASYNC = 1) generate -- ATTRIBUTE async_reg : STRING; signal sig_sec_reset_in_reg_n : std_logic := '0'; signal sig_secondary_aresetn_reg_tmp : std_logic := '0'; -- Secondary reset pulse stretcher signal sig_secondary_dly1 : std_logic := '0'; signal sig_secondary_dly2 : std_logic := '0'; signal sig_neg_edge_detect : std_logic := '0'; signal sig_sec2prim_reset : std_logic := '0'; signal sig_sec2prim_reset_reg_cdc_tig : std_logic := '0'; signal sig_sec2prim_reset_reg2 : std_logic := '0'; signal sig_sec2prim_rst_syncro1_cdc_tig : std_logic := '0'; signal sig_sec2prim_rst_syncro2 : std_logic := '0'; -- ATTRIBUTE async_reg OF sig_sec2prim_reset_reg_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF sig_sec2prim_reset_reg2 : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF sig_sec2prim_rst_syncro1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF sig_sec2prim_rst_syncro2 : SIGNAL IS "true"; begin -- Generate the reset in the primary clock domain. Use the longer -- of the pulse stretched reset or the actual reset. sig_syncd_sec_rst <= sig_sec2prim_reset_reg2 or sig_sec2prim_rst_syncro2; -- Check for falling edge of secondary_aresetn input sig_neg_edge_detect <= '1' when (sig_sec_reset_in_reg_n = '1' and secondary_aresetn = '0') else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSE_STRETCH_FLOPS -- -- Process Description: -- This process implements a 3 clock wide pulse whenever the -- secondary reset is asserted -- ------------------------------------------------------------- IMP_PUSE_STRETCH_FLOPS : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then If (sig_secondary_dly2 = '1') Then sig_secondary_dly1 <= '0' ; sig_secondary_dly2 <= '0' ; Elsif (sig_neg_edge_detect = '1') Then sig_secondary_dly1 <= '1'; else sig_secondary_dly2 <= sig_secondary_dly1 ; End if; end if; end process IMP_PUSE_STRETCH_FLOPS; -- CDC add ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SYNC_NEG_EDGE -- -- Process Description: -- First (source clock) stage synchronizer for CDC of -- negative edge detection, -- ------------------------------------------------------------- SYNC_NEG_EDGE : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_sec_neg_edge_plus_delay <= sig_neg_edge_detect or sig_secondary_dly1 or sig_secondary_dly2; end if; end process SYNC_NEG_EDGE; -- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SEC2PRIM_RST_SYNCRO -- -- Process Description: -- This process registers the secondary reset input to -- the primary clock domain. -- ------------------------------------------------------------- SEC2PRIM_RST_SYNCRO : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_sec_neg_edge_plus_delay, prmry_vect_in => (others => '0'), scndry_aclk => primary_aclk, scndry_resetn => '0', scndry_out => sig_sec2prim_reset_reg2, scndry_vect_out => open ); -- SEC2PRIM_RST_SYNCRO : process (primary_aclk) -- begin -- if (primary_aclk'event and primary_aclk = '1') then -- -- -- sig_sec2prim_reset_reg_cdc_tig <= sig_sec_neg_edge_plus_delay ; -- -- sig_sec2prim_reset_reg2 <= sig_sec2prim_reset_reg_cdc_tig; -- -- end if; -- end process SEC2PRIM_RST_SYNCRO; -- CDC add ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SEC_RST -- -- Process Description: -- First (source clock) stage synchronizer for CDC of -- secondary reset input, -- ------------------------------------------------------------- REG_SEC_RST : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_secondary_aresetn_reg <= secondary_aresetn; end if; end process REG_SEC_RST; -- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SEC2PRIM_RST_SYNCRO_2 -- -- Process Description: -- Second stage (destination) synchronizers for the secondary -- reset CDC to the primary clock. -- ------------------------------------------------------------- sig_secondary_aresetn_reg_tmp <= not(sig_secondary_aresetn_reg); SEC2PRIM_RST_SYNCRO_2 : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_secondary_aresetn_reg_tmp, prmry_vect_in => (others => '0'), scndry_aclk => primary_aclk, scndry_resetn => '0', scndry_out => sig_sec2prim_rst_syncro2, scndry_vect_out => open ); -- SEC2PRIM_RST_SYNCRO_2 : process (primary_aclk) -- begin -- if (primary_aclk'event and primary_aclk = '1') then -- -- -- -- CDC sig_sec2prim_rst_syncro1_cdc_tig <= not(secondary_aresetn); -- sig_sec2prim_rst_syncro1_cdc_tig <= not(sig_secondary_aresetn_reg); -- sig_sec2prim_rst_syncro2 <= sig_sec2prim_rst_syncro1_cdc_tig; -- -- -- end if; -- end process SEC2PRIM_RST_SYNCRO_2; -- Generate the Command and Status side reset sig_cmd_stat_rst_user_n <= sig_sec_reset_in_reg_n and sig_prim2sec_rst_reg2_n; -- CDC sig_cmd_stat_rst_user_reg_n_cdc_from; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_RESET_ASYNC -- -- Process Description: -- This process registers the secondary reset input to -- generate the Command/Status User interface reset. -- ------------------------------------------------------------- REG_RESET_ASYNC : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_sec_reset_in_reg_n <= secondary_aresetn; end if; end process REG_RESET_ASYNC; -- CDC add ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SYNC_PRIM2SEC_RST -- -- Process Description: -- Second (destination clock) stage synchronizers for CDC of -- primary reset input, -- ------------------------------------------------------------- SYNC_PRIM2SEC_RST : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_cmd_stat_rst_user_reg_n_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => secondary_awclk, scndry_resetn => '0', scndry_out => sig_prim2sec_rst_reg2_n, scndry_vect_out => open ); -- SYNC_PRIM2SEC_RST : process (secondary_awclk) -- begin -- if (secondary_awclk'event and secondary_awclk = '1') then -- -- sig_prim2sec_rst_reg1_n_cdc_to <= sig_cmd_stat_rst_user_reg_n_cdc_from; -- sig_prim2sec_rst_reg2_n <= sig_prim2sec_rst_reg1_n_cdc_to; -- -- end if; -- end process SYNC_PRIM2SEC_RST; -- end generate GEN_ASYNC_CMDSTAT_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_CMDSTAT_PRIM_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Command/Status User interface reset. -- ------------------------------------------------------------- REG_CMDSTAT_PRIM_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_cmd_stat_rst_user_reg_n_cdc_from <= primary_aresetn; end if; end process REG_CMDSTAT_PRIM_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_CMDSTAT_INT_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Command/Status internal interface reset. -- ------------------------------------------------------------- REG_CMDSTAT_INT_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_cmd_stat_rst_int_reg_n <= primary_aresetn; end if; end process REG_CMDSTAT_INT_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_MMAP_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Memory Map interface reset. -- ------------------------------------------------------------- REG_MMAP_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_mmap_rst_reg_n <= primary_aresetn; end if; end process REG_MMAP_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_STREAM_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Stream interface reset. -- ------------------------------------------------------------- REG_STREAM_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_stream_rst_reg_n <= primary_aresetn; end if; end process REG_STREAM_RESET; -- Soft Shutdown logic ------------------------------------------------------ sig_internal_reset <= not(sig_cmd_stat_rst_int_reg_n) or sig_syncd_sec_rst; flush_stop_request <= sig_s_h_halt_reg; halt_cmplt <= sig_halt_cmplt; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_HALT_REQ -- -- Process Description: -- Implements a sample and hold flop for the halt request -- input. Can only be cleared on a HW reset. -- ------------------------------------------------------------- REG_HALT_REQ : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_internal_reset = '1') then sig_s_h_halt_reg <= '0'; elsif (halt_req = '1') then sig_s_h_halt_reg <= '1'; else null; -- hold current state end if; end if; end process REG_HALT_REQ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT -- -- Process Description: -- Implements a the flop for the halt complete status -- output. Can only be cleared on a HW reset. -- ------------------------------------------------------------- IMP_HALT_CMPLT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_internal_reset = '1') then sig_halt_cmplt <= '0'; elsif (data_cntlr_stopped = '1' and addr_cntlr_stopped = '1' and aux1_stopped = '1' and aux2_stopped = '1') then sig_halt_cmplt <= '1'; else null; -- hold current state end if; end if; end process IMP_HALT_CMPLT; end implementation;
bsd-2-clause
7250a9906fc4c0f4ec926e6d4e66005c
0.414683
5.134223
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_q_mngr.vhd
1
39,626
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_q_mngr.vhd -- Description: This entity is the descriptor update queue manager -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library proc_common_v4_0; use proc_common_v4_0.sync_fifo_fg; use proc_common_v4_0.proc_common_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_q_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Control **-- -- --***********************************-- -- ch1_updt_curdesc_wren : out std_logic ; -- ch1_updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_active : in std_logic ; -- ch1_updt_queue_empty : out std_logic ; -- ch1_updt_ioc : out std_logic ; -- ch1_updt_ioc_irq_set : in std_logic ; -- -- ch1_dma_interr : out std_logic ; -- ch1_dma_slverr : out std_logic ; -- ch1_dma_decerr : out std_logic ; -- ch1_dma_interr_set : in std_logic ; -- ch1_dma_slverr_set : in std_logic ; -- ch1_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Control **-- -- --***********************************-- -- ch2_updt_active : in std_logic ; -- -- ch2_updt_curdesc_wren : out std_logic ; -- -- ch2_updt_curdesc : out std_logic_vector -- -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_queue_empty : out std_logic ; -- ch2_updt_ioc : out std_logic ; -- ch2_updt_ioc_irq_set : in std_logic ; -- -- ch2_dma_interr : out std_logic ; -- ch2_dma_slverr : out std_logic ; -- ch2_dma_decerr : out std_logic ; -- ch2_dma_interr_set : in std_logic ; -- ch2_dma_slverr_set : in std_logic ; -- ch2_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Update Interface In **-- -- --***********************************-- -- s_axis_ch1_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Update Interface In **-- -- --***********************************-- -- s_axis_ch2_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- --***************************************-- -- --** Update Interface to AXI DataMover **-- -- --***************************************-- -- -- S2MM Stream Out To DataMover -- s_axis_s2mm_tdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_tlast : out std_logic ; -- s_axis_s2mm_tvalid : out std_logic ; -- s_axis_s2mm_tready : in std_logic -- ); end axi_sg_updt_q_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_q_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal m_axis_ch1_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch1_updt_tlast : std_logic := '0'; signal m_axis_ch1_updt_tvalid : std_logic := '0'; signal m_axis_ch1_updt_tready : std_logic := '0'; signal m_axis_ch2_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch2_updt_tlast : std_logic := '0'; signal m_axis_ch2_updt_tvalid : std_logic := '0'; signal m_axis_ch2_updt_tready : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --***************************************************************************** --** CHANNEL 1 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 1 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- -- If Descriptor Update queueing enabled then instantiate Queue Logic GEN_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate begin ------------------------------------------------------------------------------- I_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , C_SG_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_INCLUDE_MM2S => C_INCLUDE_CH1 , C_INCLUDE_S2MM => C_INCLUDE_CH2 , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s_axis_updt_aclk => s_axis_ch1_updt_aclk , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , -- updt2_curdesc_wren => ch2_updt_curdesc_wren , -- updt2_curdesc => ch2_updt_curdesc , updt2_active => ch2_updt_active , updt2_queue_empty => ch2_updt_queue_empty , updt2_ioc => ch2_updt_ioc , updt2_ioc_irq_set => ch2_updt_ioc_irq_set , dma2_interr => ch2_dma_interr , dma2_slverr => ch2_dma_slverr , dma2_decerr => ch2_dma_decerr , dma2_interr_set => ch2_dma_interr_set , dma2_slverr_set => ch2_dma_slverr_set , dma2_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Update Pointer Stream s_axis2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis2_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis2_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis2_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => s_axis_s2mm_tdata, --m_axis_ch1_updt_tdata , m_axis_updt_tlast => s_axis_s2mm_tlast, --m_axis_ch1_updt_tlast , m_axis_updt_tvalid => s_axis_s2mm_tvalid, --m_axis_ch1_updt_tvalid , m_axis_updt_tready => s_axis_s2mm_tready --m_axis_ch1_updt_tready , -- m_axis2_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis2_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis2_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis2_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_QUEUE; --***************************************************************************** --** CHANNEL 1 - NO DESCRIPTOR QUEUE ** --***************************************************************************** -- No update queue enabled, therefore map internal stream logic -- directly to channel port. GEN_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate begin I_NO_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_noqueue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , updt2_active => ch2_updt_active , updt2_queue_empty => ch2_updt_queue_empty , updt2_ioc => ch2_updt_ioc , updt2_ioc_irq_set => ch2_updt_ioc_irq_set , dma2_interr => ch2_dma_interr , dma2_slverr => ch2_dma_slverr , dma2_decerr => ch2_dma_decerr , dma2_interr_set => ch2_dma_interr_set , dma2_slverr_set => ch2_dma_slverr_set , dma2_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Update Pointer Stream s_axis2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis2_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis2_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis2_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => s_axis_s2mm_tdata, --m_axis_ch1_updt_tdata , m_axis_updt_tlast => s_axis_s2mm_tlast, --m_axis_ch1_updt_tlast , m_axis_updt_tvalid => s_axis_s2mm_tvalid, --m_axis_ch1_updt_tvalid , m_axis_updt_tready => s_axis_s2mm_tready --m_axis_ch1_updt_tready , -- m_axis_updt_tdata => m_axis_ch1_updt_tdata , -- m_axis_updt_tlast => m_axis_ch1_updt_tlast , -- m_axis_updt_tvalid => m_axis_ch1_updt_tvalid , -- m_axis_updt_tready => m_axis_ch1_updt_tready , -- S2MM Stream Out To DataMover -- m_axis2_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis2_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis2_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis2_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_NO_QUEUE; -- Channel 1 NOT included therefore tie ch1 outputs off --GEN_NO_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 0 generate --begin -- ch1_updt_curdesc_wren <= '0'; -- ch1_updt_curdesc <= (others => '0'); -- ch1_updt_queue_empty <= '1'; -- ch1_updt_ioc <= '0'; -- ch1_dma_interr <= '0'; -- ch1_dma_slverr <= '0'; -- ch1_dma_decerr <= '0'; -- m_axis_ch1_updt_tdata <= (others => '0'); -- m_axis_ch1_updt_tlast <= '0'; -- m_axis_ch1_updt_tvalid <= '0'; -- s_axis_ch1_updtptr_tready <= '0'; -- s_axis_ch1_updtsts_tready <= '0'; --end generate GEN_NO_CH1_UPDATE_Q_IF; --***************************************************************************** --** CHANNEL 2 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 2 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- --GEN_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 1 generate -- --begin -- -- --************************************************************************* -- --** CHANNEL 2 - DESCRIPTOR QUEUE ** -- --************************************************************************* -- -- If Descriptor Update queueing enabled then instantiate Queue Logic -- GEN_CH2_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate -- begin -- --------------------------------------------------------------------------- -- I_CH2_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_queue -- generic map( -- C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , -- C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , -- C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , -- C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , -- C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , -- C_SG_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , -- C_FAMILY => C_FAMILY -- ) -- port map( -- --------------------------------------------------------------- -- -- AXI Scatter Gather Interface -- --------------------------------------------------------------- -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- s_axis_updt_aclk => s_axis_ch2_updt_aclk , -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren => ch2_updt_curdesc_wren , -- updt_curdesc => ch2_updt_curdesc , -- updt_active => ch2_updt_active , -- updt_queue_empty => ch2_updt_queue_empty , -- updt_ioc => ch2_updt_ioc , -- updt_ioc_irq_set => ch2_updt_ioc_irq_set , -- -- dma_interr => ch2_dma_interr , -- dma_slverr => ch2_dma_slverr , -- dma_decerr => ch2_dma_decerr , -- dma_interr_set => ch2_dma_interr_set , -- dma_slverr_set => ch2_dma_slverr_set , -- dma_decerr_set => ch2_dma_decerr_set , -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , -- s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , -- s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , -- s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- -- -- Update Status Stream -- s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , -- s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , -- s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , -- s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis_updt_tready => m_axis_ch2_updt_tready -- ); -- -- end generate GEN_CH2_QUEUE; -- -- -- --***************************************************************************** -- --** CHANNEL 2 - NO DESCRIPTOR QUEUE ** -- --***************************************************************************** -- -- -- No update queue enabled, therefore map internal stream logic -- -- directly to channel port. -- GEN_CH2_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate -- I_NO_CH2_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_noqueue -- generic map( -- C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , -- C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , -- C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , -- C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH -- ) -- port map( -- --------------------------------------------------------------- -- -- AXI Scatter Gather Interface -- --------------------------------------------------------------- -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren => ch2_updt_curdesc_wren , -- updt_curdesc => ch2_updt_curdesc , -- updt_active => ch2_updt_active , -- updt_queue_empty => ch2_updt_queue_empty , -- updt_ioc => ch2_updt_ioc , -- updt_ioc_irq_set => ch2_updt_ioc_irq_set , -- -- dma_interr => ch2_dma_interr , -- dma_slverr => ch2_dma_slverr , -- dma_decerr => ch2_dma_decerr , -- dma_interr_set => ch2_dma_interr_set , -- dma_slverr_set => ch2_dma_slverr_set , -- dma_decerr_set => ch2_dma_decerr_set , -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , -- s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , -- s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , -- s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- -- -- Update Status Stream -- s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , -- s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , -- s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , -- s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis_updt_tready => m_axis_ch2_updt_tready -- ); -- -- end generate GEN_CH2_NO_QUEUE; -- --end generate GEN_CH2_UPDATE_Q_IF; -- ---- Channel 2 NOT included therefore tie ch2 outputs off --GEN_NO_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 0 generate --begin -- ch2_updt_curdesc_wren <= '0'; -- ch2_updt_curdesc <= (others => '0'); -- ch2_updt_queue_empty <= '1'; -- -- ch2_updt_ioc <= '0'; -- ch2_dma_interr <= '0'; -- ch2_dma_slverr <= '0'; -- ch2_dma_decerr <= '0'; -- -- m_axis_ch2_updt_tdata <= (others => '0'); -- m_axis_ch2_updt_tlast <= '0'; -- m_axis_ch2_updt_tvalid <= '0'; -- -- s_axis_ch2_updtptr_tready <= '0'; -- s_axis_ch2_updtsts_tready <= '0'; -- --end generate GEN_NO_CH2_UPDATE_Q_IF; ------------------------------------------------------------------------------- -- MUX For DataMover ------------------------------------------------------------------------------- --TO_DATAMVR_MUX : process(ch1_updt_active, -- ch2_updt_active, -- m_axis_ch1_updt_tdata, -- m_axis_ch1_updt_tlast, -- m_axis_ch1_updt_tvalid, -- m_axis_ch2_updt_tdata, -- m_axis_ch2_updt_tlast, -- m_axis_ch2_updt_tvalid) -- begin -- if(ch1_updt_active = '1')then -- s_axis_s2mm_tdata <= m_axis_ch1_updt_tdata; -- s_axis_s2mm_tlast <= m_axis_ch1_updt_tlast; -- s_axis_s2mm_tvalid <= m_axis_ch1_updt_tvalid; -- elsif(ch2_updt_active = '1')then -- s_axis_s2mm_tdata <= m_axis_ch2_updt_tdata; -- s_axis_s2mm_tlast <= m_axis_ch2_updt_tlast; -- s_axis_s2mm_tvalid <= m_axis_ch2_updt_tvalid; -- else -- s_axis_s2mm_tdata <= (others => '0'); -- s_axis_s2mm_tlast <= '0'; -- s_axis_s2mm_tvalid <= '0'; -- end if; -- end process TO_DATAMVR_MUX; -- --m_axis_ch1_updt_tready <= s_axis_s2mm_tready; --m_axis_ch2_updt_tready <= s_axis_s2mm_tready; -- end implementation;
bsd-2-clause
6de61cff3e4de3844cf2b22b0581d6e3
0.352773
4.665725
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/constant/rule_007_test_input.vhd
1
859
architecture RTL of FIFO is constant c_width : integer; constant c_width : integer := 16; constant c_depth : integer := 512; constant AVMM_MASTER_NULL : avmm_master_t := ( (others => '0'), (others => '0'), '0', '0' ); --! Test stimulus CONSTANT c_stimulus : t_stimulus_array := ( ( name => "Hold in reset ", clk_in => "0101010101010101", rst_in => "1111111111111111", cnt_en_in => "0000000000000000", cnt_out => "0000000000000000" ), ( name => "Not enabled ", clk_in => "0101010101010101", rst_in => "0000000000000000", cnt_en_in => "0000000000000000", cnt_out => "0000000000000000" ) ); begin end architecture RTL;
gpl-3.0
5300283d9399fd8edd7000498021c84d
0.476135
4.149758
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/polyphase_interpolation.vhd
2
648,655
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k6+1ZDBPxx3J0vctj2PgcZsLNz2XGdfowg51JgXnb+MH9GZFXI/D3a9c7Va0vHzfzeKU3mVpW7Ut jLCf2XzWFQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iTC31J0RUr0ytsxM/NPrBRviRpQ0je4h2YYt0WzW//Aweb3fhHpw2qrXAdWfnHVBLaN0Kdw3mt0W laVQdwix8hhzQlXo26Je0dfpRBp6a8EZhV2PXP1iDolToUm4iQbR5uY0kSC7whx+P50KI6Td83CH 7Vm5ud0+tetNdkpN5zU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hzes6xNE8OrubKHKiSOWScp7dTf+uzKhG77ZzR6qXayz6dAQhL6qq64ApCe51BQ3wHw6P50phOpy txXEtfaHzP61dqUDTaFq5DKzzhxCR29TZnmY4WKwNJKjkD8euJqLsZIwpI7Lv3Rv7t7NoiIZt6Jt fH6x4QvsWuPRpU22uTBRGQ2sg1n+f2daFEke8peWqLObxA+T61TtetEfL2vngD4SQDnYPtU4nQez DkjiZy3WIrYvnyR9k6mfc9tN+VvUDS10qfowunULj+rNrVVaXfpKI1gwWb2utC7QuKyW6DnsZYRG pUDi+tikyxHiA4Og6S4Mas18D+uehSrdnDuBrw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lEPEJnUEGMC2GI1W1vvA+8HeNvxR7MXLtI40VeJCgd/xNM+dcUWBaKmXp4xyhXWiroCwi9Wjzp+R OsRx0xQOXPmHT3PZoMFv7E9I3ZSxEAQXDoBaeKcb6MJIhfS7FAYpygA2q/Gq6jmg5f06mdLCctk6 eWzxA9x3LhNKzRtBRXU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block d+sEItWcrF4VPLHbPtJPEY2OlKwxOBFICjFeJl4YgUviWPz6BCXTdaQy2MlbpjdRjJ9bL7ccDkt9 V8GwZCMpp+hy9pd4VKY1X0PniV36OvPeNqwiT8/t2U48LY8uw1N9vwVroszkivfRYocmm7rtC+ip e5ywsW7vinUmF5SckPm0jnqa4x0vJB71Jc2Tweiet2WDY0eNRRywwQqfVb9LG7lbWf1AtZKsIJIm xOI2Ic7ZzFKmaPtwECVxNCtN2rHUZwdtuSRA+3SaLcQn41ntd+20oxvn6Ns1e+N7l+tKQMbEzSyK dY4+kxhG9XI+ddUyg/6KDmLu60sDHWRqzI8J/g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 478432) `protect data_block Jf4CqBTS+JsPA2LpEb5ISiwol9JBfn/7oyOBEpFgvMxNrd1eoOLZJQuMDkgenBuRcD22gSc6b9Ow ApilhJnWTcFpUFhzWLMz4zt9sn65KtxULBdgs7YLSSsRKjWEEijQoNRVSQV4b608DoQI0m/Sm3wq 017wzRCWvXSDJkKc26df6LVGQEdZscIFtJFA5lnltvQeNWJJy7al2e5Jo+bW686NWTDwsNy/EFVK +aSEDjA/8qaQkO6gScvmT34kXfmEd6OME6U0qKR79dB26FpIv/zY+4ORKofCJ8Kb4ZfZ9Ce47hpa 8QfIlgPuOgyxcRKi1bzTlwZAI2G3kqLCu/y7lDkuB8Wks9U+ottCvmt45srlHuP00SzhOPtl2z7x nHsyZicTUd/i5j1buI//T0niAziKDSuEGbwPM2kiPVQSQeTAPKabjXPDR+d8jHd2nk+i8LzLRoQ5 A3aHomgYa7mr+2t8cURVmtI2GfeP/S0nbo26Avi8FPf879y4IzVJ+Ps5IolW/Y7Z/W1tVB2cKgyj xr1cLJvGFSfiVuC+hAJ0cGX2RPD+TMmNN2TlXuXav5M8LxP4GEWT2Rj7A+tbwQrsONt/vMs/S1an inaXZMx7OtT46CU/G2Pg62XdJecmZWq9dgX6XS/lsDWpOrKk7xSp3bvcWUnrXOmVzODfclrqYh61 pMBV/q0frKCyQGEERY8EUi+Y04T6ak2M0TG/JYVg+uRhTwBWG6yDPOSuIMErJmcB6RXhMpBP0kNW e19KuoGYqu/gs3YSUy/hUm+NtxmW88VhzdUFpLigDgJAaGRO6yjkZ/HHCFoD2Ux0Ezw9Tbpnng7T i+ImCPY8VLEJHQLFcfl3/AocAujcU+ezmjdHyBaNo5M/SFc6NI73Ic6Wc8+CWWRquyXSY0Gdi+jm KZw8/0sq2pEjx7zQJ97kUKxSfLiBkvsbjuKdVUooO4vw5lfcR5emJNeLHynkcwWpL9THbqmLHjyW lL/iiN4VugWn2ynUI0xzlRPuzCyHBeJi1gzrZRsNujQmec/BU+V5CvUUubHon6pNYdUSDXerWro7 ViVkGI4W0cKXEKnXAuBYgME8TqfPgwHZXZOIrhto5jpdbv/i9N67HzXgz1pZXtQ1z7R7Bgo+Q5XJ UVLgnEnX2uuu2X/Y5QUZ2eY8ZW2gpTL60CUD0WtB61CeAyeis5TWQaycNwWSmgJXhTVAYioWZPQN 82kfZn1vH/SU6b2CoyLh0HfNZKccF0Vxsb/sWZ1SoafM4EmCafZ2edshyj3UgqckZDUmMG+xObwE K4zdrUBGVynW7NHJmvp2YXnOi/jz3/Q5e7EAmY0dSajp22w+LZJSgj1NqSNuNorCQ3orS/9GrXOr 6hUUiD6n7Q0OmyItbljR96VBQz/rL5kpEqLG2eJ7TbWXEDhmMSWrEL7nqca9mO/I/WFQvfpKxoKG ULs5EzHk2U1MUvMHrO4ZUGBNrQFf64YP78fSu1je+5tnUxYABdOKZe6Ua9x5lowKoek0XWXlRKOZ JEIxjNWRaUMQCES9VlRzzqTuut9HnbWPoL0Cw09stVdPiG20+V3xoSmDaUWnctLJ+QhYAR0+4WM6 b6rgBz3zwiMJelnHMlBRv54HE/WFf7RYCVc/qqbP6pOGeJHsFt4IlBDEDwcnCnBPy51ne2MtrzMx ooYAqY6paW+czsDbwcfz8ZCi4J+Dl7UUFwn6pHBAB7I5/O5ZERVa2smLVeN7TfB8HuqxP/eEbOUt DQkX7K9/KHldNIYslRyALVZHuwi4TRQ7ckHTfTljeUGlh40k/dlJKVkWQEDzyv9BYaAK2du8PsPJ 6CsYFb/KBcbPkLGBI0Yng/o0IMkKdY82sKzgDKQiJo3ZRzCEaYLNtMIl5G/oAi8x/wS/gaVjVuf9 FHohWF/qn4N6z2FYpGB8+mqLUelSnVYcyjwJhdCrSod77nQQXhFm7I8jNM40dUAM6yuJghAP1BrO 8SlNUTHk70LyBw7if3QWGL+ZRdAmPV4MFIrTa8fD3aen7pyL8X98mQpljIthDevpgaI1gJajqOY/ bAIXul8/fixsv4ZNCnk/MaXH2tIyH4PYCFtuqxDUIqnPD1MzXBHNPNB6AZO2bLsye5vHlqHRd5UH et3cdYBgHClZPMdcgmxsQTnBuHdRKJ7giziKAjit4QXtQLJsiYur5+AGK8mWUnkMDGcXU9oowO9h 7e4w90UlxncZBHHxqhjDI3qQwqRX2TQwdmB+JYiQSeeuKLRSO2vWJasESbl5VdYbI/IRcGovNkJ6 BOAtXYT//rXsbUfx10zAOLr53LUD4F4q88vJhZ/zUZTuealOrhz6QlOKZoyeLkyua7Oa6TaSxMZ9 +ks86HrH/bZKJdIqvDzrsmCKVspIte9ll4kETjC4/qarQALPu4HErqFaSypdTc1rVhyrcAEIGxGU KSRM4ZaIPvKfXeVsKH2c94tJ8/8crBVFksjnXxX77TKNzOl8HE5t0/65lDsYqrmcvpxVwe7CfEUa 4SfNfntDNONow4+s/cZKIw6A8rGqDIQTL3LNzXrfAgR+a0XHrTkkQlLru72eFw1q5VAV96NbPviA pRVcSw8xPytjVjgHbgZGnZyduVK75yki9E2Go0Y7Sjb5CbUFsnvdWJAAfqVahRIV0+FBAIRpVdlS a8kfVzawxkFcDWZs/a+fI78/lSzd0SmIYez6kkRBLiQsOEH7qLB2UNzaEh1L6kW5ooa7uviatmKk 90QDF7xn6Ph1XKQbZ66I9UzCvE8/APD8hAVpME5UFgnXn41wZ1Ug8w1ivsnsPUVPBguRlSDNQ+hv v0a2z100CW5hZizVXMg/rSotgsVqg2yM2S8hXodoOEFB+ZMRhKrHwZ4T+KlJbUPC/9oyoMNiGqFO GvDFIZtXftBL0rghq1FKAUxYifqct1CMSl+MtsO4mZ/ovjXAkUCruuWkD8y2HT+0L0+DLtr8mD+/ 22lSCFZe895xzhFGGkrhQCQ46pQdf9J8mkagh4bnC41URELUjN/4cTRn0RBfm7QmiuVAKMXLufi0 +U+DUSMHctYcC+c1k3ijIsWgOEIencHTYrliH4y+ujmbklVW2DICuilajRC7/VGLC6ubZjy91DOS T9e/h981w2XY2kRHEwksOyVCVMRBiQj0CYG7UbxsgjZV8PR9qLA4Su6pSgZmraHD3QJL7B1T8X/8 uK92+7YKtuN4U2YdqRahjHst0713JUQ2fuAOKZiNcF0n+wYO4G4ZeW7tQnNM9xf2HeRwJBkHMH9e MqONsCcxrax80NRzH1aaG6JtWmjt4UJYtK3iw6mNtLpqsZ7Qjb2g58iMoUtMhnl6B2lBaREbKkHV xPpbU9jdsHBBuol+hN2y21jkmExQPaejYYLi3PlBcIMQJdULKPlqM4YWCLmGfmzCdH/hAsTc7z/M h1dJp+fXhYE8ocwk4vZVBsffkbu7K2ROy73W3f4atKxm3Ap+v2a8jAf9fb5Nl5VA82HPDr3+KJpU dLarJ2kAQQd30LZ37GKXrDyQHA6GOVIR20INsyoNjIaKO4RRIzA5aT7r3sUdRhWg2onRZ2yLYL/m ylDGTHgwc3wBX1F6Wl4qQQdwC4Il+vUEvpwJLD1yDEH3htfjXgB/zH1vRf7gW7gLGci5moBI9JNj FAj5hjFPWftqq8MoRUMEWdzfNV/Hq4fMMfAn/QPfvsxVtZdXyFEP/Q/AMNekbmNT9ar4wQOglqVl pVhIy514x1so8OYoDkkjM/0UFj96t4Ya7Ulbxdu/Exy5D0J6AhR5emSDJrsFQCANfOywSBTZzv/8 pGkdicimkp+pfz9haUyE+gOoUrdM8L5eaiV6Yg8IAo4VDiG9HXzXLumpdJ0D0ASvrC8guMrlIzjG vClScBAPndG/767ZBuZvPwnXiYlvch7xmHHwUGHwKJAb5HNZ7sMvgWPTCczU6/WYLWYoRlM2OFiy exi1xUy6/zTJ6Xiv5TYYtqd6Oykb64UCi3w76myZJ1sqfTGHAPXzbH3kG2DGn6MmPbeV9p0N7Xb7 gYFkQNtjFlmFED2xTYqYdUmvEnbY81eSPGSBQKs7IRwAzHYXHNxalL0ydKJpeqG+3/K+/lzShgTw /2hj3JJPezlZqJrFlqdLL7b+AwLZ+HAGa5RbQoBPoDExJKu0pC2e1JMcKH3SM4sUoZLLL2SIr2gW j+6RZYEg3DDRGAI6tytXxFA/gXT57zS38WKhu7Rj4hJXDPE7tMMWvQaD+u9L3+AlYq3YscbDKiD9 P69Eao4dNsxcT5imY98USopB1Z9ZEG/6+s6lVcABWziIhePxrNMpSwJX+H0tRGx8D2nSZ7WwpA3A y1q0R5FruaZFB0JYnhMkeH1DiLIyeSyXxzlE9y7I7AKeblc6iGlrvq5xV/oBGkTU6hmJAV222YUq UO+4/kZLxCGGcvgvZu+2OnUbDGXr7LiyyYRtT4V00kKa/bq+MI1LWsiyDV5hpJkW72inERTRl/L1 QETQxmJhX1oZYeLioePCKo/fVggAD7pElKCer5jLkFG4NboGAK8r+qyb40sLt0xWc3iUueHKnbKj lbeJFPvbYLMWob+F65xVe3q01yDhPmhamYVQlP/Nf4hmgkrrl1QAhbJRoKEFZG7vx038DUdYK4/i kqfvun11e8454g0CbPpJmFb8o5u3dMVyE0V/LFrZYUyJdjoOnkzpjbPbEuzoqx1uXY/K6Peo0iSW obhtfgttqIQMlHmwRDu4biUsS/aGwcaTLhX4d3FkUkskfWYNr/8cFhm8D1moCUNn29qIOq5QpfEF OSKYSjnIQr2e6kFZhVDXa0pl4Cd/VvO0B1tK2RRA5R9scDf4z1nWuPzgvrB6NAbO+SnD16nbuR7p Z6jGFkvzCDRanL4e8j/VbW2M6yIivOFwUrYZ0NbTPYCxHu714Avh9nSZES15RBsnXGGf2hySrpC2 R9/vjhs5ZiAt/9cqS3MAXh8NgH+yvtAWESj4GATKo4QD9gfUoImEqcwCp/nZcvhZ3KyJjH/sOxkh o3qCFN/ELcUOvTUrk3olrP4bzN5efCUWpwgMuQ4N1NdXc5NWqq3oaLGcft28WibgmUXE62p2y5d2 mnKIaxGdMWQZxqEy2AjudQs9am+RcCQEuFR0bw8Y4BxxRBfCQutENoZENm7U5cEMorcFjhkQhTdE ZPAWWYxcsgu73xcujkflYVIIRpbHv/vEmU48d8TATeBn37NuAi5Zx6f7mmsEHU52yB5aM9/M6blX kmua+WFUVOoF7jKof14Is0NUhYiz39f2yy+3WoVThBDgF0sDHXtmHL9JGIhhp6IkJPO8dgmH5NLi 1LrP3l60IhfDxo1xokpMqLmGJasGbeasRhkDoK7M1VermhcnXXJ5JAhCxIQKYolNCHf8ZIwb0C+m z6kc5/xauJyLQz0ty+pOPV28JCLPKEQTMtpugy1E39vQZFlvGdZHWCRe4G6QzC4IQZ9m3+hGRg1a FUQO1tStoXkTq3/uI8ZMBNAmEP4U0kvx8b7ATfwLwGCr/kVZ8mnHcpodywxsW71gDdWsRfuAwrn4 JRAjvlT8KoKe5hYCSTzit96qt+ZNInv5/sEiWbpyHpAhAKZxUc7aQkeTJS7XBkWguyaLSZdmVGDj N7Htd2AyyCv0xJJvj4aovy63JKFK2RYZuUGWpB8yXRy2aCKulLzCeYWwAkBxKS4sJtaQbdWhDPkt 3SBGYsf+dw7QDQ2PDvgdsAipbAWUU5erQQgsn8S6qjrj5XB8wumXo9U0bsrDx/dAX6ZA+P0vkKpd C4DWLULt+aVfKDDF4X38m7xNr4AdcLK5IwNVQ1hv64S0sGCXakdK9Sv6YjOQaFvw3eqzrDVSuMmw FyrGhrKNaD2DIDuSjspqLiWE3WCEIUvXmJsddw3BD5dWxkaQccVOFAfm7wJ5rRJymSYmSyuLx+G1 zg3MKcrQm5Kp36NuAKLeBPrk3Pqd0AWza5aCX5qleznb1N4aqSpqJkxkBOtWeKDUk2Riu8XIXOdz BJ3SQD9hp1LBZADX5hzg3oDvzP7tZsATEqEuxtbGB+MyWnV/xprdMxrkFN8uk34k/IHS6yqT6jFG 7PcVWw+wsgB4s8XumUC1mTckUJaTnTTVL+Bpnue3FKDEuj3IoByyERgrSzLx5vj3kN4DYL8xfbBm oRVxlWIpLe4IO2JseWhEOYIkIaVsTW/RYXoTB9eS5qeVjIUApK17LGWRoxNXLflEtGmbF9ZWNTuk 2IdQLv3kYAXMGV6EYPMmUCVj0aXX1G2zlnfHb7sO6iHjTuiVXfxyN7HPAlX0VeSJ3EioYZqtmV+W 15tYWz2azpMS/1lHELuZk6sb4Lpt8T6LUpBnc7fHFRwRxUhFaNYPA7cshW4rqsx5Rf5PP5KdkS6n IByNH2zyF/kTKT3VRTi5/WgqmDZ4GblygUqxJWWpjmP5K5uBvRuN0UOC54gWL2FXtjgrComU/vTd 2q58Lkq2EX19lqi2N2k6v4JTCTTO6eInbKdkKJLKPurjyCg1Ue6aoAqrONG1/+ilvGwcNoYTeSDP HplBZcs2TDV0+dAC6O1Mmx4tub/AHZYG+B3ttyCd8gjP0bY9FEq0clPdIvsC90isf0/EuCHbrR5O qilprKOMTcdDUWGVxOXuT1ySW37SIjwz9QgNqfcMUcpqjs+t+Hy8kCbTQ0R8072Ylmi57f8UYRY8 wjKKVnhFqFqhcHkLp9iQNH9ZnS83eoud93FlX69psZr9cgNudVAl97uov32SDqgAmXCwWJFJHAHy LCwxmWz9h2BjJD98XZOctP7pnKolnYXnZeAGyZTzlN7ElxuFXYF4BylpzQsYoj94DypyziNnImZP S0qmnd21MT8JKr9KtSIQkumCXr3KqrURTWhc4AFYFvFXIBtmmUOPFxBYmG5n7KDbYfKhkzAZ36gR bS5rnSe107hQLjdjsljL+F6e8iO5pur612x8EaZA9x7UVA8NPSOc4YQTUz8yJTf5H7ewN3ZTcC89 mmAv1iz6v3pKHhv+y+QHYr6DC9zsbCUZvW/XI8OCAW4t5BTuujDIjaKkYKKh4B1kVMKmUfxAjMHu Clc8zUxquu3rZNkdR1A0+NYSl4AENkM6/urOZKmgQlEZSaA8btTFkNRIl3un9tP6GvPJuxsuVup1 L+4vBfdGZw4ARQKW1thz/v6XJL2zG/8OEbfu8TVp8WM5cnFCYXvUPks0gCwqKN45G81nGxGw9+bp GmsW+KPWEjoe40mbW5Mwn1/r+JrrIegZ6mQeWh1AkHi/6G4rXMauOXRziXPGwkgNL1UZOTEK54lB Ap5kHH9VmuloCwQaicB/Se5u9RL5f8ettPXz54zt6nFY4SBYdtrgBSnrgfeRwNP0DVNfH5V3ZxHr axWAxra6v8tiJnDS7EiyvYlpHRlRsplG0ahJrQ9rC1sAySZg4ol/yBmvEMj3e1Ik2CcTIwiu+47U sK9peYXjUQzPL4FJFxssXwEp1GPOhxlLGPlQKuAgWMmelc0EHDlArhiWSJc6lV/3k/avhLNGkE8L QvBcapX7HBPu9woJwHF3t8u+bJaDUi2KKz3rfyzzEzVC+hmhatUPgdICoT6cr0mYOv4gqsW1CaDh Gafx+8dofSfgcPA8ldLSkppRkfZDS8WD9KnA5mdetYynxkj8XIarTjK/2C6zYvOw44kEECufG2Io JzcGHeT5vU/alpfQLsNhMDK3StR9OdIMpWC4QlRkfwdHj+cBZbDg+07PJD9/f/v/VDa+zLNM/m0w oGxf88tuABJ0wDpsNdeS+i37M19qgfGFq1Jd8oqvKKDx5n9iaYtpW7lZeVMGAsYYZYu50vOfzER/ 0eFj+Bkm3lnHIzuPV+dS0oQfgpvYEXYUHe7jZ0iAUlazFVyHR+/c3fPzOK+DoTJ+NfLIVsxXvuGy PB8o3YG4UY78W74sZxumC2j/qF5s+6eSicHC0zA3EQoPXRLW5JtoF+oMTQfeeP+rk+lXjapUIQbV KrCL41+YFh/bB2E8C3bzxUV4ExvX8XhKVVNtd+J8Coh/vML9UzVS0+OQibA/IS0/AlAsLFggvURg x88aMRjzvMvQpIEG1/1YWwTiftvavqbjM6ABMtrrMgMtcmPIl9T9NIfm03kRXiI+b2ltaHToZXq3 H2rPe6jy4602etqSfLN1Z3ReZD3Z2RNoZnLzHU6eajALEEIwJDQ/G4fkQuxxEFhnqDc9wN6Z0CrE T3LPqd+NTe36iozOLX2W4ZyKjC1AfRpj3lgml8+WjVDWvUtaULVAKj2XR5sRHfPHxVuJJGPnt7w1 SrXOPsHL1jUKdBO8ZfZP+uuY4E6bMaRX5mOfbWh54ewlQJKnsfnptn3sJkqwzeL57eDGGPojllvV QcKCbQF5Gw8QB9EKy8vaXE1BcDxVvQQHjamrqYy/fDVFmeTU+lGfbcap0WTIwR/OBuXp4YnGMhyy txHCwpTtZ2HsYG80YGtWh67u6LmZTFZ6533tYIPouN4+UIFjxc85wKx514VoNrcn7MGxqx1ACvkb OJo0BpClR+PitG5tf1OWt6s9wYU+C5vIEz08z4EseOuaSajOyfYcZBKAFa3KoYpM3F4x2o0+F6FQ GzNIgeKJ1S1ReJInHME3eOrzfUwn8CGd6hNYuuTQdPAYuBGvMNsqoqHfr65226NZ5AxmugYJVAGf dmIBrNlTu6K7crUGtyTz9IwR7pziQG7xOqvjDCFhVNjWXmRI9sdRKDI/VLGhpQIX1TR5pVDgHVMO 7GxysyOndRj9AU8uNO3o6elKoii0ExkiJycoLqslDIRV7M2zIZQushXgUZBaZ8ogcP7rCSpu1Vmi Sw1F5grqP80sMMjKagvG0MmvrxR4e6Uh4pSeB8gs93U4OEmDfYyINlI1Lxrd1ddHeUqCSDY91amD NcfdpnR03EHUtSdDUBZz+SfR3g6ueS+v3s59KjWEzlL6lCkMgrZnCQPTbCgzWXRXdzNXoakhhjlv eUKigjNkt3U1AzQfazLSBl2aO7RPzgwDR5kQC1AFbz+z0xiqgtlXRFIw+h3Xl6wVI27Vy1B2TXUN 3RaF1qDGucEfTZM8p9EElXltcpnYPxgGFp+4fuUyWGAPddoJu2MJ18W/x+tuHn/nj1A+YM/Oy6bU eBas94+3ZEAQLlUjrLE+WX9DtSX1FQA+howTWR/qDPbshRt40cG1XkatEHeUqEsJa2Fw2dYozYfO VznW4m9CEb1olC3SmvHZnma+tEDqXE87C8GSoxW8MX5wTHasfJ1dsWzT7VmZWPsE5l+c8cmNOuhY iYXbgiXtPq9B5nwL16rTpm/8ox0Og9UWkbQpXQB1Fac8ggAvx7Xj1C4c/SQanDfYsDuEJ/0nzQqF ApexWzWBASusNSkOL17/fqq3Iq+cGE1oY/EgK7dkMRpIFdcu1MrxdncyuSqnv0Mur4NBTKiLiN0I iUBePgvOPWlzLr/A6Nwu2pEPN22wZRrPd3KnLwE5X4gH+CVoIaV6N8GDulrcoS79lM5ixOEdwsWs kXdS8cVXulH5RK79MRRXoXC7zeAE0E9LiEHvdKAOxHW0W7bi7kkhcrPu+/ju+0nSHwc65DwGkZ6J C7f0Kt/4gahR3u2irJifbJrh4uD4dGvQObAXXF56qntRQwSrmP3rELjtlpJkYvbVv82YzO0vzQo+ nTijePtKXfUDXKQRIitLRluJzvqtBTBeYUQyhllbAHx9Zhzqw3tDWmQ8gR1GudMFx09dUR6jz/hh rNaih58ygybRGr+PMxHu0ESaE8Kre+Wvw+uQ/gWWEw9fPGmrVN2tGqkQqDzfDUPX7ZNiQ6bSbFKC mrykDkiP3iDg5DkrviMjUfWLYHe41KPMnn4YIb+RomlAbUdvvFXuZzqq8S1+oAqrea+Pf/bW//IN M8pXlD8gIhFoIpTOEeDuUdkBQCvNd337JhCqowyneZKvgjLkHpWFMkn+KD50BQJE91Dv9RV8z8J7 K6nEZh/K1pI2bbmasStw4cWV4iNP9QUoaoX/IG/+iDCdAnrGImPl6zM1NJA6+TqVtg+kXzMgoxRy rxRr22jatL6ohed/N0ya7GK/AekgxUujrcRuNYRQn/nm6NkYOKcFaVvst7fNEmAcvNsaV8tXJ9Zc qiuCWPIT8B7cwza7Wv8FwELkQ7+Zr5Xd79yp5ZMPgwxpxJi6dNGSfoVpRRgwKGxdcaeGRRuVu3TM aEzwhmx0FfITB+kP+mR8+OBFul8IxRARKg8e9Hj3TypZQz5sZ857cKOwBHjBKWswKBayQrt/92O5 L6aBwJNzvRFkZOxGedd99TdV6ApFjjVqn4nvlxXYSaz0jUFzRz/p/9z3B6spj4BB+fp2eG8nC6aH KWBqFWI821SHNrNTF5409kRb3XeTi72LbJhN43qWt+Cm4XdIFXEvB1tR72eIEQ6EgTF3D/O6mX7s +PYaCw1Fb4Ixt6fD7j/e5uTYb2vm/qkhrNX9W4+4z0Gn4mUNAchI3cTUZYpIMiNeGgRM4dnL+No1 wjN4KTSzFRcb5V4HqIC5o1HmkcM3/ZW721QULlc3YvsqF10a7mL5Bn4Zmrb5BmaMRCdb4nvD574r C4/SjFMXRXjJy/B2YQD1xkbN+ipawPDee39cft8ejRbbUGtqYmwtYO7OM7YL9WWYKF2b0HUYwHJh qJrjiFr7DcEXicTcnt4NqB0B2Rq2GUCDslY0JBZCU7C2jq5v71TqxCKJ1mQU/q7ynnivutxc3uwj XU3PxR282CkB8zjQchSap9vcdu2nfPGt33Qbzf1yVSkJGtBfWFFvL2c0KpZYfdE8QWFZQ3cvdQtt TZyqgSK0Lvt7JD6aGJPFRiCS/mxfImEDNfbg+pn7V5Q33dBmps6j1FsJX1gArL/CHqfOH9FQvtbW BQYqtDhStTNCWJTF8ypF1kU5LNYMd8Dtk26vP8HwB7kMOJiAz0LlMUSinIZDdHcXtqFxwbfWIThs hJqy5hZ2YvUj0RTJl9GwYEpjGhKu7cbgMNPbXykpx7LcP+kcpr0idjuHAuSrOBYud2lJ2aL5jC8K AHEFzUh/T545kEOE027oEReuajGFzqd087lXUbrMeMYSyLB+zoip4SMB2SYi7AJavofc9o9e5dfP s/IaKrVJ7gU4naBU2jr6e+JMCxIw7HW7JSTkI/O4Gu2HbDSSVjFdFIf5i/4y4ikAyBqF44LlFJrS +ADCNDa616ZJL8Nb9x/z68o9BK7gZNWwzmVePsAGW3ackwWKaGP7HouTEb4RwJH7uMWwJvhdvTr2 /UZcV+BsuWqg5PvgDHTWc3bipU3D7m8pCshQxG3cVi2keUkaP+co7mvFWFZVHQc5QT1+o/1sTKO6 RjyYIQ+dSz5eRVmCOjRzTf52EXVG7XVxvkYWBJgkcukUn3WXrmIJl4aFg7MqRuaqK08JMNZHQXWY tWLXdvSFeh+wn31KIVntoSks8d8ZCHRq/Z23FvTx0OYdSr4AWd4Xs8ejrQoh5Vdr0p3Zn7uQe4AJ tCLGSYfCK/PXfXBYKToCN3lP/n3EtmoRSneDkbVpQiQjhSlFmQ/dnYyR7DfY6mTJKEe/0+7fIzwT q9zznq1T+llJrfjUW60vcDc4rDr5BbPQVx9M/7cwRulFD2NFy94HuqMxONjXrp2UK1UCrjd5jLtA avk/+aWRyLccJ42kd6owwRuc1FeUsN5S/TYWJsUXWBTVzz0ubXxXURWjyV0GwZXtRq2hWyPbGPve 5d1W4ydFnA5T5jScdrkKbMldd6dUhNZVpdLOo8H8f4Rd7psI3O09G/yK6j+HeoCTfd91Lo5//AJo DIL/7jk2XhhmXP2Z/6p3Lzff64jL5GHvhiqzsZkDHVXZp468yHPV8JuOtdYkOfa2Nt+XIwYanyEj hV81E1W3A8O11wwN+UwUKAjGsAlLX5eNppD3aN5cVNAZ2sb4MuBdAAGwznp8Vtwt50H1/nmB1Akg v7xr02M3U+WMi5NSaiKEjQ/SJz5G7jMgGu/ufy0LDs62TYZUWIpQAnk3TixRynDf6nCUIRd0a7ky svQ/CwjlTIsZSrOO1a/LZL2f34y9O8gKXeYKlO0z4XRoXrR9/IOjEOmOoVyfEGOtszX+m+a4Cj// oGDoAUg2MZs2cobP4fZL2myC6RP0+7VRt1Nrp3/Bn/jwLXy5l0aI3obQvTyF3ne94Ld2qE0IonqW sgsvIDdwrI3SWiIGbcNCMIn9WZ/67McP9mhlZAkk1wMFvffLR1D7lAtuGmO8NclNVqQoqWZi+HWV aURwONwtmjfZRiCnrW7a2H8APQYm0GYIl9lMgJjydesuvPSawd3xr+0ARGEV2mlRIyR8gPEsh2Cy DnOQinz6DMpKl18GBUMKq0esVd9xGqXIOyRStQRp3kY4wNKu86wIBIlL1jpZWOncYrrt/mDGptyz KwuL8fgeX3dvpVLTXc0aLH/gMazUio6aYMucJCvY21/ZO8CFY2lwxHuCdX0Vqdn7A5erV13Yn/o2 IhTERhmf/jqKGRkSsPpXB/RB+AdbCqlZkR9pL4spObcgWV9zaEOQBQznOHE/x9t8tUFn2QEue8Gx 1b1x3ip3rHVKOr1ttYGy+avqHk2veJN+rBlLjOvJ+9xUw7qx7xmO9HWkulHUhirxTz7DAfLPvero 6MAjVj6556MPutleCDAzWos/sFbe2Q1Eggd9fC+kD74Rer1iX1F88XW0cBlMMd2cJlkHB4AraKPI dCkM4syqYUEEb7NRdu+00yF4QA90SfBpCQDQeyy2D5t92WAiEhHT0DDOSMTU20M49upMAUftlMq0 u5BlxIL54ttFeOzTrDTv2azQ7zrOktXduOB4sP7FypalqGq7Gje7WiTqv6jUIreGt5qZ81ziiAHR xdIpXvDXW9yrA5TC4yPfNhOX+q3ASkjAj74mCpouWDKXdMFSBlwBoaXgO2uz7JifALkD26hwfKvX 74rRxATQnyO7ER1q0WUNN4RG4YedUKLJutVX+pdOuVNu09HstiUuaBv1J0psD6XmMMX3eUlLJZjs wZGkl+RSDOYKgcze1LPAGMey51sqcygSn6vh21sHfyVbrPzHJg/dUadpxJhdUgtXhRvjU9SpkjaT 2pqbZpnOcV9QJ5vji9zIYFewVjWa/s6wbE1OIMmLW/Ng0KjYvfZofZ5q5Up7cu/1xc9Afak23Zwp o1FJit8QWuZX/+qfIo2Q7qKQdYz65Uwwnw2glzhWSz6lijsbWsP417/jnJ3Mb3RhS6u1TVgpfSqK Mpeak4X2OzhUyTFmCIUH75Ru0LYRdSW17tlzqmVOVdtXWAhmqBkCevCMOLbA3A0alG4FgDYCuMOS 68DvyIL81yEO//htDB/uAUvDXvKPsgiZFfDByAlIywvk4jxP28bMIIEn8xaXgMHESOx7E7w+0+7s PgTvRnprNaiYXS9bWntUeea//Mr2bpffKAPhmxAw4SBpRZCgtewnHPR8geYwiRpv0bhhJXnDBzma vkLFvc3TTm8vatJFstWme8RA5/ckSsIz+ENRTPBiy7At1vqvgQgTW2UNHUqaWMi4Xi7B5sPjZMLo LmOT5rZWF3neW5ubyxF4LSaGhRRublhieNPhGJ0g7Wz/Lpxg4oH4sMTfQqcK3sA7k+R4K1UFxQbF 1X3jfutFXJJb27YiefOgoHAfOUatJgmOuToYK+APW5GxB4LK7oltc1VvFa66DOpvVZs2189Pmry6 TEQ8mLu28P5+JePeRmNcUntoYBMhor8kMjVvJ/RKVuw8Bo2Q0ft58oifPtKXZ0KAqlcvp/Iwn1jF EtFtMSb1+/o+V7l+09FMRUj/P+/Syt3PeqerN9Gc8JSauyCt4d70dfTtN4el0ifdbi6GQbBfWjvF 1l2eCNbYUmvy6hNxfNoveH8meEgrWxLsTngghDfxlaTOnxwetXIAfVmAyICLIOyQ/aqV/lTnpbaw ZL2hmKn7nvqTNKXoUkM0rdRzkwgWdzPkTenidZFb1I3t5OsrDdW4mAQVgVVw08G7DTOTidoUcUWP ckNS2T5iASS9JdZf2dcjNXOKpjtj3mICqqAJoWXcplW0fPKVUDNw1i+JLC7elmAkzTbxbFrOpsPF 5BMQC0Zdnlpz+z/abCkPPSv5F9bfnzaEN+dxZWTHVm8XD/NF7x9wUmR0UCUs/A2/A71PRjuqzKDb /efzaW/X9EOu0rSC/WSLyhG/8/yro2EBBzluRWr3vsEs1bB9GtKNwYzyYncy3HIoN3BznvXjBmry qLuIiDajfi5ieE0IWNIZiifECWHLjV7xoK/CdGaO4wwgHfC/X0uEIRl1kDarARaQHRuTpoQN5iGi oO1VTiflxNVZLwN0cm8E+be3RZyQ233ri9oyg3JNrmzpZjuLvIInkOBOgnXqF6pOUKMXe0DNUigt Ha601CuyjrgqIE0cbNrGKdLwm4iLWWZ6a3T+C5K1M34eUbnO7x2kiLH5Ab2BcejjEXDZsdpi0JVH 82xtoEM2G/rTmi0M3CJTmL/vIskbXjO7Gm17TIOBLnQKexlK6knmL+uUfHSS66TDlOwuwUefu8o0 AoQrL5Wo/fA1za53h/pEJ2WuW94n97VZ1mccrtYIhZaWquTiz8+cKk5j3O3eFm3AA8kE6wR2cuim EPggKMDkMX9kOAeNaQFawQ/plxgz5V8oof2DFIraEYD7yfGsq4OpA9JvoiUTLp5c132jKTvpgWwd 3kLNHqXMy44qp1YOtV4lrbrCCc75P+ErGGSeA8s7VJWCyyS2BiSD8OKdhQo4QZDTfK+KLE9lbUc2 xnCHlkkWKB9HY7pJP67J4Ow1U2RAZ0dkC9/ZqT5Z7H4W5vHB1gI0Zc6vJzTtNeqZ6dtpJs9GM748 siEg7KthK7WHcjeLnsQHXNdk+HevASrfdR7Horw/OqT2kZ5wZam1wcucELqOfEAk1a12BrHFNFCA 0oin9i1RGpBhpcHUSc4q5m9QvW5et+cJ3bPTzhhBgGZSCCg1Jt/z//oVDwG8JUk1Vb7CEztU7Zte iJeQ1rHi7fzVOGLESXEAT7dggUafJS7HQQQt1u0+zoQEUIr+9O1KUL5U3lMJAFQ9GvuXcPB+RuqJ tynHnnRXCoVSzCCXxB8Ggo3r6ICBJa0qmG1S5kw4azZCa7B/zpXq7ivrt4jPjxweg5UnDXSxXFmy bQHFCkK8bHGGi4838iimQC2+8Ucslc8MSxppEBbvh3Mn57Lfg0kEQnEjZwr/0HruMOdFt1t60eTu WaZ4o13O5lFrQjw0Lmoakiun0sBdsZzn6hKaTb/oRIMbLWuCwVkRTEWL/Xq4XUYNWh9artVIo+sx lJpDHreXoCCMRmD1miQF0w+SgKxasMsic9a0dxfvEu6VD0+jxgUAwjm9B0Zt4QJ0vgwtQS89xzMQ ej1I7zPqjmmMBBmWDmrZ4jdL8mLszHH68+F80qWXkDhna5Mhmdw8RPZ5pY4r6y6uHuPveHCQo3iz U8IUdk/K6Cr1FqnxWIhHKVxE85RCgdpHvj+D/ScXxFs9l5PY1o9JA26IPoRfkHptxUDAv0TD1nBV msdY3KJY5dDlOrravJ4N3G3LbBcNytTDDtgswc4Z3Oj5Q0rI2XCd945eaNBJQC0yVULhjLaIJoJM BOzO3EVnC8CzXzmzMNzQQZWeUtMxf3Ooyi/MXrEo1yUFzBRkGv7Ov+u7wsc0BiZ60hrXIi5thLoh 2cyzPlNATq2EEUufgX3DWYlgzddnE/vbPFvKy6jRsOtOTnjzCd/0czE0R4oBoyaKg4SxOzkOKQ3P SatMA5r2q8tgkUQb+u6AUF+N0Xyaqk8RdWUJn0mT2O8Y/mjv+b4GO84siiApVk++mnZ86uGFXTpf G8FSTb15hqDn8yJdHd3bUOG/UI9Y2fD3UoUI0KcteOtwT9TcOaR/hH5ZqyuVDg91iS/7+Jwu9AIq HGhVZ/CBNlfVGJ60SHseaHX1+6X5vMgTYmskpdhWPWvpbRIhpjGJqpppDVT1idYDw8idhiJ9M28/ 5GC3+zobKcErfBnORZEMXsEqjnxOtR/CAF560tIBZgBVMY9nC923TrpNudQjG2JGb4HlGy+pxmuK Eose3Ef7qEGE6js10rko6zd3OAzGgpSKi83JuuuLfLd6QZ3hwuYKYiI2Llrstjf33DUR0Jw85ofG hMXFzWd9I4IPDOJgfRtU49mTs58z43V00x336YFuK3FtXhO58qTBmEygaLjD2HQBLNnYJQp4pYKJ Oi5u/qyUsXEzfVJHCZViFmTK+z6TFwq2WioDcWpPq2byhsWi3rQ7OQfHPEVRavnOxVh92UQeS+of VHgHxNTn69+pCZRZj1rnd2wICddexP1UP7DyOkHUHY8e06VRlrPmpFMosqlkWSW9tv9FySzB+rj5 4VflW4Y6azoiYSH45BDZz/2ql4fvx/Da39zOvOXt6qQZGTqz01lRtot3Ir0BUC3M01Xvv0xloXoU RoOm8mfOffjrNBS2YNMygPg97pK7fQykaAm8RD5q5o3RsShMna+opH9vdYHy+LVVCZ2D3nUIQH5b 7YjHJSGpt42qUaopopXMqx0W1X07dv3oQto1z+KaafssKWPczVxw/sxzZznSBYJm0nrL2AepFIAO 2/CjfM89EI/gBuv8Zl+Isc0iiFYdQfY1llwZXr8G0PB17mkZR9WkQ5SzMjR8/mmHuM/yuSai7e+K U6yg5aB8ckS97301KfaXJinTvgjFbeQhLREFbe5d4dBDQSM9vapUhqaxprhS6OX99hF43A/DxRDf FKRzv6rTVyxO1xm7ygKr6TCVOH1DFHiskH4nz+9Oga3IkkqXvB0+6KbuJSkKRGasDlbHwGVTvTdv dQ5isVqIlP7Bzj1yWegOHFBWlsYPpoinu+bFh10wgymsuECYlp8LeAd8ml78WLvS4af3IkVbm8bv RljAw4a2buvqPvQQ8I/ZLCsmr1sg0DD2tD0MPf+uu7YLEd7auVVkZei7x8LPaeXgCebdusJ5w/tV JnDl8FjU6Nk4bjEu6Fqr5kjD1H4br0UOXGFhP9saeWxDhZ+j1v9Bfj4wph0lyT8nQ+95wEG1tXlg hdZrUzDc7D0BMgQ4hWQuiWnhF9+IvizUwwIg/AYjijt79iPLuvY8qQXjCZTtQ2TuJsyqlnO0+DGl v+vNP+xXIe6Sg6Z6K53JUCnJVGq1CcWkM4YBAbWTG6J9T+1j/2zlWsC2++jjSWzIGcPIay6hUBI/ 9IZfjJTuxXNQuR7YVNhqh0wLCaTSaBbyJ1WNqnEkvh8HM3C+bBtj/INoqSc7tBtt+mp7eqVXvNYg Y8eqakXo2yZ4nkn1ItrD0Hs4OO/5hFmDJ4QPsN5NQ1UF37dee6aj+eXDlrB9dYxZXu3RSTgTmD6S vyfEbhhlW1yejcTiHFwMf0TWvpQOanvQcmiqLtro/lq5Z0GTaFYjD5JEs7flgj60mIoIhxigV2n6 46QX9fhCzlcgWOcM/ZEcLEhrU0knqmtn+YSHnfsZo4DhIq4u+uU7l8VvU0YgeVubJRP856T0VmzO wNqC5ow+7bSj0m59F5blFnRrGYa4jU+ud20XloDPep9PHoX3dn14zsPxs+3QO7Xs4J6P8Jvg0RJ1 f/Za0o+n8Tn6sqIJWyU1rucVBCCWn9JOUkbw+U/9Gm9rNBVhZ8oupFS/u7Klxy3W/9V5h0uHKENy BBI1aRLavT9tzdzSnfGiDXtxoT1MKjGWDSfvkoLgqr1nv0kWwgxZnsJJY5YElv7Huxs9JsnXs79T /kb1LT6agFTuHdZs0UojcxsxewSrFzBDARolPy/zn50lsMuRM7/ioFJRST4IOBaZZwdCKE5hHyRF oitmcpH2N5aaiddhVV6IRdWbggqBqLZ9O+czv0yQXgB/Oh1SgtXRKwKDLYAB0ebp6oRPh9Fmj9Py JGWWz1A3/tJZLnPqFXr4EDwyWvgAlfqYvuaKBQjJKEresMssU0Y9HHtCo/q6OJlqZIpMZpkGib+z Qvbdxcj8/weUPIL3WM2M1c7hVbXpMbXBcdUxVYrynqKFaNHV8tiUDses4u6psPdF8InQSFye45gL LqiAxrEPB/y2ryzevKEWR6MfxOQSUnCBNIHmNAfKdoWcS8EpTU00p/66Qb2CpWBvB44JWeWXdoi2 kJ+RzUFsnTswtG3UlOJTD3O6AoOTBDxbWxQUCuJM8ic5prhyPwNBE86krl0u5MMVT7Aei2OqW8DG 5LwUNcEN9MapsGBZPwVXqYMXYMv5u1maLhv70ROPtKGCqjGv3XayS2XWQ0AU88u0Ri9iqxiYIyzl K3TWCcWQ2EVVmjg1YkUUDtKpYqs/nKrV/XLevFvZdNDTe14w+NVyeOABjkShkZCgJ75uuisG4Jka 1Xmd14jpqdsIC9m/R4+NZLRYNh2ZTiv+46Ij/DVvKIKI3Cqeef9OzAEj7fh+hNVz7jC5oDSl6jBn iuW2wBm3l9XqHXas94HpzXYHpPdsK6Gyjw/R6LpLtllPcui1NQWehdtOJn3M3H5YF9Ywkneh/hKN j7gSHQ6yZ4iDzfyMxocWSD3bZ4+mULq30ZlmnRnRXhmfxxF9bzl+q2iSJcsYIujqF0hKVMVWmo3Q I0eRFdcKDrP9I68oc/BvnlsJzK7mJuyNpvLDoeFgo27uZMJ3nKt2u6EFOGro8jQgMxDDXgPNUeZw q3xznJ/5ouxSdM7Ih30ICMdwpqnuo604hWRcgwMLwu/+uInu6Q3rsmU5lA9FiwbT0F8Nd7V5NFNs XLZWEjk5yFI6aDZG8kxNG0Qz0f9urniu941+Q5FVbLQFxXaoC7SRxCEizXMvuBCpG+Nq9O2Mt1h+ b4o+hJnxJ7P2OMI7gKGBQ3YIefDdx90e0axguqqOqEKOBqbfRCojI0HzD6zYmoVT++nyafcEY6bW 9QUz+l0Us1YFDGn+fzICNK++unAMw4lSa6cbwPOjY7jRHh5kCv3zM1lwinBwElhvopGhvQRJ9/2Z y1FuOvAgD51X9V2nmxSPiNWOtUBy0U5Io30SLOMLbDFl0/zBEdHyEvp0LbrfhnjEQtcQcULjDZK/ 6sZEcWIJ6PSP6UqFDIpWm/xaHdJHvSN+tkCqwCHoG/tlXAHOFCiRAaFWfIPyoMJinudcFYjJygb3 aXmZioaXdqustszjhKIp4I97GOXsc60DaVjrxmMNedkHSK4t+q+h7wjpBfnNLmAEjiUEDG6tZwBX jDnxH+UoEAM6kjsb5br6+VlMk99DBZZYQ1X3EBWQ4C05dRdgk6bqYTVTLYAWbuqoaKVps/Oh5Ly4 cuVfxIQSd/IvOORb2POr9E7RzH64OmFg9cjg/uxpl9C0ob9OY+FpvwQbl+eOicvmnkDRuEuuWocw lDVrdKxAypGbnBlCJ4Z1CjeF4M7EtDyX9Mvj6bjDgxNf4W3dRBqheLtiWtr71B/ylInm2weV/+ks jIxKmKUKXO45OfyubPNnrHpWgmjUDwnJ7M+T5MP7BLXhXkgTel8Jj/1gJoV72LA8kLBnc2DmZcZ/ +1c3T86aFnYbASDdiMbi/qGhQ/XRlJXDLC5dBbVsa++I8Gk5kP0s4BH7HgzbpI9YCxhougPHmBEa YI8MIElDfhkq9dcQVbmEjE9ynFgqQC0e06KDC9C43MOQWF9R7q8/UpOHn/ZroKF1lXOAJEeGrlYJ H3F8pDCixvACeTlliWvq8gunxz7OVIW2zDah9pzBDOTcFOL1n6YtU27k+aoCftNoMxuGQE/Ifmet jP6BvRSKOe2TyvXbeexU//jnAX63BkRnmKPLjBOdBghrCfmFUb1Wq/4gIV7QNGauYKx8aKch8llN v6oSrM53+I1E/Lb7oO+gnU4YzIBwy0qXrPdons1AihCgIR+ZQbZ7RRNqtdWHXPNvVimvUseD30O7 05ULLcCipFZF7XqcUeEY6wEEx4ciO83NGyrtZinolGl6NfyyVo3DOM5OBPgZRETnGzf9Oma4OAjQ BpOkU2IA84Y+HkUTj5TP0NkNfn8EGb9QRwI5T3DSskcDcEnwT4iBOaQ/TVlpCaHG5Ao9fo4Ckj2A PoJGGoYF3HiYZNn2YCR9lFW9LNFtAn8ST1dwsAgT+pcsH9vJ5JovPVKTHscY2Ccj4/+8HI3DMTIO hL5GGcvlANXps9QAnMN0V5AwWDS1u8c5L/MsuI03Lm08BeTgRHW3FUvtkRN7dVuiZW63czev1/F3 JGeDmMdiE8efiW9Fvg+ts14T1reOBk5hefInK5gYK8IZpJjW3+pLaBF0vjnAKI5zC4e5OLSBcY1U 99TtARYBJoGw9lccZ6sRWLbXraZp5YBuC1po+270UzwfH95pran7caPwM27tIhOCp4w6R4Pn6ynB mqXPDHj/Z/JBbXmggjrc1jPY5ta9CuDs9x0MEdVVYu5mDA4yemN2DOpjc90tXEG7Kp3Kt19YvhAu clE0a+fCgWXPpPoMl0UJPVgt4TuNS8kHbOy2e/OidUfkPzDGMOumMLrzMLQNkjFO+wODkHd0ciDC 1MdyXGYajUwwvmRmlH71g4BM0VWw1CDYd3ZY7+anVrjD0kZk/tZGCmroVKrJ3+BYI+0XAVt0fKlt 0+86WZordxPHmTPrptXVE2IUbE4mtY5T83udGYhKqA73oNgiO29Pg0AgAzNV0iuZBsVVYQhrsKrq 1YOrn2y8CztTqbmSqwWqZuadBg0elhObW4KAbjy61HhABc8xoBKo45WZe9hdCT+x7wP5S9520bcI ehkWMugz+QdopLPgKs4hG03p/hcwrTecsXlO5sHoez3a/NqDaiozD02KeDAlKXhTsUKiTOQzGHZo uCFnsaWaa1gnAkLKCxjVy3xz6ymG7tlCvP5jgwAp1V45ziJwnHjy95j2TLZM/ih4OmK/sStOarGC c2k2H6Dma0GLVFBMwAY6TUdglq6/sN0r3xijedm7c7//QMXykXoNT6t/Nl3bXQ8Cmq2QXfGesk8/ F+JbMD9/9x6lT96OIqWuwhD7lV4AVabrsHTVscntOs5pFKqrrWHxdSK8Db2VdJDrFW6ONwBk+s+O +lyS8U5lk/0GVgxUUweYhoI/1VE64BOAjPsKDNu1vO8LkavTCFJNYmxdYJJuQNH6mQbJc+PBKYUw DLazUUuDUvDb6ePPGbPZX/6W4XbYX2eqC3izuunRfHRFPnmNZRCEHSyiGBWD88CnecLrC7E4C9YN XD2slpN5hyXPKH4xUs1NgPc5an9leBZlkwBy9Z40dpAzpQyhg46WkYQ1E07w9Evz24hPrUPHkx7W UoV8uLgkdP9MI3fKykTN5EG+Roo7dxU0oxnMTB8OWjWjwIqDthAOoPY3VS+m5FDXS7u6gsw55KKI yMADs6EYnEHRGJeYa6WFB4K+Yt/xUZ7IZrEpkh3V4hgo/1M5pIPD2YhSFWFlGoN3lh0XMl78zryv XJGeSYT/+0z3LJr1+2ck5FgOqwtFTIu890BbFfKEslFhPfIatRkZl+LAKLMItpUzlwdRZRn36pBZ xOe87fYKUEh9etBrfChEqWIK7ADqQvqqjPLWtf//z0giHu8MGg6bPyO9YBGfSvODwTfuZWMtWbBP 4pxHhBVC4/LbAmDE8tRXT81+tZnuKJFuUe2cTvL1dpOrEk9HeItfOQqc+ucjOSARwwXKPJz39oTg 80SYqvvQeQ18Uk/pUOUjOkqQR9x6jK3dydJzKMAOSJ6PiAdBN8AJJMGwP4SRE7vwqbp2wVtcTE63 DMKuYAsuKTNwI77QLbgN9risiD+RykP5bnXjZa6MTGaWRNaWN4LC5Bml4Xpp+AgdXxQawKS3w+p+ Kbaldklea0gLB9coLfLTUEOGqkI0tDqnMqVlW8m6QDM1c7fhA/cm2FkY0668GZdZ6R7r+nRiymNS SbQMDkWpi+Lv8AZi8GjX2x/nhKVqs3MkYJNU4HlpWq+pnxRN7yesua7QHeQqY/oBkpqAmBhTjU1M JD6sApm654W0W7n8jn0hBNcja3Y01hSlvLrDW3k8L/l4IZPQJnHGMqOwLJG30PfpP7Jqm/xTuRuD x5KKRx0pMeThxcJwH6GlE0vxwtexgU9lInV6LDoTwGS0sWyEEvDrDiCdMS5DDcPqRXUUryAuZ1oJ tweqNgd7RTTlVgFR9/azRbuiV1NgVnupJzJHPZ8ahH2iWh+35VwyOYEOA7QbMQjCZPgK9PyWW7IQ cB6/IfJLR8hXY9KtImTJAmUP+UbZQJK3XQmu/i0N6SU5MtGEVvQjvJ2uJYXVKjeaU3wDem62YtQJ bfTy7xs/RWSlANYZo3ErDjO67Kg28qqhutVHTi0cs8+vxuguFq2OMS3mQWzQ6VkPVjOtrnaYypRb YqN2FErfzhf7M/yPae7JNRH8ut+2L87yOVh3hOQONljdozBDwMRcE26n5kf3U6AK1NUJg2mDFjIz foJIe/k2+12Im0AuJJFPF6qsCF4V0jng2LWeiGIJsUBf7WNQ3paufU4GrrOTfSr3153we91iiOvp xhm1xuQ6vc8B9QB7VXibmhYr7z9Az24qOcZfT4zFBH4wIjPOvhJlYEyQrrZKo8dJKgbxlUJyULJX qDInsq9kaQgK/lEknA+3D6B9kpo0t4VLcRsc6e1LGt4tvxUjsIzXJuFboiRxIfjFH4LWlgC3NcA5 tci4ypPTsVeOttfT5MtulgQoWhnxdcW3sT7IyawiofCV3eBZ+/IDnU0FJfDERBIgd29CJV/Fup4B q7ade8HdJABMBPGPhLt6TNCFi3Eqk/16O9de8GGr525vlyywV+NTsQM4beG8aqlQZzpQssreT8Fs Ugos0s8nEG9nt7exUfXoT9cZ8TdChA2gwrDMeqJXGOyBBmhquCTFwYDdfqD3iA0RZEEhV0WClphb coXbJ0nhik5lhwHm0g1ZKFz1ByxmJcyxjKxN9tEzoiO0aLG9MQoDI5/ssJDqSSMMpOLW9sW7r0k8 x+Hr6KP1fsmcjIp5xsp4xcFq/hEohYdVl4fMoRDy6uKyvnOjvq+HFlH67cEihyi9BA75gUzs9jYD /H1UJu4AaErAqKwPBSduklKYYjW3j0T7acaxTt6rY67ZW17mmaJM/OQRwVAlb2nNmKdlaYKw7UVn j6vEc6ePzSI08PAKULPPcqNq9PgmmayvMFsah0ei89v4QJZHM4IO22G5Z6fX1S9NGXlJTXWHCRg/ hF5pNzNNs9sE1GZaZYTye+y2xLPICdLKNQmvagCmLhIsnA/pxwa7N7HjRl+UDNL9WSyR6ZBcVfPg UHl3p9J+RBG9bmeK0hT9AT1taiBedoILwHHoYEYtJ/b1exc89qGBc9HLds5QdNhkFImPwCxQwLs/ FyUuD+m0OWgneRZHtathDoDeOPpJzRDXTlV2X5DVXh70eFxmDjQD8DlyM9WkSAQ6vUSs0dDm6afJ ttFvWiW2fk/lX/gDFnFMYrsAig+aVGuYc82la7n0f4m93B+6Ta1K3wSbsyWO8EOjBCWI1xTtOhS+ 4GD8VodAHcPg3CcHob8FkQVrv1cFymYRy+BkvcskJkBpcg/8ZE1ItEpYzlEeqUdT7e4wwgKhuJBY 6fxH3GbzEW6O4+eERCsmNOemOcRNgvbhUPwHkOMhaitk23Sn/KFRQP8C+FJ+WkvqqQxDYdrCLrO1 8H5iG71iYLUdfXxIA+ZYwm+CLePgxmqSeKF1PeUFwMVb68ueuLrhbBIajUk+xnmvacbiF2WBt5rT kHeP8AIJB/4xbntISHL7kBr6nqwgJ0zCywoQIMmGQaJTtZWiNbwmRE3eYiCMMXc+k+2jYSpctzcA tPPFg8sIsDaRsaxbQbqZTuJYCyDPav2vCdPZBeHhdd3T6mzYyY3rPN129XJvJZIE+gMLoAaFN8ph ExS33nI4t/NSVEvllL+9KzXBsTUzq2yIPrQZFCkJGVOQIeHHsda+nFAnYnRP/4q4Kc1Nvj3zLVvb UGMUI3TGuXOhJu/V8cWUPFHAiT/C0kdWnoUWkuMHVOi2x8Mho8ZS+TDTcsacY81IhZ6DEXM+b4Ww 17C7/09kUkA6RXxVVzpEsbH2CSvxevv2w3yFptjpvxZu/SJNQiJKqREdbDTdOm3+NltK2cIuXRgI KpyrAhFAeZASJ0WS96H2JAbfJ4B8kDUbso+0VMRpSsPJ2Ik4dWrbYm0nK6A3qpPHvtDG48DfDnaM xNrvj8p43r4C1OnEHOdWRXbwY5HC4ao9VA3NsXp4mQjOtZpLxoqZI9gSYMuqui2m78PUxetz2Fsb H/s6hB/LaLDqSkqSykiHvw+KSEdQ3sihfo0H4K7qAsIpyK98zEWVDF9bbuxWzLlrmXXBJ3CA0k0E pO9rkoJ4iVYc++BRzVfUKpyVSvMR/rVLwNmX5xdAms2QTzWvlYWAXSxbNnLOHKIpRMowRaDCqvaG Ul05pkfpsNt6K8r3ioKmMThHFd2waqwxRS7xHlfxpN0G5psg1ubI6/j2OnJndFlb/ac/SPjmfW6O 2yaV5GHG4qB7SBKLz5dVQwtFzQkNdl+ar3qFFgHqEcmC8YzKYpvODfg5IPa/blmDw7/Cgrga3PLV n1ARVDOfuyXUI6mpJULSGSiNJWnrRvdmkHz+mv44mWGJptw7Ikiz2nGZaTfw/DSk40luKwep3SZa 6Q1DUVh5RWmuOtANPN7jM/9GhqQIcDhWQxPZcgkBsGvg9wrAK7Vkbnj1MQ60P5KAVoV0bWhCA0My aJ+1TZ9Z0djbZaGxSHv/j2TVGv98S7w+GXobukziN+czbdoz1JfYRWA9hpr4tYPwIBRCmTeEn3gg CQQUTkKq29BTAiPt23cMT4HlSAfd7RF13hItUZ46jcYXQobu/wJ8Xyc/lq65AKyN0mQcnNwQEexf snxKKlF8r5ITPxI6N+amCPAxa00ZdY8RA/UXDHitWpwJfplGKTmT/UKZVn3V4PCMnALb9SPh/tSB fhR+z/JRKzmwcSd3+askTvEab+2CUMpbCl5cNMAK7FY9A1NAGtcN0Zw0wWQfb8Umc+hGxCVOZq8o NVEwm4GwNNAb9lZ0SnG7Net6/cN1ilcQz2XIP96A0lOzwENJ97xG2widgmJ8jTZtc168PG11ZXJZ Pu+FmbwmLES0+3tvi6z5raM80RSmcUraKwq8FuhmWheZrEqX6l6fFHJiEGdPGc7EnBU450w2MX/j PUu4Q9+n7C3NrpEN+L4vKGztOgMiA0ceNAzGgVTTb9OzDwxr1uF9oNrpFki5NafpcsChTo572Of5 6JJF0LxUtdanrPy8hLDHG1OhRC5UHzY2JsbWMi/qfXGeVtf89D1kPQP2TAOrBkq+n3fSkCLwSAzb f+XlDZlaVIWmPxsNGVutGQAyymG+6+bya7PnCjBGYRvBg1GR0AO6rgSf/qLOj5bxZviQ2qbkS23O t/huakve6CjpdzDPj95YVSNzkS6SlzcdG5HsH2slQV+s8sWrKssMTNTYao6LdSLbavHIBoKdgygg 1flDfZbGVsC8BiCxlTs9nxJbW0b6I1snSh7eWNQ6cpGsiJJI4RjZEuWyTvDXWPjGfjhu4vb6blv3 tW22peoq8dtPla+Ysv0D7h7GwHOdenocqc+3DudCscsYOzevRtOEOJ9gMfgzaPM/RkNUP+2Ct/Vq V//sZ3+JCA5qccFsGQmk+wdvN/c0YDyk1qTbuRahJXCBovZPvC9QkzeIRSQWbJQmbg56ME/zFMFd SvHbQLjEfqEQxHtSM7JvhKlUG3iFtOMBOsuxC+wzS1zvhXWzpcBh3GEGFF6csbZHOY8cdPM72rBQ U7hjOv73ZHM7RxHzRg//lfhLdPFDvUFc7hoaQQzsl3wv2SSSgx6TftB/d9G6N9ga3+6Co2Zs8QmT iMCcAB2ehbgmURJ90FcdjcpInILQKMKouOWPcyFbb7srK8oP9y8hTIyWxkSjsFuKu9gyrW/Zmwug znjaFQ+aann0NDzjncg5+XHXOqPzW/Z/oBSY5/WZ55kXn8fA6eyh5p1PYTT1x7SMm2KhVAxQMRBw Jm7htQg7gijV8pSOQj7ZK2nsGFfYdWRxbJwhE1mI4J9ql6BUTl8XlPPetRLIPoyziqjrLI5A6Ub0 IviL6aEqOnefzN8pyVACr3oFl/HRqgMp+m+iOJ4e12gZnxWReQ5ZychmrnrK5P5ESoFoIqy89+l/ 1DjHHRPt1R81fso6CVn55hXjdNIZCd0MDAWMcw/5ekUPU9mXkgUJs3xL/DzXo828EEgUP+9+b+FQ EAJuc73vRCzl2TZJn8v+cpYRwkq1B3aGm2u1XB/w9zCnblnajn1VeTlw3tILUVirf0PO0z9/U0vm 1VtBlJOQyTmiku1AMaoJzgL6j0vSQF3O7hDi/BORnwzluXW4oHGKMcm3w/noSSRhZTilYgn5XWku 2SVa5T+V+L9rMqDe/qW7o0eKlGvqziYLI7Wa/vBOxdHrfFwWiaFBw4symXOo0q8kOeKzWBhtNsrG W2juMA2I4MfxMhtNbpU5Sdu4/LrFFFzw5rLzlzThxofFElA6sygl7xPmnwFj57noKeGS4ie2+OgY jNtCSaCtXJCFqwljR3ANscPfhmFuWZZ5KJeAIP9BfyzJuajXaIckmrXhMBWDY0T98nQhWFqEsusV uKMnp0DDwnRDqLxhekX/aFzsBP83/4MWV/F4gpPtX/ZcUuwViQxNESsFzAcLHWFqPYR7T3h9sxSt 5S6STnPS8KcRxPf/SuvdHpPEplTKseJsJ6Ny3S8s6juTNC5n70fLpb3Zk8DUlvQeavRm8lRZfkGZ 2D6ByDhpv5J5SS95+M/x1zhwPqMyaX7GYf26/JQx3zxRfDWQTkdjoShLI7ZJKSXALO7BZfRaXRth Bh7jQt2WE1DGqgWnFuDUuy4OpYts4hjMg1E4HfwAddSp438DeC34SR2lxMHMZDy5x3JZvDy8P2vE iua0neohvS6mIMbcuhPIJRF7gWqZxfTLQTbHFmz56IqiMP/fzzWNujj62O5E7O3Hngt6y0H3Nkm+ xLBgPWfYMzkwID+U6Auf53ThtEpnCLpYSxBi5yo0ylInR6HU8atQVLGAifGrnTXgTKNsf9+nahhy ftU9J905Sb9ax8Qp5mX8YX8YIdOxzs+UCaOzKc18j2uUGmWICbJE1CTkmqH54AFn4Btfj8fbW+9r ikaJQ19x6UeSZDTc4MioGaux4Q/tn427+KfryMeKMJNJn2mQy7pUgKDRgKoWqDnOqGfKpsY4VKAC Zhd/DhOjlWePR1SpYc1XPt4TpgPTbsTZCfBErdl35dOQ7Miyg+nCpH1GJnzXz4ZqeluUTIa0TX5Q ks2Z2fqRRTIL948QN6+xjb8MiVdQWWnSOpGWwTIbkV0JuABg6v/2C022EqjDED49kGA605x15tzX XRCw1TSnUtEPODd15dDjZlLYRd7d9Tig/aOyfrurQC9QRt1rXTqbZ7CWwCSDzvLjffZR1xugQ13g 2KRDs7F/UUw9T1OfLg+ESRBoXgJzvjINj1PIaRVDCO1lZC+1YL08c1pjNDctUQl70gr6WbsDbJws CcdPdCFkZVhvvyOHn9xTORHvv5Qk+nKMUz0LH/RG3fvgez5/xTAor1/DzUt0eGYDgG+7TfkTpSyk xfCe59Ky8RN2Qxlsc23ddEWyM2Km44aHjCiohw07E3g/strbxwQIE3wPx/GO56cFr9gYAvgJHxxW KPB30K8xHygDZFfZ16O7g49iUwxy/TJqGUjxpvCpr2ZBgVHojfgTicCmYUhorniXXqzbA1kOWxKZ W/sLz932PcbCCY0pvNyN+0N7GDnDZoZxhW2IHIDk8qsR0t9a2yhZC1pL+FfkBPYD17WkpkL+ihr6 IghqWHnMtUZWeO7vz3fIGccXnYJCU4fPdM7KIcNkWvOVd6+TtSbWyn4Q2g00L5/X19dyzmSwoX5g sO8dftAuqOse+EkFyvHMFRUeVesO/f4uJq6j/LyH0clg3of3i2GEcVsfNogYSfflF4KAMWs9JYHD Iuw1k5KX2bqgJrm9dB9tbr18ytoRFaZWkkrsf9XWx6hVS2keNa3UOd5X928eu0JzKe4BSMp8zxPq cYARlm4rM81Nv6vWADQTCYM7ygLr/9xhq74tbj+J7mIRuScBAzCUYo2DW7u3se9FIaFErTdFkYeY gYfznf0KORDWdMclSad39dB3aozL3JsXsu8Ywx7bHBePY4KJFha/qRql25uBWYuLDbHudKdIyb06 qQOy8xY/XvZoKEJHIUTWtESbzkcuzeagWFTiFqit5yhCf7NZBFfOYvJGDrUV3WSI3+emmCeDqyev CY4bTqBrDzzKyYwfMAxq0JXkt8uAIVZxX3yKgPA4Ua1SW/oj8aNrLamcsIHOkZocd3ijWEbt9P1l a5lUfdOLyvnFralgIIrPMc9hNixGOsuBc1diaZoMxd5ZKVucUKjnvsOQYlw+/qHRzYlpX6EDuWRW MCgjCAtw4xAWQxjvkeE9Nv1BXlJKlhT7tMZcwn85v+DJ0ey8WOtXsD39ADof0cA6FC/Q/bthS2uC xOvbS/nVCzj9C4lyKQypbuTXo3qpHwucJ1xIbuteEFZy+uc1oFRT5smC4bsyTKszNfyT1dmxi1Ss 3403xUOMvl5chfbGSDN8TNkDPoK+hrRazk7vjupnp8IVxlkhLqqu3/etwTqXUeEjVTc9e3RkwXOT tEBEgLbq8rtXdFfZ2GcWpC+mXiKlwnYgR60LY8F2motlqWL6lcu9UxWkcTIDb66EIt/RYdIdyXAU mMTMAN5A+/0Vofw2XqnfLbSyeEy1s1ZaG4tq4vjI8P6eT8IbawEze48JBmnuTQJrv7oaezCVtKlP Xh5lzuInvFOtGyw0LtdQ7UWvnR2PtlgeW5aAfRp9y8DmK3dzbVo3gKXi/ZiqOupsNcWaZkfO1xTd fJDpkJnp7D6K9BJz/np+vEzTBtQNL8kxwud2wcAU7UH3WU0X3aeXzuusjZix2L8yuQCePkU2PZZ7 kCPciAPiQnQQbq5OY89w+XrRcyK8+959j+fccu2Xm8i75Ce5DbsWSvnIZoe7jlORY221g8EcKAeE qIaHI+DGqf0bKEvOTnKY+rE1J/hpu1EufL4l9vqNVC7S+JAh0BDaT1kBToEqJpIvOpTdt0n/bB9k JFgR9OhVo+uc1GJ82GgHB5MmAmnMsNlNoltB8TJ7br8OfDrbSIwiB7drP9C74acO0IaolMlLkXJ0 +6W7t87VfHfccwiRcjK4bg7AEDxsGI9aZeEib9ObPZnxWPhInMYnwNsL/QW1lbUhBgs+/DnAeCzu Kyy0ycgzatu3ZW8nRwaVscGHnMB+MoqZC4tILSL/UEoum1BkyercNbx3KdcfaTfS81Ll8gHBku4x x3VDZrTahSE5WfbCMcg4HiORun8cD4AfSlnCZAt+PzTnlsX2Apig58B7CKNYymbGl9lAnzxomg7b 1+asXQxUQXCxBtE65NvpIfBvg657KMJurSZr1gr979sGHRBwYZxi4UfO5D+U3UD6gsKLnnOhBGko 7oeqEeR2yv1iAGDevSAeyeSm06nasL9gUpLvo03iJA2HwTP20ZCWF4m4sbQYYZhF0XZrvmHnm5p1 Wu41PLQY4YfVEVQV+FCtr8H2sBEVPHT1BtPWhG4UOgmw0hKgFeL+u2YzmzzX9Xq+gf0VX2yUjD9a BHnq1Sfwv7ejeZ9z/H0Dt7qqGqxP3Yl+blsyjxgwQxZIHRv0R5MOClx/JoyXwmUUxWyZJArIUyWT cSTNETCBjfuZ2iYc7ht5ZOuyZfxinlcj/GHGcs+f3r4iJaB2nMoZP0kewvpS1CFeT0kRAXLv/nXg +Mr6twnTp4kNyOgV+m1aUZ5AtHw6jIErldJuuisF6YL2bd90ynNMtvu3cWUDw7LgvWIAmWD+gSoQ RJ2Z/8AT+06Uy7+HBUsJ4RPrNooE8QjgbJUspR3+cPley9iIvjlugzHzMKCs9otAfrrTltKGVjhx P0I0PEY7Yh5bArqRUW87bUPmQ/U+FmTaxJyjddPxhP5oQaVJZZOGYoIaMQr82M2A7sqCCmvqEJRu opOVYNUP4n91U/8mzZmNN9Tn8RRpQqM0/sA+0SQRImd3YcKvNy1pfeW5qGfBDPNsSIHQ95JRDF0F 4GJi6rxKvHGWYHP1VaGwo0DVmZKG2hGkgy/XwG0nHwKZyGh32IkPkzbU2NcAR3gvSlG7Hj/fJkpM g6MQHkYII0XmLX5h/ovxoraFshjoCL8DBTwFQhSlMyfbZlsCu70FhYxZRBX/P8z8a9a6ZNZf2PY9 76vxh81OxzYVrap9yHgSxmGHHTKZa6Kq8bqTSoWZZF5qVdjmZPz5zSvcIF91sPG4Eb498ZoO5eaV KaYeEum0IK7Fnridwdyb+Cswy73ST/R/INp06tdtNu2+Xd3KDnCI9WiyVhQ7tKhppsLjFLoPGkqU rFqHuPV/lzv6jPHr/4clU4Yw+FIANKaoTVxY2wOBYvfj/MTKxA0gQS53ARyTu8ZLLg365If0JCgc bB/DwPwyCrqEqDETMNstbPF5pSP9Sg6wlPfPSdt9aJcsqD6f88iAn+IThvaNtNpkPgWI4Sk3aDhw IlO1ljkmpg0lSQ5TNQ9v//2PcJWUNCrQCmrRmPM/bUggOZYqTd0gtJygAu/CxOV2hu/pAunjpMTF 3K3RBTSEpXdVNBeSG9ZnNCTKuSK88QCgUIjc4R+EcoiQmz4TJeZN1NIzFytVY3VvKmFBRWcjZ/jo TnNkdO/V2BNH+Dkfcj3cQWoUuvBiD5uduSC4SrF+OyyWsqYZ9fGuzkOdXRTLQRkKVJIK0oWu2syF tlSIhxwXEcmZQcV9ItIzSWwPk6BSnC0bSwCCfrRHsPeqcCsa0Fiswn4/7CVGEd9TjzhMUa7rtJcl Y1Caef4TscjK0AFFZwnHp4g+iv+UCwOSGZcIDzV4CN9T0HIkTzPJIO7Iaya22kaNmL/Me6q9X75y l4CZgc6a0Xjn4jHJHYbYqKxalgzDtaQLRHtJ2ZS37jTP9Yg13kj+6/6mB0KsDO6hUdDfeA5eHuvE j2Lg12QFJG7oEDAHtQxG/5F7HH3oeFZfF048BwnuA59+toEZrKkczI12uMCgtteyWpRbbEr0i1Mz P1+hwNHij6c5ZeLEM8KwuqA6TlthyChTwnXg5i7IoB3BYoazZwXFBgR3VtN01dj8GxtM45SYcviy uBlW3z1xs1SCcluJGzmzbCbTEQ2QWpjyPH6SeZvY8nB2kqaTeuISPZOFspaWFvdTGIhZyB+DEhCR c+OQenMU1+FydXxv44U51R+wef3SvSptAxBiCuBDwX+k0p41BsYr5ws4TUKvp3RxZPMbhCzAHRL9 vx5UhU9WH9+7L91jX+57RvyhZkQ8nHfwBfmjkzNeBj7iKXdO53EVXXJHvzpeqNA3k34IuM2sAYFG +hl7FTEYt1OVRRwb2X/TK9Pidtw6UD6jE14MHHCHJmh4YSPuH+atIf+FxQScyARmNpw8piGNIQDF ZNwZGkt+5NIK387Ev0cDAd06FU4hDvSJy/QcL+gMyf89QdViz3O3wTKjtQM8Ji756rl7glIg8NIT 2ptpuPdSqOXaP3jM1vTI/JogA0chKejKlR4L9wqHjF5ttestNMvsQCjsGNYv5yGJ7yxI+7bCxWdT UiX/voZ/sIX+3CD8xvXQfRgBvZ5lj9OsKVY8IsFJFvVNV0YXNOafIHJu1ctbANFIpVc69QBuCfmM 1FTM5xWJ+599xRSxmaoJXV2XQKuIGY7fLm4OgT/zZMyw2PDqi14mISXecUAv9mjgDKlxgReCSTZf PupOMMH7l1/r5TJLxaylPilYb0vk34hGusXmnY+WV+pgfRJwdCilry3XrlHE1AjdG045MzP8jt53 5ZRqKoy+5AXksvQR0E9lD/sUqtjMQqmz9A4SGZKuPbQllzoeB6pQgM9H90gHRyaoRAUK0KdLcIra 4kiIWm2fvXR7rNDC6w5OctLFGI9auI51/BiPboKMtDaLIbJdyrld0DBzSBDXw9pfrguOqYfo+2FZ hgPMCGpZO4l7wLWoDWEmYbbpLYynhQTMzn0V7O3BP4QJU7sEEFNHdK1YZF/OW4YJjGYzWnBeh3ys NnmTD6H9mkUMKIR3+9l4bp0Fn0K41ChJ93P6QzEi5eQPVYVOj5mWNr23fhU5dxOt2cqTK1SJAjE/ TkOm7yWcN0vKTHVwbfsgSSJsM8tgXBiOiHDz/Xz3RaV1mZH6zRSVNBm26shhWF6Yj4MAKudP/JqX SULfM/GpwENQgNX1sp3N62Q1WNTCmTAGBnWvzoNJySyXvusCAAKXxQUr+sPKwAN8MmLmQ5t3lCs1 68l7ZQBDG74d+rdm9ERMolerHvPuGHZrpwzUhfkcwTE9xfZPC9wBKCtrkl0mDNtUGScKwwsVFRwV gyAg2QOu5rU9FLuYQW3wYRbSotxFADA5e64Pu1rCmv4knZukj0BX/i3jRejej6OzFMNRa/+zJo0k D7J6t5KQdohY5rOLC3Jaf4hYrM6oMD3Gxmv48tXa9CA+ZYu6b0IzxLzsD70HAKInEoZuA2V4T65i cDQgWCreFweFv0d9xSd6X7E8tFipm62WYackUJRpPSkTHr5/gf3DUimvvbl94kAxfglV34HmQXFr 4vwAfG+u3k2MTtTHep5GnF1xSwzeQfBodXNfuvvjYvoaEWpgNwYZG9Mvk72ffIYY4HmOepCsiJ4R I4+1KCdRcwHzg47FEUjt5l9BVR4FG9eBcCfhgah369mdw+Blz5XsDu8RX3cu1nrE2zfjcp8n/tYG 53bcE5mOUfoE75XMHojz5wXzelgGB9mhC1Wq3YzoHVgeJTY0zk3FCtVeI1EfRCDfb+CA88ufv+Hx /O2CplIMWONjxCRwmMcpMkww2RgxVmWmWbDrzP/vyAJUKzf+DBVMNRj32YgHUtKclCDF1QE1gE4y TJWvLStrBDr05+Ysl8eBEUcRw59uZqnD/vYwyEiSl3J4h/RTX03YMHLCTTp4WogybukP2JcPrg/F kgFgH+zTcECNGoXzM2bDAk1tmOg3Q62VKZJWiEOlhNPbzlC5hr9XCbu/wfcvSSw98ZG8671NEM61 h6zxDpI3nKENrSX4Vug6EYW0Y5kGVGgSOOVzNsmeTfoCiRa3nWleuXa3MX2DdpHZogkQe+5TJyWY WdkEsLPxUZtE5sjRgKH7lFW2jH4GvpcRNYxr2WhWG7VR2WorvAEf7R97+7ZCuaMex9+16RrR2lsN qGEqV/nChT2G0sVE6pw4YvLAibQpOL/CY7ctPfHc0WYToUWmyf938iTkUaFAlU4Ye9T3z++UQpWT gJv97zvAlkm0kGe1jbCZU05O571/0ml5KDiChGM/qrJyQu5A2TO2BIiTvbYh+5yQXqDv7z2wWyZh cmcWCwxXhLY7Ufo3C9Y0Rw0KwvC2ny4oTqZQ9rI1xJ8Svq1aXRnU7tCQQcR6BPsRsdrMUJB3Dszb o9jQ16SEzljZ7MOG55bqCl9QbIcOH0VxprRcZ6btjgo8MhqSvTyZBFBUC/e3w/UMIl4Jr06kNE1a el2FP/FgLImtL92KTUFKBn+EgS73WimGwb5evEPkuiOTYSbyj0rdRtqnlDlBSkfdiXoACg7p9m/q 0m7niCMDtrbntMYgdEH+209ScJgpIFbgb0IGWQqVYbRtSr+Qok0k43G3Gmv9lYVo2EfTAO8OiEgP AhEFFq73cGL0Lt5bJYDQeaHNihaCqWVcItHc+Sn/6MU88zmPgPrLqt9ODZmEOi7/DvVaB+I0rwfy 55usjsx+KIH8SvwY7wYzMdd73uudXqjerPjFdzNz0pwIweyByd1lybi91w9eidYTL8MzTBr2oYAV s7wa/z6fT9r1HsMmuQ3xe9fgvlshzahvPD5Ol7qBtIkAKGo7bFy9O0Ino3KYVb18Pzjp2UexeihC 9ET96G4USwBKUQV7dcz/eIvr0WXpAvXw2ScTdnkG1EiFQdPWHzOU8nJysvJwxGS2e16M6QRxDiKY V0BrA2RAAFZfDZumQeytiEFcz0FzONoPkOU78nK4BsRKqQfcuBoEdPQmc4F0LLCR6QieCK0vvGd3 mf+xVeoYwSr4sbz/QgdxnMF5nO3x7ByjumoJtdcAAEyoCwt/472xfCD6FkuWn2Ax0a9wZCmkN87U HmJEO/7ICbZ3ZRutY/86BqQdBLuSLNrGYX8CFIFOkzJJgr1U/OWtdZ5waO7nYiP8QpbxQZRdeHY1 +Ml5pWOY4uAcmcfg8bbgPS0dXCphFNNsJYnmFt6O+ZL31dvcBM4k8X09nZaEacAKYpqos61IJ6/U Nkx/dq1HTkxyd8ZpwXZNeaCizm7KxHo0mlIVFqUFFcp4selxwcaF4HXVx/Gs1vrarCKN8GHpZhu2 +nIfqdg7JfQM6WytYE+krvRIXHJDf1DpMvDvt2KczAOmpajUAcPfNSm6x91JQ5oNSdf9mQwlG487 xUYoaiNjMrizmM6Si08iKa5b4exmCzYyjLdrNmVdQ0HnhRlu0aEK07GcAWDP8bn/cNx5jzlPNzN1 qdAiYST5zzFc7HVUmz/SMA0YRNXlbkhh29p2pLLct3AmYPvWZBYG47EF+YJbxtVWn8kz2KeX5YoB KcUNlGwwMnqdhjVvfvBFw9Ws78XXMN7rHq6Z0S67ZABZxIxZ8MmzstlTHKFnjzZNR5TGgHa8HY8A D8r/OkQ6l1TyltHBKabsME9EzMTnyyu7b5g/DLGUi9rHw69pHa7dQlIXEEUxVJLWtm1ZuYR/bSYI KIi03bTi1ymNGzOS0TcldtOaXcPTqDd6JLQzOv/AVS3mQ+Ush+yQT6OMThO1nDomfETOF3BPvgRh sJf7jwqTZqywEZzIqQqxKNEvZQR1Nsu2OylmSFzf+cTdCvvvfSRWkNBp6EykmeYuutbiRM+0SieH He6H++7ZmIYVAnJOxA9vd/DOGqiKzxhQlxy1AGAX3KpYNpihYaCPHvkuHmjOcj4kr3kCHDnriY8E vZsxIxTa1KA//qb4n676vcbB8n5MPJfXItYzMPtd4nKwgTD56wm6uSgtgGId/floPqFlzv2JjWMF 4AFw6c9+GboMbNNhn8BbSPl9/6h9fuXPIMO17ElOrBwz491WF1K7YFak8ZiO955cuU90CwSlDWmE etHN8LI6tdhDFICIR5fowi9J1kFefYHD4HrKnvh7je5q8Nd+UkiCfotoDObaen1TvrkLHGHp+zxB 202EDxSZwza/HgRn5lQvFoI4j1D3hu0w5kkJbsshpY71EKxODBYgVjy2lvEVBGgVCjB799mQ//vm lisgQGsLd/OwP5FHb7WRFqFsLQVQ0Jj+pTxHFduXu1HnzhLpXXtS/Nr0Db43SkIFGPGVQ+pT3q0F iZ4dQHLX/gMc8GNRBbnOFxsgTI/9kJz7n+OHaIYZoWXqYAETpC05DT0Fw+muICNo4MvkgEL8Fl8j yUpxVNTuwuttd7GdJhJaQ54KvnnxGvM3nur2lUgCuR1j8JgGtaZVv3obmHMi6AWl9XyVQ5gZr5yt 9PxU2/yTmP75vVi1QTrvnHBLCdD+mIvIyDROART79IsH9LZfPC0jFsWD66rx/Ze1hGd5an03IK1b Ig72Z3JDTrupMfuJepk+RyYUUL9ZleD8hn3tDFVJvVfKSI4e6KbfTIHLdAhxUrLHQoJY2BXh4RM5 g7753tCxHjsuYId7y2CYV7KomDXDLW8aepQ3hcWrqFDUn6gFc2pj7DxBfl1P+eK129/V27wjjxaQ 0rZT9cLgvdb6iPKgOmlY6EL0uYiFeuoUZsYyWAf9qJes0FOQtNlFUn6k8Xw0V7v4xFiSXDQ6l7Ue H50Zsxf+5EEuRSgTMBh+ogihHzPHcWkt1TPXso+ktBDboVpzmES/Wa06W/RcHuERQLtHQYq9sxEO 4ZS76Lo+ZUG+yzN4TrYPFQKw4sK67ouwDMb3ce1B3GVLPul2U/yMq6A8nuJNBsco5Wv6NP1BvvjJ TDhoDVgnqD1dWfNJwddAvmZGhn1B8tyQ6tWYGBnNLE8tLGrU7l6Uo5W6lUQVJjLEFH+cvAgHnUS4 qwVUHGJGYXzpwDEYnmGxhTCHE5mBKEYbhLUGWnOVJTa/y39l0Y3w4scSOIPoeJf8HhTBcY4cGWbM HXJDpNZfc6KoBpx9PBC99BDSXkGBoUKOlZMENbzGaGvot87yPMhe+lh5EK58MwwqhrKsvgPnSqCg HicdE/qvAWvpRJs2yY3lfUU3Y6vRhpvRt0txKL5SKiqRI5b9hovOwt6s1cbfHwMlevH1Z3/z4EH8 5Maz5ivgkq9i8OOTvdw3lAD+8XDbv30P2U2AoIkkv0cmHk2iXRujpeigTqz5pWC++IZdIyQRbUeX 0bmUvR5bBLPCA9m9UdgDxlJb2thzBNQ1IbGHn/VI5UVu8yM64LQDX76SrtydQTeNFjFi338YWaqP ke981RIrZHmUOrVAzDsvB7kd7Jfy+h7euqz5sBpNUQRPWBOGl02hqW2cBBBcAK3rL3SWdIDTev4b llx/QBbUnLnYxTZczYJC4yPe8xEz2natv0Ju/RTjplc4mIxIyBIt4GLJVV7RXXqr46kTOWT5Qtn3 Ji66j8o9hpHgkAYX8mgCWIiZ9ZgyUp43ogCzYucX1E0B5hDKNCBG5tWEbAuPJ/00D848qoFK1S80 VK1YfcX4gY+dLqsAPt/DbOA3JJjjIfjglls6fzdnULICG5XY57qSrG3yAq/EtgDUSzcFmWjqJD5x mknOSCMLiW5oiWpjNrbgS7MafMzopUk+g9hXUMLVf42mzPxgyS3zWRIxoRK8vB26tNCbGTVDiXeD bsHMEWLlPHregIeY0iPRlW/Comryyh7mcoBjyfWe6AiVMe/k/kljhtTcgP5iMh8ZLzkRqT2At7mG cArX4vUzvJNKxYmybThx+1PHtH8VrIRJvShIpyWOxY1cKmw4YwJVpEgirw4ht6eo07/P5PBcKU1+ IZijoltwBW/jATnmaNHDLnzzxL6A18o8UfEJ2NR8GWJdHlQrKb/t9mWCEJtsvcsFkPLt+NzJ254Q O1eoV+0mBA8w9nruNZPDjl749BYcZiim1xISOm5i9CNieKaC9qSd9iQT6a+YEN6J0FWIXLx6f6/P /WVm4EIQkQxQff6vlPCoZEyj1ZjF0ooqcFdP5sUatsOHSUCetBPs7JmHTsCRzxCs/sGFagVWqUeM wW53YAuhPAdhCEM99zPN5/4Ee5mmuqdWP2t/rcI9RjaOo+r80EuDpPZz/v8rxWcs6YUzFDmL+0FH vEZkjrmoGBc8gMa3zx+U2SRx9OAU4onv2T9+9mEMTBdC9UqHYJHo3/x8DgcNdy4dWVfxZNs4Iyvg KzkTeZ8rwzSE0D6IgkDX87Ur6e6vYN0QqxA4FYXscUB5pf2hvW+JxlOqorDIApt+nuxmdnz2G0Tf ijEetPTDMhy/tCJTBMEneFlKn3i1UeWKd+Pt/9aC3BsHIg8jK0GXRSd6HiYShhQR3Lz10bGI6yOu WrrSw3uOPrkV3HSM6UNMyAX93+w0Qipxp+eaveDX2eHnYecN+HfOPCdWL3DwIsgxQE/E3fiDHE36 ynp3LZbuXoC5W4aQZI5HlABdAoeU/dfVIY/bNtxtDuOSFpwSx03lHCSyDz+zhe8TzXrbPpD1fXTB crruS4Z4BuV5uEl5BaG5Bhmz0huv7Px0wdShwWvNpxJLmuYw24dRcsg7YXBlTfPlc9bEyl1FLys4 DVBlOFl+thnDtT1aTxtqLS4rNqCJWVZ1qQudhfWNsCicE5bGxg0Cf+y3rhGYxuW2HlEoIQX0NrR7 8M+fJqHM5CCy8EaHLlUoTk/BgkYhD/kOp0uW/oD5R6WNYwB8lnOMJ72PH1IiGwE7A8N+c9gwI5QI WVAh1MeSZuHVyGoiYZQ4AydjhodgNqTEDrw2GVhaHqYRWIeJhsoDUM11tPUSSwRbj7t6aB+B+atn 36f2OCfVeuxvjSnbeTxd8ndI5fRoXGmWACYccAV+fzqdO+wsZlx0OUQ3kP3AsQ3Wp+aCNCoVTLES 0sQ/UollBZlB8U40OUM2NdNaUiLKX4gXEStN4PiHCCiq7/9ZwR3FGIC76M8ElqwDuZUQ8w/MLpu9 4gpmJ+18iS/CGX/1Dk/o1Oa1teCmkXhYIslV0jxs0N5BJAww0dbvSL+zBij6T/G9AanCT6hTXgsu 6hM33JMU/x+ZbhKiVDm4llAa8x/ldYqyhh8nGAsqBNdfN0oCEcaDn/3ctgoi+8IN2otRJzhvR9hZ iELR54LbvIHrVnPyQ4XLoMEnhpSYIN5IkKjhfSrQuA0WlKL3sekk7HR6OLKBd0Tazb7dZRUljfzt 0i/eD56auBwbtCijbAEwM7oAm2eheSk7BifP5CQ1jiEMTAQLeBmjikeW5lF94Xio0IxKd3yhpZDb sq3kVMiTzmaGHMHEpXyiG114Pe7MBPSRyjvMswKbZqttSOx03li7tssKK+ixzsjmCTNMXhaohGZv AXrz3DVvuHPUpaiTXjnMWDugLzzXrwCAV04aZg/P57bK9HmrF7ngPNJPwNNZnQPmIctsDzQZhy+t yBlidpw3DksXm6mKpavFDzGpfIFToKYV24XlfqCZmeBzzwNHotCmiln3yyJIity5S9+i4xb+uGMh sD9i00dgJVKmtnc6lpBJZGoWMRXLkIksQ+AXOlbcAVw7sslWzpfnwYlmkR2ea34+PCi9IsqHGnky +G738X7TdRIdvWf/mmRv5hP/qvU6oMblngqfAyeFPV8+CCBPg0dDFVWiOnNBrnI3Ur3pL7i6dcxM AcI7+brcwU7OkToygl+ZxcVzLgrefWxf3u9VTWD5n1GTSoxg5+Of6fTKvTWgeArhk1RzDLhjFVzj Izs5KVODqZO+KqpDofSKms0ylVtYIsfMCl4oUsncoCdccD0VuWu0hiI3ZhMrqW0c2iQ1XaNjyREx wJXbIWlY9veL2hchrwzfGgHMvf3ws+KOnF+nXbG3Oeh4U6aGj9Oy+ZFx2nV8j3rq0NCETQ1cjnA/ SDihxKOJHsMkdQYvYzfJHMW3hztIPmCDMOLJVv+MjO8iyRj6zWzBG3mzXuRtN9/C6k9ZTbnLAjLY E2k2xry7DCW1ygxd4T/VwYTzImTamEZYFbdZOJjJMw8PhQoGx7YMQid+ZtmzEe5d5IaVQwEaYPmE jCCFfBCc2Cg44r6wY9TUoQWScm9rXPFJu0hzqCrQT0/NUNFTzy/GgJEewKufuRt4a2y3RCqTkZTu jbb0K2GUDQdVsIzOgwRCDhEvwAUY9Wft7j09H/xfl53+OaQUclq44YXCSxOqk65VxZFCjAYBO1T6 PUkjly6U1kMgJ5pKZzJclenjLYWXaxSK3NmbaMGINnY6bGMLqjtkcrCKESlNdk1ZpEFuuXC828cb XH648AvF0du5wgUfvUGArgwXXg1lgedkm7JtDqbP6s3MMzIYujSwg1hsLW3PGAc8SG4QB+ggLFG3 5lJTdAZsxwfb8mrKX52IFshEVSqJaUJ4SRtrewIaev5XdzULnWTQ3RvXAZ8ZV0RWo6POUaSWvDrh cvtqgPh80ZBD2Z6vwOMsuRrUmfOPZEQnIqd65YZ/tLnoJ0K6xY/HSsCGaWc19tL0k1ekuTG2gVhb kkH55lP3mJozlPIKoHpdOChEKujfXSmqW8zhTzKGZ6cxQGZqtNgsV6RfeHAJlmJusudUowG8iku9 hQWd7cxMFv3dhbNH8cpcuYWBxMlQC9jxKeQYLR5S2B08uvHaBP9GBNmONECSDyY0fsCkz33H4Yil j9HDNz+FfjIGI177ZPSpYWZepEl7vdaPYa6KyofBG46bgBm/1/VpPpN6DyduM2V83W7oYTVS2pHC roq/kV28qERDg+4/TME8VScGzs0yRY9peqgVg+oNiuEORYfhrCz87rBckSSjShPTzo+rN/2HfQi3 hbBobfgliGbAm0Q3HZJRk3tqUU3vL3DA1KRLXYT0gCM8WVF7aFdqD6QsdDzQpFkjvHI95UVlED3C Cld3Zn8GdAzG/X9T07etzVZkKYW6vNwznq2vaXFAvjFHIt/G88I0O8XND/MpIPNKgsgWS6uBACsb efWaJCIZItoS4PysianAvtrwimI5KuBba4gdVWib2ZqmrKgOkMGinoxC0oMIeGTr8K95MrnLyvlO sJGY0fyGy14jmSdav1jiAkwn7edAFdwwxbgHmmkvFbRwixccZGUrW65SLjprkgNe4RQrMucNt1/e o1jE8RApAJ5SEe53y9aaXZL1hmRXtPLun+IXVc933dpoRz0U8TkJLU3fgaEKPXALy2orGRY8iRQa G1WBsSSp4wESQ9hH9kbBiL8se2QX8HZYzhX/rBRGHAzND6gWCOW6/0KtpXO/Ai9d6XHN9iQ1L7XR wq5e/eA+trheNI+Co35F3xzBuQhMwzzHQwSNEDTA9BhVlBd6wQaKx6CfHZiu13UNKCWRWowAZqEo BuyPRSH78BWoswNIPlyNkGbBjbQ5wtunMpxWFkNj3eDoZ6maGeSWwBnOCFHTuszowLnnf1/h/1sK a1j/Ho+7WIAwEi3KGaGGeWhRIqWy+fbI0xdExPlL5qJTUXxf/wegabj2I7rC7urizhh4uR4XCREr Cuvw5Zz4T6tflMc6aB3Na7rJmjmyog4A+88ms/CsYrkvWfrKod8kcKfrB85brz3qIIBkUcuIOkNj FAS42Exz3f08iNuCaoT8hChqjrM422uSm1sQwi6oBlODm54IMAAMUVK9RYZZ1oMCy4wumA9V5bZ0 cLeff2T5Hburgvcp64KlC8NFI0FD1r8Lebmmb2eG/t+Q+5afmh6ARv23pzaqhQNTs/dM51ZmqmjG NN4Cy8IcrZVMZ/5vrCRnC0IFQ8rGhkZ4Ize53MALT2C6ioFE6Lc0Yhqz2uLfl7L0BnFsWlMqCv53 gx4J0xRWkM9CraEsMnUxdTYQuF6sQE9gzIeT89tSl+4OxFAAz+1/ggaGzztYFVCDLeJtyPRa2Qi7 7EM9fh4MPSNokYcpvpktI3O4/2ZU2fhhuS0006pPQCZG1Iey5WG8HbPmpibP/ohAuMrPs5PVQlDH Hst3fb6CYh0s/Vl/FaPMe9Spfdq1dzDRVsUk9JxigckbOidM+cCECAOY2j3ILoJvfzRou9AJ8DgH uqLb7JLlPj/DFdEOw3due/rBNkGvi7atvMj1fJnC7TofpA0zOc3FBEtVMDPxDtsy6r0DuO+4s6sl yLEokEMTxFJxWbsJNT9z/smuljmuGS9FS2FgzDgvfqgepVXA9TZxDc4ZXQaPNtKA67KT3iw1lHO9 gmoon1jWt/UbdWnk1jFSboNrfPr4pLyrF1x+rOVeXmZV8lnAn4ZcCzdb55jLuIy6I9jet4ucrA7i 5OSgJ07P/Ql5ZM/ThdJgzpLHnA7YJISVvE/lZCKDuYtDKAEURLvTZHPpbMGGCk6wM+XU+IL/XLkm njkmAbSoG4hRBQKcuzAq4t/NTdNDrlRAPmI5dKdqyZC80P98I5uRZWjaXz7ViaXSNZ91yp23remp XfYAKxHa0ApyvoDzPaHwVWwwyKBvP9zYAL+jqGqwUqv4ITxc8rtZ0VDyfr3wVOyMrPC9/kqAYwl7 MWD+hgFcucumw203twORR6w/WdK/3aloAJBZ0IpDktlBoDoxPMOzs3420RWzbAChk4mUVkjkdJrq OUrUpWlq8QbvulapoGYqOaWcFSEhBg9XAvhkLY96nd7Na722HK2t8aB2AXeY9BGZtdud4CiZa94n 38g0apjP2BruTbWi2AQYnVcXKQrqGrbu+iMajDEw7ApxEGfHhF5KAjUJQmPPzvupfuHMBP8Y0F9+ 3gIrUT6btAENPMVTFx+RgHeWM2uKEJimu/9LCN6LVCqfX/itVZHl7yMOKSwlgj/2sYW2WuEa8F24 gCs9nxAKHA8a/BYcvMwotE2AZ9F2+4NY98+49n3NMhf+vMfTHLYYAarUzUoBj/ObK+BRz58mGTNb ybTp6wCL2j7hni76JB7KHpJgFVUMb77npmZOID01lt1eePnGJ/zOjul9V3fWYosCV4knuNfvMw/+ IyIT/H03slMboEw2BsBdo9SY4Q5ZavCJHrTIAunnBko52wZQYFLoFMV3kHAM48sFVLtnz1ftlXGz BqU8qfs1L6Lju8xiXSLDxR7bdoQrHy79Bgux/47G0Fq/V5CII2s6Us1XW+e3ZQcFxtxTHdYxPBaK q94W12U08m1Ei5YiCwzaklERW9W7IRbySPMoroNQzQfOMV4PiYlnTeXkYr+jYuYBYd4Ak+bRZkNM HpSjH+OBaZqN3VLqFRu7V8ZPJ4DdtKqUGMgrzHwMqQEzMICd7XdgBGDFcjQaAfEQWA48ra8ufPUn vbf6RSAsBhY/0bBqkPDdUwGWuGNygnwbKqSO1Ie5AiYjMcSHGeFWiZTyWfx/GqaP17ac0MZ8XYKG pRmDoYwB8gDrTnPDNre/tHA1tsZYtt5WpnlLHNGfKNOrQM3kNUnyH9dICY86V8+s7NNIV/S7JT4p ti4yNSW546iNQBRtpwPSs8jfa7I+vj6n7Nmq47UMcxjZyfoV0K5yOH3nPk87og+gXE+PZuqHkgf7 IST28maLd38E3ToQHZSnix8snaThx/R5wbZWOzSelRx8BQhH+1cN03rT77I43sk6+33qmCN4FxcQ /Rpo2RqZ5VRg7FO89eNHyGB3NKBWY8f43X9cupSaUya1hm1Ezr03VwjkArlkXgSylbWGaz6a2VI5 Ekqg4dvKjupNaGs9jfeDkaT1NJtmDSSspBnD6iAhFaTUzKrCxnc1tpcZxqZFbDKlGoavF/60u6ql VpTfipMMaajvxYWJ9A03YG6YdZXpQL/gjwhMwsWhO7/PN3bQBLFRHUuKkocrnQAwrglTD3pCMe+j eYSr5bmJu7L32sa0nYvgLuAKtqWAhUDUVEBFq3Nix78PfEmb8GUAzqsA91jepxh3x4fLpfoZDS8y 4JjBqtD1oEmcIptnV7O/EWtIHYAFq0PSYoq1/RusMBuoDvOtb41sAwemD5JGxEO6sRqzT2qP+0ZI CzgNBMGRQOh7NHz0tIeElN3rLOoTrIQc6ms4tglmLa68kiL8tofmVALz/vA7NIq1HFU9cLYjWkPo FXBIugclB5cBP663NV4mFxXlRo5H70jEqu1rMQ4ajcRE2c/xcDUlDETuO+YK88d8+BQCMJvgRLEI CMrW3YfMr153tFZRaUlu8trt22kH+OeYuOBjagfwtrW66LK4mr89PtTfkMyWPIDAFgYcJFNYZvDZ XaMW1bpCISTpgTaOWTN5rcKifywYbb0bu0OypXzno1mZ7B9juaxRupbA9hSRwrQB14OBlHj5FhKu EtJHF3Fav8LAf6MzxergkKV09uK3xcmX1JlRE2FDTFMGTzXRYFhlcPxOx+QMUSk+vqwvGXHPlrND su570eek4z1W44Rzs9aEDsZgLOEhJL3lx1S01gxdKKHHNlAg6p2Zvp9z4wX8YGYT7XsD86HvKJg8 LbPoPvSUAShmEbHqv3tUjORVRwYo7rXHMFRyoksUvekRV1psQCdSc/PTnlEqkzhUjhummyueQ7Ha zmH8dzW/9LEL3+IvMW6Xp7c0bO4nVyIBFDRt8nM+piHfJ10H/8RB9aGyA0d25nBB7DYDSAvVNQka WmwTlyj9JrH2gHnQg8RwquVfmM0595UGRw9NXnHvHP0f0jjEFSUCPFPhmVcLYkAd6whvA13UjVPn e/ftYCqkgwb4ByscN4BTIjR5JMEhMxWqmx9HVqwl2nICqh5/ychLtJ8ZEk59rnofqiBdf15kVuRb k+Q6Psuou6JdUD4pPdjE+K/9kjiJincD+55rprQvZBqiBjN4QmUo1VPC6qrZrEnvGq2C9o04pVZU F71319nCb0Qfcj7habNf651dOdPXoUjoWNsJSk5WumEivT6/N1g73MOPGCFmEmBvlPTvGwcmXvfy 7KDWdO4/1JH1ua5SX87xVX+XiEZYsjKJmkOrRgXugJDtEwHM68Z9vHPFeLlKIB0hTuolUlRIlCcZ m7Ao8zW2bLKc3d+3zLu9yTF7yTL7Sh//rYcSOaOqkno/hW0xPrv+YQzSmDVzk7aS+VwopJ8+YJFI rKgUcd1Mmk66KEnBwUGkvO7+is7K/JjqhBTLCKtQiIL020SsfYtEEiXnj477nl+yP3aGjl+81MsH IHCZ2gUuQwGX7l/7kDNgbYLqXu6ajftb9M/xfoFBZNTf+TAUssLoI3Ubxnq7YhSpy42qPua0qM4+ GtxS35p/jLV+PFYq4QoVcfRhUZ8ZP6hMMwCvwldzKlmO5OmQPE47OEdakuZ9lV6Q6X2qV8pHCDEH M2m3vvhz4VmxWofCFvb6VT21SzG/LdkDTXrPL0TFCF/WP1U2YWDXg7ymj4gf+J0+McqZ3qTEnEee oVCiReaISMrbXqVi77Ad5mphlVzuudmISe6RwcqlLpSOhsIsvpYPOeXVa//waapQX33OHaBtrrzw PqZzaWFvVfg11HrmIs7iKuGx5OtR2ua2rFwDna/FMb2ExThFL8+vb8x3N0YDjmIt/3BJ80IgJh69 IG2CYVucejz8/q/GdMUvWfo8RgOZZF6DSGv3hgdJqcFvlcWzJDoTaXGppAJvH0F1zYVE/fTmJajw cOmlwxKtEoHGMM/3yMu0F/N502EB//Tze5ZbswW9hG+j0ADAQUBbDtn46u40PfglkHzbxr8H68jw KgTP1wM3/9IJp/Fw5xicmbsecOjSXRUgrYOmYEhjaWLn3heIkYinjx/8QElloIMDJfPkfBHvzIa+ G8a1iLS7RhvS2uT4lGGrtm/QcSsxnnhLWv4C7WSxs6Q0NZFxr7LW+liXTHJGtWb9KrP9HN+Yc6jL TKdGVZHkpa1aHtpEsgwWElQN7yHb0w1W+2M+jl5BbvBRGE04iUqr1PeOKllVvHAJNcB3Oaq7NmuT Z4I9fxby+PTFV+vg6Pq8Nv4XZTxuLi6rgbQRZhfszZJJLOo1Kjz0YDoBJXGnur/5aWW3mdvU9kdE 8fmLJHTtopRxJgaNEeAwKkrw40lwhQISLeB9B87sR/y67xVHjpxoWh8M2C3y64+V6W1UDROB3yYA yLz7KrjrhR6kC66R+KyE1OIZPWxtpCioHQKsTyHqqPNxDHDXv3rFjSmk2Zm5bHA7Vj1PgIqaFWd2 4NKMpDPBsFQIV1ORI5k4Qo9OHe139fdcJn9pBaAkuD/1mTBFeqy5BUPnUFSlqEkKguDH8TQiRDhO v6NPxSw8hb3pW32MoMy8UfPZIO6Fum/tKOBVozcL/hW4zqrhS3ejoXRyjm0LbZZKUoHvThTMeBm3 mz96JO93Pl/OPck/pNrw5oKBZbTPtMqn/JbXMc0SH5d2h6dY/WqHvcVqE5hlue2vdOXUPQKoJv/j NoF8Ej1QnZn+uNEj17Y3JXHLJ2s59Bewfn7u0MjQRzkFwOXBf55daRxiMUhFUfWEjW2J6FzJjBx0 ZZZ3QttEVtUWodB3+I209q7crVgiErNyk4EqCNozVh12BKu6veRpdZbkSYlmWCuhMr4hORbvPr/3 gLzPnTmHxMo2YMDuqn5UELaeAAf8eJUoJG1KZ4daol9NubDD/krnmNsIUZqQxwqaJ+s+Tir3naIC HhC2a9dASEpFzPbjgi26b9RfAtHc/EfrMqFv+nIAwWFgAZNP4qbbXdhNhSIcRkqam/YjP2X/68Cb K/xxMgwi/YZh0UnusVH8aH4NPp/tMVgrYp0vVnt0uW3YlMjZWJbaLknk4qLCuapW50o99lr2/ixU 0mefYfAdy7vGsadIm3zZH3q/WVAJGKYrGSCRRC9iVbxwSSS9hkUYXNXwKTXWLHbosmrD21w4M7g3 fPI7lpdaRiYMZaQudEgp0EKo1IOgHTjhJDWT4zjPRk3lZUKiS4PqY3HnJpzQEbpXbUw9IhELqEox cilap0EFB5EAxSZqRiwPIvW1qXwJqwpNeUBBQuofpkCkdxbz0057VVke6toayMJEMfljewE/Wyer ASVxvAKOmN9q4NGcmpLMCTpfr9mq3KM/n2PrbFhIoS2yWuo01oKMJ3P1zn+KIpidAXMpcablwJ81 BNZaADUHZApTyGuPA0ViFok1O5fn9g+ROi/3FErV8Ejy/IiM7xh5MJFQh8XK/PPY6qvKfg0M37U2 GqM7JPPLJXmASr0zLfhlI3F4mqmg5RgOr885ZcIG/aEViTVYCEST7pU4XxY4Bxr46cDiM4+R6z58 l6YpprqsYMBebNGsbRylYTdV8I4wP2TYQfU7EtF+DN6AhMHzE92KhI4m/G+1n7Rv5HwFgiqVSA3j +T9ulq06XUo6SUAmIUuxH+QuONjFdkzdE/NvtrE//RwZBZvAaJ/SxOdTpc1xtjG8recyIW6paHt/ zfHIpQMwiQuaW2tzBEkzCuogJx0rHN5Z0XAGfHookEH+KbsQPloE5NnVBxXyo4asjgTIf1aq2czJ Yrg3uwo4tcGrmRZxjGjqQp8rD8aE2nANnn4CRlKOpTrmlygPSEH9Eau4msd0fPwsnTQpnUNmm3M1 SKg/Ad7seLjsZ4ZS+IfQ5mqIqz/lmxJG5VX1JZ/9LL0Ik+zeKIeh3I5+Q615fMdGHpginxjxRLsS p77B2tX+9PqrqwyBHe7E6VjJVK0N0FlrpbesPxbs/iTaeSdhAbDQHJj9SPtjXiymYK6+egb4l2SH aD1gwelmFWNd1Q/xfKRCl4z/atPqPy7KTAXVK8OdNJx/CPLdgY7wMxNIPYpCVYCea6fn/NjSJUOy yfa7+ER8tJPVkhGvUkHnvycOtKgm3S+Ao+yEU7xbSWyourKOuHI49sqxkdL5toXV4SzZiHUW1hyY aCpHkQA23jXR0m/NIrYa1WLx4D2xzhMHxAsUr3yX/MWVtT/IqSmb+FQtijOR/nfPHrHf000f+174 uu1l1IgoWt4w8V64rZpVuVmwZ5nSL8TiL7twRH3PoC/nh9+XMr1kRybJZvBZytDIjUSyUYwWF63r 1Jzg3YvbCQaQ97PFPOWtGf+EoCer/7op6lstw7O1QDxCSIPoygIxJabf/Bwq64AYd+UpGnavovzp uQxsx/QjodRWCYn6sxrZU8CNtj//bJ37qpdp1iyljCMYvNDuF7QixfUN4WR9c06xq1uZH9YB4ucv VAWleNf1spNbBFixdJC3UVPx9HkRfLWoWvEqtXsOhCemEJNe10dgDHFjPTwHe+R+KJ3UJBYR7Kbw 5iWf/TBsppHyijXHPk2vzZMR6nzPzT/h4SyVFREFDV25F1UKJQd4N9eHiqMY+wY0deytfz3Yof86 lAYugWlhd5Qpi2qYQe6NA9Q5I2g+dHtbNhraQmGTn5w3XAQ0GIY3IfJHb7UmVvEAl9WYVu2cAeSf Wn+uLbL4AcdDXpe7HNvO0eqHYcSOlQYJF//nw5IklB2Fz7kasfA8YfzAyLImXezDeW1En/+Lzc8I AljItzEPFJ+6XArcvYnT2YhVMtW3ZnRq4mCbx/hC3emEpEhzABTeLfOJmDWfQYPM866m7FenNvUF /E0LFvviQRsQjmlRu9165W/evxJKhp1PPcM2h1ZexgesNQNvbAn3wKkq5j14KrK3+rwfZ0SuM/RG Sw5QHeFrUcubb5+VwDOYY95S7zjs/iL+v/9t88C/ro1i/XlIj4D8RUfYiTf3Q9g18Ia1D+BMfNCO /+LtN+vQy4kKrngPuwPeQ5/W2Y9QtlPVMaxc3f6FAHJK31yVGbMBNohZOteA8hA++bOR7MELyOMP VPmAxPOgyIVhIMO516370Mr/4mrGaR/MVR8qH9Jr/BLN+ulaAca74/kPKwBnKrJFu51bGDesAX1G P7T6WwfNLtw0jMArWYbJ6dT7oeNLU/BEY4djzFkjQ7XUyJvdnYHHKDBfiSJhH81FsttCKw0bDlVL lTP8IUKdRGvqi1TSGsYLiuJVRmYMQUvFoGdjze3V7bobJqFc1jFgWITQ6NNxxJ5JKEOzr7Hjbzk2 rXCHyZVLwMZoiJK7646UMW/WHaVQzYtvfja4lCS9APeQpkSsYJPuepkzbv69HXNp5W9C8vUM48lU 344GdThI6ZOsx+zvZvjr5O2hv/CPbFPalwe9IVC7WHi9SC5f5GJpCP49rhtojNOsZ31RR5jhweHb fSUfKbQJhhCBp4Mhf456aN1f4uuldq6Lkqqj3xfmQT2etWjQPhSfQQmX8D84Pwuw6Ne23ZZHJsSJ d1GSS3spBDwwrmBb4d6Quhk5ouzqrifcPss57+fwOsNxd9FEyEiC9zHi/Wjgp+vvf43h5g+UpaWR Bn8f1Any2qTL3gq/6KEkt5e6vNE0qGb5TXcaY+lRQez0yylR+cqiqcyHraGnwNJdkSbFcxZphC9G f5DtrahRDC/ctj0ksOvyksNE2SB3CUBx5nZbEdML6zF1Ut7krLX/H2hhPb1Tlsd7ABOuREW8Eyv2 XoiN8xpBhO3/yH+9LK67XyZjNPqSRoidVeeD5j43cBnIl/xmx1FrvtQPxvbTVdEzyE1/wVMGLkYM dvEkT5vEG0E/e5dFkOXXqUJ99CdfXJhrK53Kz2FLOLQikKU7Jm6lJ9YvppZfHKulBjZfeKgGoim7 um3Udi/UJbAIagX+QLRx4ObJklwcjGtK/7RduxF863EZxGNp8DlWvqdeUoCz5X2XIkhQBCBUG0Jp V/aluapfXcHgnKMMIXDLp037+Vtc5wU+yYfV78bku1oDY5NSgmTaM3NbjpD0b0irsxjAQF7L61SG lF9L0BQToPmRBOtSZEqerOAhdLwpzBEOUkb6f8+n1oxgT+yh6obz0OuMZfcoIskU60F3by/XzsCT lQtmoetWVp9vEI981iKaKkuSUgYFfQo+TBLP4YnSe1/1k0TXmlfpUOAhVy6EDMdk67AcPVGT+62T ckqsZuYag1rlZaPZtzOyqF71RaBZJgKeTsQ3nJtlXvl+C/r8rpK0sdPXOuVRaTlZapv278c8z9ZS eMqDyyj4YsfRzpCHGtwD3U0LR7XpZVHxqkprjTkKFBN+Ij2/ocpOaAmInYRkQKVPoSH4MrXDjRBW KauuQ3imBjdvTtPkDXQVF8DajeyUSNAQfQEFDbLPDx+t4892ELGH8DGGoKxESJ/DbRHdlMNx8VOf uwOHOYgVjx1gTKK/zvj701scrVxC3R4S0kgJW/WS9+C4R7N2TOiME8J4jFyxepU30v6VJSyVLJp0 XIRZydBvi/bau2eAQLbvKLHoT9CXWUCbYaIjCD1HxDkE63kXwuTz/VDN05553uNeEL+rQwSPvQX0 v3HdUeo1A+2O7QOJG+4dMlTEqGguWuB8SRs+PkBQj85SpZdbPBKeItHhMNT6M1IJOGl6oXzQoM+F suW8Iu3msxYAV7cKxUATHf724qPGm6qo+t0jvwr5NtPnRXN9hfUJZiKZXGefWEdE3P0otDg2B6G0 RSBKYbUQDPw8EYOOTqZW7yXoe9j/G8d2B/PCa8cvCeySXHLwAXgqJcSq5NMbvOMNhXSs3gHkLRC8 3v8TSUOpYNS6gb5k4354S7fF0ZZgnM/fHHP5+yQta5SIKjZlXx+bjzVstZU0q3WBYU4WK3wA0Tqr x+fTOYmVC2jSg1bg7OH7xsOnCM909OIYfY4VAn2zNck9gD/E5f1hscG/N1XrYFM4qUaIbDawX1fX l4RlRQfFv3k0ZCYQe7ugPPxKkWsOKeeUBHZIR/cyv9RTJS4TFhqbscL5GpMBPMFosM9hLZVjIT36 USHpWHwLTLl1XXMBwKwy31Niolpwq87QN+BbqjKfEgdQkZ+f8dvOrqoG7KDiMOS6G/a7USL7azPr mkmEz38ZW1E4NMEYhRnZbg6NbEAL4QuZCW/RRhAri4swbZkAlEAmD2umuy/SWX7t2pvU5hFFo8o1 CcM8b+wYvzweOtAtOUo0Ux0FcdOiOR1QN+btD3pPUZ+71yKbpjBoUSasXqrXZcNOkTV1r5abP1gK qUDxzAWxxCySRrraUZpw2N7wqa566DudbNGvddiYMsPQ5rcFyzx6ERGPUw3pXWG3/gB1YeKzcDaS PcHQsxkzvFMpiCAjK/7lW7KKnsyKQBuLd1eaXuurosHbIkct7DzSN0OcJNjRTXiHSNsrFw1D0Z2K amGrpbNMj5p8HA82DbV4APtM9ikB7PTvMUCJO/Gn9BJd7FTrW3+4U0tzntssCpQxrKSk3zFampMX yYJ+ZH5uOU1/CX8niYpqNnH40hR2OFFW31ThHcxXVHOCc08ekDAddb5g4NeYUOA9ihADf4UdA6Qn kb28yogTrjCa9zDUANT2kArVUpOyjn8D/jNwFhQBL97vFHIcIciDvbpf3Y0s/iCqNTG+FZH56+X4 jkkxXBS4zUL7Fi/JDoz5THYcVBYAGfYPBDldiCf1VGSaPXvJruAA9pLNpoLt0bO/jkgxe6bT6sSD noBM/6QdPPNkAiKWECMP19uj+00BV8KkhURDbXBH2wFnjv26AP4oofFQhwVv/tOeyDAxTUB6jX68 DzvRJOoAuIw0szaPKANrk106ddXC1JYdDrp2t8R0ybAp5KU0vpdm7+L3d1Zw1s/xRZvAOUmqdRiO uJ5qvQdPUKuY2twyc3PxwaOhpWh7lPUXyVTyA3MvgRl+mEEraTlLUuZtuBHMWaOOLZ/kQHjV/jLv a3TLibrURN0Uy7/7fgWECPOLxKvXfA35niYdWaoiDIfPmFUntCG6SWGRCoxG/NV+gJPCti/Pufgn 0cRZlfelYqoENc5dAZAfn0Y41OMXL8r5JmRteM/i3e1x2lPtphfTCo24BNJWl1Wi0bEwMBqmKtH6 yRqVVwFCRH75zTULLrfWpfxPBUpTWes3+oSSAahjNFdpyPR08dGr1xC3BGaQZom1AUDThjJD88Jt yBg5tJLXUyTmAVimsmKXE6OT/3Fo/iZPJ2eq8bOwjzBjWdqVbhFIJv1kg2Lnul6As/vI+4fr0MvU Z40Ps6XCRZy/LUon2lWpZlW4CtJO7jQUHdi4xm43bfCECr7YYfSaBHebHFJ0TybZ/7w9bS2D7V/y wbfcpUFZoywJd+ewdL0jlEuOhk9qLDB1G1T1D+aZEtd2DWJkSy7dDmgbxOdPcwFPPS15ORf26YuE 21w+dECrtZlHRW9sze6zqte8O+n5/NQLbLiQNAP4ZnzVwIEhyfMqZhhXZDMuruFQjcBfGB5bRNKA dbkwElQYocxeRLxogskwT6AfjmCS9IeAkvJxVs8G+TXzloLVP93uJQUCS1jWFvVSeU3vn4kQjSoX zi3Xq1Thx73qa7ThhvTN64aq9JS82sSvSFv67CRJ3ao/HPy4w3NuBfKhT9zHXIU1hAuNbSd+BzPg FoGT7SI/TNDJ18pymOO/7eO1a6ozUppy5z2Wy7K/+H/ZgHD6pHVGB0PnIkd9thp7HZ+avPa7kLlV PrsKJS9qgR/CpRrdQN8t9qyb6gK4GTvyIDTvDHitDmhEK3PfjRvdxZeKyljXvs0vaNOGJg+Bw++j 4JUp1typpx3SBUOu/MgsdyAPq4jtLdz9K761tIMjp9js1KkgCf3i499Gh1P99jbEbbhisXDCeJmN 01Db/AG2CsqaihyH9MYBFif+ew/iL16Le2DBeEzi4ULvSOXcMBlNR1whPtRKsBh4/IviKbGvPPtu xj52Qs7Uz3Yo5ib7hwUhX7ovTgTPAbPp851cT+OOdr19ta5Eai9uEItEUFZHXexp/r8QLBa2KFmN nti51Dd9CucP71RZsWXv3Zzi8hXq8k7Ertv9G/a72gUo0T5BQpWoF7O5uQqZMOM3V5aGlqjDnarA KSL2Wbn6Fbr9vYM6ra8ojG5cw0rewEXnxx+tFV9ZdQW0QgcGZ0nwEMzr7+ujfCiMtfxe2/tHO+cS yOYujE88jqVdZb9ie4DuuCX0pb5zIIBC/mGMb+8EM1Hmdsrf/QPTS1xf9ksR3rMgxR9n1kt+cctv ooCqvUQo2T7lCATtk88yFLGpttyaKQKUmQBO+S6ZA/Z3VSDEk2CKKPL9+XOKrSpNFP5EFULVynnr iI8owLLz6eVQEY6hrCioCqTVQiP30hqBA2MTumvVXBXBRFJekHh/QutqNnky5vUgt0HtT6dowq8g 21kZvHwn96+FYYS79kv7LjjYm4ZFaapDoPLEea0mwoKw6QqYBihxIh4zRn/JK0+M4tuJsNx638ZQ fZHgr0jaASX2oaLy4sWfHkwqDL5zSqZmEz1klANru6OiagCCKJTsT/oGkKSBKXHIjMuxxyaGg2JP sP3CvVSme7XaVMq4koYrduy5wGrgbijJLBVUIQVDhS/1gC4Ry+0gno0M285Di4Q1PFoRV5gBWqqJ 5naJUXyH9Lx/b04Jdp+AgmPZczs6ClBsIYvzEfWU/pVZAYeTeloBlzeERspJMXCT8KnAsA1C1Vdt WxksutCR4dKLY482oQ558dkNhW7CKttq4SzeIisnUBEdgntGJsNk4H7ZdwN7BsiqBjQ5r9ybpduq 19WzS0GjnoLXoq1hZRS6tHBMZDt4/zZr4Bw2/5vzJa0UejbIxU4KTEV5M6hv/vQR+w+5CbdfuQD3 srV7TToft6SauAAr/D4XiurtVLZkVcSGdF6GirU1dod3p1FqrCckxE7XiA0hSOn+3MVX8upXTEv+ YcP3upKA/wK8Auew8SFptJ0d+pW4RWbu+bcNfmO3nUxT9CO2nwi1ow3cltYN4JRNKh4awIW9KlZe 1V7VNfJaIhCADpPcmo7qjFYnQC/AHy6EIsdbZFpdyO3MDhnHS6tMT2+b5HXefQXjxSh/s7x2ODjM NQ9BJsV9R+ngzr6rV4E0mOdXUGvlE0UnEL8NJzftkReBDJR5mDdZRwqwTKUbbZyuFeMo6tJmsnxl a/lF910hKBb5tYYxy5rfLw4jftOKGcM2URwZZ1rS+d0CAjPwfR/OyYBTBk01cYSH0RAIAj1xXDQf qmeuagDPijkvkkVNC5fnDuoxDuVtB2owSA2WQ4iqT4KIv6h2aRj4OQWuJcLBAD73++UYhrZCqD4s gT0Bgq9eZeSxZwAJJ5/32gpF2/1ZlGYjXH9BzeBg3T4kLtuFVqE8E/5OBrX86teqMwPxIhMbOegS OdtdLLcmUBneap2BP5DpcM4htwvn8+WvrMuiXcLrDgD8Z2KqObOPDhT6S2Yy8go+9D97r/g179ZZ iqmNLbOez1JYVjZBbqKYyhZvtE1csleUpoCdgRorugxs+puPsCa7zVNjoBMIFfKRW0CBDO0mxdek +PoCvOPK3deuEOvrCZl2S8g7Hrug55HvpnYrNbayAfgTOfxuSfi+BoTjsfl1PwlGmdteNn0Eo7Zc 5Ijwv5lvnMpBksT0MYhIi66q6I3SqyDf6vIhPIV4747GpLpzgRsUftKT3UJ2bmtR4ceD0bWtTzm8 6UyNpv7tw4qFrdMYo6dNLXb6CXA4j+uyv8F6CrZ2ovUwZ43etG7OiDmNovCzpisAH1pa/bqrt5or hL7RQsaiigzQcA3EeB1SeOzp7nCrdYpLy4To/QVEh70EYSgn0vgRPbFpoAgdM4zXmMr8Jt/wvRan PG3jlFLjFHEfiU5f4Wu3v8T7iQOugftPqhGfO26lLDEbVkybqyNnAP4/3Sy80d04cCLk8Jd3TLxq Eh4RSozREVg+JJDNDaHxDLXwH/+PbBiyzXAx2eEsWEge+oi6TiiVZy0CAGp5Yy+XbAc10PpS/7P5 Ox73fLa5HfoONdxFscjISEtJy2LHNSdmqLJ7LHxt9LbNk/cmpmIaOUVAFGmUbK5EhzVZXaA0oE1H jelCV9h2i8rm04Yzn9rsDZM9OWNGuFHhmrRNxp+z5/FDX7VFAK59gbmhI5wtiLfz6rC2zXKKixHj cETgl7+omcIk/NTX6kU8sIXWgGdl/mu8KZ1VQ7IByEOzxijU8bkngpeLpokVhCxrhJPZ9wme5g/1 uJmTxqIMcjNxDExx1uqEyCEk34rcBzNDtYYOb83Nn1+o2W8Cqar+kPoSwUf293i5ppcBqNJz3vlz ldp+TfuQEQ/ganIICwkndR9KNYgpaS4SsfW/pa4bmJU/N/1qckJ+iXqHbRJYWGG5fTw/bl9nvn1F TePoLLzLlqQHEg3rPGVs8VGLDWwImlC7l5anY2etYGtLYyLEF0Txb5MlU7EQnkDB159meaUK2UQu qJE5R86X3h4wDFFpRq+qQmZ1zCL9H0v3pk5RpIWPCsgsEaJyd7/lzbSo9+L08+VQ5zHmYH6Vy37g 8zfGzf142t7W5Qy58wjhWR28WSLj3Rwz5/a4loS0gedngKgkMo/PMH4wAY49ymYB2lSUbWgO4rLl XWJiE24FSKhJTApZYY7auD4UoPhpL3DqKQIxK5WZELIsYhx2SK6IpG7Oe5i9QDfT1GqKpbuPuQ7z tz9mkHkVfFwBpFbdca5hdNZ5dORWblK41vZPb7jkKLS9qHbQ+qAeinwt9Ju0EjewHOmiY3ZY7fEd hiWl9n8I1oYm59cdBo7vGVlSxX4ZFxzNhgVY6O3yUXjHHgei4rPTdcthbGUxbd4Co9Gt+i6Y6haP CJcF75LYBG8xlw4x4oVfdc7ypRyH+rjP8q50zjT3TCpBx/iD12QpLJRR6sbiyhoHzH9XultIMZgE j6ppYR6DR2sJZzVDaYVCu39BiKOZf2nWa27Axov2ZKNndR+/AaeP6wyKhqkQKCwLo6qIpocIWmr8 Wx+/o+xVUoFTNjmV+r74FDpuIm2vwxjQ1s7K2f832jA0Rb8zCi8eArNWTRGmOmy74VwsxqTrbFaz mCYOQd+cyy+CzGsnm4NmHck1VxcX1ZRuT5CWliKYc/QrZii4fVJ1+mg7aA4wnsZO1vBYJEfgCJXY Zr/1GPTeTZ/XDTNbZ5gEPJgRmc3WkTu01SxK1pJ4utc2CeJg4ocH8z8AB66tQtsVEdU2FdMO+9ME fJCWLIce7JR/lXh2TZDqGHyveBtdVMbvP1X7AKPdS+Yd7abYDrL8IPy3LFGRl2V0sXvwZjxsLYVH ckSJSBfESrr2XkehzWs0Ybl/nSYVXHXLlhOyScdmcXkg5cb870kOQtrV+5jJN1h04MyqmA3Q04c5 FI6bgomG9hYYz0KYsPJ1krGKiPqPpiUxRC5S7tqH4JaUBUjLNxKEUlLK7InG5NyYQwejnOaEiwLr q9j8j+OmFMSaspFvK8xuMd9wqRTtxHmlX3GkoYy6gUu5E7+JIGPGWV0pkJYxV+dOw1m0kHRPof30 3kEJk1ZbyAiodPvjVDWiApnwqnliylbqeHCTnirovYdbfJ4Lg2H/AWTBbkE1skiBVTGtZX/iKs1G ltKJ0fYNefhCX9Ibv3niVC0XQQK88BPUsoEI+O9hlzdVQuuTq0V5+Oka5itNn6zTy7o6kvroma+s 8jCekCVvKgKnj6mtA650ATjDUQSnwvRWw/kn5HAAnFLNiw1XjI1iVlbB/NHmozbIBc03qmwpYRrJ sLu9ij9TbFdrvI70+sTJJONwzgfsvk0BFEq+2pb9LpNeVChqpG5fDgi5yMlE8YcbpvHudHA/jvfC pPGyOzx5VbqH42JJ6F49MusPF59MABsH1USlH6IREBY9tOhtHeADhuIghKd6uAalerDVb5TJ9SyJ O4p9H/1XnEcqVNGnQJT7WrfGjEEVV5oC87w/hfhC4uy5WDioCVLEQCMyr08YZXAX0iTAJE8F2VHk BOzoK/4jzXxv7XxuG8DlyjpxW2ZLZ7kEw1TxyXIBX3Wv5B0BeK5sRUlFV4d00JZ3hMddhlbK5yxl F3mJ5KtUJYTXC5AJCriFnW3ueVJ/spB6I7h31gb0rlMpkJyJQwC5/5dkWG74jeXVqshWJSDVcYpJ JlU7q895w5GcjsTAZy+E8j38NcnYEWRcpOFtIKEVaOd2+YOjl4N1wy76FMccwUWqkGkLNR1AErZV eowXi0/xpvspbLn9w3J99nUxT+hzssIofWUHAycynwxNG7cYXWiu4hdX4jvFpVNm54WMk0oLto9z rozs/K3stTgYb1oYVgJQiu2kJ1Umb73ilLGR3gLm9c1HRTtkTFha0vEugfv0lJJg138yin9SPy0V x8PBKOSyc7vnLSOnVYXFaQkdhWQHwXF4JzDvL81F+MpMdORFP/ORSlZNchokOPN+jQDmGEeb+8Po 6zipGYDnAz3hg1FMq6t0nl31qsAjRy3YFvWCcNDLh8DmiaoY4X3tuC/rVw9vAC/By6jRNtNffI/S hsfO1rUIreIOAqxmAMD6Cs1STskDHXClCK4n7ByBz6ngAPIJQ/DyOReV6pFKwiEwUsOstH1VFkPu awe/LvJiQa1779vjw6apok9CbfJXOn7Gr1qQv7+lqzlXnLiCw7nRtSwgxZQ1VrDitMN+q/FPkvEt ZPb/uxTuKOqUd2r5p78FPuKR7FMZ2tIiGU97MAnhl6YKuWFSIZGEIFn7rYlaTiv8tDy6C1uRvG0t Cl2wr2J2bmAoTRhFWwf80kI3j8QFdLruzj9w0jmlUDyooE8lbetgIE8ff7M+CdOrZrD86hwZX0Mf ppNrC+xV8zJ4mkzKEaMXvMxBXp816vsLJwD83MKm7QBlGl5Wj/Ry1djeABhyWTHGEWqvf50rjDo+ iR2CXIP5BMeHn1CGDjFGKI3JmxuyPvB+X1vug/S+rSkhyF5bcSyeqTX/1VvdXahDhaZJYRkKyRMY inDrdqHE5sE527bGemEQa7GQFE7dIfNBdx0z86qkt2CoCmZKfPVTxCNY7Uyingo2rvvrYWmJv5Qk KE2JWqSReJD0Y+ehom2EeUjnMOJ6bBZD3j+Z55iUWE5s63FI986UktYhmmbJBnnSj4ovZ3c7ln/h 0w5G71RIqGIM/NcYCAvP6KojADEOsf9+UF8yRy2n/qcPu+dJFp//xCq6fW5qpHkVpIF3HkQgX0Oq j4BWAcajne71i0amUhDtYhu4PoLl+9pZl9OA1ssHXfv1KY8dVV4XOYI7aO+ckCptFDEu/LUFh6K5 iYzSeUfoLJWfsamKvlbew2e/8aAe9K+7Ib+2xvaQrAQvwnLKW+fX5+rYjbqhlWgPLBZ86F7j7np2 2UL0H/KtLC3Jblmusw+CfXbAks3RG3doCmyA8JbX99QwzFsgCxV+BQGoiaHo5U3eNdxgnLmyTYWF mYFapoPgXxX+KA7NeJF9StC4arjUIs5ZG/SGosnW/FJwdraBYFZ7CfhVd41UZTM+90lrG2dofdyC F31GV04G0ekOPc5ZgxTaDlwxy00Ge6u/cfdZaiICb1xqJq3OdjmjeEjrl339u013vflc68hFpMrP bwDEacnHaKVUkHcPScbNT056QfnjOi5t0pp6Do2U6yQnVkpeHmb3aKcNkmtsYyYmQcFOYcl8K7BB 9uR2Q5b3CEpDhJ69a0udThUjBJ59yOF7DOYMheVXBNvR7DwPjJ+2jEiPjDx/YpsGZVlCzayFoMc3 25iEQNVov3R2WZGiGjkFaRpxpVFoxiYRv8V0HTDzolKKBUTc30rIEMnXLzQNRePv6wh0sR/om7JI /nE042pFra/tsnBR9moo5oJ7wA9JNn81Rk1EwJAvqCVfD4WUBwR9zFY05gF7zVcx5JRmUY30hy/S VaVP7vYv4/6IqXQ2UlEKGjK0QbTY4mebiGeFMyiXrvqfgKESr+64qYUy0K3fwfDRttTeyL6jOb7O uE/UjqmL1y8OF+YllGiBjcHYRbWCIIqdIXyMCnJfOEt9da3hs+Og+cWBP9DSIgdEMEiguY5q5DoU ksO6J7K1HJfSLQpU80keULYVHZDsq1JiPQSE6gbGm3GLg39pTL6C+rYlFixVqeadUuma+ON1IQ0V 6kHshd3fZfe9V66VxVNLWRMGMhcgfG0gGnnhRxcTkClarVmeI2AjO7IN4SVNaeOk3jB653rbu1/N ufNUb342c2VlgNiIdVbV+bA7TxBKkDZ5tN0vhKuybKZifF6uN+BSiaaqhjA8bS4vViwhBCMmG2Oi 34RTH7I9IQiGPUDzzx1e7UoXg38GQxAv00EH5Tg5etCCpetGr8TX9lAARNgT3HwZwpK4sp8FDyQx yr8zLUPh1fT7gb6YFZqjRRItDdhi0wDmPbO3RGyoJU3api5x9EamxkiQgkrql1Y6hKncI0eZUcIO 6WFkY+A6C2ZRWtTXa+GUo+gRdvEIft7KwFIbk0HBglkn+/LYAwCK51uqqV/L6JB310IbUqjdYyka NLADw0H9U9EzwlCDE2574znntiOkd9FQQehoUysYhEMdOixGq4gnK0hho+IkooxNOxZWEI1o/oxG cVt1QNQwKkx1m47u1BBu6kCMdpupe+38+OJJvxwFBCVXyIcky/9ikYQKcC2U9mtg1Iv4YRpRH4hZ qgeeOBWkZKMGlqntzukTh2HTzdmTQgRkdKFEfwaAhhXUiXwkD+uQ2LXOuWUhYs2gcXtiNaA7hidi ENNjg1iv2rb/SAWKeC95tRxNdrQ2pA3XwnxIQCAXtFoAqc1/b2EFPl6yxnZeSYfmF66VuALwF56g 6QdcaGkGge4GEbNFYuMZuLO6btmwkcwtqGtA6zVdQiC3MRS2yOHEPlkoA/fvIzGLvU/+fQUztEHN FV08xIep5rdw8J5aeo47gQf6kydB68JKv7poGNqKN5xSxgtxGIabzgRsqWJlFzToTXYahyyZEf0D NiWg5o3IHqSFa46RkucHHH3ojIl+J6nYRkQvRXQc794rheFIatjSIYfth3D1HvWbWjlOMaR51FCX 9H2peffal5/Dvgo6MOGKNCr01txFI5yUO2pYhupTocsOajOhWbb5IltcdULKKEvqzMFQmtwCVCyZ +GHmZ/avMGu41YwI6Lo1d7kGhGzrsKBo9qcQyGZKZ8hYs1Z37/riPpzKRwtxZcf3E2VX+TQ3Epl2 GiV+H6RAz9c+c7ZBON0WOswVrt/dMiGy2ztTJAMvW7QRK9u7v/F7pOVkIldYpd7JxTUxzZspQ4TE yWBoI/SMXMRW5BGo/ScgrN2mgcDoNAnDdpze53P2s+smmrLGv/S/+rAwWOXWbS6E8KxpUz9rWVB9 /RXmBoFcFI3sHzCkfE/Gd5uz+rAp6lKMkRIPKg2zHXAClB5wDpBxPACAQZmIEW266NQjAvaBe2p0 JKOix8nNrgh2EdecJ6ZuTv0tTrV7QpwV2GPYHCFmR4ZgRyHUIbQDkF+U1USmZjM7v22hgeWnosLE Mn+cwV40pXmqkVSTbDTfM7UX+RUqx2m4Tyn+5hDklLU9ipjHO2U8pAINZb6HyKPoIfmU4nEoBXwW O2gmYhU0lgIR1NfxLB+WwDIC0N+gW7rUeN/loIT0MJ9TPQ9r01fU1u72IdQE3EsjVhPUSdzba6Vl /6irU7fR2o7vS67+MTrKDMBHi+n6eb54ENMl0rjCWTYB0OkmecfqXBBH3J5iFVxkQUu5xd8W+3En Y/zX4JNoqjIpcm1SMjpgnM8x/ALANu8N3qKjryrNNBpvfWq5xOBP32NYUgHDHpleR1uai6mextgv cx8eAkm1dwdK4MW15eON0jMkOYUU+sZJmOSSRGDYmjHTn5mdkeeMMHwbDyw8MTArAxZlt7Sfnump SWxckUFc5FdQ/RhP75zpMBvE7x904iDFRwTv82b7hAjEGZJVve0NX19G/lY/Zh9rXl7VciH6wvod 2t8wxRlG2SKpbH3BZzXIamovjZ9b0V0LjhfV1thsdLRSYBFlZICqBWr28ZBT7/HodYLefehRNqpp IGQrzkDHVf51fwFzBoquZAkwZriSB5MadxwQGY4xdoddpHPiik4Cbkfqi4gvYAwS5wabVSnCjI4E /aXeHu8JbSdtOxUbTgm7RvcKmZklZs4bd0q+E6BtDTRzQ+N/9vkLBzFf7BgpuN1Z0L27Q88CIWBP LeBDLRBc1PPC4YpgoL8VPJ5k2aMYqK+bQvoC1LSjp5FlEbK1pzO9NUydd5grK+wA1KOyI0efbuVe h4VTe0feUX7xeSPcNCsP39Dc1dspWIvJw3dEyEoGeZtDK14Blm5SbWt+JblvfCSIatqtvVMseBTl zmLiQHM3/tue3uQ0iNJh7eBLDC9Dv9r2GaTiJJfWsVdo0OlWlyY2gW1KjWKM33WeLzMBvPmWicG7 IUhVq+yqhrfu2MyjoRlQOZv/td8iwau9b0D/3aXA8hVOFW7Ql+XTjkYWACoNxUPVXpxui0yqP9ZT 3g8XKUTbByvIRjPlaAvGNKiLlKn/DGUPOzwf67m8M6sPSzhaaEMTeLoMu1QVvpdqvcOUaDug2SDp mKYSgkU79G2St9UyreuppeV27AVA8u6aLYTf5mka2tvDdai0zNILTjCgp8mFOdTtVsFS7PBaVNDM 677TRiBU910EBVpMObUpjAZIPmzqVsqLw2wrQaUyZAdDlQvXX9wvfCeHzvJ30mGohRv4DpEnxOzM zR0aekGSXAYx9pGHUFx3mhDbeTsrAJ7oSPQ7ARd8PuDy2YugpLrso0gFZekMAq8Vgk9LuMhBlLvv mbo3tHV+yRonYGoUbdpK5G4WxVwr4q6zaA/PMlvANg8ptpgx08fKEA0kDDp6pwUJiPgoSeY99l4I qTEgMHmOOJz/CDWCazUy5Z5oHMqG5bAu7Hdsd+o5uZLVwsLxHKHLcTiT1WjNS0WJjGozrvYbENj4 TSdKQJSzB8VrNLqjjnWc54WTalmnOTeRae/y1lwQJjT+kN+7DCGQlWEBEDFqqHLe7hzsi7u084+E Q5PltcoUiItuYuaTR4a+yJMn5qJkzC/H0PHnbxt4oCE8XY13Sk4yV1D/9B9XS2ild5Uf3igHBEuh fXY+BWgm6HfppxN+boomc6TioMtC9iPTr6AWth4s9b9+2TAu+uGtxBOlB2pDQPDBt8mNi14Sh34m DlZxyxeQNhk8n9JRVyUIVTHXaMe+EE30o57z2seqkRM1wQUr6G9gM/Z8y4b/fD3lFRVm0+1t0zow oz7YRVWOd5GGjGvoJFgSz7oSzMKSHFmuZylqyO6cunVypAP28Rg909206DCsf22T12WLCR1urfOS DnVbREf0YqOyPNG/jMZX6ULe+nUzJ693xKYIrczwJcJOYGdDO7N8ln7PrhQrizhpGuqXY4VuPafL tYSGSPfeZJ8wwpCO/3HnI06RlzLNlAmJuc44EV0gb3RY7QiqQgcxZGHXum5tgDhaGSS3Wr+MbvMF Eyzs9fWu4doFc2Vr2HX3UnaRtDCA/9pesEgH/K8PkkUcDt5wg5hb7hFinjWvXGqJ/fd4K7jC90Ge nwR+DZZH64oBUc3FmtrvFlt6lEzEFsE3CswhSlpfNtqgt4PT8xG0mgACEHeYv6oBOYnwLRGIij7F EhtAR9L9MdSmQDO6qHasFvvIoyaVZXidP6oG5jMjp5fm/9A0t9xSKlhCvFhAqEO0aTg1dOFf3d/p OsFhZCrLclKxCVeGKwwB+f4u8NXDl2Dn91RmxPgM7PservMMJgiaIfZCBi9ohsGqXDIT681uSRNU MCkz500o0pQ02AR8AGW/h/ycRgsA4VzafCQ81PMMAtKaNxNiza5Il7j5VyrzDD3wAd01P0Xwjtn+ mlerhYRMgTN5kvNgz/q0Z6E70AyWFjGMzAeGPUQJEYsX13yZlzLqtnhEoNxiM1uN+qSkjlKCAqfA +uQWg2OHRDQbxxyGdr/Et+PughenvuhsRk43fVBSeR9Xq2V61YePQvq++JHhS4+eLcaaqbdzfC9B PhHtLqFVViAKJw9m4WR3M+okNZyUTj+9L4kXy6ZZFDnO4Fb0lQFsjcGt92KeFAjkvMq9i0dkOe8H eU25JUAtm/WY+XEVSZDXKYKyXvw3IlO52RRbpKGrtleMpwd/l7Z79cEqpekn9kKpiGLkJLpInrKJ 78rQ8nQvZ9x1em5lO9Zl76iz4Qi0cCUj0u72jEo70zmEZ07y0qif39rWOESnlZXErkcJhOxFREls 4yabg1qIbwp1wyP/dQgEQmullhxVtGI09VmJ1pDMjw3zpLn1M6w0sL2BSAF/D+lUYyYVBkucHe9D BP+g2P7dPeb9ep7Sd/Cwz+AZN0kliJSBctIzH3q27AEhByyA50wsHfUh7T2VLcD2MNLfBy0z3fp5 31NFphixIlTjjZK+MvGmRc0ZBVF8nsXS+Y5zvqXegXtv6gyjlSgjKNYMtuDDCtXEzK2D/eViC9kD YSG9MVWhd88DYwpy6p9qz2Gv8rz7GUm8N1ZjlRtEGwV1HQpLCy3tCsmvBm8ej/uLt8leD0lM/3VS jHQobf4mA9TiPhJd9ITXEjB7+GHOFp6VE6kiCcoiaFwacoDeCqokutp/X4J9ThnAdWdEdUpEZQqv F+kN2chAau+yNlklGAchPQBBzED9EXp9DybutwpjvOE6ozj7zdsPiIMSSS1oUOsd89cG6nApZCtm 0HWoSKLhF7WYiq9gM1y0rui4gCbflX+xm2e0t2PVk8NMKnDFhqRIffpjNTpkuE0bVBi0v3PzhCUZ h0IFLLT75CMnp5aI5F4bRZBgu2wbh12+BdvjENWUazWdQwHH88WpjmN/ZG0bQzouPv++5eUSiG16 ID/QykLgjBqXdwLfHUgv9gSogA7jyfYDV6SiSqMtsNT/UnHaxf2JU9s0pvFrBmGw2b9l9M8cNo3D dvxK3QFwvLrYXDDUyvX1FMjWtxiIqWDVCL9MZmOw6FTzRAWGARXEkqelHj20Y7LO9Y7iF7w49C7T 9yHx1Eg0fQYleKcOLhuR/HXxquG7LpNQqQ4/nOXtzi/mUm/J90YpSK8GArFVEC92XhD3ZekilZGW UwBMJkTTW65nOv+CiTsqhQDJYF2/xw6xewuEBuJU5LpL9eSXz8hD/thx7B8/HkA0lDH9dGBSy4n3 MjYLgBXBEjNTMqFRSWfjLOUc66bnUeRnO1sEyPi5m/jZF+r8QE5G0jhhaqMKepLaTASOkQxnSpub Kol553VEXr/4fY9Yw300hZqnk7TulULIPYaxRBxI/fIwmynVLA5jTuqQ+A0PHcFT+7iYHIsyknPQ 9at42QCj3kXfD65d98KA+Ar8bbpBExc1Jm6bHEyF2udzi5mda56fC9UwAvg4FmBqbEwYopvIdH5w LD4qKgKBKYLu1at3tGaW1vdhO6O1aDf3dITIbrfWIG0WiRpxSUAF/Fe67jZAPG2j9LxkDy6MdB5i 9uTIneSpDokjgVRj0kYxK6Ko6/dECKgNeBGDekxeRU8h3Dq4Ib5ZWNmoNs8E63zXNevZByeB+U91 tgi70zDtkXkfDKJTZMPVwzUR1CbD2T+eiq1UkgOe+Uadj781CeB81x6GkCHBTq9w/s3Kta+7Ifdf YzQLpVhLieVJXEyo/44FJm62uoFD+XtrOnkGlkGSfXqYEmUsCD+Z9xdQjmb0fuKtUgSSl49nHQDx LRJ4+6JTcZu80tmiFQtUoBiRfQyS5fR3vlNNxa36nsKQwcDV8XTXDOwaNHD6ivNVDgmMTFc41ywx mGzgV0BvL7678jQI6KaNaRwsjNS4pvHNZ/01uinUPgUsMQ82gFriBdPf2Hbuc2HfpLMG53hyiZpm Fa5JRx8k5+UNXjp3cmuzKm0wymVlWVrBQ6TeGUz7DYH5Mx1PPQ1Wid8AOJVx8vMsZhP/3w/yB37v SueZsBkCw3uaXKieA6whd0p7Xr1mQf1f+r015ea+bunEpzJSS8NrnWYRyzd9NNF2wHPZW9tM0K9o y37HDkm4sJs51aG+0b0DT+XpSg20QqbOdKAz4cpS8pOAHXRbKLuBPwUx+MtVbTbTdg+7T3kUPysy iZGaoWbA+bA1pvsd5o+qYkQhIeTWc/1YPA7piPTwJFZ38YwQcT0XdVmVeBYchEwa0FPdQEohdWFQ RSRHiqP1r2uroIrVIYPDIjzIyxj9czdYrUTLLABUEVC6QkeIbx7+9SLWJcMZ29wkXDeb+VjwzxI2 Uusvp/ihyQb8EuqIoFFgZWZVWQZb9fmBpvnpwyh+NnaT5JPA6wNWW3f3b1Q4NuCLJ8btyvLhTSGr LEqhAWKITRpG59cl9hVM4WwnoJaUVaDi5Gsj869DL5f6GwbV3aCpu29qosYu0HEixgYq0cEBObE7 /D6qen+5nfUGnGhmxzgEGwPjyggJNNcrRJuGno+p3VfZg7YYZhr3BFUsNKK2A7xCbDUKbJU4er2N CWlnPG2HH6VxpKvc8mrJXrxEbaOo1dxW2R7LTcB+Hu1kKIqVU4iL0N/5XJeL5WYnRvxgzPOKcBIG sy9VcCpz0Too2xSL9Go37KrUFNs01SUQGzyiy7fNc8vDNRa1OqgK+USlytQrdKevkqkk+Ey457Sq 0k+EuCmYakW5hxdK9L97rrE4rHVfCR0+u6dhlg+PBCyRyoVRNJ89I0I5+HRcqvEZiCkflEEGe2Gs wtDaiyek9srEF2PHS6KawlZKsyU+8yYLre5aB6UkzjJlU3FDr8KZl38+J7pqaQrSHNlD+QIut1jV gS9NPWnFTm5NV0j4xjhXhi+q8Sr30O0uhyQMtxpKnCcY16nnzP86XfS9fYNGlOv72WyzKZtWc3Vp GcPGKe+3GU3sysU6HEG1/aMwWRap8axpPrPnlcQz+y5o+Z9R2iiujJGUCO8U3AMS3UfbCtz/H7/q ywDaKhqgIKnq9XsuLF7j0GW65nYNt/MPFlvsv+dFYlO+qiqaxQFHv9i+5/o15W24kDM3DQT0h8On FxP4CLuavqsIYa6bfRo4+o/B2dVJoGtSZgRHa4jbgnz3rOiyPJ966VAleQ+zBmEVVyFTvC4zng7T s3vPlCRDTOpOWHLHujTfvsh+yvFCX3gaRYQKRJNTteszM0td3wmPw888xBA4Ni21Kz4HJ5hoKTch XFUUlzOwmedLE41GWwD1AkF4hMpIg8G65S4DSc7yyO+Z5arwwdN7rK2qT2P+4xSnbrPlJC5S1+dW 6ozgJng3BoXlWQ51EBqnO5fPQLhGH9WmAgRN/cgl0smFC98H77ABC7tnDNy80DQl9fG3DhyZOvv/ KL0NKU0UpDW4U4MRuO3bknVFooah5LWTN3ZSyU0WmX9umWWZgA4qDi6oZuprO/r/tbTk09YtCLnu TeVKTBea4rQ+Y45h/oBMIx+XM5m1V47hfzaZ/z0r+xCCKftc9xfUXNDdJgMwqP34c5apvgIXX0gr j3jvqhk0tBw/2fzbKbZwxVGD9Ra/qdws4GUNnanxo39pL7KnX1pgTrljK3L0Hgg1savprcslIROE WGayqclgSiOcsm4USe/w68RjFiAxHRAh2E/ySyKRziNHrPFcQOVmZeoGxOq5Z/llA2vYbt330Qlw R3lcWfGJMks6oARN+D15ym4E56SW5HXdELhtLcgnRm6hnwXbaErW7EIa0vrsP2BzYsC4yZws9Vsa 1HkwcEnRUw03HJu28pLilnJ6QL0UNCQ/oCPbNalnlKSC9TRLzqL/Dxi0HDdWO2mlCyOX1LBrbrP+ 0X7dBhmIDhWbai9Qt/TJ9fy7WqRB88IIe+Zcso0i938lHll8tNlYObqnLjQBt5J6CNJ8ZOJWqWWT TYnnkgp5XPOrEt2IlapBmJuWW6CosvmaqDZqMFBVExykv51PuPnTg/RYNkUXYCT6dkqwE/JM7uDY 2t5IQyrsAY+wdnGL50CoOky77YWEWK2KvNWGa6ihQQ2wn/WZqI9MInZFi27n9+Z4NXKTPwmDUhEG BxW/uz6qoGoAhy2NbtnKTNW4cDX9rH4IJ/j0uZnsdhhwDUJf+2SAbryVv7JqIe8zvvE5fFWTsYKB lAT8G6jEeN/f5klD4LIHvs+3b5c32DDD8YTXuYExahtLm/sxaGNikypnHDDI+LzRZGNYHWUMoxmd GvcGu4HM1um4fjnBnQC1ZscoUnRYRI19law0ix165HukZBbSiyrfoVrFHspMFgZJPH4yZTDECbOF ESkPubSmF4Ha4gO9DbTlTvKmt56vAG7ebtFNViOHr3ugvHumeAwkXjFKQdr5hkgFbIXSKoL0OMPc fObZ16HzUnFPRrM/z0C9jz6ybougPWdxRHXylYvKIqjDhCoKf50uen39B41+zsV/0047DbUU1X7A DVzLzn1uoenA2eZSVnvWTHJpaeF4iEQ/FZpd+5hBh+NgsHLSicF+3RaIBjB5X15tLZ6g1Zqt7kmF HrwPLxpjSU5oPhJi3rIzl/BFlfU/tkjP14Lb37VxU/YdxoB7XEjDvabLc6cUzXO8xMRfeg6mmKmQ d/0fFaIM202vTz30Z7FlMXXex1Dj7qKtqXRZbJnV+gvRNVg1DsOTTbZD6YGxD+ZkO2rColVImWbu 9ODPBlK0dCEx+8jI8ZRUBOIwx+d0rpERZkCzUpIA0puBbiHeuU4DKLHf0BehEnfDn0GJUCCb88PK p02SDwmK7cVJnkr+QTtK2bPrwZL9oXYei5bJycnC5LfJi5pzFcfGsqeMJKYNiL1kduzyHJf5B3iN OfjJz6MyuQzTwdFIfauZdSsmpoBYf4TTF/b80Kbk7RxlZzRQKFv8Mco2sLXN5H/M3DWfFWDu1uoy zIHSfw3z0j9dHdAMkoumEMgpkQGvFAXQDPwGUh9pkBTlnLID0IBg/jQ378CnOCSoFH/EYhHvuked qKvaVBNczO57Z6J8YZKXqivWY8WpVOmsRayhsxl8rcKR8/zTDzd8QcQZGUmvaPh6O1VsVoZP/BQu fDmkntTINrSPhXHSBcz7pdCKBTz1GHamxtbS6GtWpxYMO8Bi172yjf9r3aa/BldbQoMy28PmFgJQ xkXZ6wCjl8i+haf+Y2J836fsLXsLqvZb+1EcbwAYweLeG8ixmuHV9B/kWP2feh4gykWugy5R4c2E xOi6gBbUAYW8HIIZBQou5XicPqa2nqImCx1I3JHa0cDTsgPxhuvPBfIULqemEdwjIQafLwCsGq/R yFYOTeidI1HG5Gdqm2GsVn6bUIjV3sdoNw3REqLcPlSUzjObVZq3Fr42n8AQVQN+lCalLRUxE7+H m9BQfbTk+NGOvfbq/mnitpALC3h7PeigtbHphtbQ3Er/PlDbe14a8/tkezxuu8etAJ8ETTwZqI8z oYIRCHzylMgMs1ar2qF7WD1fM88JkJMNZy1WIV6r4vKSNF/zcKeggwkQP0yx/EXOHova6EWWiGeb 5Ba22psSWuF1/vd+bPJLzSYrk5JRPFIOmkUbkgc8EeFDQRQSkaCrqWDEshyVW+04ZYxMX/M3H6KR eovstMSc4AafHAVXkIFfyPtq53+f+34/VPfj7EMouLNaFedCddJVMEqeAe9QG+J1SxNovyguOgqj ODeGN+JAgKBzNToVHDJe35ZPR8DjJMGEcSMFTWFm3SYnEoFvMNQXInCfzcCo9KKTx95dZP9+Rp2p Cgj191T+g3S0YT5UbtMrnXJwdG6jiDYEPQnNUCPeCIpC7jFPKfx0f8vqrZQ4ZebIK/vZ3o2ip+b6 ZfiI26ndmAwaHgcdgwsEOEw5rewmqMOzIgZqyrUyyLzraF6kVYVOnyPUfRd1qoCh3gnemGgi+cRw wKtzKQqy7BdV/m9DbHeD/nyav5Kn6yJDRYhxIJMqb2MmjvEhP2pZyXL966MxtRepidyVeEdtV6Tc r8EhNSmuebf0lcmHLlzRX/oNKiWvqaseh0Lxon7VemPu/e6EK7PqXUl8lx6+dykRrWvyzqpjENBE pz9J/pl6b0AlkuL8vBIQa3chba2s6ct2bhPsIATlfwmlJv/zUdsfsABfBUAQjHy184M2CysLIqIZ QbeaPyktyMZCxe3p5VwpsuyfZ+09hJv94qgBWwtBDhdoG59WQZt6IHhGfwFt0pvubUQd0RaCAnWi U+uu42C/NGx5Xyc41ENncQBJDxTP0dyji45j4cmwEufO2SGfrWSvK8X9stgds3TmAhsdkgNL5BqP WW3PcmSWaa469ix8CTc7rQPzCtI25pFJE55VnJvtwmHaqPXsDGXAecx01xCcIn4Hr9tzaaIG1KIj nsj9AVM/gNG8EZI01UOf7hd8F9U6yDXDD7TKTyRcNoqWYFMHDikm3/XN684NIvyrdnAhwxa2e8O8 IaHCrEomAlhEHZRFsP9M/i9O8mRNs8YSpWGHjA07XNlm3h0nlE9h35YtV1jPBqxYQhjLaYWtxPep lPlNfeAS72O/r0uqxmdtT4ihNZvfiJUDCKVwWd7piV21gyRMJq9M7qUv9cfmP9h48/1aW5s0vDyQ YjAQt4zbdZOwPEcwdxiBkVPqsH4RCf9jjvrUEXvLE8sphif+ZrwCCq6PFi5l1DP6QHgh0Zb/cOX8 C/3PYPNmDhzLwqYJrkpfQfK9xHOQbEIG9FbZxe1zgNPVGsUEN/Q44vNZQEE6db3SyHHYLWiG5N0q SmdYnxGjSLT4iO8vhcXbTVRFlM2MUx1DyFLYFuAmh+cdvrCxtdLE+/TYexm7U8m7K/Zp9TuqBmjk qOHEnLFhzyR5eg/GCIfNwPTaplqlwpo7E9ndPyEomsYHE9Fk0vKUH7qLfs8koDcSgDf0fzIoz066 LyrLs9F6MxvVeJG8v7E15MJlD/LTwFSc0iCRJCeo/id3fjxXpQnk5oekbyoAQp0kDptBgVCwr2H+ CEieYcxScuTvP/HvkQxbR49lBPIvoz+AddhyQQtkh5cYfvs4e92pxjBtolVJtj1xsMKzf646p4TV ZC8v1tydtg+vBhZd+1itwaY8Z86t7t8kJGrj1t4BDov5ZSev2r2OnJXGApnF0FnQ60ULZZDQx/N0 XGzmFRUNAVXn4KJwKFozbE3BIHg7hhqbadsUF7IYTUMnSAjsG818RE09OINGtzfWOzRwkAkxeQC8 NI44fotPIUJbxDop2Z9xxM47Gy2t5aVaAw7vSKj3jmzeJKAu7kXOSWd2NP05KdAiAvehdyxvzeE4 2892BJmJH//KGsceNKvU5HvRZnxufSUWHjb/u4nxKtFGMc2VAScGNX9X1kmWlYiAWm9Uy5EwmK+m p5NWCCHHlPTH1u92z3L+GgAUDIYmQvuiaVzMJEda+JiDtFZy4sxGYsUR+SJJVwIwY8GhSWXx5WMk dCH61CfOC0FMeyMIiq1dEptxHI7LFfV5n77aLKtXauUuEDtNCt6H+OTePlsc5Nipt34EQMYt7tC0 9grI+y0MySVGmLT9UGX6ZtSUcpWGDFJRkGcM/O2ClaCJCS5oKxEqYvsRaLckpsD7ZwwxMB6b+yHt e9VfdMeaKJsc1sGtK9pgs4hxsYOxdlj7mBwbsyFzALkoP750Uk06+37nzxR/tJNoX29AqwaPKCsK inv7dvaSgh+fQgEJ+3P3RhcDOF9/vtQRWbl6vKmnXZmaATzu5JfAtlJSW5LNt48BkPU4hQAF3d0B 4hLKtaHEQqq0GhjqTs2y1RT1r1O19erQIv7yktE77Mmy2eD+IZaSbbfnyn0vYSOL8JMfM/xVz/lb 9MJ07sHYJYuW1aoRhgopQbNY3i6zmXkmFNspq48LFiSf6YpFeAfawBbZd19fTg537vXPFIKCxUHQ LU2zTt7uQgYN6zyUtrdX/hYIWfyNJ9iN/pJ90grsPBTy7g1qDS/AdeFHt20nDq9uIz8Ovi/n0kpB 2iFN/JyhP1XVYKsyp0ZmqKXi5tIAoPI7s6QcaAP5s9c79ckS8pdsM0fHyeDvmQux3fpoUv3pRCdi zNRVK/Q/oirdOwsTI1BNxUPOy7iR8Bvz526kgYIIAgpBe6RISuzKyZgiWOyWqS2OqkZDoZQZqziA SdkWXPeayP0Lra195cXLBb476UMnFUfr6PHiSYmjV/Klns4gZaMLGZ3su/QTJMl+GM1KWGg7FYBM zjqItMmxRDhUM2m9RHv9qmf/Q0ah39Tp6LxbOEhDfZZROifID65TZ7bbsYzeK/2Z6xx6KuNlxHsc LYhCII+6gAuKWsNL3M2pkhlA+a8C8C+mfI5YnTen7XDA4bo8nL/oxGUth/Qd6grCxJkbMcwwqtq6 QKdQtbPdoJzFLbNmx9peW+ZVk1l72kTGKwwHH+Qn5BEa2iN8wa8Gia+zP5lo9qCSy7Ki08JZnxYL a+ajJ34pvX/FRJD89Tw4WoUV3y1ruP3oByF2XyPWylx71Y5o8osJCsN6vFJEDRwIXOOUO22a3H3o KO/KGXZ2mcm15qlQd49xr2nt3voozA22bLrJYCYnrt+hmK3zoxX1Qp/O7UwFpiht3z7tUXmYWtnQ 2ffYTsEtG7/mbSH/oEfmBOpndlq2tkqV3oS/75cnohbWhi+cpitjqsdfX9+nSUTXdjhUWL7YFDXm oGxlBRi0HcEyqjS6pcuN8L+j/TLvnB3lsvnfpZOdijfmKzXaANcEWITUI7t/Pe9hC1M9jn5eruS8 gJpY/DGoylRDMwbzUn/GRa6JfeuqwMblU5oONGkP5sc9AqQkOawuwJChy2FjxlUZT6UWhuMng51a oU9/cdzDj4Wi1mg69eNdQg9to5ebWngTflhXK/RIL6AAeRZvz94m5KeUbsuRvv3/KPx+O3Efvmo0 ucgUT4JTluWyjVYBgk8ol09WsWhzPpS5OePhdWakGKmLez9Vegthf/wVkxE1FdQB9CyC+EkXfWhS rHZnfvjiYMVUsMYUSL2SM2YP+qgWYtGn68+cMs7vnNSrdZgQd45Nrjx75EGnJWqHi+g8+QGeetUi flXkdg8kmpXpNkm1CQHp0Z+jLpDFMmgjp1x9KW5M2fOM9XdP/cXhDaDp8LgTLJwhmEHf5FLUxmWk 3uyVrTihDIjm3y2ibKV9+Sn/6kdFqKA/vttv0PrT4rrGlBF5WtgKnIvQoG4XkCFAbzu+erjeZE82 Hf8YEqIIoZP9dXvnqVMIfj0xIdoPyEahJkfNTavlpmLfE03cF47byBVZa4nDieBlxo4G3ql1auff T/2Mv87lGFX8oiPrxMGLu/fYt3iS0d+f1s6Q64VRoF5OX3v4WoD4BPXDBDFuV6WmlXZ05kB4nh/X dIW+4mkFGlMZ46WUhdMLrfdpNQmVkAFnLjoGKeFhOc+pwldIGVBdXE+XzYRrORYBKeGXsWoLmtxI 8OBKSl4O2MTxqjJNzGtvrZx6rT7UKB02uRPBSY5FOVTEWUsorNHCIdjnSHNkJc5fzpSgusx5FF7M uIuIO+1rmpmbKSy6d8qw6TPw6U8UMsOVhH9AsQ/WHyj3YPt2xkzjuTAJV1F6QGk8MwwzJ02S6BsN i33uQmO4wRMdeY08KAYQUu7fTG/EB/uGGIoqWiAuTAxsd4/V3y5aM1nHhAavbQI4FaBeTlyz8iq5 6Ml2thon43EqYu+Su3QbhvEUmTll4bzlbgKyCpJB/WvaDFQ0K2hKWOPGiPj0GcgAPf7Bkdx1A/Fp rNP/RpMN0WUFo8CxBiNUzpLPM6UrkY28dDn5u/Nt1Dw8q454Ub0jW4aKr/oalbP4oZC4NATUymnu A6LdlYXUxibw6VO4l14IaBVxwFzvLyNHJYOUbcTNqOw+iwGBNTHyjRCNqseZjrnqOaCxua8F9Zwp gFPn/L8h0ZKh7onOi33xi1wrbfV3NDdHC0mr6rXykd6i4kmBMLC+HaEOGoC3EqsJSHnFpjkStDb0 dI9djuOMz1M14+3rR1VuAgaBNdH3+QzLVz29nBY/NxfbIJCeHqTxc15vz/pB/wser9udEAE+raZ8 j22nxjECDHDceNEkGjMk0h6sd6r96cLu3CykY1tyTQKDZexnv7frmURj2J5G712dXcbpiGU+UbgK 6NeW6Y7uG5pSpLGkfmHNXERjj4no5DgKS4IcrLoaYpXwFPMuSiFENBPjxRlOMtXPFnAP7zJA6Uwv +KZ63+j2LxLKrzK5tkgirGVtBw8EYR7x7Kb3CuMKrV71+5y/8lurQDgRTl0NvPdeNMjK3CEFlVe9 ZcKne9bt7em53QcmGp2JYs1ZfmT8EKLai8c3bU6GWP3JAVRZFoIDLxA3OQxFRhA1u4d16b6g48BK EsaPZx04kG/waGz608QqbhaCgUnNJTE28ouc5bH7L0TzsL2d2KuD7kPN3FSHp3Mw4mzqCrz/pb9B qabOzDFYsDn5Xv47m6E+smYhdt3424lhuji5ujEh1iYiqRHqGkIbKyL68QbvI49LN0UGBoCu7Y2h wFbHKKFQXjd76dFZAesNK3aJB7EtomoySB7QfAN85eVXmkEzaLlSz/x3pmykIESEAnDuUc8fIgWR d+zCLbc4OVqGphhJADcgMoRTWEQY+4iiEiIRLEVrhlVmEfoXeEPpQWBPsfRufesdInYpzwBQKqiS 0FU0PjViRRTcFMPtnOSEoujr22ufewinlvZxQ4sq6P+bHtMd6l1QqtpUocrXiK9kYIGpOgLD4Y2+ rzNnKorD1P9WRXvsOwFP1sc/8Bkwq3nDmx0bE9mynVqji9h7F44TRj8+XmvU4vr0lcDLh5seC+e8 lkS5mX/r0qoKfXEKTCtgGrPC3QEOyfGXWonrc2JHAGW1iQDhNjh9oSoCiWUTPR1U3Q81BJ7YE+Ed O61yXutPTCllWt/tQkN3yCSRz9ZRaxhTd12CtLXL8QsWUC6quOkv8MvIYAlURJyxkShkXdf35qKB 3YxnAk4gaCEvWlbKmloAqnnn5eh6FCdSsL2PC26iRQqimEnCq9aAGpln5rCxTM3tBS6zlGglnxE2 T6NJeJawKRU2Mzve/vlnHyUzhH6IDjfeeKxYQKMhaG8GzO7AabwOzaCYpz1gP/pGDzhVX6R9QaQn lcbhzQKP6c7GOiv9RPKDuT+NmZLDcdTh+C4WS8174PkOwYcTRXO+F5hINFyHNxFv+md7ygnk4r0v vpIpSRBfKmxaormoWwcxxAmvVaFQMsfzk1kI4t1uEiXLPtauP6eFkzfU2BGD+7ZSmq+0kCYB2Oos VGb2xuJYMuNNwIuZmLRab4c1F/9NwADPqBvFYtRXFuL+cS4QNXpcaEJ620U99rEMKgEjLofSpXyg /lgRHoLxJVLV/L+G+6IXIriNEoqH9S6sP5DnbV/V5g8Te1ci7Hz4EOUoNP1lk3YOJSCIiUrdozsZ WsAUcXI2DP6Bl6ZCzn1S9l4ckuKNzJuI3YEt6CbN1qXmA10jPmumsRi57lK10jWQAiI+i7tStwBV tczfERmWTsPzQctqkD36z7A3QHm0StC6hE5SdhrvpGTpK1Unro594Wva8c/McCIRRmPLhMhIupwH IJ419ROANLyGiN3q1pQnbfdP71ZRVyhjnG7UNDnmAqdaE7u2Z3sJIWRiPtXnlwtRZMvDFml856vw ohAfButJ2LRqpXd3bH0Os9dChQR9/5ny+z2aXjZOWk7p2/BD0oVsTDITM73V1Q/XwmvxIX4rq0WG TwULI/ybx5OzpNBiEtsGbhP7WiF/XJZURry3zz+U8JBcRqhPnAUh7gyZLB9IAE5jvCHZ5keM9Z8g bapsQKhc8sXaPPb3riMqhzcPSYGQ1JMVyPLZ4AtWRAPFjR0WQSn3p6JEzF1NmxRPhNrhvXIXFhaM O1Etdd/stfFDjJLKsCVJaHGh/fQXLfsWOtiTTxh3qVORR7fuvH4Z99kHbV0/RmAhOU44XJFF2/lE kvTR+U16OSmrxW/PCoGitFpoltuuS1hJQ3YZZiR3KL7f5lslOcHgMP46SqsmZidXLxvNiI6tRn5U k1MlR0Ja+lLXdfttY1XR5Tau6fKk5cSrFfNOovW6HMdRY2xD2495BJmKBkisD0CrifcxL7wlSjtv Fv4S+eiIYYTOsE/YbIWWeWXHqnwX2pXKhznPc7ep0DHGs7xEf4JeUG4VAlgoN7z9N1+J/lnmtAF6 k3Y2htbTzy692nYG+b3vmRoetMCsmTBGc0wf3y0gW9wsmT/tz87bpIu26afoAwYtOiIsbHfp/51Q aKg3V+XxhtXaA3gkNvaFPsZnfWQwvasdBHHiJFAnmA+JXNXWsv1ha+km5Bv5L7jbCJGpbOE11bji siUuokUJaheFZZLQ7XINkUJ9aiK8lkYREQ7DW6OWnkEFcpHJ+2H1pTMI0Z8tE19RygU85sv/zmE5 tEnQk/cWqtrGxgnof4R05ygNxubt8M7BBvf11n3IVOOwNX5hAMQ1uDSErWg259UDhIoXiW7WVy+8 bDW1nZSh3QKhFqqjooJApaRLo1F2A8a2OLB47SElcko0+SR5hPmQnyFGW211v71rLFbLl57Pp5u2 X9/qsTNguthJ3CIRr1gZm9lyK5eb0sPROHQCTFbmOqo6cNovhb9P1U+HQM1t+G9gDgmhSdVkPuiF byGdmUXqeYb3nC73WuF5qB6RoXu00yUJ+/OygX1HGzLrTVLvBWdMk8Re1FI71+NFGFNuGzrsQt8x ymuzEfJ98wTxN3pvHrovmSRYWhRmlJX4oVSvYDlWNKhrQNBi4p/Ytq8yAPFmi++Es57GJihFf3xV +5NZXnZnmK4llOm6UHsapRM6d5RSq52B76d7ndKF/DySD1D6eIEvLJi5i32eq2ZwhQ5m7q1rkJU4 mHg8UjBwNUYDL2w/+ZLTNxkh3GQ85Ll/yXs/KWSx5tOBnrm57FpmN1FsoJiCW1S2lvL2F7PaGjl3 Ne9WfuBHPHJRa/v2rNS+tu2QP7kpAUhpYK9WfdH+8WcRAyZ2ZLlg2kqeQDZlkOExmwrV3OXmB/Cs l2JlXbtuWPbrYc0+KQilCDAvm+UW6LsnUjaTQRApYCTikWmNYwc+BQQCEFKW0ReVh11y9GiikdiF JBb7GYOVD/aHrqSN407dZV1JGczD5xOOcsqGxPsi3rwJWPU1m/zHbLi9V1bAlflWExHVlPt03x7f tjOApxUOyaQmjjCPvQBr9JcqVJNAL24bIVy62kIALJAN7PLR5JcvkVqQQP+HWsJwh/PYRYCE9Lzg TysTcRscv8OmLs38V1lObmf5qRoowgjLcxk0wQf2vv2zckjwXTqZH02A1KhwGFe4Gk8c2RQ24Qdu 9muGAkVVuafnNYKAb1WBJ8w+Vuw0tb7BH6Es8xFrXvIkPp/loI8bs8jGvJbkBhDWRCa9+TFwAMb6 5b0MNUSiufamj3xpRpsVfMHud8fIOcpFOuHrmaklS+oUGBCPhz4necwUnw9e70TQUKhuZURNSa02 rNPDLNAT1cWJznnAkdocF6lPavXwV4VFZNIuzD8BHjK9S0VX569Y+XjE+AGnh/GjRgSUK/woRicF PpJEqixKraPStPaZfC3WafG4oanKxqpJ0qOcCGNshTFmVXDZnXN0waaqoFQggLyKBYvCUQbNZ/Pl ht8Z11tmkruPGszIchyGfR9CPc+CHIrIjbz1+tmMSyDR/c93IpasWpKre/r/vhY/biZs3cp8Ilwf POKAeLpOql8cT58c7V7vFGjrI+b+wEcq5k3EXmUx5+tKZ9dv1iGbdPxI/S53QguILUZFO8o+5wX7 N3V+8gnTbL0dl0xxyETFBQnK4S6MIMJ9E3kW6Y+7jT7U2EDgI+j7FDi7Hfjkf1fkETVaOXxubLcV +SPFMTePSBGZunu6SrEJGxS1gk0Ztgq9dLF8YNVR+2DEhQbDi4eU/3Dg8uskaKKq8e04Tou0dpKv jeHVtzSfoaDeR+VWuwXP9NgfdiH0P12zBJpZ4aiRGqHdG6GAA38JXFjI/yFRWdUUtZISm1x/xr81 fpIqjJpFfxrLqIoE4MfghA02ZEOg7Dlbim9q6mnEtbuldKBev7Rosc0Vpclfr3ocZRy/c0lp6ZdC OC7XzBzmcMU0AHwW5PIrQBkmXyrDWjOS3iHdCPy6JE3prqjXT8iQspA41z2oTBv5s5OEJFbQq4IW s82gw/uZa3wvYLx6coV6K8mDT35RRGaCBKEIQDMriWzt1DfGWe1+147lWueIyRktmsrkS1tQI5Li /bpXjo1pw6qjzJEc89ItDivtgwef5Ow/FaNBEc2twh8vSL7/Xvin89iVMxp7una8NFR0um5KMkuE nCxpvQc4QouFvEcchXsljLneSJcnj2WZia7nJc9r6Oh3WLMjNrPUdIuS4S0w6jn5buQx9n8/jgMC jcTKmnw5KaYWAFG/U0anJYJK9k66LTkgDacPXum3+GlFrqBJUFiyn12bnHKDn/livS0011t6KC/r ZNDUj4xw9V1HvegEaIvWas3K2yViJCGOByQ0Y0cgwc5Cn1K2l432XAHLr78IlVvDA1VnxyYtm4NL 4ZIY1XfIPpREjDMUtaL9ev9dH1ARjRQ/g335q0hdV3XHiQtVUUou+pdrauwmvjrwMUo6XhgQ9tlz dpVkOcYIwwHxAFZbsYby7InVLHdMnmZJ++LAMEEES0I2+r3TTyiHepvn3OI8VzTOENTN0EYUAw8z FpXEL/HM5vhTtK1PF9U5CIGcX/aJUpdiNmOlBMovUB4kf59UM1nhBzn0TzH3vIxzRO9xG/Yl1ucS sXIo7SO0XrYKq6KAfVpjh0vdSWgELwpVzpZtZUueoGGmIwg1f3/AFhX3vqwGAOK+k9sicMXcu4e6 NVJXOPRQrCe0GiGnwfBNiWGf18kFSfZWmtM0GXRSdfv8M+tvY+gsJYeAKQCHR26g9yDmNWfmwb16 p4GFTzPss/Zry8nvBRPXDZWSj/ZZN1W3yg8U6z9DfCTgnK440JOkPVv5ttxdmCDriDWul/VIk++n n9Ko8FDUbMJgsgExpFTvUaYDLeYXhc/8a9f6g5vppjRdmtPI6CBidTx/siUfQSRbZeS/Wo/eJZu5 gylfuFAk8EleEkos7hqtSG6FtUzhpImiPkXeIPT9ltFAgua/UQviRrPVbBXU5N4XTTEo6XnLGd4W qXORc0Ia6IO4A5GgRH3ivmE8Kgr/Yu3QcEW/fKJpasrRD039/FPcUtMWVRTG8EzLvI1udzSrtwoy J3IqdrrpacnmYvHQMCSZOAHUqZk9ChlTe/Qx5mi1X26Mwb9EID0WzJ5tnO6e7VH+bMfLSzSJzkqm C4lpILlq8+RcA+H2e5q7pIGm7lRGvG3HXyhW9bwIAKomoh5Wim7gj8WdqP9dj8JE23slNgW6BnrY i8W8vMUTFlNVCYRig6mfjZQfNcdi4RxHmkH7Z/yCbTh3NEOGCjc4P6h/KV8PH+QviaI+YncsSttE FgwqLYXByylqjpqRw7HAyRqHKrCqQ3PXUvv0A3mGErP+qnyfsszd7ZjKlT2e1jhgS1BjoupEsHqZ XgBZtCpoorOyrjDDMo57FTb6FEbTSKL97H9cFNcaYoBuLQuqGbLPRFnFLMRTgpny/2MPoJSerlD8 6R3FeQaTR+UT9C16nap0fX8Gr46eSgxzfBX3IP/Vd9gfzWZTd0nffETE8xcMzaM3T5gDOO84PxhH Tu7U6jJ0hn82BQJa0HMnc9yRfa6hFSHTd8N/KnrPZjZmI0cPWMaI8TvVR/5Y0xHCopYN4hwOpfAp p1lop5jtQOPRSuw1X6PiGoHx9Nfkdxy9Gd9BszVeyrxkd/OS9dkHKZ1aWPBrJWP3VtV2KcsOfoRd bZdNkwOvsCIZpzfzJrBLbifvQRixClQC6LuaXFpdGBL3woFPkMlQLr+FDm7p1tovv41DY1AteMfc Vb3L6lXkdZzax7NKCQJSkCA0gu5Ig/u52yzlu7U2Y7zzzOU5bz/kVNXqtHwCSnqoc/Y9e5/tP290 67gRFH7N/4+FhfHre9sY/4yKxjL/tT7XimveBsy+vc7XiU5slSRRRlB/ejFprjjI2h4bF1yMTMBi cnqfTeBBqK5TTfeBtnEHKYRpskaUCPNAIVaAvsPBiUXpQdoq/N8tH2tM5BEy7Km4CNnVBt/aIMTv 8Xy92j2Yx8h3dvU5QVhmIVzcxsiqYp3tG7im9tCiCHsDnwi6AfwHin+iULEqIIjkBnkq9asP594B TFh9QrEJFh/zPCFG/6NooED7yS/xgtq5Z5ukt2bgCbYe6A3ziWMpeVYXoGp4ZVox9HsOvHHZ3bCF eMEDU8L9DuAB1RDlOyAoo6ko/hT8ZrYwan1sjA63HlJuzB2GvKNLM6oAvbs3Vw4uCzu4MdnB+BD8 AAoleNEpX/qQLT8r9mFICGl7vRiT4B609HJNiYCoskYIN8sETGGw3q0RdbTfOmefhY9p0rJVjPOB OuqDyOPSlm8cOz0HC6muq9E3l+4b9hAZ/h0kMNnclHflLBvqSjEVbzd3ZGdEslW0PtlP4bLl1OxS mKrwUd+Kw4moi/5oVAlSL0q4ftqOd7cwnpnOz6b5q5TVI3cFUrwQLchPCM1NncbDJvgLtLmCiWoP UbjpyZGSrUE5w/s7DCvhHomXkeXBijVMTJfHxDZSGPITxmI5ETQojXos6yNykTrRzdsbOY7R7Qbm PobLu+mbK1JZ+hIw5NOcc++0kjvGc9g1PMQMH40HTVE6+b9129ZOMMHoAR6YbPv+ZOCWcuS8Ih/j mev5hJBAE+cZ0I75RvsfMuZQWpFXTwzuyGwCx+lbiV2k1Yg0JDsGimhSfVuLP4zyykIDwVwJC42n AHHPgruKva/6vQ4U66htTX2bkpn8mcO1KGqrut2slTWLn6C4yAqxrDaczsMimHqStLJ9o+piZLPM Ih4IJHrakPTZS0GuS9rTGOAmI+RzKyQuI3lkP6LJZSjvG7CuzWYdtBh+xgkCEp+SpPbhLlAyntn0 9mwqJUBL7tA8XLwwXTQyaJI37mdOW2htcIMzdOyjGCmVEphKZ4t3gio8OP3Uf9mnr/SqKUVESh3G xAsEuDIWGZf3M8a3YOFJGIPexw9BSdpnN7+Gx5LX/4ky7hN/LiCHoAo/sh5p7aOA8OHMnzSuGJgv tojc8kSuGNy13U6lfDVwwXK8ii93a8d8xf8Afq5VFufkuCLQAT68ojZDwlqMwLum5FWRr1OHkEUH f6M1p0BkRNNsTCHBPRww88Sm42/fiGl9LIBJBV+Z3TOJRwxZX93WTDZB2RiMA7jlhC8EvhYQAall bpfdjicPFmgrCZMcsDfPwI9ZNq/PD4F3ZHCdY/vt+NRR+AHEq9o2eYRzNK5ZJdIysXGW5PpUjyMq pbRzackYKNJ0XTNcI6y432qKnPpwygzSdId/8CvtndOBowSgtSGiGIbM/fR71FAhJ+o3x+8W4Vzo 5xAZNVNhscsWMihYirDxKAvR5Ed9L5UV9mV9pnJb1q04tKnqwI4VnCJCaM7HbArK2epiGFjLal6+ E5yMTYH88/5/Cpd5HdkGSPj2xSuU6fcZkUYUgwrwwXsWQ/u3JzIRKnIGzXVeivpMKYdf8SCqecrk DIjVYdukqmuuwGYX+Qj/JZYly9FChvaztiMJ9iTgNDR8h+dQa9ix8Rv283YA0BKwriTwYaMbzH9K k7EdmChZfLP/YcwdDLGwXgxrUZ7Wv3lLWvdY9nWXXCxffOY5WTw/2JUDKf+0kYVA3E26k6iXy35R 21qUGos3vlOkK2yzOorYVYsvvTdnlcB2M4j+jIRSJNiR6869zlUTs/oSyr9NvkvmDJgGIRrBhH3C ltgWSuWkdW637ta1rz8sBXnCqzAZN7d8W3a09ST02Yvqt6z/tojfG4jZZ+OsxwCkK5ZeyqRW9F/f W/1u0TnUwklvFTt3qIqsdO0hdFmWzP2qHMdUAF9506HKPsXtkxSiP1QKNVgAs/qoGhOcA/WeVdZ/ xAZ9TBP9AU5X2UVhWVr43Iep6Ui3kYckeJbHFoCcoVpCxKOr4V3Xm9B7j0DnWxdR6/1MlJCgv+BP NedIkhyC+gHwaGouB/kSVB7eK7EgNwKFgKd0uQ8+bwSzMPust16i3mETWVYvnah9kBWj21wh1jHn 0EYTz1e9gWjbp/ulJTYiRN9MLibne9gzOAL44CaovFMAn6pSHDUOQFesTOwFNPNZgiXAXuuslaB1 SyVysbyZgOFJ4Qq0N9xeoS6dwAXg+LVZdj0gY61CVMFdKsDCzYu854rIKCV3y56vihsuHVYWb07t UjfL7/PRVBBN0CoLn/GvHYM59z4q+HhTsluaKQCizJ7gHVaw7wkKP7ievvk4n2owCLu8DMrd6wW5 Lmwl+HYVM7QRKMKbI+7+O+2if5X49usmvFCl7wBKNJ+pyUHbRk9lXL0kio8w8lDGH620fav6DrlO GE00UmJKvwdPl1GZX6/MvVHI+qBy71y9YkahVTlDAZ7vkYzcF2ZidUleU84GCQRuffE4OLUTANHg Pwfg7Mq88MnyWPS+qynHIUcTLl4nlp/kyRxpmzRpWzkCN8ND+XPvcbjkyGmPbPTKGe41KF+jvQHi yYeiGsvOE6Vahtq+XSVGGsZhQ+D6+2icRQxliDeU3ASMqNf4Qy7as+r7ZRVr5Wl0F00ytrJVDydl LyFQ3zb9OmGibel67r+iNbd7lAkUJcwMUaZIMTkH/azSz1KSBtcWz6oIOtxw2aNilP/KWN7gIB86 iQMUvdrCJldjzEwDTHNqKxG7Vl9dZBpspaCeNIGTU7PKKzCXw6GV6VnN1e4pVEDX5Id/RW0i2w5x Bf/RF5J2u0gEy78n1eoE3gioFZ6y365LII7y4Bgpt9vb+O/g/4T3qFw2UGQco4YuAUlPAS7dKd0b i6pKQ9Q6B19HKomC3oGEyO8Gn0ob9cqVXQSVtSS/gjpoaCdGKRCJstrK9fy097tHyGAHJ+sKMFDF n3RI1fiGEctbhzMqrnpFh9CogeL5UEn/L0zyaU/iH4VP/8Qg0U5y69gXV3j1hNTMYT8BsSo5+xI8 BRJMUXJj6QrYaqFrzejv5CU73zQV5Q1IkYQfZLJiM4a9nypzK35AyHjLdeynDmdC0zDq2FbRPJ4T kzlHsMHNSC8gMJ7vaIfhaYj/iNTVotWthMGgj1qyLmZLT1vvkj0riG8RQPGfefCNs6EpDsiigHcZ ZmgfU3kLU3cDNJta34iZVjLtxrzqfykjmKNw3h3KGOyErwgQ3jMBRPn0084axzNo2FlGp4RK5qUB AlVKsgwU84aAR2p9NGIY5R2oKPJPZ9+J/YqczDho2MtRrBcZEbVIUWYpGkLmYdcsj7Z/Wf/ixw5V Ad7LAQbxxZkblyJCoMglc305X86khPW5Q5SpJ8XQOcsgL6F9uhkvwGnVRnmGNNtYZfevFn7fRk1A aV1DLEEDzW7mYz06ZO3gwx3a4ZpzlRa1FaEsY2+S0gWyXKSn9pBKmw+TXtmO86mVTy1xV9t99Z8I pn5v80PAh0J+fedyyyecKNOie7IRgt1Ch9TtI2FhPb6oA81Kasz4/sJ7Aqp1fKb6G567mQc9tvAA PuZCck/dV8ElgpyNhT5SkKqbeXqGXxTzj9VJxKk++8Z7OBshw8BTefOnj/oW30G4jKZqQJ01lUCu PWTR0M2bRf/ts34hSZiuaN4FyzzeMPlj+K2sV4X4IfcWcPENtxEFzmzOwXFXvWxK1IWXD8EeYJy5 JCN2RrjYCIY36wHzTRasqprZo26DFnxgn9IeprB3afHw5AMslnjVZZLSk3K0hs988E3Q7Tgg2oZr i7vBNBjWqhcJLx5PciWCdADh8ZOESIp8q/IMgHsV2xFN3sgfcWtAzcVONb4pCZsffF18P0VHwVtC ZqR8IpbFvUyGeTtU7o0J4kLTW/z4VblweqQKQe/2OX2XwojSCsQlMvoBK1Iw77gFNb9X+q0+cWkW momHcCOxwQ0M013P349ZcwbC3TR7oCz7BdmAQgguDCFqVBbt8PkcC/lSQkQCz2NYMAIpLBJnC+TJ ushV2KPyy9FqDTHKoFKtcZdx0PzpnljXmfQqw5AsRjz3thK+L9QLBZ0eN49Cju2E0equHLU1Y5eH T/AIPFoAM6OU9AClCAHiHkWRjJDBc7eokxyJGQEIrLH6PqrjrTwCDy34NSBbNHpxqwCIWQX+flLX bH6c9TOZ+zHSdb+LPuLkBO8OhDVBnWxYl3+h1iv5sSVCFYEOCRCxspfSk7fhtRDg8sNAM6NOfV0W zHJ+ibyGe9DYoQGzBaGJQOAF3hRFJSnS18bgEgGW8CGoOA7quAOLir03LRywr4Ld8BjzShd68i0c XRMLiB5yhVg4C0g7RjdCBES5Xl5OOVE5yegZ1SeaMlj42g2jU2UNi1MI9l2pf8VFTSvke6CPY474 F0zOt1/wCJaWI8D4KK+RhfhJ2j7AxpW3Dg67w4SMVgiVHso+z8Q8oWuOaxIWHJUCBCpZPnufsvK/ XK2aYNlYSQrrk2lBYbUaMHczNnjq1EbkF8EpCf+6N970dsIXAlghhBDTltadcrhyQHQG7HxHb7bS cZ1seZTa6IwIBEGpfbH3c6TPJL6UraR2XDdMkiKs/RaCXopW7suUbx3rjpWze9Tvne4ICckucGmb yVhaYjjqpMWvNUXkBeeeXq7r0W2yPvcuhSu3J40WbXtBIGd/k+BfGPeDVp4jnHnhmeRquymJqHyf JUSwvJDJIrGhCkDqtRooQzln7F0z/mdeh1nhtnEbM6ae19lVFX1t9tY4YIgjVcKWHbyT6CmpdW0/ AFAR5yQxorfRFrGrMmtgybzh6MrA22DqkHegB0NE5LA0zA34CqWUI4wC2aqSSuNKQJMyRaA4MEhy jUf+Pq52cTzRnuy3O5srjl9WvYKXFm3E+t9vpDwjE6e3l22rGqgAcYAMo+hXuzfH7qTT9K+SH/Ki 8ieZaxq8RioOx2MgHWdmqwbMz6OrLssQrUKILR6difdeWtHmKhzSUSGXohyfKcB6ulmUbo9MnLBz eo6YilxrhMpQ8x6vlK8DKj6aGUuPPg14P5m1Hji53Xc7/sgjf1bENsgfHM8M4R2HseUbr99+qMOH eomIUR1HfLzfE/FQYSHJYerqwW+a2KGpkHQIwkkEaj03LJOTOj3yCqQma/nBxgrECiH9jZQiYYZp puoXLFDfnOgEDFm8QiRc6aQX6BcSqloM3LNVIpdtlkvLtC/hjjvKQhoPzKQRj/mgqIn7RPdcr2nh uVsO5WjQa+kYm7epplfdMwTWRLLeRKwt4FFzPCaPyrzFRZOLOQcrMRBNPP3kJP8xcqemhEnjhmKe fMLPTb9wmcJew7/9tabJoqnhdXEvEnJFIIJQIC38WgEyP82Qx/YYQSGFeKr6FXaS7W0LZbPvvJJF 7+ERaLHwT3p1fBSBeowMk/cjXnPSrtF55u/SSNM1tCDIgIcbQUJsi3sWK4OhGWp2NilrSbOFMnMh XfDiwhVPjXM7aq0X/QTyHDX1BQFiLPFYGVyMAmiiLOFFc+qTFeOreXhrs+zxNhdD4jj/1lQA0SrU XgLNFNk+BTFaPwDcETPQibcwjEcVfaVt6bVqkxWyANNQVfYQNVy50zYH7A2sz3FtwqKfJgIa/BLl TlRm0a0uhRLvDz5AgNG+2BxkPo47yOC+BX+3XR0kkYCC5J958Qi2XNRVwyXAG+I67BLj8BAgyldX MG9qt7sUCezk6vXEyi6kNSndA/HqI7sXqVA7OtCDN0lmH4m11tbA4ocVPymz0ed+UyXOHSG8zZCT Q2RgofwU/HaN7g6RXrAbrNShfEltFb49ChDr+d+NHILJEsxKsQimOw3VMYD/VVYs6ca+QNOXI/cE vZRO3r/vDUvzFmZzUrmUKd5AmZvNJjKuNMnJNwb/kdVnfEFXjca7x4U7501Tt8TjdKnAfWti1pfD Ca1qHBZF8LI2pb5Fbb5XNcSktsE1m6jV7/EBgfTqeSxzugvsiqNRRjA3bd1PVJgnjvkhFZT+kq0t Ddzu3tUMSYjRSWBgL34PQwlChW2htZj9G6dpTEQlQ5fA3hZydCQf1FaSPYleYGXnrOE1KNjnNi/P j+P9MH0pi+ylRu/QqrRunVtqjmoCTRCHruBuiamRjQ74y1YU7QmtlAvriu+YNT0uA9U8YLcQRBo+ VhHu5KZqTxdw/p6P77N4Gl40ad6GJcjiBwhAT/aUUkuS82PeRbsFtmMj65mRs8H+V2Sjeo3t2T3i hbWq0syOPNb8XWcT3Cuh+SoruxOs6Ll3pxJFGWGUhVrYugMhBzPF74nTyyUfYuA8syyqr2LFDXB4 gKM+kCuIEy3OXpCNcBkqkTWRgWIiN9+F69kyA2zR3wBKqRgsBgCE6bfs0Eze+EaLfQIHX8cXg3YZ 3l0XUlZD/zJbdi0P3x59aph/ff+8lvaBsC9SjYXvrw/0L0mEwiTGJOyCq4AnYh96cnIE3HK11yYY n6ns5qZ0Cg+Mxd5N+GciFhX4sf2gDvs+7134r67uJetO0wL1/dRbR6Pbsq6ORaDISprP9z7O8sLt j4Oduxhvi05zrVrrPvraMeSJwJy6NBqaJW8W/43MA5BELa0l0ysEwVF7wAKgLhs64sMMW3HbkwkM LA63TP2oos3Nfphrf5GJ9wVYHxjWCwqZFyWn+RNHv5j6gKDAEaRnEPPpZr54+JTDdQnj889S7ZUj ZhzyAPw0zGR2DRBRJwP6sGpC+JSmGKPCsz1rRal1G82mqSWNN4P/rl6deOnNxe646ppoan41yYxE etov/JqQhin8DaTxfrQKhHCvEVfX/gZFqvV3bjqjVdZWvddZA6f7WEYRVigBp3/ctC+h52zFqjZ3 KGf3hRm1Q8v5qEZP8bdVvxgV+xhO9g3a1yi4jPBJvVQ52+nOssH8x1EOrFmIIm5XI3og0ET/Vh7O CMjze7f3WTY2/36eSn7tvuGSK9nfB/JXbnetrR/RUbZKb8p2jNGRnP6OfVklpgx++Y1Lep4cHIpf gALYc09AMXtF23gik3pVY0xWosdwOTzzyQdVBrOQ1hpxZxb60ZmbwCEldy/a3XjenvwjXwjR4ZQz 9P1E9chJG1r30MMg+DYK3MALkBzV3ndyZp/8VNV6rBIBYWf4SPck/Rlu0rm7lB1Ep55obq33zrU1 Xmg+KR+KryuxtnNlZZaRAGQ22r7HD1f+AQL3sI4FLY0jZyYXdeo1bJrzHSrikIxCu9qoqNZMYWhU bt1NMDxRNvbgS8QFgDxY+TwkSVpvRLMnel1MhX/7YhfcDy1r4QjwQ2lpDxI+2+8vg7rZCKWDcuNK tDRNzBY6vAUN+Ol0b4qu3OwSodzpBf5j5/Dv6683JFLJR05KDG1j9wzR3b0zhlwKtFFLTw190lFr yHW51mkNMJKs8SJ4gVZCqnqhhPdc1fPM7qZw5DrxvV+VFPljbus6prpE6LewIA/ktNwi02HQgHaF ydcEWMw6ktueORcdn/AdVYPEog9bALbSAQioQmhcQIdh5lPH4c0fJIN8bRCZhuIM/jU8Ay1BhubA 2QeGBj/IG+6Gc0WRh3/arel0T7FkIZ4C5Xw4vQwdYpZS/jQXpdlKbqtMxYQtWEF0HwppyTmmAETt T5H9HKm4mr2EOTrk3LnNKiCS0iihnkmMOaTbCp21Ytcqybe9jrVyUB0w8f7xF+FZpdl5PkjadPOB kFeRgLPlBcBN8Q5XN9iyeQafCZ92qZLCaGwxN5Wxvv+QwlQ/Y5CYu3RQUnpegAmh29EdNFSwY9K6 lPs0ToNPyE+QtJv231V1MuIbYvw8EqS9OkfvvfkrZdH1z9SzHCmhcafk4MNYSf8YVECNgKQTw8UR TV4eDk1SyqKYOfh8AnmmeuYfmlrMvwgEccLi4unreV3DOKl6Xw1jA3QgDSXheudDkOam3E5h5LI8 NF5Dle/8Oe04X/eLxbHeh8E0DcuIJdq7j8RBGWZDBOPbQV/b9aiQJM25t7U7LDPMGaFyVi2oKSL9 fulkuK9quGSGjQNNnwiwBl/u5rVnjWXwWHg9OA456Qf8Zji0UfaoJRTXD+jYfkY5ELG9b5lWNelT xJRzZOZtst2pQ+8GX0k6koZWcUTHvOGwTJeMyUS5MJer56An+tODPdk9YVgL262EIwqvRVLHkcgQ i2uqrFvWwkyFdiMjdoay1m4WBeudJ4FovR8IBY5cqYRPaaXQRnIYTmbAE2xTczahyX9idDhmAwqM Xrvc7K1b56hHrtpHlgz7/ZUSLL+YJe02oJUUIPBw4v/e3eVABpsf59MqUQYjUOFVSzh+urEPjDeA 7vyNg5vwlSNqbOIjJPv3lbUBtSV6LbaEn7nBfZ5bidjb5JhKc5BDFSSlRfEVaFNwBgYsv9UCQNk3 hrU9KKjvRoPt1BG11IDGjMC7VnI3cklDD9AOD23xsZMfvsW1LZc5jH4hBr8d6ngrEKtMA2WO0k5U 1za1OpWliM8Dq9yda1gw/evbTijY0vT9Hbz+8PQehwQb/z76Icjz6vB+lfkd2eJGeiK2q8OdwrvA QasRCxv7+G6zxYyX52Zkdxe9Ty3nAM8wrttaDLuFOxH+8jfN3FqlJgyw7dXxS6rqCrAcIgq+ipxx Vua0rVXsmnD1j4GbrNRBO4FGtX18rIIUp3vs8vJ3GSXKQyn1kOQPZSc56yT1nh/hbrTTjrjjmB/3 e9LtoqJjXt8njot0TzTC98e6XPceXU35NAwJTVDHbcZCxGyJbH+OINR3NBPKFUpZpob2tiObvlQ5 lY/Ci3IIIQVHUZsaH6zfN2vDUhuTme7wis7ra40mqfNouJRnOvmxlTwuQB2AQNQ+0nVSu1Qz9fDz dkxG+MFxOkZ1xha3XOwxCx1a7x84D6v3i2EMeP9ItDSTFdyXJmPWKreqtxOCLr5ZNF0N2iL6HFrA 4hZ3UFSrTDHV/ujf3W5UMF8PgdVoedu0cUGG3IebALsF5kDhqTd31tTDjeMpZbRqg3PeCEDawiHX C3kirOGPhW3jQ5/9pehl/+E3s+zjlN9UaXHZJQXQJtEu20uK4tmAS/UYLfuGu7IGGBE6pKh1580W 8k98tRY1K1LwutZlDFJInKDJ/GgDwnYNJO76cKcqav9eMe1toWop8qHzgZLJTNKbE16LI8cfGZta g4Oe4TSi0RBydOSDs/vTTI7A8bKrLjKMKN++8/6pvyiYt73fVlSbq/1/y28VbevAfRHkPAuag9ET qTnwmV+ADihv+lHA/IZ5P2iXPKlq8ZtOrWPQz9W+kYL3vOioBxLdnuWouWKq1Tnu4Scbusv899KE 2mC744jO88ugYn20e+h78ZdUtS4zLCHa6UJS61HevM0YjEMjxVVW7beG2c3sOQGvYSEtxyho49uV hNCABbn2Y/Ai7B65cw0/29ae0b75/HUEvjmk7xGiWJ7K9wqTZ28rnODBmhJK9gypkdE+5pOX5OY7 HmxfeVCI+hjutTtJ8es0SBjWn78W5Aug2zcSKOGmkA9hmO+V6hn/r8f70Aq088QCfSt32RvwoP+Y ZcB73tFQUeMTgs3gT2hquhRmMZNGQsf3tGC8kK8fimbaT/EuI5xPylH98Ffsxer3J1EGgoStU6zS 0EJgBnX8qNgJ15VfVeIyna5Gim0pegEw2cIc/+mDM/eaEg9YdmyTcq24/jsJcQQzQRAgTCvy7W7u ldK27HLY03aVPHKNhbPjl1xKmuGFfe2E79IV6qjiK7FGqaeKAlGKwH8RyRb6oMRo+eTlvpADGR4D zB3wmDzaqESmSBhI81WIdA4dheR3LSISRuYPbxxpYnamX86h1jpLUc82MrShKu6cNGUQh+ydPbwR CkXuwXbFMnRWv1TsoP6ZZ1HSr1T9YX81n0Q3YaUp+F0NK6d3A3+c0wZVRwedLc9+CUDjihLvBJzB PVKfPKNLFqdezngDsD0IWQryZiloldcEKwcM3vTaNTRt74U7pijtpPi0fe17QA/GVga78JirBqNx 0hjzL+gYRbKEbYhgJ1eOSVlZPXRETyym5Ecbx8/yFdYMb7XUhKWtKQ1zanTpUA42/cobvm+NKZYH BHXkyklzHUFmJEHhT8a7wshNaVYRhEflYsvXCtHj2aIFkPH4ZGhF79Ung1ACliJ1k4q4SI20HVyW hqCuglFWqe8JGDKDHgmpKjqQaHYqJkyzRmYxCvvFIGexNtOpTAc7p7Ia+PDj9k1c3tkXTOtl0edg szl7IXOE99494gtJPMXcFijmOju7VESrYlMZacA2BsuMQb0HJJlW0/efOQ82vTZvpc575rpLYC9V V31XGH2MxDYlmQ5Es33sfP0g4Co2pfqzZNOiCUyufdeZRORItQ/b1mTVcrSH9tdM4m8XnWv1ta+V +AKuT7mbWOUkv/n0+La9zi2NBTcgDRzk2+3NNtoPjJKaJlyYjHU+39SuSs0d3aI58pPFN/E/HmXo GAwyg61PkqEy0p86hNTgDifOrhjXjfqJXpoNjcxldIpEK5uzlNdbdqcIectnehFSyGXxZDy4mVvY Oyxd3xKK6bhJkeh+kLRuh5rEyeOYlJv63v70lb0cw4i1jb0SfQoqbXo/LU4J8FvRijBI6oaHfBAw 7bS79Mc8juZF/5YePigirfz5JmZRmiDJKrbdcO6OJ+fm2Qm+FgfRyfw/trTtGEckzwQ2+S0jAwAd 8kbrElfem4LUdKPXcyiSPX83dzTjf86QfMWaBTb9+hsUoDYdjuCfEPKziDPcddv0s1MT8Zlx1f3I 90DonB4fhvoPfeGtF/jLNCSPgi3pqbp3lTUvJhqRE1z6cOXRDD5noaMDz6nDnM00q+t5yLhb0S8h nNrjUyl8+OYmIOqbaLTAsw40pa/t3eCCBR85EYPylrJS0M8VDmGAAQjkyuOWE02gGY4eg0+mlTfk zRUaRtEF+zLT8HV/4x8kYXeQZl4N5ygZHt70Ch0q/zRF1YKAYnybMwhlRiTGaXNTo2/sT7hJf0jN 0GozOJdwJQHUwcSQVbpvSUdMKZ3II+9JnXSubs7RiLUrca7hB6b65chv0yLasIpwV+cRJ1guSx1M hbcvBdh2Fp2LHKpjcVPxH5PS0OMll2RvSZKz8sBAAPdgym+416aP/leTnb5B7kA0VPKpKDEAfmbT MW34BhXWSGydEnMT0ZgQZd7GEKzpIvPuCzboxPMe+P/0GVZrGbHEl7vk6GbPDE6N13tspNak4Rg7 3mDCi44NSfKvn43ie+5WgyIurPsg+PZAW5MS/Fq0t05Twuocyx8FpYZa+Yztvfeb39AeOQHAgXHG 82dXCm5hgG9pienlDimEN+KtqJeWDRYV5z65/IwUPmVoiVV86SPgGBU/XNRKNmLGhH1cBoc8YHow kRhWVweCDbQZ2A9ZuRKLzt3GkKY3Uugc4XBfoLOAueE9H1f3bZFL1Z5V2gYgEeQNRBimsZPFgwjJ QFrxqXmUYUzru60hiIfEB7qFKYPZQrSFRrJNISAN7cBa5wDpJd9pJjDpexZ4kabZTz3trVN/0fHJ RyUKmbSOafnEMzUuK4Ibnq594rLyFYoNfPHGyjtdl2XSEvY1Au4tYWKV/tK9zfvoh6kuI+gZrkht d/CkaW3bEHJROuOEATevGxUU0HfK3o6W1UO0Djsez9GEQDhYF9UOvnsuKAgKrcv+fqEmCNY+PctD znSVn8ESFRWNNnkne4X/VVW0W2MhCjNXldNrTffTcnvDFKW9woAGYeQFEJL4M+iddwUIuQeQ1iLp las1Jhto/U4behVcIzsVq7T1RD4bhFOoapn5aMxGKrWlSIPodImP4jUiuhplLo10Ir7n8bsvDqCD 2fdi7C1+G1tSQGt0rMny+1OHTOD9tJUsEhr9Od/vqpyAFbDu8blY8rYC+SE0pE3F+WgwC6YqcrGN PEdVlpcClFo3UYfSpWnqMI4QXVorLQdtBVDwv7Qip4qq9yJ5EAYZEnfWjOVzBJhC3ruBsdbwsRl1 yMa0r0aE7A6s8AxWM9HNGJS2EXkexs05sLSGgA5Wen5esKwWrgHoUfHpvpsmk/nFF3yQXGcC/XBw kqDCqr4g6YSzCTvKznQWqnv10KH0VJQoFVsHksb6l2ROJnBXFkj2dzcaxBEYOARMVRwaIraFpnhe EPKPgR8nltocA7YOW5jWtcwyD//aovuek6p5OEKigUFdKQF6qbuQPRbqBrMDmPK4t3Kml4OkV7Qe ox4T5W3IvxBP+z728Y0JY3NpcToL7oNAahJfAj0rwoQjJeqAjDa9XgAtRvJ/RaMKQI+v+IL7cwFk QrsuxYGl1oiNx6A0Iohwj/arb1rV1nrAGsL/edQu1XrvcMGMpFLzzE07U6mVCGahRBgtRqXMrHMc EO2wHdL9MGOaiQrC63aQ6xV51grrkXhX0Mt6SkobUdDB8Znm/zHQU1evAoHrkL3Gzl3a0STZfKJN +70lOMqc5jyF1zbP+6cfcHagFSgeToq18UALqcR8oRo0DIgHmx3GA8dayIbEF5lt9RwgiKtnvw2O vllTMXO1DSDTv1OgMDE5cI+XU69HEzsLDzpFKfhXMzY8KBo4vusTX3p1spXYKixx7n44QMN4mN+M qlKAlQ2SsZtcpVYtHZ3hMNIbDin5LBm2FDsKHB5x8ml0iQkIAoBi2O2zOha2cPaAwL/1/NRPwFVd +TIi+DdWRupCCswMYI10DvvswMBsz5FMh0fdFuK7ckc8CGe6RqhesdZYzeazZ1m7bvNUBS90wuYy dpAiQWc7Fi8f1EfaXolOnjf8G1n1MADaAOmoS8Y1kN0E+as1mxpZHDjBdUl9eKj3MnJsBGj3/ZfU /bkUE5qgdXnzmtm8X2mP7cuTNwEVM4EkVutivFoSwImaLPAwW+LIygIkyNxRyT2WrvQFQgxs7BDi BnJUHgq1WM9qJ4SGQV7m3NjL6uWUlZnRyb0tDY/zmCidLIDKC4/vI38doHuzcKyz0G3BHlyMUBd2 t28hAPzKBx3whcQuQq0ZnG9SHnlbLBWMoo1UwUG8bACIdN4uGg9d4ZN1+L4E/CF1h9v/Y0PsPVMo Gn46/nzm9OUtjXdSrAqiYuqFM8w3znragJ51VE1unzRhzB7s/4f+Ox7CkQ3Kd/2D1kwhopHNz4cH jHZPVS5sJPS2XcUjtNDF0d4gsWoxOraXZvEJdETGneS+kyebLhXFxVB+q8Dp75JSAup9oUxs7766 yr3XNPxm6/NMcNHvZWffg/nbGU6mbgV4WSbLDsHVF25RxBcCD7cClNW+SVZlBCQYaCgyM/oPxqUN EWskBdar8WXw/mqkhDfnSVHyzRJD3MnTmPk5bSUoH7bBoyJNRxeOOFL9MoNKSNVq7rXF4c8Pe2wp QwbjBZ+2zyPTLPaERD2Z+Ti7rcX2uZvx4PNlUS19TdFQcLURSrsb6ZiXrc9t6wMKqPV/PnmDIcp8 kuTCfPf701dAp7ASxMPSesBS39dhHHqoJCb/Xu1syyhxIMyXYLf8bnybOfokHGSeG4K3Jjk2Y99a iNhUd4GyYfIT3nlyEddtoeyzqBS/Lp5snG4sRoUmWFsvv3vqKbB4W+cjvCz0UAlv/7GrY0llmXLw Ld9QIYzztbFFxgAxgO6aaHCUQmJ8TjYz8W1c8stLCN/2LZj/eUWidpLs2nu2su2fZDy/JDfetGi6 bRtWbtwFTTxvhewSwHOcibkrVjSHJRSRgAAjeSNihwKZ87QC93YoblFeobvv2GL0FQ4RGh45ZbI1 zVbaq9VpcGNV8I3VJ5Bx8QIJkOfoqDYS0COUbILYDFFY0dhBehhPsnnyd4BoN2Sr9DW4qHj+PhmP 0+VoydmBC1rhOPQ7QbhNHorg30fkaBw1wBc3ZDjgFI9D714dNWuWjJuWPgW6RKEoQ/AsCdumfyKS WJPxWK9yhVI/FakAUiJGRNzdbQZBceN0fKx9H4CKyYMIDl/GJyCkPQ2FvseC3SXFgY7ZqLPtLy+N 37z6lk122v4gywoPKPXcGaQu7dw1r44ejRj50zOWMudFsjBff7g9pA3lH4GvLUJA4NhYtPXqjUk9 4XoKTE3UwCAY5/N6b7lcC3IwoAB94QYqAMARntnJ8R+xV8ACC6vH0/VioS26HwiZwO9Fu2znp106 SCOMSJJ63oRQbeN4KatxHQbWP6MKpeD/msbGz3WBjGPtmsJYTEGReYK9b/9/Dc87ULjXHjUxdpMU uHT4NZxRbrnRUQLeSguKbPh98MYhlAlrWmOct5jCrGFDQl2eFggjna3npgczZbeS9uNCFSZNM9T2 qtIqrvAALxim/VACFK9ox0aRuPl9kWJLbm4q9LgllKHqwmTHqTVnPT3BExuF5rWYzZ2wVWLOfHge OI15pEsIgC4tsgM+8cB8jHi2GBXHc8ob53T63eMRrshWnRMMVjTPMAkiRQxPMwnUAopcQ3Swq2NX 0u9HoOADoLPQ2A/wcv55YYI6FnlVch4YR1cIJ1XHljARe/cdCQSpwh43st8IlqhSbqXQZ6QnLF2V YyYTgxxTx+VxIo8eFD86tT30DJAhXZi4C99HM0uD0NB7co+MrOb+1iJ54j73nLoEiiI8bNrMF06k 6xEcpM312R0ummVHnecbv7aDmG9hcv/8y/DN2yQvIHYIAAoQnDAIsG/1oeUtgELT8h1I0H6aJwgq 3xKng/BvM2vCD1PfYDOpOQG6o0g3jY0gNBN39WX86QdJStfriMojb8ZGy+lLP+MhVmwap7DkLLGL Q/p45bMFThNg1rjKh3HPSguzYWbdI7jloT7AvWFDWDjVvGbRdGs9aYMU1AHoqfNcY0yxBLVCNCfN GT5Ed3om1FjkhPoDcShmRB8TdQM5nIt9W3BXDVbyotrVhmwsH6+VLZ0hFk7gK33h8pnEpGQm8b4l C25TU2PDQbj0rb7cc2q56Wv0AAd0LeBAbRuVmWrU4HrXgBC1MCjuKCqsrDKxfizEFp6NopURUcBw LLXJLo8LETP2a4Lljhp7sH8KPkCZoq43GIMZuGZb4GftFVEWbJLwhQEc1LTss1j2t0pJf/jbXoa1 ip5pi5Je/N5IMITyKADsLEak69fhMuCZF79lMtW3dLwl8fsywfac4CIVm/mHoUBCAZP5Y8WB1QXD Malm46/s2hrUqmg4hVXCNI4thMOSBLYhbkg+RjvRkAIyZZ6s9+UwsAJY/qvTPvxU0xyUsbnMK7Xl 5lCI6FBl0Xb436uti693W9FmNFzKRF9LK902iPYhHLBNXj4mwQV/2XtmVV3lj55nD9ZIJQvBq/Ur 91l4myFlrc4BkuFXwOzL1bH92z9ZZuiKsgPpNqZi89eLBnfklr3hARGtYEfv/XXIVln9V9+gOXXv gX8g94Nq+iBdnZAy4S2CtKrP4ZyhafO0CrkEkfItZdojM7L4V3jXUJah8w4UhNRMWcIBmwR8SggL rxhUoVLWjKbOf2Oo3/wAvaeCZ6BROnMXk6gX0djAeG+YId11sAjTbPQJThAsrRx7Bwhc4+zbW+3g 5l1XAWA2pB11pS0FyDjDo/wv0ImH3mURjCxd2kYmSQmYzLdZ5F1tZj58K53UhZSHgEDrt1ATPmX+ SUkmKhDyrb0jlp/UnAg+1WcPlmbIGjBM8VvhVOsW2K+vv63oAAOt516kKG+Ww2H/6D6gHx4aubM4 6UomZJXs+8buOmiGJ60JBQG5c0LJA22yqFOJ0CoyrncP9RJb/3zUuAhK2Ux3d/uOnM656G5L0FcN 0WJYZopnriJ0ChWbEUQZqlrOGZdiqZnV02pfqkp08tOUmn8p2VRLIiB3amEpo9CJ2nrXJ4h2m7IW K3eA0QJbXgn9qZo/yIyl+2aw6UdPPXoVVo/oC1pW9Lx56PG3BLiCktH8Mzr6b+M/8VRRM0DWSsRZ P/h97nMMOwmAEMoudcHDng4Y/HIlZtd/r+NRmc8p8RcjY9XgCpXWX5P+hK2/87Ok2HCqdBM9kYSC NnvTf7AAyfJU2YdZ9A8Geo8VFJpKCmTt6GaJa1AAUjKgExQ32aBiTEF3veL9etyksqKlNYuG2UbV A9Z/aBsx20ERehSaxKS/ykVE7UohbCw0SEBGpzDorFNCwjht3t9YubM24tndkuS1Vab5MsP/iLEW ZD2CXW0l4/ukVyxyVUikgCoWrYSseVF6PFbdXfzx1eakT5iCIRVce0E5yB/ACBcz3emTtHP6dFlX SaTnF3tIrawpwcFnfEXVQPn8vu8de3uJ0s+ipl5hzfO98KHSjB77x0f4PulA/7ael5sr194p3SeZ YSx5TWc4CX5uZ26nmVtQ77QOniMsaNUJx+Hjk0Q96uyrYzo+ifUkffkoFQdAWZhOAPt/g05uYYJB ebjgc+xYFHU1hZyClmGQ/a/jzWsRDFC0V/iek4CMOcbjsX/TkjiJ3yyGoamJhkLFjtXZeH2WAKVM N40qcZaJni8zfZwbQqkyj6TgateupWsaawLwoCvbavnM2CFImyIxeEffVKRmAUHayYV1YtNRkz1f 1XorEAEE+UUPk9mWTp+MpVYCsEfNF06KyGykx6HY5ZoxYNUMbshoVLGdRhxBjkNamow2USAJQzL3 h+4vx6W9xXRkd6nuTTDCLhe4ShxrbgAcJ/G86n59S/tFj7bn27g6tlxGM6L0sD/9LLc9Pmu6Wq2y PP6asy8TVJrhlnOL92sY9UkZsrDBrN478jfviwfT71WCBQwvNmbl8ISE3dKENhN9JRwlPHkHra9m Dl3ou8azXZEIcH4mwbj3oxq0nmrqCSm2kgBnJC7B89Z2ZLywQPo7Ty5PAHglUVZOfJW2zhyjiIXH 82JUNCsRuc9bwG95wNoKQJ9BGh7gHcsNQ0b7A1a7jdUJR8ZXg+DE/+lfs18fmLZuXZdGHyzWkm0Z KLsXNTeDsnJahValZ6RqgRVvQOb0Hj/vNUb/b9300GmMZu1K+OE8eYrlX3KKRohGrVP2N6EcawGD OjrG+N+iUxpna9dNUHbwASsFK6+6ZpnAXebGinPUkENtHt62h+rQKFFYAu3wThKNIswh69EiwctR XqaiJGUANakJ1NR9nBTkKaRDYm3Dh0xq5KXSSoLUQizu4D6x28wA3QNaXilaf7JYh3HUxi6x3I55 9NpXCX+ETdCWaFQIowBZSf+Ul7UQkBbG7RHp7yDCdZv6TLcaneTW+X28TtSboU2Z4TXBNKvq1HU3 2Owiuf2XTQHWWJ9le2t/qRDd4WD64mH0AXiTTL8ZORRUqBEHluRWm+TtB10JFWZRhwXxS1vpXjfs 0HhPwWB1bnQ5NvHm9YOYMoGCaG3WGO4QYaDg0uwEfuG2zjfFFRdYKHWBE1GCIVf6iQSb3eCm1V2v YSCp+gtYZFXj3FbGLfxtQnOF3/wZA2UaLQ6IFtFOx2kOOXFRAL6wpF4SO5YAXgvUQuLzji0uYzTt PuGUZVIhceAZga5P/r0PpCx0O3tl0b2mz08C21L/m01IXvJjFsn/PSOvTB+19pgwnftkhKO2gZae 9zpR4XuWOFc6d9T7eyFpA4bQV5EHB8s3ITg2LuaMn6MUbCjlVvfBvznYWEwegl+pY0Sq4g4M295Q phK8HOgfMv2dRVSwWetwOznekwgRqnVKfWpAvlKIJ0fH0KtrRq/5iNj40bOthAQoCnBKQiXEq1ov y70sFKiON4pG/mGiYhkXcg8lFP1iPrRbf6a5SDkD0nIA4vFjkx4GBZ7GDQ39v7BXbzq1WPCmQYRN PnjxX39KM34fTTDROKWjJ44Vd04pyqi6bqDu/fR+IDwMTuiSexkCaTsCuC3Msyp593fS4XKEBDpM wq3lB3haGNmxpv+u0ofFlD8UPV/C7SEbgXQs2FFQDW9DeLnTAmUV48DZeknwIomi1uet3nYfCv2y UUH0S9OEvShbiKO2FAZfuCa1449Z0B+riig6I9Dl3dyxSqkIF12tvjw7V8AMTYnt5ZMtV5eTvsR4 +0aVe7U+FyVTtoXrHCkr6YL9AoOK0SYMy41Rl1Mxvm9Cr1sx7943HntdlhRzl2Xf5CpDoqyJoMed eYWodIMAAp91WU2jZFdDQKeNdMDVJdoMTMGDb3hEwShfprpjSbFJvIkirTltcPeUtBF5uNpNdGS4 OqLxDDqIsHMWhJVw6FqaAgCVtg4/Q+LULg+mbUM7892C1JFoyXMaZQ29HaV0/lOGSecYZExzqqR2 L7gZWcP5IJCksTxZvufKYBuiW0fz2ZOqgsU28gy1PESxK0gF0xQ3CkiiOLq0vS4H5Wtx2w9aHVFY 24DVDLCwFRWrBZmKr3QRMwRe4PvCeAd2yo+d+wSI7Au8Bx3kxvA/pRzQ32//64bo0OORurWgRZhJ HKBUJaNKDO0LAWIaGwRVmkT3MkcAIah0FYX9UjN1i+aiJIgjGzAtPXLikVx9Ri9H0EXflW3NNCJv 0pY1jnS6Llk6thqQ/qJIzEAsg9EhoJSCsevReROrd9szgHuZ+UQePlFaH7kca7fpt0akDyZmvfDG O3X1VMor58JxKX70paZi+7cww+25oBiJ/OeB3+YuxNHSnJ6q8CXaNcjyVprbYQw8kxP6dxrj4RX2 LJonuwJhRBUxuSyivDymPU1/vrtntCz/GB1NBLyMqE0qbW6wWWwFAUPpYc50UU0nlP0sLos5mK1R PXvuO9KS1ST7RcFrl3bzi/Vf7pgGM+MUFdSMFXL9vPNxNoocy4EkliiLDzXSXubzg+LMO7Jyw7j/ kKZ6O8c+SUxnT6nydNKfjM2Qvh5jJlgduJlGzs+ySOIwNp+UxCqCmalQC3pNc925In+ilXII+oT3 EM0fC1zGrTDboTZYUFf4/bKEsVy9Rz58CNi43IIkvhJ6+JsSRAlF5FqUJCMGaBpX3skPQiVuAq+K phvIont4bTkp36nzMSaflcy1sKUMU5j/P+Kp6Nu7dSBg+vnp6XwTPTdpjjmKxRIcnIQEt9YJoJUL VU3yWII/B1OVUAyWY6UAMxgIeFo4H810yVcLMcMxorOuOFc5ELh6v2evDaml/TStvvUXKSBoSOP3 RjQri7Cd5lv/7VCZ4cNmLNRIspfeqf85V94HN2gWtAlFgs1TkPlUYQwBpUgZmab0f9hbaSa9oQRE fnnj5R7YWBv6M0Kz/bv6ndiP0aEBVT3tJLSWD/7p1nh5hBri+3wmgsodx7nRhsSVJDNegoOLDG5i dIBIc3FNBJDxPEbjP5dCzB+CvQi9v3ndzl9m7HpViOoB8WROHOVLwj64O3+6b/5qQdH2vlg5IaSK U0hE7vTTSkTYr4M+kQQHCt/2BgJ+hEwRZ9AtsD1OHJGB96PLx9AzdizK7kMQntH8FIleq1Gx4Il7 x/GPL5v2x1J67mMXnXbILFhkfSEGjigAoctLODeZGqbPPFD8jJN2dilR/IVDF9BceaADEQQ3xP6s 6KJRsaWAz4wZDMegrCRC4KDCiCOq5FjWtmGYavyXmFU5ydrxmv1OxiIaSZp70gDwxUiwMyU99nMj swz3lOmNZw2990Dk3VLem3tiysOpG3Etu4h1xWtLY3oRMoIRPvZULbY74+KrGjiTEswx/iSjEv0w /73lrR9Ku2uOWJUUU8oJRNBunJzxyM99Widju4d4mq/Tt48kjAh/VxgUujQ5qvXHAuKj/mCnbKtV jFNgRp6LdXXat6Iz1BfiAphD/V4OKIKEAxb3T7SiJzPXfFYTA1mUMaZitC8WFeyuXQzBY7XQLsXN 3kTOVv662x+10HxV4VxuP5LlR2ZJlpS+LI4UvQmztdIvfY/iZYit/2k6Z1FNVSjzwpUqJRlKs7dV bIKGNc1xIP7haXFc1gmkO9ssGzVtFBe/KYwawb1DS3BygQlyJlggiS6uEwtiXotPNhJ/AoE3vWa7 pCBIQ8vvOjsQrWlyQYK4CylXd7Ylb6v8tD24l/bcIl9jbjCZHxWpbirlZsXwF/fjGpfj5LSbq9Qp vrNLVNRGRO8BmNbPG1CI45vatgekn/8gfsOrwyrLdnFDUtDTtlQuNUeRBg8s5/jBwVrGZ7ZkyG5/ fXtg7Rg9Y9fWml12mFzo1m60Ja5BetOjtg48lfw7fZnkp8LjEq5Sh1QjqNGmBh88Gpe6NeyIUe/V htQVga14l46Gz9Ssg18x7qM4pComj0nYYCTugBXIWyihaB2G9WqUlXQ/7IyrR0FzA/+3vx8y9Uzl RtGDpNgqxPI1ux3xQlKOhCi9sjlG5QjO/s7srzxp827TU4Msh8MqYqYt4nHrk0sMUAd2pV/KP/1W 9b80Ru1IpwljWDCD/dtTmliQzNltAOTavzmkcKKJWtc/u2BlgqPqIl8sOR01pbhY6MFIC3wu/WUb g1PVHmzGPXwJVr5yf/xBe7EoQD7UIegir8vzj9UOqr/xA5KbLki+QqblfpQJyJIwozOXYS77wLkt FUgWVMyd+v8WrhjfvqUEDUxbeQGPk7hQR2GTIpCWSS4tYxIDPtHH9Acd5xybPdWh2vH0j8ZdUTfO K1tTOpAB7bPyzLnXrlquezEmBNNE+iuzo+y95jvYIvZeygX8D8o4+hrlRg0GxP0Buv429LV/fRp1 kLq/FrI+kHjN+D2CvNR/UsdZjrO5OzBF25vA0TVQSBmLO5Ll/99paqFaVEUD0rFh4KZsz04Bq6rl hfnfdl7PrLLwUbLec43A5cLF6Dkpv1Dg4RENaymu8LZ/sNvj99LGfiloxm9yI8iKRRM3wDRJyhvx t3+AtkyyiAYPhH6DhYCg9vJBYVLKAry5WK8T7h9EnAIRE5JTxIDkfukxZVTgVMuHN33YnyYlvbgU m7QuuJevIrzn+PVgJMdOnpLc1x273zL7Y1vFs1hGDX6JfYGq/wP5w0bEFD4oEYSpAmXyi/HQEMwE Cpsx6UbYiPdg0x1Wkaa9TSrkT5yR0eWvLp2ViHbA9BWyVbBX9iGu6zacCn13ofnc1G6hVM7PZBFn vC4Gfo5ZH1A4rm2jO3Losicik/QgUR1C2baKMsN2E9AbHxRaUIg6RAt2h99LplcY0vy4E2btIvPl NDeWJN/98kVWTVQdPik4iL6gyggWSYFTn8h4bDxq6PWSBfeFYwt+5qhT1+x03CNxkoq097/VlP9V QXe7SIdlgIGA7rn0l/Rg/66SzEvv6vZvK+Tpv3QX5BT+xoZ/WTVCWfomRIx/7AEzsSc29Ztesnot wS3u6LDOnWsnWTcZwVhV2mX0nmEJOnNpT6QEuGcNBeZobRRUUBPPvMzge7v7L8OeUmleHbviQLOp N9Ku+3QLatG5SrtIh4/EnAWBDgChCkOAmdYsCe59Qh6ljwuCUkxCrxePPdQX/weurGKZYn0CxZcc 16EFkthXqh20K8a7y+KxhTyJVz+pSnv4mYtsRA5GXxYmMYxqKWe2hbhfSDyiDgnxOJzeSqzuJ7TD bdip/gBBqGieK7Nm4euoSLwFHz8W80AbpbN6tNLBXDZrWwI1oXYvzceO6Cn1zRbhv54XRM78fKqG HE1WZUyJDbWta0RciJQMBRyoCVjVyonG/fdWz9qUhnv3lR+4TDfWolbGIBGkJ2YU0mVOEzCv+Sb/ LPrdydDugStultIR8p9dvua+vqGGi/vk+pddT6JIb8t79/OCH8YY0/A7CUCK7C9Z/u8Fed8aJYwK InOySsvvtFK3yIciu6/01WEhOnfJrKwCLrCi+hc/hKSR/kb3CXQlN/9qK1sierRR5bmCAco1hEKb XVuFn18ud+W6W2DVNS3KiAF0Y9odv3X0tsL52x+XWXwQkzf715ezKxH+8HpJKnPmKl/KVQHC2MOj u8oUkaz/rjuKfu54AlyPKAvTZ8bnjuPakxu25RnEri6I9/vnPnxwGa6c0U3zE+OTSHin8QFSqbZn ILhUfaIcQghTmQu7kTK1hzEzmO3c2ELe+5C5a1ZcyRPrDBqHmzzLoB13nNmKvLNmc7LVDrEVhbaA ALRL3SB4f6TOAsVxgFPxJqIQ0MOnRU9uxSAwiChkZgwAMcTF6d9GpXw1PmNNyyb58zbVN5DuzkgM 8b7H6wCZhBWhgZKmD+zAwbfoqhTTQo/qcxtNJr7sqL0Ag5zkXmB75xuX+/EyE02Fmg/DhXEnt0nr 8JVxS6iZ8s5ijeodD+5QZqO6hjzm/YE9+6IjwDJH3o79mwNo7WTPzbQv3pGU6H01t/w56AV9Lrhp GliSY4ELb7ilyCY4f291baqD44dEwLXVNxxDqku7sDj86EZC8m8BL31i44kTBAgxPhEnHC1ga9ba njWAbkFCCga4FdZ72gKBINekMyg+GSLhrqZwKmTFf+2LucYwOPLFLOFjxgdWNr5HeUYbIEVBY0Tq qkrK6+9ycx6ocxE9dtqRotyc2giyoCcdmmtP4A/GggPjtepR+CDP55UWE4MLOOk2BbNyyumrI9Px ceJDdzIj4RW+ftUXV09RnMFo1pPho2CxxvifGkOsYrlVzcnj+noM6XWjFW1QjZZ4rW8YLv2IO1ku eoV78uDXRI68KDysnM9FvhxpGuSpr1zwB2l17vI3mMyIzaIdMHYcLIchdwwaQ36//BvkjGzRrFxT RLIeURy866t/Q/n5zNLgS1xLao1iLVR/xCTST/Cz3ixDdOkPXIssDsuVO8onjZgfWshcClv9cXEF ZCSpmg0WMuZCYQRt2GlE4hvaDnmHHPt0QCrjuj6QtjarB6JIVGo1ENI8ULshFmLBsMaJsxw4AWD1 K8LyrcAPajtefWBeEPP+3pYkV+m508pVZ3x+mk7aNdJRUHB+/Uix2jjCyiUGbZ6OjNpIg9eQAJYs LDu1Z0dLL6rH9vw0qYBDyqnb4BkZhleKZA0+xQDnVt7q6k88l5DBSTFSEYB+x51H6o8DiqwRfeV2 MkXgqKYYptD0z+W4Ou1jXzmEEduxj7DVsl3zBYHJp1XyYNJ0Vjgw+DC/9JWo9tijWGV1aRjyMjHD snQ+aGKE4WQyHtZW5cCxnTWukQP8f1bjMAW2LbTFD0XYe8toGj4lPw0XpnscwKH5IBY0aLyAadhg lM2+NrBECmbl4GIz575u3avmQnn/E3yDzYA71OM9QLw1WXDXbc2dzRlp9EZKjU12xmWyqruNzh8X iRexNRC48N0RJKTd5CFdvYN1FgGb40cVRPoMHhj5NT2dgGJ218lLMAN7DmQTJZ0MSeZn7vDvsyxF FOPc7WWVsZu/ctjZl3OwXSPX/rw6j+BVk8mdI146GvTdj5yzXjgiYiRqEyXtBMQYxH7lG6uE0I2C VmToGapqR3L1qBXQ95XbAr4gGTFhknc6qiOZYxWwq9pUIfE4jrYN1i7gdOywhBAgfeiAaG2cJfsP Ss0kCrWwAGCqRXgFou6yuNcEMtrg2x20s8znFW9OGonrjLqWKRpWsYh7jQ6/JLuKdei6y9srDff/ tHDjfN+pFvdkJAaD7y4DrgQ+J4Ayyf/0am90PUQsb70KAZY0pn6WUDu+PLx9/JQvPWxKKyKkgJS3 rha8V5P4FX+AlJfYQwkjy3G6EBevt1qgcN8tjP3tJijAwQMvGJWH4iPU625/ylS9VLNo80ljf6Oy 7SL4T+0hp7t/3VMd2OuFJwFMEl4FBo9qRv2LHtyfxFm/mAs+/AZwwZgUfgfSq0v9shW9/mduiR55 H38/sF5Km3INCOpkXXG9k6A5gokmDpuJaYvTdf/qVtr6zLZYW/XNOoPoKF/FkWxKTk/3JzLJ6vmn jQqV7zJ/MPBoTfTjhMVWD6FKHGWui+07CDUxdgpII1hKnXeB231mQAYZWoaPgsUKe2mHHH4vBtKS sptvvUpWs5r58Y67z0OEPb5l6b8neGdQTOS/NX83h+1WMloaRKGPK8uYxqGbiFeWAE7K3amGH4OS 9gV/svudO2rGWwlJKHXPhlmJA/P710aJbQBL6lME+ziUR0koR7ta2t1ougDuxHbc0X2ACHDMUldf AiK9idzgG/jp8arcXAj0uzsATNXLr+TN3PL7T+9B2SRp9pPf02ArfjBYyr43ldpS6tbw+MJVgPuq h+zkYm8wN2qbzY1a4ToPY9HZchPoTJHdTOM8NCXsfgv7U/Z7/zEGGGv6gyXt7XLpUUwoTEJJqfor yled0w34WOPQ4OYuniQizk4VtwvX/hIPXjqrCk1xO62q7lNhwV9ZdMgC71X775mxwrg0kHahOdVG GFBKuHBSvecNlFR4/9av1J9P9XMvo1aZKhTa7T18MCMwIUEfzHNRH0RL7mM3hubKgOm1xgT34/rL kVBY2zxttW31z/ROP/JKgxc2fKHr9ab7Ou61vVPKLe0oKLHXvND6LoJWhUMZYQdbCKq3YkOh1Yss 4jLIR0YvNaKLWuGnp0LsrO4Kg2FHNBMRn6Dg4vlii7+xpdc0zkOo9aOIYctuHOrQXqwQRlnaWXC8 cCd3odhXvuT+eEKjycnpbFuQuVs05/FhvnRypZa/tSqT9J24HV7St92N9Q0Lx7+Q3urbPrgegWcE JUsaWwmKSDBzqtssf+CnQ+5cFy8VlgwnJkinhNn/JyVcQ3/XyuBDnJOXBt08AIamM6LjpLPXXBn3 vkFaD7QJpdQHYgK5/F3x7H9H+QhxQtmMa61NW7PnrWn6JXnK8TWb9eXJXzb3lq+TCZVN83YlFi5w 3RTJ9WzsFSgTwZdxS952QMRojOasLzo/p9pfJz17fTr18+BpmNy2+MU47ld2G7RhV5N2xWftmLhv sWmPRihATeuGfUmMmzFcPDHeMgUSEQEQhZc5pTeyQwuxbrY8ZUPBUPgswJB607Y/jRlQfzX7sW+L F06JrIKSRSnmTflRCX7XGqX1vA5fiKBC/O5PV5zj0X520HxFvCIcShWjo2bPegcopNaBf6M+384y uNEDq8h39twBC7L8IrLC6DvfNaaGnP0e5iwJmzgJXYDEGXzZdzexdXYG6aWNJhhDOW5HL5sJ2YlT pEBC5xCoX6CLTLyulmBok0c6IaLpX+h7AtCTU2Su8nvKeM+ztE+tM/OqFvtKn42qBqG8llN/THPP SA1cs0VAs8fSp/F726k6wlS4klsyf9JqzrE1oglMeHkxpF6GiVpJepgBEUA4EgczVpNME7mf6TAd dKAuOflojpIqaXIybWN2SMlOqszOIP17ezMIPo6NreM8Te0LbMqJ/jgvAeMED+5M7TfgYCkRG41V jqRCEXBFGct4y1vDPzGn8HcokI9VDVuANLZybYTEKSGuuRx3P78X+eTpkVxwlNz7mR4IfesCMEsP qeZtkdl1a0Jcit0PoRW7Fx+ejpA3NQKLm+JPeXOcwsO9Ug9eXqFlhDcMpdZSoo59DlNHvcyEhPt+ TCqSucFSvPXnvDO24CD0+24Z32cjApz3KI23D6p8Vey0ylOiqG/+a2uQtsplRQxh5HXP65AOFtm8 83g6uR2flcXbnS5tRuv479R+XPvKgsayxILEB/KC8bcwvjzBk8ehO9SE2sUD8LNjFukSkQs/TiKB 4oGmfAiBXBJboSlp0ZLdd8geSpxz0Hpo4HTHZcj4aypHYHoIRnFhypcx/SzmDYW1diK00Q8HqDBq OQ6R4AO9h4J6muhHv24NTp7SEX3Xiy/ecm2PbVSfTHQx4cnAcc9YQF0Fqy/7SQW5m9Si9v1IaS4J TkxGrvfhxOlIAcoaBysKwYn42tNUDEfB/zL5hYTDteij+ppiGCs8yG6B5CC2198Hd8NfUZGdPZEp DRp9/O1OyYp0zbAkL8WvCFBkBZaCbDXdMTx6rewOISaSwaYUMnNmYA0yrR8LhiwWW0LPrfE0sXah Q9/xguana0XM2Er8tUdCLVV7mkEuPVkijhkCcyFlKilHv0jjJe67g1NyI9fv7vVNVlGerX2AFu+8 SdvVsNbKj3BjVkArDuFoaH05SOp8lFxWa8YBO3j/chHLS59SOeVxoC4U26gRyHWaZnrOgIcp83dL lt/ozjdB5NwXXBa9DUb2+pQpzXSPmfspbB9PU449fnslRhXbKiqy4xHWqVn2XtE+PqP7WbufzQAd QBqxlWJFtY2uHTt+vvJVGhcyuMKlNuqWn99JIOfbPYfkM+euds9DTVvoCGCp46FJaPuLhUtjarbg Wif6fkGK1mfeLzNmtKglswcFk3cN4Z5/I/m9GE/vRicWNgSiDj668RCeHFXSTWjXG0GU3nZSqR+q TBoSBfiiBPC25VghhnjTQrjySajs5lQzNaJDb3O6TRSXvSIhO5RMQBO2wwJC3MhxBOsCIkjrRV3V /blkeW/tRifiFz01y3dg2IJiASYtPwtB9w4Wt5xxI8WSunDn/waVkBLoD6LxFsWcdwBX5jo0saPK Us89RdocZvZI9GfMHL3i4dICTSIjW/IGeo9ZdNF3l/5uxwU2RbklrkXYyrYHbJS2aS3KCKTjkhzk LUrVTvAF24rnHe76u0AFlF7ytNJGUUVCuB/SK99HyXJn+A+j1kG9A/A0iStMnfLdITNahfJTCi46 wJQPpOOU1Xw4pkbHuYnTJW0elbYQukm1UrMPV3l3YpCLX20Ca6XqFx8AWY6r7UF+IgxvHjToXeIe iZU6j7npRBnz3dvOoFwTfeDVjwGkOZ1HL6PfAtpx3VhHNa4AsnN/qFtPmq5i4uMF7Jlg/noI4oL3 DQmk7Pz+wyRVN83cLg+w3aoNWAUFFL5RHGWmvXNZJ3XVUPJpCBpOjg7yDpuJOgsS6LT0RZg4VycH lLA1N/B0peEtTObPjgkS9Px6DFHPh0UNIsxFAXwJ7eTD+YQeNUPCaeyj31uZaa5/YqxPig2wXJdh fqCWCZUVjuXrYnTmiJyA8bP/RHCL3DctJ0/CVu+bGM6/cUIDL/MrMRfSJP4VR48k5ZhorpswofBZ DzTern/M8aKnPhfIAjFiAuiq/MQafr3+LS8twKKDO7vo6t2Gd9E1gRnWVpkYwmsoNd6UtG8cI3y3 OkLWcq21NTjU/OWnDYMy1Sr2IrrfBHnPFWtT0rnFTY+4WeOs4MDhiDW/fhxE0rMGfyMp4DgrQEQg /yrhGdzVrZBQPkTm7ugkKk/wKziAce+2HXBs9XDIiXLbIhNUo2xgqMlm34ljJzcx3w5Kc0KwgwUO eKmP05a4fiFdFuLsPJi0Emu3CJ928LndT9MWwnYkVinyltXDz5sFIEf1VS9gZ76eLZGCz9A/SW3A 8V6QQ6k/E3lmUVHEbVHd8umwPeOoSZXA06hoI5D102ehknBAYjemCPvEylT/4XAdm8yguvxtdp1q u+8n7nSxdIMpHYciSHTqrKrgsCikfT7ngPhIn0DT5KGzzcXe3l1JmKoF1lraKITcIpCG+wcQy7zV WnkPxyTJl1qtgfIaxTYgeWP7CQLij9ajXY2z9cqNIlkcXRsIJF8PR8XFgArQDUi8OKNWeNSIie7T GVQjdfUGSrC8XmFadCwiMFJIyJYkONteCfqm3kvg+P8rkEE/n8cndmMreqeurGi9oPKLMISQJTFg b0zODmrTn/Pm4el0IwhyNe311s6dlLyXqLLzlKGEhjgGcsBopS2il43WPPSapsQB5E9u0fqMwAqS J0Bn3x8rhm2fYTr3c37LSCTa9QjWulkGmhvoYrVXQsQc5ZdBhItyp+6Nqi8Xv5Ny9cgO8cMVoUAA JQqIwVnIMW1iJd/sBq8oy7Ok9wSiYGYRPjTRNS1J6AMJajIOtyWbEolntEyLKNhdmrwekc8yEn8L Iq8m/5KdsZgVDkDaYQNHwOIiuVWZHfvIrS6k/ZS3vOYT9fJ9h5NGZU67t6DvSu3h6wOc0j/nO3s/ wdVh7Q9geTJ8Zy7y9OF8njbNO9bWyjwVW1/csK3Nk24jgzaWbzrxTwiCn5faEAEi9Mgh8IWBUpIe 1Z9fJ4rBAikDVoBtxhnzEv0RpbIri2qxrL5sJ/u9Uyv+JdAymDcRbRobbg3sPrcV94w7Y2CqmSLi XbyTe5lzqO3T61TQHV8BbTd8fNS/QkXXZoPQl8MNl4THNntmywSLIRx88XuCaJWCCi/F3lHK2EDd qeRbHUFBOWft4IbI5KPVihkIIkamtW9anl8aUMFyoWutWXngwVfuEhz9fqVKgNp5NUWr7adQhbT3 Xg70iRtccohF742EWZxFyT6yzJbUUfAKrUSdYB/UaP+va0+EeD0ugqGsb7PpmfaWWP8tFuJBO/zx H6Pc0/APdiHrhF3d/+xSoF5/iE/7lkNm286mWGp87Ftf7DZ2r+2/SXlpG8/FQgZtW9oQdHTGTk4S w/FByBx91t41dnoRK0gqMJ6ZuxOw4dIE4nGXlbDp/XHuSEevbVUBUeACPIPynM3kBogJfnVzK8uj 3e14f8jgPLEKtQFUE+ARRxMmvIZ5ex8SszQevPLZ85fvWmLmsAeBjl6vP8g/nZLezgvGyE4LK2yv QqFrGZo+hMzstOrH/cIE9nKdVGCck2/3TI/Ren7g+QDvaJ4vfEZzrSxD4HDdkdZcbHIp6gi8KBNJ 42+uCzTCcii4IZx8I0JT5kwPPLHTfc/nwbbGbrKXGdAlO2Z6poT0nrEYGs+fI9eC3Z3kSjwcQced a4fwi8hzdiWzb3wc1JSmFXFluai7H65uCIDb6HpeEIcg7tYFqTQu9oRPVdbc3CzM6CbKP6CiC/WL 1YCggatwm68NgH52tu6AuTy5XfLQOlOGoRjTWLDhVASbh2SdpoLB1AnISCi9w1Ma8Ru81IkIQAfr ZNBG0Vcp4R+PLxlWp66jl/Lm5DMJI+pvk45MIynmqpjpbYHLo98F22Y5yilx1dbQnVFhAh97A/4d ljxwMi6lMw2SlGcW+LozHk2NRPCNFU5xrOGgUSt7FouVQL2GYG9VJs069Cvr9ufGDi0FaLn8ODaZ MHCKkwTkbR8LH3fXaSqvHF8bYYFBYYfvYzbLs3904ex0BkhZF1IqhhchMoAYAwFHuh1OduNuE6nU S18RJ9EOjLB4tMvDf36i3Nn8FbgA98ImIVzwphw161z/yZzjta6hg8QkDIsMIWe6M+qV5Atk1nf3 meqaLFipIcZ1iEqfxfxvfc5mlxAhksWJqfKyBVTa0gAhDyWyULONW7aXSQjjwmhJc8s5QacCJ99s h7zXn+wTPs8ufIH26OJL6237wghhu0PDPb0zdmM5GlxREzgwqgSwXH/Ln0riSvXuGcWij+1LFd/X 8IpV9CI5ZWCCi5ESD85CRJYRvwuHwVlT1xqhHdOB/WhGhlHue+Xzohdks85Xb5lWpZPl3dhHS2p5 n5y6ur7LizKL2kgLDB6WDqrCQcVvBQ7rmXNqUJBBgxB0m4oze3o6mxToxu5xcuA2tw0MugNV24qY MZTFxzDNKLlsQrsA7MbKV1CiHA0dG4tA/eg3echLLl/vpYcFj5mKknWuGnwjP/IgRBlNbdL/tZc3 sYNCoblxlDCcukGjfjS/k9cqBqzUkef5KVyR5WaFg1bbB6jJ0ZjuzNR/2ildyLaJYXVQOBM/JJNn 9O1yGmZ8erUglgEsaAoa75qRjg+0NzXHQ2BXA1L9SMFlDVKwvrD3lrFgGeHp+OvDCwTun0yaF8k0 /xLLJ5xREjQMFQuBSOC5tONe6GtcWIez2SxEtJ50oKqhElDuv2y73MTzHzYPnA2puWaIPgi96IqZ Stre3LeeTZlG9CwKdsqP2hL00P6CVeUuW6pEPtSpfHWypm0F6CZstfX+M816xmezFeJbt8T/3Z32 clWyFe5or3Tr68DO19RGQaMuzcyZeAGhPBgtivygOPjBvscy1IFfBhmvCcxiUrj7lQp/4/j8H66k NXTxGop1ZZ/PUViZhLWWyIRtw1RmNWcx7XgqwwZH7hdOu+4fTV2y2eqRzeVoPBGDSh471PDCFOho Hj2n53coIi0DN+NnYY8hCEJENogn3+86cnE4GNIixFG/obpypI0NfPyKT0BRwgdIHvT38HYSNMsx J1iT66SimBvFQUkCRkLn0QOJe3yR6jWwz2cdnlIrPKdorSk1+9VDIeW97xdhEDN2u6yUHAOr254u xETzyFO0u5W+co2vte8WgV4nTwvSKEIc4alR4ynk9f9MimPAL+DIWhw91lzMjiS9eZWErrh8mD+i L6o5C6X0NRlaocA+4iw3a0drLJAHf5UhjuIx+UxL/8uo6EPY2wxm/Nbm3YQijb2LD3WmwH0O9CPR TD2wrRmH7mgDYl4YnWrnpTTuf2FAEEGD2vZEk9sneYa2s1uIvV7mQh836VJALupki3WKlYOPgH3C mWOD/B0Xde0QuykxLzmy/eA9tzLo/mUBhQVEHrcLyKs7UCNQNIT8mOp+7j/ZNqU/A3WcnxJ+dg9n KF8aT2QcTQ2ABXQSSMOYMXfnMqx+Jyx0WtnVLSPasD656re4x79CfJTZcl5tWvCFOYDCWrr6U5o1 3MKwblx9z/Yd6DfOhS3rtl96lC+m6tRy6PLC9WtJqz28gdBCY2r5vSjW6LVsldtOfqAIFpLZ7e5h DoWXwGCPjfptSpi+ot63FMPzn74hJLitpEtKc+wO5Js6IXVehIrM+z8FcznYhd0SP9fmNuYVi19S BV8uNRRGXnxTTUCj605FcNIDXJU9Gxz33HVID7auE/AY0L3SVnFA4YRcG8Cfd8PnDcm8ykAaWPK8 casweACXvjzf+sqV4p+mt/PYsaGyA81RO3QH6T1+SAMc6HQdSHKpHJpROW7zUHm8xIdHd+668lF0 uXkkRFT0QthDAPREu1O9BoV/LhHto/k8887PKUBb1MWGGYiDwXhGlnu9Zqjq6pe2alZETBQas83K CXgmG2cV93VPXTa5JWEt6glU8LH9iFKYlD8ltHFzXi34RXcVNUwJAv73zq2F+nWxh4eD2whDliPe 06lzVZNO92OCenBcty+XLBGNl34MQMEuiz1YxC0Jt/3YGA4OMDT5IkR/qDPFVebCecXZ6IV9k2MO v80ucT6ysrNbSD59DvDwEeYfskQiZgPeai9AE23bNiZfWnJrbJuJSVYEg4ATgEfivpZhEEyz1zDU Nq4hk66Pgy0KhPvDGNCT8nC3l0OvrBbhqAltahlmU+K0HC+2OppMVnOXkfCWJ/51BeqUycPFDWfh w5lVQRS0ElDZTmKzZgw8ZENkzyvaZtlyOd94vuEMUkfsd+LCB/7Pvt11mTZZourRLQHWGRpcFkNf 4si3mK1Fbf8fnwbXlbzTNMrRbXPOy6GN2obZp1cEwhfeStTCM2laSiTlxAOXZjE+6VduMobBAS0o ZBEot8qyoYxMXJT0XzA3YciqKFMWjAUj/wP3RztNCHZeYhKT7tUgv617k0H8DFOMEuzPYRJbOgAc D4DaHweaiNP9x1rjl/ZRbu+TssI+XMr4jF35KLpGS+slhix1lD+bMIsdCeVHEQeVdvWA2PwC3Wx5 QfEmgMbYKFiFP6OkCyZEbOq6Pam2/OwmwQ7H4dX2JQcL4ye0RazEqCknr5XiUqnCW0uBnsmqVT+I rjErMhR9RjYz7utWym0TNpqkPBjRkpkkphxYQoHszTe8M3yHYCtlfP1Wq+72wtUdeMiNSFslWUAI crksJvx4ZfcqcU9RTq/ydXIC6oU/dN6uQVg0Dv3hUID23I3ugH/WpgYeRvRTEiOGrpocqmr6WJmS flYamfX33Kqn5wbh5e0xJ8APi71alQOMe451ob7jUC/3dmO18ktGDvRbvAsLYah9zb9BhKZvqFr4 ZPLObev9y9VCao2i6Wvv3b74Q+p4+GQNs7mhelpePS6XnLUZzL2VEw9Ks0UDdYdvlmqxeB9h2fOt biX7i+dU+iCkMiFwxmmcNlaZWZKzC0lQd0N3m+b68jpeyGKRf1fQMGUJnJBBGZvyqQXo7Xr+Gbk5 JPks8vQzku4ysdEOmg1MU7HvLkPZjuM/2BECVDF3avBkjpvAsL+o543Uhhaae1FV0Nkn8petT+6l /d+fwIy6IKnATZ6Oz8kDHi1VNmM7VtUebAG7Jvfr0IMFjXV3bvbt6B/5BIs4Ft7uKCnhrbaY7jf4 0a+2Q42LgNHqpVydaDFdiRs0dcotAw6cFp2TFPHBIIgsw1DTpnKpBs08d9UVOwRS38giRuWXLrCE 1rLesSMUZXC25tlK6rw9gFF3Y/CqE1O+5uwNh0a9wKS4RoUQtz2uCNqpobrCmiQ+x1Y4siIB/LmN jWhwOrND5JTSeGNyvbt941U6St6xV+mVfLyC7aSw+VQlKYbybGxvKR0l+oCn5Cgn/6zsfQvUgUOZ 2TuvHcREjPkWeG7/IDMW2m2sx2HJ+MQ4XSw2FwvghsODL7gFDBf7ES4VSdsmHyDD4IuNAIOWVHGL ryoaip1rPWpnAHMhR+c4W9j5WKhMqFypXbka9oTuc24rCjSy7P3tyB297EQLZ+ltl/iOyrZwhqp4 xXgI+sBR9HP2Ljsl9v3kB4BtPfFOLol8GmhdCuh+l8jdRmD3Aioog43cbPI11v/eYvaAKAwc+o42 oGpjwBt4WquWK7dYnXBQjwKlX6YrNjc6aUFHyXv6Gx9izjr98X11tz5RfL2hViANmPZU/Av/M7gc 9CYMn1CKrSUP/WXOnP93bheFe08N+xRRQbr2M9W2LbDgIq3wWeT0BTCKkNJagATRdp7AIeY1WYrh aRN1FdRmZI+vqE1ZBtxsKtVjiTZeujojub2pRQE6m/RVF1gy/Dk7q3TnH+jbNMIf5J+eD2suPZtr d3LJZVvVS50XGRkxAoWSBA7zLLmrphftPb1HbsTrWOqLhTcbMop35Nf/sFaL7GRzxDiAg9mVi9aK 7LhfLA61z00I6zwoQh1UtSpNhC8YnM0VubP+k9mbSUFX3yyTsYvK2Zrrf7Z/HCGx1SDuDOw7TQ6K M9cFNmjNmJb6XHMIBHBo1el85ycYf9sXdlPqqr4/3qkZ48T+c4OYCS0s4e+4OG04QVYca98Ze+me qr5pDsDBUDD3kPb7+oIhtp/TT9267tGbigbapsEt8xjddx6Ax22/UASvNg6T3wrPuvMGUavBcwq4 nw/Fy2rTAl03Sq8000QeBYhd30SW3r5xalQCJxnwzVDYGJnD1xFP/jAmYAQR/zwIJoNYL9iKTgSG QjtQnBBudzF+auw97Q33NgbnPRpIjCrm28GGYUZflNmXt7r1i9W1JTY4wQzL2c4HDCvDgkHdzkch QRhV3Y9aZV4V2mDfZcu8pPJUKTPIYmmgwdu4d8G66IXQ9jvSmNvGYCL4x4woQjEqCrGunKfV+U/z XtSHmIfHJTlUpxVooyrgTWxKP+9D+urEgJv90ZuE2Pchrb1DnC32qQjjDhQbLESKpgdQOy+03smP bhRLS79ONhWcpM2XHa/xjNrocinXN6s7VUtFDV7hzlQXWghXydvCstncxjT1o1dpZsLjzLGqGXwL HtR5p32B64yOuxGYwWVVufWsT6XOH/I4BUwTBnNpiFC7Kdn4mtuHSc7+OQIJ4y+0InVHDWxhseii 2Bo+sw+h5YXPVYmjvQNNtvBITH5T1lAea/u/4KKJ/Tn1L0uCTnViqzhw9uPmsBRUHOQYKZ/sXnm6 4ji+mC9maoDNQVObIcPVBXHoRRvsQHSukdFIGmzaA3HWxlU08rH2U96QDM56BF6zAVHRl6UeZGM5 ybxF0USpQr2l0yEEaT8kcF/wz780XyMqk6h97GkHwOu4le0hSlZ0IKXkBQx6L1PakRPPhOgZpet0 nr2N2G04aL11QtTCjieBVDvmb0egXyPekyMsubky+xAX/9CkdRy8DBmlDlYDHnupDVqZEj4rx4Dg g98msZwP2BlaLA1eHamcGCOJVWtsSRn4EJhTKrzWZ8YtednOnByL0/MbImloo1MRU0hUPyLPfeLB m1zJl5x3symhy7dRt8f6zwqumV17TnWzYR4NM0ePn2T/ojVULtKkH8c4Aj9tH9ddGw5em8MvQsHn 9Y9MD80+SmDi/XKgmBOYTLxXkC93st9gQfEwMToVT0wHyLnKaoCCSUhEDumWTLk5WS+lr8NngAUS iIJ7M58CYRkJT7qDuJFZ9VXUuRBbsXkMRGmKtFkf15D63sHMlwpxzoRjkLb/bf1Kw3A4dMiL+KIq 7aP5a10hH8ag1nKV2z5FLi2BwRkzwjN9vymEaDFWsmqp9maBl+ZDKJFmfqlWE4U7BL8HhKteOV7L 9Yte9tqKqVz+L0PecozveFvV+Hn1CqASEiW3oWFgPmZTvWx6aCu5liZEBcuI5d6NCclR3YonQMXq L55bC2oPqVF2TrhxUE9hsoAkEEW/ns+d8EfHkf3dt9c86iPIdP3YMZ4pNrZ4iP59GFVnGRo+jpF1 O9KoSow3heMTAhVHwvPLQPC5RYXCa31nbPHu5+/RBkMIjR9HDy/gO1p6wcSpTRVAzP8a5AGTfx94 92E9cWhlzigwTgBmE91iuasb6VUOG7PC1bMTV5ZymuqQGD/pxdvLxoHQu+5kP5l0DCZzpSqs+GB2 NS8Y5Ze6UOqNuFWW9hN8LZ8Lb02kE8TRWfpcd7LzcYy864EPq16OOTRRAQpV/9vlDzxTl/oOEX2a LhxqqIIs8NIxtgXBrnFg3Nk6Qsmj4eHPYlVf3qGBVj/Oosx1PoHg6zzKLTQLK90P+DnD126jIuwB NuVxzkZUnZhgDIZ/2th1kdV61YtSSEHPm0jcx1alyMM/9w9aaj7puJLffOvuMJmNnMeM0u7/t0qS sE0N9skpj/GWvg8snHT98kYoKXOiMftEGlGLRnYJieGTIiXSBP9yFy/zowgsrJsvgyDUMUtO3IqN 3mTAF3u9MeHIwbA14yVaLVfgFpMs+iIZ7fH9Rjw9pABRzKfl+DRpbC9h8QvRS2tlIo81RNiLMLSb e5Alycj717iCvGCp5zPSV4W04sYhZG5InZBHJ3iIwwmmF7UrmHuIs79cW+lFBcxbiqbPQNkFx0Ik 0NIGCqMvjmKRPmtWoZBdW6Wn+D8bSd0TP4Y2PNUMckRaexb6WeIW2G4ogVlvmkfY8liVNoEBPiAU 8zobRaNUw31mbXkmmsC1I9wmJm3VW9FDcj/JiaoDkSfluPwiGkbZNyfsKgJJpqZ/wOgjDJWptCIS vC4WjlFxEqsuZJx5JMq3//chUGmYaI0JNl3P14VOrmIRYEMpSOUgSklqFROBfkJ5/mZCG2nhfJ2T h8K0/m5DJGMLysgn4iJe44sB9f24wOZU22mI6LEt0g1TsWgSu8y3e/HFE6F5u7mjlcFBdxeukXVv R2YBf03MWQXqdoQvj/XrqIIw9gpOMRMUBG+LDilwgXcRLcOlWJU+fDpsrPwPHiFfOpr3ZjE+Wi3a 2lMTAfRoVibnUJHo+oRy7cTV8xQeZ2wBmONjtqpO8CeI4ryvjxeJiNAIWSXR0QS+S65n4sgPcfVF JRjtBfPJjpQkYthDNyegGccr6piNaB+nEWPYyGYIvgB54XTJTaxEeDSaSMlxHQJUlq/2BDNvCfFi bBNoKWIO5h0qMtm0HvO4Nzdpyn7OmYRUa+h//VngvB3iIh6Va9u4FrF+cvc7KB4gIvaVW15jJGTh bkmWEdVZjidcipurnw77MMG2xVWmwLxf4MJOSyjQsaJOvV1eVQv+12jpZZU1/nQQLksyj8j2Stsx uceJjSiofN/86EcpXGwJHEN1J/siH3PAR09CwhkKCRUYN2GB5Ar+QPt8hirAySDCnAxxUJfnpgDy QchWRmJwKtm4pIToQs6Kr7zMTpC27Mm9V9x0i3NaHTD8nyunzeCJLzQZz8IkSzPBQw4tm5bygl2W m++l4GWwM8s8K1DctT0xTrps4azFqA69rkZ2eVJaw2qTb5MH9AZCiP4MCJCDegNT/K3upU0dY2ny mQ75py8fhzivjkGVA8jvIgn2veujlKkYM9Dcm8V6o2sb6IKJkeBtaRTbbu13wH1DUV/nLrvRWfz0 iNM8z7y1PCA/jIfo7Kkv9jMhfXBFgjQOD0MaLc4+FwsYAMWC509jV0YCon6ipo3lvSijGOI/8ykJ 1ZKD8nGWckatZivZ2KDbQU3M3J41QQWxvfBVrNLIh+EgOQfOO+Bw6lQeLPj6n2Us3ynAoNHnB2Sp hWf73J9PMYDO/8QyZ+o255+AaGcd5IN3xDbb1D9rhEHdFtJmiIr1yNE1ljG3SCDcTBeAtKtUZhbR 9N6d7HucX59Fz9wuGwnSlrOkBFB+d6OqhLoN7n7BTS2wh4TMwr4bhB7Lr7r5vVV26ofNCGeoIW9V PaKPoI9f8Y0sI0GmU2mn9zBushbPSC7olwcoj4a/etd8kYVDvOSDtsDMYXMTAmZyyccU43cPdSNR /sxz8Qh4MrjmebrL6HTjtfnz5iyeYxTSeiA4ILrtaGRgoWl2Zcsg7MgVwI9aLUreOTXew9eASKsc hC09O4BS+NhfJ4vAZrfVVo7f+MWkFpf9aEDSfTmaPtDVpcQxlKTCbJ9+ZfeZqa9ZDfaNT76CPOco AVRJHr2YFDlaH3WSyJuiPOPxE/n3i++tJO53WxjWVArs1fOY5rlkp07g/tujtw1BSjwBlNiZtIMF wGPAK9xO038k1Usoi3rg4tC8EEzpEbbNdRGFIZEdzZlP2WXAcRpgRfbS7E3MX9lUdF+RKwJVZltR urTojtFgBB60ym9QY5rExAaDkV1/Cmh9Tjgib0G4IF1Bi0D5y1Rqs1LdE50H45XEEWupLC71gVE1 eAR4wr5PmFm6XVqRfURmphxWduY8xx0sAjjda7PKNIOE04jAkNDFxR8EGFuL8S+f9YneT2k84xX1 vN+GufR9cHMKC/slrIUoUakUIgCqtwhdol7Z1foTeY05Vo1wc9O/0fAXjkVaIAUWrJXgpJSQpYeq EAK3Jl9Wdn664ZZOM4zH0vsrU0wYwP1Ud5Bd/uFOvgEdFWH2CxB/rxbzmCw0NYyUEhcRud4wdwDN nPRYprEqh9QaHW9+DpNqv8y1EKDUgicRml6UC31LSqLLNq4rCIxrGCocklRPxpc8pzxv+4zn+JaQ 3k6In2AtOm6E1W6vlCkdcJ2P0J7uGFtf6V1AFPKxNEL4nXJbLtZO/C53iH/BhBFKQ+KIk8RaaiM9 TKUmZGia2H3rWKSXI1wPG99raxiNpF99KvIiIrEeVX1JiX1ArV8HwW7o//eZ7H5lJSUKcHqCrf+P xns+b//a0AcaDuihNitS0GFt6iPDBjQCuk28cexcJECnie9SWC3HaL+QaFjrHu2/lJoFAfvqNsYv LBQUch/bEhRbTOf8Dx7AdWxkcsIRXq0vpiS5ZSAhMf8N0lVWUSAY8frJ/7JEwkUREPfIlk0CMJ68 elEqnX3pbERBsMPt6nnRjjwUApw4liw9/dILlff8dbAgh4mRSk552Z9fEV8PezvaL4QhNM9Xfn7l mwvIaA0is6oJLMI9PS4tn7A2prCCzR3HZDLJcyUGRwUP8P+muecqL+SLELkp3dJ1pPc6d3fxi1cE P6+BocU7yLZmYVMaL1ntSXk7/2ol49XjqJGkj8mDrD5M1zKux1ueQY7LCqwGcpkxUOmKRsd73TFO lJUTKukZnv/6WnxF1LX7X16AQQSrVyBY4lpr3cs1Pp4S5MGZmPkroRuqxNQ9lH/K00wLMUHsREpV eCMth97uN20itYla+XdLYXp2aVjezomGMy9BzApt2qC4J5dTKeCOEqMGueAXRUHmvVgXg2nmD5sn foiL1+5c0tuymmUBdkw6mM0yZIls5VyFxUUEG7QPE9GQO9SoRkMOTtZmj0jf/sEmqhPTQ+k7H7II Mc5D3UcGblosEPa6DTSCig1h9zjiExeU6oTBBIVaDimF8P3TjbBtqr9JZwpvAT8JDlCrOCvcKRMI 7Yhsw7AgTxjG5mC6DuUHGwD8smoKLWVD66Ye5oGQW/kPSxbNI50EKY5lZ1IRaIZPaL+Ds4T0JMnP O/sL4zGtbRCnfsy+m//WiQdpEXBZpyq8WhFrYER1PpfFX09YOR/G1DL+LJIlBny84TLFoo4TFbZX HPRaq+YJsITaCoXO/3Aptubj5On1gc/3mA0oY8E6RoA6eVOTe7LMEAhDsjHKGJewYzu0x5Wg26v/ grynV2jTn4wEVO4/BvZlYAy+yDCOMfQIEUzZgfPvi3u6y72Nf8xayvNZ86ZsDlaUVAobHt4Ez+xg vhga3Vr3U7KFEuYRTxbEwFKU3CcEbecgt456Q3IHMo/AsQgHtsDXymSojfYJS56VixAqkJMLNnG2 wGY0Vlo/q88wThjRNutKwvwWlf1Ze3qp63YfruDkG1K/FUJAYfHGXmEmLn/5N0wMQ0Pv4nDG9zJ2 kXjVK5wHiSPeYWbQcV0E3749sIkv8uOLMy63LJz67rZFJoe2pfySWLLZYnFMWzBNUN7LNZf7e986 RNS/tyN6BaxCj+XnQzJdA0oLR3XJpMmqfZ98qe+Ym92nwmDDyXBfDkvao/2bVix3qZONGWSClPVF ckKkgbqIbaSRxxhrdDx11Njn2vQcwlKJa5IyzpQofi9o37pofTrvvPAuFTC4oWurK7hBxGo08TET 3dZGa+fpEDNzWSvpZKjRLmJ4w+WjVxzFw14juHvMV4pYM1GQEj/PYNGb3qbLrLi/z7GjUl7Hp8sv ikaQCFXmoVagZj1rkRIdsWFMS73N1mv+d70eEzVPHXq24As8gjgW6zTu1uaOR08ESnr2n1NGPWp1 cjzoLo0oZvMlufY2d7AwMT30n/7bPZVM8jTu5cEX/PRaWvEscfzwQDjUzGq8jS045kiOwj/9lGLB 9ZZFQC8PKx2yy9BeRBNqzPCAkMs/MRo+YinGbIpUYdVu0vaRcCvAPh4h07fVSQfdUNtPb7sVUcGW 2cNX7l2lsyjVhpW0QQHUa1WUJMW4ge1/CEfle+zvovpPr+riSSfE8mC5l9LX/KaDn2gFfx45FxOy 0H36AWQ8B+GNxl2rUkYjxgZswt2R/LJw9UyHKWxXTolLuvXYHa8Y/RYKxhDB7Kt25YcA32w9KEsL aMI3Do1nqUNNSzMqWKFPCcb6In0Iwak6cgdEuHS+2h9x/kLRjTCjSi1+y3F5VnpSwy/o5CR0NOvP vHIshhOt+azU693B97zt1qSCPtCGDCkey1rEP/PNPwR78l15M+qoruvUBXDhXyPP48+74QfJVQcB DD7d6ldqWkgwc2xcNKbq6ljxvPO/fjlyhKz+h7VkVaYbBGIzYhsEKppAUJcEDqaVUe7o29reFKXY O4yg4tq/sQuT7rky6zp5rk0kOwDHYSCdg5DjUeFWw3qNJCPm4i3r/42+dW27Ki4MM6o6Iftn235q +2bXphyNXsxPyU29nrqf62TalH4Qn0gyi5rOvlxRlrx0mZGFGztxfJF4MOi64V1emRmHvcfbkdqt oNMSOtewvFErcMeL/p3oimxNm3qxtBZgKbkgs05JUiWPZAu2GXfNedie/T7pOsXpRU3riGY/L1AV C6VkkjBpN1Wroe8PeyqPYrYD+UUE1qr2TCvron1/lfXh5ZtbFI5X0kmYK4fDOic6HLuqxXHaIDsu SI1g+49Hz/Z34FM7rDvqUzO+n6DhdxLm4RWIbVvTmwSxvUgEz7ad7BMG3Y9GoDYPcy4u8AzfCZd9 +NmbIK5pNaLpPqgVXEmGzLBY9gA7lVUpoLTlYmO7Wh7cCT4+2D1DIcgdIf8gAIRgrRglq+pmmetj Gm0ETraqgSHAH5c0NJcFih+5lV0Prn2gtTupjl8an3b4UxKg09FoSBXGGF1SsyJ0TQ/SJvBuZbI+ qeZ7sD98DEIEjKaSlLnK1WQBCvO7QhWs604NPDn52i7yX4CxdMT5ZE56kx3laaLMsCZOhU/63f4+ Cc2R0B3AmRG+nu25VOQTBJ/JJS4ANJeGvw9QrAgTDETa4w67jaPORJLUiWyhgUv5VMqp31vlqH4U kCstnXQgaPIzgwKoL7ZMumigf0iR2gmwIXmtw9ur2aa4Z02CoVBZ4XbGgyP3qg8Og1Gg2UXKzRTX oGF1/sXnLsQnZsib8hks1ArjbKTjDeM674MQqx2shH+Bkvy2nBufKtbCfGfDoW0M3DqxIgxabbEZ F8y2GskdyVy2poYTUY0ce6In3NcWu0I9R0ICq6+9P63Yu/kvqJfmk8y9IxkEC2NnBXt0AVpyA3ND Pja9KGQy9UcbNG6qRpAxirpDjdVh3xHKHC1hVLihLZbMFDiLODnotRkJ7tIK+0gxjps7JEK61cVD gCA9Fm5TNWu/Nv0hfTTyttc6E2iL7d2PM5LLviLNNcxIJ/VIqRBNSh8aweL7YE6RcWDJBTNJsMso r/yhJs/q3kmP4+FwN+1BIkpW1wnSa394WH7Xfwqct1ajsBE2j81jEv+1Csb7F3sJD6x7VS1nsv+I i2gTeJgBvypZw6SatGYg1Qu7JERgzvMeFBN5TExS1S0IYtt6to6KMMe48APj5mT3ews9mKL9D63x IPh2V2kHtF1FPb6EzOhtcw0KZvAWF2y6RW06GHYx6wzxadAwoAT8//M4k4CJtCaoBB0Aabby5G5X XQ7dnwpxZMz7AUnTlnkyUjnXK7I5RaXjPKxqrCT2cPan3SfUjaHpwRuSP47StwIaDnxmrtwbgrX/ /Rzy36MVtJWGUjqorJZMMXXzIFMd+8sFX4tMYBxBc2A3oJEFFYAJUHCejU7LZJMsx35Q2pefxP2r xbniAmYfrTY0UCS8QMjcek6V6p1cz94/wB+9dB/CKzGMyjpHmnIDmn3nSHMhWNI7KlVPJUFUqRtd 758gJYAxNz1ohJ593zKRB4GUJwo0y2ZVP+1iStL7wojpOI8/TdTJYXNtiZ6n7d3yqVVE2fbRgD86 7+sVf+W7CtvB5i7PD1m9He19TLX5zUgaUnfVvOJi+SDjmNDC92kRbdYNslEEVHV67grcRwnHr+0e 2LPgjMjO0SnbImSUfo2oSfYqtu74bMqi/W1NMlH11fTsCmaT64jsS8S0rywRMTN8UwAjsrWO1DHq yb8TvEvvg61L3PkkEzvf+mWfbXCaaoCDmllyZBwQERJNS7HegPAPG3mt+EbNG2/U9swoC9d4wfwU IUtp+QhiuRzSlzX1eIY0D+914H22qInoK/sx8T4Z2hkXWX3TEyLcgrPDA7UpZF99ZNhWljEkCBSA T+kEAKvmC3iTuLvSRFR2eyJ18arrL5h7dUubH+B6YClKr+8Z43x2inALYlOB3JiiQ0QvoRCQB1ZT 9qw4ktEhq+lSLNmi8ZcKqU6uziv75OW+2Vz9xXFQzJSEbtTjjacmW9KGc3pBBY5mGT9x+KwgjCz5 qCfhWLFoAAx7+lFEYXB21sqjzrMQOOBRc1iygnidTBHPXPfkp2rJ8VdO4HEmV7jWm/mZRT28c030 N5CWP7d7aVAwz0UL+auVSdVc7/M7tOID5jjMWAgWtwj7OcXSMMTIpfUWC1cDbtcNzcDqK9S1+iDE XyBm9bHNy6ated2bx7LeU54oDkFLm23exQSZkgwuV6u/obWdfOA5J0w6YEU2RugoH3rfsZzLoYnG tvpa1JMJDjZWlO0OmS7z/qDXM/BKoDuh8kJAxwRuEqMZxy3P2H2QplZAo0P/s6IbzNv4Ni36bAmR nyn+Zgzb5oWrsfKk8XaLs/vGri/5JHIk1JtYKxMdV0cVNyoz04qXfzgkyNRM4CkWZLW/AyTY/kWP 5dDUdQaBlqpd1WGqbkqlSqafOru7hbxuUZLPPSf1s3PGHriBS6ffKrXisMit4ZmDCCm8DvZeMzlD 2FD8muNv4vVX6hVyUJ4IZFDHzI8l9xBK8FuA8sUnE1NfRkDaVqdRw8tVeiT17YYcrMHzd+rzXV6s HFr62MuoJGdh/2pfIGpuYeWOXdhVJ8xI+zUb/tZwjbbQkT9m0krQIJci6yjmFV6i/y9XOK/kGO2D 4haDKEUWpeH+gDte6WXxZHeV5KGuoZAcyqLAt5G2bvD656l4Wa4X0obBkUU7zMqTMEk+VtpuVZlN dzEGVmDs5pQaJuecwMo+A2b/5uf+wBgD4c5BUJ+w2SCW2f2CC1Nj5x5VPoXzaF0WwxJueksmW0Da Nj383l0XC4VhLJn4WPA4smxD4SY2uJVqPjtPHJineHD9L3uC7cuLeWH/tuUjS5gK0sezobwVvM8y Sb1WzjvCFY3/kc1Xb7Wh4B1ZG55gGjTUO36WwAqVGvhRwjWynG4CKuQkY5IACqFIyibp4XRRi/72 VZfUwW2rUouLg9HdLTQz22V9n7h2eNguEe3cX9VG1hS00QW9ee7ZpAIAD3RpPGOaD9eK+XOZ2LHF aSxW1uwYSUkZw0E9TUW0//QWkeVFkybLvJEQ1Tiy6dJH8zbB0W1/jCGP7nbHyfst+fw3js+H+/1w Yb/pu8aCUKiRoA4/rJljNIBszKNwMYc/Lg4JDET1jOM2EXwRF/sIPWWO9DcxSj4PJTl230FLRFqE t6mBn4OcTU7+rUauJGd2BLJMgBjtCvLz/4CV/wjhG3kQlXQRH+co8V3o/g7NgoOfDFlQqOF8n+NZ TrSC0eqWuRwRVzn7ZkizVlTNQTjsT3EvK+tKddIEHs0G2WQ6NMO1E0Kaxe99ut09ii/SGQ+TJWzg oziVwgq/KaGp/jWk+gjIDQ/fg7YpKyqpWa227U605wtayBvfA8EgGXg/fiLJqdpCfFWLRuGQJBgM znMiunVVQMyBIiwzdYDqmppKqD0/sNx7FrZm0LPBzRzGrmkJtQHhlsV99V7bUfoCkty8O8wPAzoq 9NczurQUjI6C9nmxaODCl9JrmeRzFkgFGh/ySJ+6GoEcujprPtWrJi1inkbwdvSKjnPv/7DAKuUF p+QeTm38xoSV71Vn6mgl1bDTR3Dx6hVFA30Gq3VfiZcICrJ49gYTb9RE2OD9Vn4Mq+Eo2smA2yqu e6dydF3plZtinRskEBKLwT3crk4pW8HXoll4/Y95ZFXDNyOSXNPvRaqtjlDNE4zvH2amDJ8HMOfj K8NtbFEEHufOSWdOTlCBjVAtpvNAFUPwC9Owr9p/c3P79MOExDSaL1wYJbiJhz8UhKJOPoh7vTlN 61g6OI5krCkCAnACTwW5LnCSi7YbP8waIJGg1yNSd0rV1kaWojfxyeakayz7VShk1zylMdqF4mZQ 6icMR9hBl/mMdArNDA61obfN/kZ58jE6BONW3KRtlp1siiTLVgW7zagh0+VbIGzF40mGsqj2P3QQ kuorDcRv8VJGAXdq1FfJHk8ai9kh1CXmNDuJpn/b3ubzvgNGCF8GUAvJJ2kwpeh7Se1pBCvC4JLy 0jEEMYxew6t+h+VLguFtWFtuh5xv9zfSbp33m3KXUTkTI8IodMk9KfUoVDi4VAbhIHjJCpxXMXLM f9lmKd3kp/grL2uWLNfZ42Q+KbIE0PTz19ijwbz0JhmkIgU3EDlwsXa6wEMzcGdiVwu0VZBx3xAA c52NS82+DlWAJIZ6OmHR9hutOxMp+spAy5TZh7jMdfsETUw7noTMEQanFl0qWUajT4CQPmFWxjqY wwYXMhhfauBO0WtlUy1s23/yw7sbitRgGq+mNYvi1ZyDMVJTyRzZ5SiQ9Uj84Hqp+hegxohlS550 z0NwUhjW5kwQ2DLYrDCBzKhaQJJjfFlxbqbEayUTx6lpqlwe7HfAfCgwmD6UkJtlsWfOmRUTGB29 QWDwfyRN+xdmGi2NUk1z+X+Bgpop1vPPlYYknRwiwwjInlpLMNwBzPS+EocifPD6nF3TObn46we8 JnGLKK6bC950p1Emdrr4AtlzVj4imCeTPox0uUTA2LMenK8sxQgmZEBA2Hq6n5aGAHq/CdKqZcwC leHMYI8GKpk9fnEIkiUOaWMrzRJFbHoPUPMinrjQYLOfbCPdh2LXL7Z/lPmfiMZ8IZ2WQWHiSH10 lYQrAiw6W/5JRMwH4y6YNrBYLw/bJ6tUejKM5eZ/TSgGiX1aPTJS/VjRT22IUuTuIvuZkC90YKmj D+A6h/qGBDG+fD0fG+ln1qnzkm33/YRkUm6epQeF0LJtuGapS/U5pOa2jCq4jnYEX+GdQkcX+pON SF8j2FbW2BvA2Fturg0J+liU3BdL1feuap3Q+RxqdiOVWnLBnV1kQG/wCtDLn0J+3KQvMw4AnesD C+AB8maej5oUxNo/ffaVTlkqEiBZAbC7FkkVdchbganigiT+HZFyTU3IJx6ysIuU+X1aez1gJAw1 m2DjXZKQxcoRxhokExKchTJjm4FGqJEmA514OLkFnTnJt2i3TQ4WcMwsNcFV/Sj1P0IvzXAzG+HP qU0HQqAfr8BRzqDh7lm2BSFm4AhkHZoB2cR1mN9asXOdvdYEeeqwhf4Ly3V6deH2mG0NR8qCLQST LOmHD05tPTaDhftSlYi/jdi0tqzM2vIO2BQct1iTY7AP+z9fUCE43VZX5Pbd9oDJikQOXRSD94gO +kLUS5v5Kq4jT6bHlbnVjrtPK8iOrRSzWJ1QeHc6gWQdyeaC+5hNq3C2hXreF8FMxs8+vCQECbit AIq0v9LSQQQzfyb12anZGs4xF0cYKy5Pq1hA7ok54FLVf4sGAwsuWeAuO5k7Vb+K+dequE5ewr/S RLiuItuSN2cYwSyrrWilLxU8LxKwPfqrMZKKWbpSGn/DiD9EucHGxxH0nV6bfIiwPI0NFmyrUOJw Mel9z4Yc4SiB+k2IxRqXSXzg3Ch7L/KF0R1ZW2g0akg4fMi9NkbumXuj3lweScQX9weZ8H2oGFwC 58yCZ6QJqK1xPtLrJtDyZJ49ubMX5Dm0CtV5j0O0emAmKScyd81STXfTVUWQF5PeYQHzD38obM1a +dOvv9S1ZMvTqS36/rQeOUttZVAL47C/pc6gv9K+UpsVu0j9h/fxZjDbqch/NbfkxvrlBzcUtbi7 NP0dtSEuUG6qfJXcRpA0GfVaVS/tc8k3/LEc7JjoWAmNeOvPtrFylhARCJAp4d6jTSo25Lh+vfPc y5KQqdMIaEq00/mEePz86CrNarIiViT6FFivmvqyd0CJfaVTHd0EghvvzyQXFGaSwr6vHM13Cuqt WE+WA89AdzqNDnt8pfnAz3Uqws07Zz5vfGYP7SGiD2kQYz9IqoE/bwk4w1CcD5iVCsWcLpuqz+XU 6IO1S2BSoFjitNB/DE0+7vjWTTVDNf3JX/wxl1u6srTimzDCuW+4/q0h66DzIZV+BDBrhOqIp5+Z h7UEUjZIOP40qi4F9Dej0rssLhRH9E8TARJIQF701daKTHCVOZSTEBe5E9nfKM+FJMJocaoYMfXB lcx2NX6jIRqrS7GpaY4bO4+DSejvz5CyUezRNbJ0N4H1GWo6zzHZgxsPLGq695i5vpZkIfUR5dqK 9VrC5FIYN4KiVThfJfdM8+XPdLrc+R/gSIXcXSc/mDMFJGx/zSomC7OWVZTatfvqP1QF3jNGXdo6 N8JaFjbfX32j7AZTQbiAqoYdlYxZRjaqFiW5S7RhALS4JgkYHA1xEFMExIzSprGzV7wEPk/vtW0E kwIiJeqrAMAuVojtO/XP7seixrtKJ0BZMy/BLCutIGU8opMSz59oqlDBFnytrJ7cAcUUBGqfQSoL NlIy2YnqtCElc08p2HC6UGfZvQGwRt3ch77MSzhKW7YTDG6KQFoB6h1v9e+qOi6czsZA66IFF/Ia UskHG7kRvm+BZQpBJcOKB6nJjjLJ/0Gt+giNJOjjhvGPAuuAQ+8DAjPkBuZG5bOLgWaFw9nNf3UR cRDTTLZir5p583xIpXIZ6W515a4pVjiXVKhjxiBRc/2WVqV7aRWlftbDGzx5RFwm4nQsWSjAXkVa GpeNQV4p3rhH/Nip5sxUquL1/NrhguCSZPlVUzk8IMPXwTWBtaEEraeO4V7YIHxA1Zs1zuYTql2l H/YgfJQ0WpneztbJwt+Hu1kRzCo17J+IrtrVYVOW/68zhy8TqGHQQLhIb1HcvHoQ2JLXf1956qgw ipwhMojMz/X1K06gRpVPeAqH7oCtSRv2dqo2E+fvs1Q0UyhGXTImR5LWelxioD/vgSKTcYMYTXIb BUiUejGJHo2k4FFulfzfIxdQTxXjzCUnrSfnuFYfJSBoI4+qEO9yd8/8DxOFc1vT+64FwEcMIzSR BBsv24pneGLief+2aAJMApP1L16ji3YgoXJC/R4NDVS6GBECdHyn8eDCty+GoONlGvqslw7JnR4t ZV3a7puMXeGRFIuo5pB9cZ5M9sKtZwZhtshE+k102DDYhX8pNzVw06/Iu2/05t5Sdnv3oG4qxHuZ NMWdOx0aMpgFjEJl9aCP30vQ2jAFSCBSQDtbWL6crrhAG1CiVWmq6Y8fKtcqWIwS8fl5l2lD4yO1 Rs0s9h8xLoqas4ymDZRzu8i8F4SIgVvFEZmdRysIjVRXzJDQ75gZ6doN9Pbl73DFjmyvVPdrsluU bC8uCws61KlaYUodVWeb6mXMA4vI8fBFSlnESb8TcvCDZiD4F2phlt3IwfIntLZaBONaaOaRVgwx P7PVH5Jih8C3dgp3ZZyoDHkSdTvAhiEs1dkhLMh+CionRYKHQepV/Tj90FHraGJxAOqvk97P2PB3 6cz4xouQnE+Mxhfj4rD118kvBoRYRYmaRWglMc8Ic01usQlP1tS0RFLMPo/ThjXfo9pAJolzyaoD 10+gu0hVCsXp3oiMOTKqiEfnYVpVEkAVSr7EdfxDB2NeMtb3/Rh32uLfO9sVryTA7W75ediVjt9W hI7txOG6Y5lR1Y5ON7p30fLKZpQnF/PiGSSKD75vfkzUJZ+ZEd+iTFX0+W4NHurQZ0yH6rp5gFba tJg7e1LytB66W1iTuuhpZER9kgVv6ChYVhvR3VIVP7RkoO1suxBwzyLJcGqDMdJ9wLSE4TejFFIQ 9hX5sd/wFLgkbY13BCxDj3JLqwu6QD0TUdbm79HRgCsm6Psz97/AsL0YBUmnLrpT5jGkAqi/7YY+ 6GhOzt4jh6hFwN3bZ7c+ttjo/0fANexAajd3Yy0ZyKF0oeBunCtxHko4A7xFEol0YcMlMc+4LxDV DiTw4Sl7Jrv/zP4Sr6kJn73Sf7sM4NWfW0c+6eOyzozGhi3rYNr1XbBupIf2roolRp4/PbUndUXO Lz11xvmrexc2wq55m5fDrSDO+gGHP9N6PVHrQEzsRWoXXEdgXQ9cfAXaa5vA7OzieZH8mzp/1yzD fUk5QFO6bs0eMWFPAIM4+v8SmW+6Q7RUoqMLC90Wgk2GpXFOPyGp+hhRUJ4zo4Pib6FSv0Q2Me8E Ajhqkf40QNAi/zjvY7zGZlOKRuGc7SWt9KphXfE5N9xN8UYOJUSWFQL0URYbGF/Ot/N3XO/W6axo W0fp8P9U+Kugqt2R+ao7KlGg00h8/w2iIGKiGsKxg8mkXNX0m6Vg6T/h+QQPKGwBvmLOXSCxUTWu Sxw/pqGRQuLN9GvEj8YUqIqfh6ktSUwl3J/rAYODOkQQ3wCKbMsk1hfh+YrYnAsJmqgli96ES45b Y9w7HrgIeq2lNwjnfNwhoeY1TPsv8YgzfrdrFc38rQJZIVS52/tCplT3Wr7hvxjUbBjHWPQYsukH 9iO437csclX9UIsBCupBV1PqeEhnIa3RUSRVra0Z5kEDEzPGSWGVHN5cQbbNUQX78YuRzfE1u8At RzXUnULsYKDjzm8X27T7LQpyXLJYqrpkq8/bJdqJ0yCe8NI+FenbhuOcGtkHRwqjvmzEGJzM01lE tpZkhdu5GDVnQUrsJK07/8MYsvGaEXLoU95EktHnvSYrQHHf6pvFCC4oP+mqmeLQr/3GQFSNALyn wyHhGmBVU9uQ1KPC32HtVG+1xOdtBqCIqRBppzFOtFwmH1OplCnzgQ4slggBg767PyeNs3X0a6BE jeen+8/0laHA1e/45Jfcbje7J8yBm4rX3fGQdPJf7TTMEu5h4zgPyQ1zplOe5SO+i6CIFb7BTFTV MFvdrlrtCrD66BS+AWe3EORZG0gZAk0s7oOA0Ns7mDmBQI6/TFDCYmD0f/lBGJ7yBtVcwtDUBG4e rcHDbjXWIAuiyo1ZtQGn/qlRorR2GewrSpq4/njYJbeQ6ssG9IYPula52pSzkpoIPVkkHP4Zx4ed eFqtIlBHNb/aHkzIbuSKBL9Ihpj+d2KRnaOSlpbOuYX93GX86jQrbb+/5EboYwlGYeiRq4+hidqL ywsRH5xnbv1PjaFIzqkS/nbPm3zlZ4HRkjswb0oi7GQLcD+lfb/RsoFaQrLy1EWoyBGMoB3r4FQt P9bZM5g0M3D2goVe74+kWXbKbIqch/D5aaU3XlDro5BEbaQ7CMta38GFSAFrkqJN/BhJ6M2wo9gL SVEWKU7hKaq8tJT9JOmKEwsLQbOsTuYmGi5+T3zAxJHvF15i7RxjtDVbhhc9bCrfnDNGhtO6dhE+ efvgTmsxq5xQJ9pLrqTcBWmthjpPiwMY664UyEMHUKxXaXK6B0ovm3LC52ck3IEC95sr+1EVbbwG QXnBN3nkivYt/eLaj7jIfNbrhax4PuXAHPB9N6O0EOyfgd0MAvdSqnT/F+WWPm8xslyGdgQBvIBX /Otj02AdqJ/oziATfzbmbNa2dcE6ZdVWnFo70TRfu15i2y4vh72q/7FMNuRESvEJRRikj/8hKR4e QmxOS3WcO+xzz256FfdobPRUt3QhvaMPm5VHQvTb1V6zwnGHDd4zuxmrfLnP8JMv0fqkq5ObfaEi lhjEgdWYM39g6tVw/IF2t5JgJ+mX8toZh2G8jqpVsSrlgBEpqJnFwKIYCOKZEfbTfjYN9AqQRGY1 9izCajpdTt6D85PBcFNbQUEI8dHStLmOomyz+YIjE5GEg0tTm8IbMVAXpcGVzSEmHcM6m96vfug3 nMMUh+KP40vBfzpsLXTa2Pa50TuqkInJ8V9+ME3kTXV+EctWUc1pZhjY1aMxgoibplT0ui2WVOsm Utsx1+9WCizjCRbxEUeWhuR7avkOI+HaOKHLfvjbeKwzivZZqEgWw0MBOT3/aZI8DoJbjdhNazsS VN8xNeMBS/fk9uhCQfbEzplz3sucfRqAkytjN8ap1oW9EBMMXCd58Usxd98P8WWMCAlp8Yvq9ARb QEmK0E02LYBcsyHEGgSQ99qh5eP2T6fwTybP+j8lT9NlMK/C6BhVop9WfIpfEP+Oab0/Pse5qTJ3 Q6GjylopCMvZ1XajifZ2fKFGG5VxVxw5QZPiEHpxpyblou3MmNQtVom0tD+TA7CMCeWxIx9lSrJX D9d4UerNsFsoquH/l2/pWSCHBXWPb+xJcAxRRJh4eiNVCQ7ZXmopXHIKaSmcnrYJgDvb3nwAyYEq heo21OcX+/b9HuuKDJLtvLDbTOkTHHdotuAF2ZL1qiAVNoLe9/Z21KnjVDrJp14DkXj2op/v0tbH m9wtkfcSGWgvrnCRcimj4fM5YnWX88KxWVErSOmyhlHlrFBRLxfQmTX3OqWwNO0STu1gLbcrrdDi Myru5MaaX38F1wG6+fbR3+GIt2mKrw1kvMBgVFEV00IDL3l0y9zBpVESt8x7PbrN+SOsvkGLLSZM fK8IVtunudF+Tfbk9f3klKrRGZH+7ye8oY4PCex4ZLCOsisfXSZI0KS/+Vqrak8+0VbIbEGLSQKm gydj/QqIASvd7rZuBfc6/lcJUWUT8nbTUpMcRgvQlwFPQPbg5PVdAVz3+LD5lbnesdFQ/ldAJA0k Fu5Je2lRMc5L0cbZwdRvfLxUqXQZwl2Beh1W4YE5Q2YZkJ3GJ+KFeR5d5wfydOMsARW2huIC6rul Id1g3zYAP3zL1xK7Pl1M5vvYPZIC/eNVa4Y21/7y6FrDRjF68Ad8wqk5u+ai9cZH2HivCfhBXgql Q+pgIYjR+H/8dWp/w1rAs+Ul7Lbc0x/myX1Fja6nGGpZGuAyxdS3aiMSkt0mesBIgcvNQ9sgJWrg FtUg5OocKGJLOmj2wYmE8nIEdhCtpNJHqkOCRkCyVth+sKd49ifPER3KLgcYST1cTwpBzAWMwTPg OJdFifAPclNu5VLUXAXBElZnbRLPL4x3crOORBovBtGk+zB+QHWHKAcq1HZPOoluyZZka9+YvmR2 hPER1A+1oLWSzWczgOtMll8U/a9B50jswkWnkiRkr2efJvpedIf9R2w5RLg/oAscpCM0xfn3Xn/P 2CHdKHKB9W4/TJ0rkfAuMBRYUOisDwMgBmGQabE8kAUXCxX1Vts76yI899iqKtLh80mKUxeJ4Pn5 7P7NpmOVRzAWHJM6zx4m7lkRRAEyTic0DhPmTIKeZDMEqgdrdie9/xagGg7wWVGLZSBP9Uy8CirZ MAYbdLEKX6a1iN1vzyTPZeNSMXEtALu3SabQqDsc1ZRaGKrPJNmOFB2armIR+LGVhqz1pd2PCUtE rVBCg+U1JuV6CoRU9yXIKY1wK4IgCGOTuOCKa7WBxUpT2c9ZWlMvj+aQDzybbWJTTtnXWVxPhiod I5RIlGdJiNp0uKlEtY52w7J7iyMGyhm1G5IvC7WuwaC/6+YXUzVpZsOR7tD/PYMqE23t0XES2T9K fJwigoM0uKd+Y4NOKe7KQK+w9BttV2QuiflJRXUuoZHVTIueS7Jp23xX8YnviBFMs9a3vp470Icb zQ++r88208EiYTMBn1CDBc/rOwU3E1sJ1IoZEUKphV+SPI3u1TlA6iOszDIsQ7Ld0q1ZlnGm1pJS LZopWS+7LoeyxlxeeGeCKVOT0AAfs/WgDGoCYH+QbZvBLa7LOOQl/pbJLPIPBmLbNsDiRsoM43+P i8Z+332KsdxdGRj8OpE2l+hUuQmbBo0FKCME29f9pfFLusrOkzbrglrQmUc9u/wV4AVAqzz6bxu2 KMc8t769R+3mzoSgHKjQ8nSUPIVFUWzhs5gP3GkyU6COuaZ5QQPRQZ4HFyHu7tDQXadlgIhGsuET 0/i/9ugzIb38lqFAiZ9WEBLZYxuNNdNddooeABcFYOCOWoEYQE4d0FkNvtPlPjkSeW96MpNBxL3A hmojN8roisyDygsC7gN44QGdFyAIgIIxaE2TxPegZ+dY1btdW6NCw7XEMz8MuQbUGuctScvx6SJ/ A+LSRzgHjcgqFUcflXnQPwnNVJ+KenlmLpXPigPfFPKHEdrYIm3YDk9kLRKNcEFOQCmwL22p+Bko F71huOwx5YU2z5dBKCruLwuyo+xy+Zgjg3MKM/0U43688DzfhHD0GPiFm9xZzU06s+MMk7jwEPOW nMr20fjWehTuVVVVQbl77mB0QH46MBo4xlMbe6ZjkpFHd4oYnYF3w8dHkY3JmYudrozpU5xnw5pC //Kj86X7z4h8bIBkY99EnAEz0lUfjYHqO60sjoubP2bkW7A48IJMClrGYKv/ekeKFgq4fhBRnfU8 Hwlbro5+ZIE/0HuHCmCWV69cYasWoy9rOPnphrKlPDCyZV1Sszd/PwY38FvCI51xWvA79slf4C5W Y6tJUoBZxIw0oRD2CyxRYkKCzs+FMrrMbM14noKxa0WTvXcrTJKRpd8ESdSUdcqAvLYv+rUDJPW0 iBk/9SBdV5cS3yjtMCqP0JeveamgAOB+R5jQRZYii1ssl6RFUkT+2rsBWUa1plDa4mn3sWWdWBqG RgZ9NjHwu+aIGQPL6MD6SN/lyoYpzjC4EtB/APEkIonAq+4n74DJh0LN3s4WrQuMgBpOxxh0Rj+P DI/fC1XDIn0prD8Z4mTZHkC2J4Mi5Z6cJ4cKWVd1d7Rrbskf6vKvjIi7mAhV+OkCBisfiVIzi1+z SHgOryzN+a3UT1jArZwShV+a1Mkdf1UR2C5LVgDmVGhasrSw9LLTdxexd8WdpHkD3nEOl+I07SkY xmxpWyomGgPMJ+0Ko5rXoN3y5nfkI8CmAz0yvlMU2tKIy2F4H/1w64NsSyUkTWuA05eeskyLHw89 J1zVaNLyY9yRDJR8cKpPHIWTa02Va1ynuHnjChO04Dg3RHu8krJzRdph+DexO4GVRjjIzpRwzUKX x8as0F6ylnPSjomMIvhQGbJ8DT38+3Gxv6P1bieHKy+lv96RxlqjoxSkbTsGu/65w1hav6uhQ202 AizK8qtrVowyAPHQ/mhelFzbJS/HZrg0wytbNABz3jAKw7ekfP26VHf1yT+9oAVViA1jlly6ciO/ uffdA0xffQZkTO1MNFWcfCIJF3y43PsyBXttYmSW4dJzKMI7t9Vi87ss43fmf/APRYz35UElb3s4 aaEUY8EOvaf12S+0Idl3zXB9vpkr99DAy4fRKAlRLR4VPDS59s5RruC6ajWmi0C4BwW9u1gju9Kw DvFn7T8WQSYHOAPqqRqLCd/9WOzbylxB9IDjMUydI5lI8XF8ThKI9mmod8GceV5OZx7eGM0wjwlX a3Jl3NtO+9/aBF7UY8gYqrPlEVwM25WnERbYxzFVPlvkMbYlnHGO2ehx4quUp7HpUG/Y1NxZZXO9 nlnjPPxylMIKGx0+RO9WRr3KGp+sHwN/p3JGWEgiANmN4tJKF6Evl9gqh4nbiR9T5wCYiAbaXRQu m7f/WFwZrMc/+Frf08B1dRlS0d9ysAaYq0j+l1HOKekRCZfaQfNMijhkUEzVu04jgxGTO8vaEtpX H/W6C5vvf0aVXvKeUUtB6iKtYakhzjdjmmoDii3qOuWYgPNLSOxNeYN6mGVxutdgJfF7+6sRBF8c eJn/rdCKmiuzkToWaGrC1sFi+enHOSamzeSZ3PfbmrhSZHj0BLopBi4vshC8gY4sMUgTvHgVnL0N rnz98fGi7CHHwphpAd5wXVrqa9QBYkU5nBbEOky0Dfkb2tBOW2IqRMNwxgKKFTL3OOrYnFGd1vl/ 6frxhid+dcadZc6uy37Nn46NepMNQvdfAs45fWHM3rEWLyY/xAYIDDhN0bdz08pamGUv+fMcRhLr Ovfjyo7K77F8A60l0s/CLhRnw//dMjPAGMWf43Rd8Xkd9hA66S/7mOb8ZxJTt4mJ3iNU3KEXyEAq fObwze3kjJW75ewnuQ2UoonvgAAY3fm06JzIqBRueGl62376T59mf2dwsI3ChttUkftStPbQqRhY 7KBv1ZUYovorM707/gSW9GgGEQm7gjBPvNkzSZTIfROfK/O22MeVTJWP3D6Q6ulpx8eK9ooYT9TX x3mLC7968FHhKlr08dqGfBB6FKVcSC/UdlU0KU8AU1R+OtaDOUQs+8U3sPUpSldC189IktOKadxH uFHYCFW5HB682xzqzfODnYpM2C3e/6436CPAmqHpZSlGVFc3otOS4goc2yVkaonAbgaLzbGRa1Yy bQG/vKqpHvbiZ9w9E6R56L7PO2U6TSqshr1CQCJjm/AFA25GMjmnkiWBl9eXMJ/gQV90j4Bkcd+G huI4lAlpJS0INc8xi13VACEPCppVoaQ4juJpVF6FtDkeHgVDfQC0cnRDWm5tjGEBOCLZyUjSU/37 3CB+f1UkKZ2C8e1aqG8ctluBbvKWgeehQ16MJ5aZxpsaF4FxdPf2WLqUZSWAVaSAtivBXVaacKUO qLKDc36G9uy6qqdEIwPewyp+2A9jNijo7uvMwrHZ+3cnC5auI1jk+PHN9TSirZp33+O40K2M9lhh GNc4IMc31gzkrElLqitA+CQI+OL8XJ52Aj6NymHDb2RV9AIo72x1aBaVCoY1WWcFIPwTSomhqrZW D1nJoP4sKSRf7jdYXWHmbSJlrs/TfITl2gSG4nTaK9T9gvpO1PvYnQ5Z1JQUuJ4uyvksUHdKhPnc rYYQ8bfOPb1BAZ4ydkJzm+LQOhneBJccoar08GPNqbUT/vYL2wIbZk8FIreFp6h4xIV5xI0I7Jog 6pQkZJ3UVLC7aLKahChkpi/SfEWFee3V4+XC2XLFeQ3LRTf/1GC3cLKHY3CKcqg9q5LnM+JWC27V h1Fc24DozyharfnLs7dYZNu0UNdOS/XH1vktb+tFsmsGxv5MJJvnsF+Gklfk4/h0jw2dQD8iSXvK FeUsbtedpWUYKMrxawQeRPr8cAib0QoAPqKcXojbaZ29BCa03SEgEOFBrjIK2YlIjWSPMC2+3IxS I+7K/qOOEwU92+iS8vdu3s2bdU7xFsuTS0lRtAwJ0bpqXmqtwWCcLBJv1V4yy6mGVrYT5AQ6rmgr uFSaOirDefDaIlPPS8cY6zyyBmC30HqNRb7AthtJs24aKY91NZQjQEZl27BO+dlLrX7VD5s1a6Mu OTAUlADEPAUN7taGoU0GNx1+Yx3CH8J8jpTaEh3cAr9yJfowGdP4TFa2AWJM5YGRjgDuuNM0gwwn ff2E3rladCKVUqfCUSTvPXh80Qvo5fMBD/hH/SdjVIBL03f4FIHesxQPFf56FrVTDhe/MnRJXmzB x0ZNDaIeQKyIg67TI8fNpiz9JDVqMO/V8Xhg5qn5s3ALFwH+VB61yvc50XBHhMyco8DgAmNt1fwr dThZtVszmHwZPm+iOXUG8XtIax15vJm/TZm98cA6iDoM/X3TkfqNEndcNuYpqhhTa1O0zcWuX61X ThNqME6pjIWaiIOr3BQFGNPx9S+hdWbnB1urkqZLdNsH/x5TNbknOuwzsGSTRzpd4xex38qIz5P2 ISpWExXkvjoTszHPWTSND7nZ6RLiA2hbubGhBXlIoKUKYVZb5efEGyEYWV0xgv2M6EkIGoHyceF8 yiAmER/puS/as0J99HiRoqwhFnpMKBuj2H0r+fMqEqxcPMMPT6w+0jjO9/vTORBnXo9eEV0qxOut W4NXiwFdSKStt5mITmf8E/z73+Ym1cxy1fowvhGaS47bhL5B27OCH7pk2lVtbimq+lNyw/dVQjtb FFNmxEYO3DGP4OrhGDgzeoje7kxjAopBUNOs/ygyz+mJ6BNBOA5HeuAQDLNSN546ckKymQHYpuUb 5fSXcr+PVDsJeae6yIHldAQJDLD0WA6rXYBWtNZ98XspYJ3aCWzwyhekQZ77kV0XJRR9T70vziFk 5Q/fKEawOYKFnq4MlUdxYmTbEFVz/en5VYGuasrSFikdJ1u+DsiL2vmsPLYnMaZBZqP4B8vMJVC7 Qpd7nPA3Vyd71XS7glC5HXzFcLzA6UeBOlD6PwJK4wNiMt75Xu1srfINOF6cbNNwcPXXollYsAJQ F6+hPgM9iVJveT0p/xrVb2MhB/vNuUIeZrDz3/0nsxReGYTm8OeE0MF5FnThNYnA0o82x+07J5vT fGYfpDkL0OvXdQxgNOafqaGWDxYZmWSPMXfAab4BtoCM5NgJHTBr1niPjPV1LrH3UF1iJi1IRXIo w6gikYYMx6S0dVPIBkVfpcC4jdGnmMX+0Ashrf3MJ24lGfHfDJYJWPc904NeFZn8RjJaKoRETqde NpCzQVOm521uGMrCesJIQtAcSG9dNnTJzIpTrpn3LCY5p+ocmniZ0krRkeTrfARuUo7paQWQvvZq gjl/rt5SQ3KL5X4ziq5o0W9xblBa6JejZjbdmnztLRI4Xf0d7dHPpzSz0lcg8rDb3p67SOoh+BfP gz6jDyQvl9yIgt8NRckq9jatX7nTz3vIw5UiYq36+C20PL0ghGsVNUpmeIVb61KrWHmzcOWZmIFy nOgy51uLu7MFe9TykKwuLfwY6jzX8E56j9Kd9p13O53XymYxRnYOIKA8eGSs6zhWhhTYBvsD7pGB pw2pVQN4HJm5xCA7OFFQIRMPDKhsWG28Soiw28cvrG+h1CUqvyrDy4Gr94VDRtkz6rgqRySZRWrK pPEb1og7/kigwHWIQ/N6rGO9/sQs5xMAojPHYD7ji4vZCwJCP+n+2ei9wV+eZLrxIJkF/zOsc87z VytDx9079bcR4RYE9xJpVuR2tKlqDkb61zPP36/vGlpDoa0MxkoDzXFvtQgnbYwbx5vuqNbfo2Mt AFhPQikEOlLtdYzH5PJ4Y3OwoLaajOe8Plxac5nzE8sHjzd2IYAjxYxMRwYjII47sG1CfMsAPryZ 2n7dADqMb58GoNu/KvzZr+KeTP8JwkaRXsPV18OJpGvQMVhc647O+Mqu/sokDmA+6cS1vyE/gDjZ 0PMpEHBLdJSnts7u5H8BZ++VoO5zI88dafTFceD5dHkD3uWzp/kDhRY4ONF8nsJOjIvV2r8ZfgXl s6WwSH2lcL2wGL3dvugPMePJtOz/VgPL/2DEfyhWBgyrlywIhj3IYPRcvGBaZ/JQa4vWT/U1L6Ab OB4mrPSG85BEPc+g0sFxbmw8ji4fUl0cthZfoZjQRaZ25a335dRDeESp9mWXfgkCinLACT2lqsE4 2Sl84DkA8NHbUWe6JzgbJ6pInSuEuw8hxn5pxx//aN233/xuTlYNvFI8xYbBm+9SqoVocrfqSNR8 3/ufWuSkpEwc7mmYez5TKxUaxhtHk8JNEzJju0LotrfONl3jlrCzhkNtVLbvMVcqf9xq8SRTjQVD dVSSBIUVYgGvs5EDpaOat6m8ZDOIX3ETrSjEQXMHqOX+DQNtRVcLhzsrxiTRdJi3Twvm+W6vMRZY GgzEHtCvkPaG51Y8a5nK+Jk9qTQ3O2CQD8aum5eUaY9RpXKkFX2usstvk4GKtz21W+3cCCtRG9yb hZeQaIEreEoNmXG2NQq46TAjh7eV/lA1CwvrTO9BezQKGdnFbUgvHTgeHqcX4i9Vl9SirE+Hr011 M8CpGI5tPTEXcSBc8JUl3Mlsdi4rUYjs93GugSzTDVPePb+xWdxZciytRymXl5y4LrRB24SWYv7Y BQlKDQIMfZJU1p2rKzaC1+ZhyMcpfd8K60WhwUE5nLuio/hZdE6seVaJVt4kwM/cQZv3lafBUulf VVa1OknC6sPdjMPCTHBVzvfj7dC2d7jvMLWytRXIjvVSVRBus9bIRsvIYbvYWJUn2wDEUEL0dWUv 6hgsS1gfA98RWWbcetOURjqJarmbc4ZqDJ0XfpExaiitxqMrVycwMAsoyQQEwX1SnCz6jAun+cTh ZRFCmDY5J8Q9dNjur/Du23FzwggFE3xqsCcRed5f85dlEnchRGCyp7ixB5FVy9D77P3kE9Plm5DE X703GHceji4RBn76M8Vzt8FavZQ01qEpIeOOQBNXlOM5LzlRfB9wxXH60i714k1ME2MFvwkFIOAi IgLVUp5ytzF8Y1iFuaGls3EwNLxE3jwuVe4YBKlJZexN8Ytc7HfM3tixhLjDCuWgZgrvoV9NOrlS 3iEXt6IPeKYjqbSU6VEZC/5Xn2FK6BLGpGSi48Z3g/CT1HvvRRZyDg9uXB0ZRQOfWJKAMCUnEXJ3 SbImOB7KjdUY1RU4QT0mqdHWpAekZJvVRLzbwZNE9xI9xnPZgXMYrCRoxPkfbW3onBcguwjoV8VU Wls9i1mDN1uT3LGh27FwxTCfmlJTfklmLvTO1jo+W2V0+7cy1nPKe9GouyUEFEJbemmHXc3iCD8u 6HL0+4ew1N02na68mHzhBUacToaU8f39K57Uyp7F22YHKt55yg2kZhXh1nl7AiSGQ9PC7vjlC5fY 9hBKliIFDdBMX91yKaG/x/COpa23egM8nlRruxsGaV6764fjuMK0rgo9L/o/kNdm2E2gzccaciU4 u289SgzXbtn1aOXq9Z4PjEy2wEOjBGP2vJNuQ0twSbxHVfTQc52w269yNUo3N61C22nuLz7qnKZT T6ondptYXqOL4yFNFgzkMCJjeYz9aXrliMm223HOZt9eDyDt3XQtLFtvu8s95rAUdpxyFzMFciBZ yTduEhuz4wx/CjTtC3iU65z2aXE6Jf1OPLHsLdfzogBgn8jgXuHlbHKn1uRxcn6xrL0bj9YAO7h5 TiubIeNMiwgk+9KWlJ3fr4H4hjpZ5unii3rRpN3clJWeTsM9HaIxuJhqVgOY7A5ladUSAe2NXTHK G4+cxC/MJGT5jqeVpHeJ0Cc3//CdbZvxaTvWZN7uECeIxiqDUfqOlmH9c0h8yaxOuOeBIrl1kQxr fsVfrIbZVznk5vAB69xQzn7CvacbvXVo0ONBOJ2QVeGjecV9J9XNLG5oEuD7sUeDNGTpZVt6+0VV txIhqhvU6WE5zdbSgwfy66ZVqGqw5+L0dcy1TExJZXlfoDefOOSbxTiujjvkXJrtBGoM7HtlIfHe TabdVHQLQAXYe+yxVd4c9Pgkto8OmGy2179NWTiUwto5LP/61XiekOme95nCwbTzkyt0BjZJobVt v3VN5uWljH3/0OQqe1mlUV/n6kmD8cWLj0PlvX7o56oxmahYGglQtyNWFvU1WstvYXaC8PMzjKi7 Ry/qLynRX10TRjjT2XufrBAnQOAMdOhwUCR1JWD+ED3GjXJwi3YG3ynkmaQ4a095VuI3mjtVLs+Q G+28fQdZwmfB3RY/ybMlnbhjHaXpRnyp9+RjsmPcTHgFdDonUvS/WBLP7QpNhyTOHmSSZt4jdGCZ YusYDywg47Py3PCfFriQ3qmlENtc0KEufrOPTa7/pkxsAz3hmNl6h6Rk6luCoWsfKhNtdrjroheY Mri1GK1ZhvEfsn4EHbxZRgipvg+aBuNm2qlQo03uskJMVveztyscI/DQu+xUixdD+vlVDrLEs5b6 MeUJpAyTs9HEc9kxQWgUyWm+vIUw1GPMk3EVb/WSlrNkDjXcMxvqq1vyQMENpQCQnkjpTCMAiQ+x /JdRMFJ/gmVuz6eFKaK+1+CISppHdbicJyJQAV4oGKkQz4ohBAotCSZ/QIbzJiyLZu2vnUovxIH+ 3Jnl9ZG1jkFbXW+w+5YDXg3GcSE8G2a0R6NnwKqjcJodUHkINdpaHxZ3JIyR8zKiM90s/teZDuuD McuX8inxgqaBaAmZ5dywIb2o5XFU4tcY2O2TyT62CPBTBBFgO5tDNwnSsAjrzD8RISbVpfUra2XX lJBYeK/FsYMGO/W0oUrf10O4rdpvw87rNVnJNlnUQXwKJVMM3QBstYbY2jN7b4BqoieX14QqGnJU fZ0ARKiFy5t81a0DaAs386lidfw+JhfTlbl12SSzGgId7BbghB7Y7yMiikmB+rm106fYU1/vhjNw 98AqzurPtpHcE1oFWgkID6L7qWGrgIIKB4x4e+RMQL6Qu4J7ASMmv7OP+6tAPphygstQBbZBm9j/ Pt9tZnOK8CwEiDa56+EvTrRw6WUJJ1i97QCzmkLsgTR8P9cNJMmOhNj5eYMnP5wqA2sDevB+l/yO k5tSRK5DXC0DGxgYyEyS+IJbCCsjZMMtfja0CBlx9JOfJk6zYIs1iDxCG3V0VAPwfpCVB7ibx3QM nxOTHbgHC8mFTD7bIcSI0cI6ZKBjKUleCiJq8FGuFGI8ZuZR2LOLw9KlczBx7kN4NPVDjI6EjfgX eNQJbxgoja6/+kuiOFT/6uf5mi/pEUQGQ1CeD7eZL1mPwjorqT7ChEwK5Id2LBJSScOI2JrAQN+A SUSbgvMeT2XqWQH2lzWTOLKa8EoFeZoKXH/+Jco9XWRmR3gSHOtLF+TprBytdAkfOFmqWXcAs2Jc xUsNetTIrwlXIq7d0vJxP88XuuQguNYALMmttwMMLNadUbvZzQ6nv7NanXAIiBCNLjdLyrw8NeZt YO2cJfG0hdNTYMdHXqcigkRwpEnSlcZkM4BNCqUOilkICVZ6UYKUrrzIAEeOV/VFmABvm5cEfIqh FWyJ3fnJdJ+lcC9mDw3KeiZcGdvMhgC1EftQlcgjCeRP3ZQ6eJ8Aqx4uhZuigHG2DLfXZStXxI49 txGKqQQLJnOqDiFW63mzYkAz72DgHd5wVyrZAex+gA5oJ+hx2k7SpKspwuz/HnCceLpoU0Lxc8rd j80wj2opKpd4RRQR2sFMuyBwWWiRv5K/YaFsJUWeeriD0zo7tkMjyqU4MQZhShvS0apVT8dNTMYT YMBxk013bp05A3oKs3k9s12xw57PJWypPaR2+wHKmZswNjZLFpwQHpiaWi+tpOIIdXIg28KZWEJc HV9MJH3/+mJDcTtKIQ7AEfDzpy0+csHVEr5GZ2nq06lImUq6pEb+hnMJC64Q6opUYTPqFeEyYSf1 hS1CKF0PrRcYhYH5hZZNXkRRZ+lrJ71YFkdyNPYAHKf70FDVKqKuBeD5TIswiCyfR21+wfDmPr9L j+KT2xLWAaJ9uJzaP09yKYfj4DMTMamMatiyAiKZAfGjzVmw/k0gGuTqH1pxRQyIiirrIMOTYe8M Y8x1LlZXA5lXurOovSYa4hAsaYhF6fC/NWiymWWiid8Tgat7TaLr7jeHDAkg48tsG7hzIV3YoaNR /oUOoZ2/b4HzgXrObntMWNUU7kTPZPkbt49/gymxs7pxag89a8MiMR5EYq9Cse2c71MSTjx36U6R 1MxA7jNJD2Cd86esPRI7yb5gsh040HkrP5+J13BFN3JVg9sAC6s4HWxeUYaZzkqsN03E8LrhIxh8 VSUfEc/k8A76cdzbEn2fJaxAdzdIQA5M3LQr+t9yemaFIr6tqKGEPEuQ8O5uYsjN9zc0jY3zdnDI GiANPKTToyMHdEDibHO9isH9ZDzkhVKK3GMi/DkO1Ku9YSbe/x/tT02AMTHprsmw/Id8t9CDlo3u QN11Ez1EFj1plHzkS8eLg2a5TADJY0zB7N6weNo9uW+LRoIcyo/IWTBjq/3i7cR6F1tQqdBzz1S4 z5+M2ZxcMSUfuVzYYDtMHZDhx/u/7akGzzwU6dr/iRzURoqe+TXnV0BEnKrEMuTAkWsi6K4OwPdO 9h3UrGbUo91SDuacpwtZo6n7gL8EKlT88pOiBp9LXnBEbR3zln1X/mnqISwIn3dqXExfoK+1ysjM 0dTANGQhN5MTN7rnZuy+Oj8qEC3ecMcxpHRPcRwlQsAEuQpyGe5RSrfRSxZoMYjC+tn7wMafKqtb dschdeIfcZVCmnxoqEBGvtGDb4svP8Tnx1P5ERWejKa0fSfyzEB+5oMRsJgSXznkEh/WqFiPVgB3 2GLqZ/yo6ll17ZsE2Mjeae/JhRxU0wOxSKs70YT9OXCASKy+VbIuYnODHFg5PFS3D8YqD8Ugnbp9 8XJCcLWbqDICyCqwFf6FFlKI/JPUeN2VrQ/WDPOKEaKODfZwDGzmh9fq1Op4rH1WhzrgYLQxpfZI TzWSjC5BD41u0NmjjXkk3iCFvb1+ysgmKxRY56OHTcHQ1gyxZuAsRN0PcDma2JQZHQg4r8ilLKv7 uadRsq43Vr6O/uu9qzhgPWxcSeN9hFTYp6AGyt5RhIfTFTfLBr2VcyIFdTVk6W3gpjpOJ1M4qLV+ mfK7LcEXsyuC4dxG84AavLaA+Qo+ABxcp5VLYSyNKV4ca4vEDpAxk37w30UJye6+t8IQMpqClwx1 j769BXD5LyK8USHAK7rBcDK45YjeTW08C7/G+G1QqlEuh4TlWHSK4o94DC0N3F1p9PN1NNjnMgaY n13r/BIjZJNi1ynAkW7wPT5/CLQsimdplsz+euvNLZGSwaPhv5g/8h6KwJ05+iTgXLs7MWAa9zO0 65AcLfRiSUGfpLjTgicwaLqblxvqALxNy2xN5o1u0M6mp9/efnBn9TTJxt7NECryrlkOJoZH6SGe zXsTQDIS/oOLxq3cJ26ZOFuYGL92zRkozBI9Q+Dd65Z4fDjf3/vThMZTRoumf7Xo0nVdP2Z0QEkN IuD/rpqeU5F7Rd3wpu7aYX4ZB+4wvLvh5oV/NDnL8zlCNUGYbYUCqh2TvaFv0xjcTpQ8puTVexn8 ozjDfKG7zIA8KhZOJ5rQRv1VO6DKbmZjXrIJg67OaqOoKNrRSZUPdT40meBUK0GGXF0AolHcq8qr VG53snBdgZVA/StTfmtdo+6n77AKmMQhrger4cyeNf+sEUlj3j5STr9lqY/pfdGnaiRqhKvZop1+ OBigiszDKVWQ2RfH+uOtZyd+aiQcPYN2ocd5NTy1FHInFCQfl34Bc15K4gJ0jsy0IUsL64/GmJPN j+ywicm91/PZinsiEh27YTSTgP2XRt90zn+APKn1c1ZZbN+aIqCaNLH+ABFpZH55Au5uy1mVqFZs OpImBfaDmLuSSaJizrVxpHKkrlTYvvYL83lnWdcfs6bX12fLZA/HGq5Hbk1BBZNtsiIx/jKjdcIg vgq3ykwOgk2Pwzf05VLF/yTIxWG3x334aRtksU+3vRJbj9ZTD4l11oG1Z3V7Ms3Q8p6840zNsKKA 0AYeKOv1OxEckUDbURTmrIOI3B4f3TS7YX86gzXDdxdLXIwa9+YOP4zh3I/KpfNBmGAcykMuYlR3 XAMzjjWfDJSURUpv9VH2Givw69q8hboeHjnwDjApVmewdgzSieuu5X0wadFlLoCigma7cSP/Uose Qr51VDOX8DSuh2ad8qOzN2/FtdBxyUJHFKW4ojH0v2yPMWVVxAOYtiBDmeh9zodVUghJPoRI6Gnf zxhKNkDDNhSt0x1k2NojcLqNKbHvInTS7Y0SZjoJjGU9/Emc0Bre9Fz01z4TNTwZfJoc2P8zRDV7 QrRs8sCp8jTWAqpM4PfeiqXMsic3kYKavbgxYezSWM/gYT6qf5w3B/fYoJK6MypfWKP4WfCgH59Q feGrHuuB/uvRvb2RmF2Tp8SOP/Jygpgu7Ky0v8CfKPb1vYrIFMUAo3ZvP2m19L85BcyCEhSRGjXZ DbV7uN1XjMSPpbux8hCQQ5d56aN79ApB6OGZpEMmJpUPVEhOdSV/hfjDix2WcIJ3aBbvc8CimaSA O7urMeIVjmglyaFOvCcjzTgoUInRQJbt+Lgjh05UZOkYIOTsU2zxHRUnGcExXnQJvOYDaFTiAddT 7x33hEgDPtP3y6sLQjTlA+We6aWsz0koH61YxOqMEfC+8biRX4oB24reoYev5rHBam5icH6R0N2/ F5N+jSZnbmRyL5fzTCnTlqnAKBissaGhoPPt6bF3yW+2P1mB7wIoTvaqY/6BxHJwhhQmOm/rd8ok J1MjRN/NHm/wa1jPbOjngqQZ9WLMopSR0OeAunI+vhXHRw5ZCALsCVPFIulWZe1YHu69DtHsEN9y 6Eg9ZkYslZJLB/WOfCS5hgQcs9TB4mCqO76BdkXiCZUYIz89Dnsa4+2NwmoqPBtDmNLLqPHg4UGQ r7Rv+QGfjCPxUfCRazKVh2FKP8pXe1ART211Wk3byN3jwfNDNJ1rGX/L0nxa6HpIqC5EpEZhRWJ3 50W8334D0lAUsXDFj2AOHeMAJZRxmPLRnc/8017LcmCjrlnS2ClwXdr9FXSGp4EgpMlzPt6fL0fh yXPKh0HyXFW/gYsPe7iSy5WN/7wEdUiZpzDUqmS//Rh1kGc6rnzXsHP2HGRs0x1fkDaZSMoKb2qC YyGFjjd5H0XZfHAr3LlsPtvChJVEAnDM7ra9jVKELsCTLWT03lpMnsPfnDB/ARj7jGw/O2VW74bb CSgp8n36jpb0G6SMdgFygGR2DQ3FSVEMH+ap25YQs0hMpSaCJlSFZGaZAipOF9BIjeEMdbfmJ8FL lRwPDWg5LRkRf2Qvpy2fjwpb/6qOyTw04dw17pzq+lXXMM0eUVNK5yIt2jbK8T/Fo7Fb3q72krUr WJdnRA1KIugqu+y4YkI9GBGhfs/y84VptqgXY/3GNhoN5B5gFhl6WeEZe9Z4m8n88+FhlYBn3y5t qmIm7rRd/FgVCTSZK4ohc4TLOSVvjwLU6oxQyjtVPnZUkJgCHA9a6grObCyYefjlV27doLT+5x/n P33WO0LwBIS1BXe+pyT0MXnsa0pHtVmQK1mMzwfMNtjTMxEEMES5+UWQO0vIMGMNusXLzD65/MoZ OrSLIroaql3DNDD7YNWK+9lZ6RyQfeTDHYmALRu2s5/n5pXu2pJD2lNqGlgUO3JbEC2m6A3i7y+G hxdQy0i/9srM0Q0kDOXL+QP2KxVniJryA9rt1hqxk3M9W3o4hTExfhYBjvfuV5Tyqd+1M8TrC8dO mCmtrPxc0qtSQwcI3ihZxsIIsqFPw+HJf4+0rKhsv7QHK7YgQTYMlzwbIye97MknIdi13BC4kGx0 QavOVzE2zNAGdmMIcroE0KYnqDTJ9IBbyDkSPMTwtFP2aZfS6JUyyQpMi4obCdj7RbG2R4nViGf4 uGcyYYT7ggR5il1uAz48qD7hcRVxSZYRPXraNozNH5njqOoGDIvTZWDCQW3PMdvkyO7CT6y/p9Fx 3Fp4ORaaChqM1OFgUgzi8yX48y4bZc81bCQ3G8CVFkQGl+spbIKRgjdbUgMGQAqebCxhKxsAXWhT XRW05Vvjd+CLr8Yt0UhEs134WLLnNHpsCksyueI2T/VeGfsqVfMQK0Lj1D/hKQpYd8tfhmsyHSVP LhNQSVuoUIfZ953dNtDSB9xTAlcC3iBFpeZDj6jVM/BhV13cYm6mQpADwSM62yHDv6Cw/aJr+AoR 1HtkBT3nJRx0Shc248w/5RcNR+C1AV1KRQjO09lP5OtUkDMsVrOq9DeOU78A8+TswhlHGo4/5vvU NbsbFZBI49qP0A4uexfc+dzRVlbA05OO9YeO3bIzqmmmkLbDoSfIHMjfQnVVXmcwwwLIi/FHO/6j uZP2qe+mHDwAYlKXaky3T4Z28BBUCVwUgLTNQTQc47f4UB+DKdpei5U9DMUO1po9zzewYCtWhpTt JzR4bqmAcBSndYLmOgrS90bIBHKlBOPeGOWeedEgiIzHcpgxd65+VMLIXGfTvJ8OLYeCa3SaqWQo DutyGR/A/3YkgKN5DDyf2z8LP5yCOPEiYjC2YCD5lAGNgtoLad7sGa4fOewRVsgWzDqctJktYGZM K1UDfUl1AUkttX6ON6xMknxfsF8rtcKxxq7x0TnRO67Pmhjr4055DwuxDw9ktY5mZAC+mVVhjkjg pAeES/Tk/Ts1iQRRRLAK+XoswuQEnXbzyu9wDT7rOBYeTNWrUVbbBXlSkVQt39905YDl6OKCe6ur rx1gakkQ2zv3QWzI85j47xnhyFI0ckB+SJI2UqdxhECrfFXW2R5aB1GtmoFgvlzlAr5Jvyrn5pSZ 03v/gpdcxUzdzuQexTvCYYSP3dhwZ45s7gvkEnOoOu0fEWDfKpuNBzpW93/ZXUNSAOGoBp7M0PJ9 f5m74AY2a+QpIEpVpfh88zqrJahDSBgVBvAlm8JUBF4XXNeRsls7c2ZUKqodDBTKb5bENu0ZzpcH bftFIuIifApKoqfKIHuW6YdIn58XT78hdzwqlqBNqPiU75LIt1HnGfaYfZnz9ANr/9PP8Eh2WB6j 6kN3z1ptPm1Yd37VGa9OeG13PovUOYh20PQXPJuIQ7qBimeIn3mieLfKwpVdxDNbH816EOFlyc1i u6H23As8nohonj8/yHIgXLpwnieJKT576xJUvDEaWIKm3iNZQSoItj0zhaqMYXnHh1rfcOU5lOK1 CezbV73ZaJxXyWYWhOpeyGjUxT7Tbx5j6+NF0LR9RCRC7U0twFIYoS0IVlo58oli7wXMyFPbNAev h+68SLkoiM50Kcpb+/PSh3DhudTwMI9wc3qhwEDOcDhk/TSMdo2XT6SFu8fBZpqmH8wlO6v18CTC I8NMkwlPa8In7coAf/d0UXw7OL1cJUgQcjGWwZVXr7RtwphyX3KuYzSsK8H2E33k+QWRLDXc7qLx UG+CWMksZjUdR4hHUjQcM2HfaP3GQ5YTruaQOljvEw+vYRl3wXV1PuDLXNWt0Kf4tkIHemkltx3G wus4n79L9hJVuAHMHrb1vjpjPNhCNA9MTilI8BicEm2KOb3bWTkisxb4H7lTHA2079lqeg1av0nI BCOzMwpTz/5ByyadyXjDSsqaFO1eeMbAzSurXi8L4l+VhogGrjdLEUouOmnwA9y/lkk0CGWi8ELy 6oyH0hXxDOe24r14Vi/idHNkxhRmZhvdP3MHhhDC890trIs8j4Iswc5YlUixurdu7MDkr6tHqAmk 0MBoNW8d43IaBA2WMeeh3ra/3coGnb/4embpfClHXRvXLfU16SwHTsofraKjEA2bHyW9QNYKDMrm 8kYpLOVVZecID358oyyoWbBNCuXLlYgdFrr7ZppG7FT02x8si1XwR18M/PeM9DjTIHqvBiX2MR4V zMFxyWnwHTlyqLzhgKEOHx/WmsiCycvx0RzlvSY4aGZvyTjpPG1h8kkLKsapvOhQS7nOP7GLp8UE AMP/ZF/RJc4t66j2TInbMcP5xtetFozUp9tRtRcmHHcoa+0nLbP5sG0EpwIE9/rz7X9AF14wJs0f D6K0lGelBPK0f4ZrtlgFbUvw4hROLbuvZwgTX1YLDM+Z5nvdWGdKTX2Cj+xW4nubG1lp7GguD7k9 LxsXnibnnY4HGuAb0CYOgrVT8jw998s+Zra7VHhFetE/VBEs3gv4LLL2R7pR9uEqMn9Le69ox9hH l1aCxZa53I3orI+0ExLGuffmWbjhWughvKB+yTYzkZ+GS/ZPdbF+cK508teu1BIbjfY7cQ6IOtYN RG5B6MXpsEyyvL0PLPPT5FsFP9KOUx3od+mJ/SmvKHWVlBxptaIcHoF9BaU6ImDGfEtlSnrcQCQk T0TfMr5aSdqWFlqunKHiewzUQ7VEg8FEmTa8Psi/iSiEWHc14MDn+sBzlS4Rg6osHztse3eKQjTL vXqJGs0xATDZMMJGPQrmc76Op6rmTyTXoDRonAdCWE+lonO/zsV0LetwoDESpIzF1+ZBTrQxD+wZ Bvq/DRQxVN0a7qQ/Guxu+FDDB60N/8bLwAWYNrJhFcRb6c30BemMt5/YQEchNq0h1yZxoqXV8bvg jXCrVgX/OgJUUcmNP/6vE0NiOgU0qPxyyCMowZcvGIPATBVY9fNy1aug2e55JmoPX+zk87fMTUGq 7t+ym7s3ImdOY7lpKnD+vPgKBhE41MSHOaMeT2ZV3t/NuI0gQ7ZJ5tkRXFMaEWkx4ySnRrbhdCqi I4vQDaqSdziSogZJG4szV/JUMHjHyPQ+4WWSo2mMzCesbCXS8TYgUBUukvwgYTfIMfJs3W8UQfD7 IElQ0/uUgj1WxXvNV+RfSCmbuwVlr0PKroJsXxk3r1wAXv4AgRH1HdEusl7oiNug+lUb3wWwwwks FVPDI9WvAYc4YDo0p8rh+zA3Vw+i4vdyGsD3n6Fd+YqGuhf7zwua+J9cDXFb5mLpjCr4u8/AWPXj 6RHjyU4IjAWyrLWk+i7L3WK4etrZXLbjtF596fwb+F9a285ZDLLuKiX6LM5ecAWlLCG2035noOGH NTcEb6c2iSPbn562sryC0YcXbUC5OiGbEVE8EnRjRMRzmIvin4apa00EyULpYvjkcEIJc/XvEBW3 Q44v59PAj7+YSuQ7fvhobox8HizpFG0f0fIvGCxnA6x1CpQPI59rCwPEHHwZ7I9YpoefxGaaHj5U J8nZrs8FOuZRfVdGV/KYRWNwg7DPSop6WZjst1H69zhGQn/OGgrnfciaD27sdIYu+s28o3+aHMEH PSWEGrwKC5NDCzxpwC1o+cCwUa/0Qml/IQdPAX4w9t2EfoHy5p3RtdiMFXaMwPy5TgZHW6ej9pKM A+IIqwFyF87+pyyKIDlYAkMWTCxauwhsyeBfTOQ5sEfHV9XZLsqWxNbULXY/SLRHhxJRzmqw2SZH S+tEaPqR0CA6vxGF6r73zHz8ptsPfplZueQRNWYjUKUyO0G7i1QqoyEIr2IN13GkwMfl3nYjJVHU I4HwdPGXl6L0EizFPCadE6SA70daRysjG5NgH+M2u31Ibxrw5A/w5Bb9/INohqHn2Kh36juaKm8E +MswWwS2Cqom3FY1b0mgt3OHWlYBJjn5FFIcwaDVhFguSHB+aJ6iHNqdsw4k6NC4lLiVGtvCqaIu KmtOUn1HPxIViglIU2ita19KyE6ozd6FdKc4BTEDkwTajVV2rYCWm0CU1JPv4jOpsJ3zfoBNrI/B k9iJl1erDhd7UaWI0HcVI/ezAFoKcE6ylafkZOM8Awm1onXrN1DDL4ta4hAE3TL4cbFVnL17TWeh I1hsaT9h1INEML/cHiWUpAczYaufhMOpmuKfxe45E86LZC78YxHjtG9REhnnB+iJwwtpGivFdR9U p7P2VhHfAW+4i9l8VEXsrD5ty3Pql3cfouq0H5WHOGX/VyQtdTCYUZmxnBtHdBGwLRhGa7+2LUQ+ WJJGucpM3Zr+7L2KKW6+PGtOIa5AXPULlfOFXIg/gcrKlt0wA+CPRe7EgkhKw7ys6qsWe68SMPka k04iuhZ3fVnrCKCnGTshgv2gX0Q8l/vYs6FHg3qIi9MgIEIdQ+Sk1YxCoB3g9mRBKrXYMilHqsCw 8VDc2/radWjUh9IAvCUfJsJMU+YiYzEkdr+aUrpx0sJeoq9s2XhvABbwGuqwPliKFbXJXZe13CEg j0lFohSWLUrzd31VlZ8CsuQsdb+i/zNKUJY1R07QdtHBMHrlvKSbGsBIJW0Eeq/a/nH1ZvGjmCX9 tHtwJzCl6hYB1/Z3VapZEQifT8oDUVXT0TYKU2XNd+gYJ2/USZgWIX+INKqwuy/dBANH3f6jR62V Vbh2R5AcVC5kNIZgnB66oOfMfLLxZVvZFYE1PL2e3XJn7CUKbBqZd26eFWOq8qBH2Y+oguReF4Mu eChtfHQ7ZTn9L4K2a51k7lsZ9XJtKxP6dmty4F2Xt0ByFJONlgxaYag6o15ClZjHgnCKLPwO3CoD 4zSoPdbI8VLFoCOmTK3IGzWTKQiVwbITlVaLu10Opxy7vbOdVO5IOJFFLdRpVyKPr4pK6lB7eYV4 Ff+VHogrDV2Ft4e0DSKoDQdTYm2VKzQ/9jiV1f9CFBEnN34Szr7d/q1R05ZvIpe3IyjfuJBVycxA 3TLwHCgcTwmuPlfnqbYoMfgb+XjAAlSzO3lbyTiPvv83MceP99WdfT/CYoXzY/SmJJc6Rhyrq4o0 lbNs0WL3P7V5CjTuMEzi8Vf/6ARoRrNVDmSX7EQnD9/ds107Hh3z45wemRLy/pxaoVtRSVe3GeOe mBludN83Q0zf/ryN4Cb5d9R8ngD7N1kkkCeQnic2vTliD4uWO+zXhuT5YdTLE4PbW3DiEOud20Wy 12JdzKAqLFc1uq45M4yZSnsBQf49ko3p1OGMhFR9yzQWBXhhZssSWM+gndjWV9zf2dKV3xgNO4kR 6P5Xp7GN8MHAsRqjJvwqCp1Q7s+lLLcY+gbfUVxS0CguT2GnkrJUQz4gysHrAo9qK4xXru78bFD/ MIPJbHQIza11HdwakDgjRMUouDIIYXSlI+hhuRh67+quYBv0mMRwQm3wXm8tphFSnDcp76dX7nni 6F0LYD3K8QvALisOB1S0jMRwgJX4IzHwOv+H/lb9S3uTZnSIHvU3pAnp8nHD9gYsjf7+LkgHrpoB +G+0gqSgSgxukDybuZLuUZuqFXcCD3tQJRXp/DlVkEiZKqq/qygw2GoiBsQL7Zkmc3d4YiUHZqdF uGxJMzKl2x2/kBxpF/mJ0+q/wQBl4XxuYyzb4zR7EeEFk/kXAlTb4neBfVh8EAf2CPAbOA9wVxzW HZ8Ddb53g70nMJE3ECZzSPlXNGzub4g3I6B/jj8sPBcwCOMlgT/8lH8wQnEaQVfj/bWKX57jTNCY ghOP8msF0gc9za8wPodjGjiZ0cQ1Q422A6jwLQfo5d16+tY6gsxIkfRDIu5V5g5Uh12tMwucFqn2 sffKyZDDcsM8TBM758yGngLp86cXbQzbAZ9viAPSWw/vUcoagHCDk9yF+UBEnzs6kJUqQ8HInG0w LY9wLq3KblD9q+Dxtl7RFkhqMo3bMn8AxZONzNgqSrGJtWW+SM9JVyrFDBrNrYRqJQWDNNqNuu+0 fueqywABQrM1ACpPb4H11TEfrDNJAQQQTPSZ9NALqOB6qKC/txXpeHFA865zuf8fGU6mhcTCBzjf 8Rr6uA40rtdZJWWVoTN5LF6m430tEXsBSwH9CJxkrP0X1JIpqhhWxYXNyMhSMs73/z0PsZAlaQ2u QMAf8ZXOKPPmMzz3crEEAvItBm7IWKQTZXOaeUX81R0dWAPq65SJiclCp5870+jvftke47v6dyQ+ j8FdR3b3u8g7BnULIqSehNz+OJe2E1m2JLbhvxULyFpsatn5mo/h/xTyFadYxIJyuDmVbuZx3FIR p7/hJTYnAafduNUJ3gDvNcFgkMap7VbzPb9RKj0GDbu/sq+S6/Ld7lRp7bWjQR6p7ifJBggKHEOh Hv+AwRlpgv1etElbxwRFD5i+OXJgpfGTOMLTKNn9yGIhZdM3otyvymFXxnqhNfR7vHnMu/ByHpfu a6Q+b8ZgiijZwQ5jmFv7MCMEH3iXjhUTbUDhf1N+eke3/JDw3mTrvpRSDxxvJruCX1keXYLaN2rP EdjCVT+b3zOFeMoUOPFT1LgTofM1lI5gvrJeD7D4Z9dZMQPiZSrPE1/J29R0iHLJn7K2jz2FLOPZ cawqjh7LCsA3+S3yjjNW7S5m9v1Cw+q9mfbB2Q5wePwlL8jCwJbwKnIPG9tE/qdRxJrTfWZcvH1d a/6lutU0IAYpPw6ySzAO/jfzGRvG7LbaYH6V2YxRAMxKa0Ku+X0QYE2JOYaebBzGbs4Mc/FIECob SgiUlyz3+GDUAGSgxMIJmjadam1LQkZpMcImJsDIhYoFOXlUS2pe6iVnIrv2b1VgOygN8KpXZnNZ F+fSvCGBNWCvnPhIkHz6n460pEsHSdoWsIdwyUhzLf8xc6BXHzIZL1Z/XVGUaCmKUi+xrOgnv5BC w6NPcastXLvDpVuP+i440I3WoGVHE7VkVsEJfm8nevHxMZfsl91UecevKaZllCSWcgsReF4JLJuY RKW4CDhR3EYYoGndvcOXkT82nddmkx/0wJrDbj13769i768cp7TbO/WJDBf1YQ7QpxKb3KBqvQRp JDbyg6kfk+YDvktLECORHljaNgtlBUp+wglmmpUwTbZFWaqrVWysf9dgyWTo7gUJN88F3KSw/UlF DWszeHmRX2HcDSnLzhwgye921IPCox0GiP366/xvM7ftYPsZBdb9eaGZOK6umzz3go+p7/w/brxO wzXRRVsk4GCfH4NFJVxEAQOCYlF0sHoEo/Xuse3wiWDcSTk1LVSvkz/VKAzM7E0C+/Rd5iyUoqqz BYGejBeNM7XmUvJpEW4WIUM/tdbw65ujhOFEz7ath5tFVjt/jhsSO4FdnksKzXZ+xWcqI2AosPGi kCBv5RZqDo3R1yb2mQjvxDpwPOClxu/KOdJahUnnDTtnJ7xek++GaYcd2Oq7jSRLXqdl1Zr4uMxe apbvY7vh0QJZ8ru1R8zFLmDj+0iIY3vRf9K3NCYgGReFDlgeXFst8Y3OVVR6ZO0E67AgVb7FHBGL FgOoCYRWq7Y1YM2E5F9IejY+Q0LOLaq3fWKgkfBHPu2bWkEOYVSqCYr/4G9yAYnmVVDsQWuQ9xXN AFmTra5qksISHxa+wP/QCCVm4B+ZR2zWWQrl1g/CfMkbevoY7E0KBYqeZjibCCHRyH0CwwaAhj5f SOuoUwtsTIe84EKfYZqMJ9STZzecLWEPIMqWiEUJFvqP7tFW+15ZSMTX0OecGBtgY/AY24whymqg HWZOmVn1xDxj8fQesWAXiKkpIdkoA4LoSG4U3Y284orGwJBiT3ZotZP4a/PqM2nkDqi4ShgnvEjf rvMipxyZM6+uSEhz0SQMEGx+yEmXEfIitigtsIDjHiu0/uR1m/1BfzvZCvj1jUAB99Z63HNz2ZPv N8QkQUnljhs+Cn4DNmYCML3lLG8f9jDSN6/IEgwHlZ3KRzr7dA/pNq0vn0zGQkJ/0O6mloG+mD0a Rr48xcuhfb2vTl4Fp8i8qPnoJIs8nsPo71zROaWkGdn1+PQqqW2h0h+rV0lYgXk8jn8SKGJfzF4/ w2BI+6h818gZh57LdQZ0Bog7mCKPv2FC1sU65/bpEGGVPMTRxU927cpmuaOpHSq0Cwwg3Urr+KrM HuVP2MZXLjIHOGLVHF4mB46NWLFcrVxNcrChwvzybPZ1s4cqvq0EmWTqnPcHnCEsT7H4V+QeQ/rJ j5fEOzl6bOJ6SMSkL0CILJIpikXDHSnArfvhatwk1pcp/+IqAzM1WoknEQtQGjygXMchJ+djnYV8 uRp81l26Ud29Xpy5uvLNPHhQ2su/FVBu2BX7VfspA363WzhF/yyc6gQ2Yww6tqLn+xsFE/oKMMnv rHasVUIlX8XBJceT+qNGXAQaZeI3wu349i2PKTuDwkDiM7fce4f0LMWa7R5FMzVkwYaZ+OtjS8Q2 qFrV0ZnX17ynWLiHKTCVdWYC+7XbAP9eef2zqRUDniOMBWlu6gE7oirbimzwXsa+lCXi8VIZ+fmd bYED9XglMLcyxg+1AUrRkYUw7as1TrK4r8qq4bI7+B/yQzwGqjOZLwBfABgFDKMZs0nhh7Hqdo6z yBU7dxmcWoV3Yplwa2jj+td0xd5cGCy358K9ePnM2CvY5gbq8qS+Nq+KgvCSSvnxytgUgDWsGR1P gqXG4rFdM5OJSUrBhap02d7DMUE2EQU3/YTxta509uIXUeqWNi4gZGjOfguvCX3D/XnS+mRH2KkP Njfuupoxw9XwpAJ0hYLKuk1mqYBKMxkdGlvmj+UQt56VVNA35PlCiaU9UQ/bVQV3BwMxkNK8s5NF GVXlwN7z2JYpFhXaLVI8j6iWxsd27TTscObthM1CODI1HUNIongo/s7G0zVi/WaiCuToTjqnBWb+ PzFssB2ciDA5mlxd8FadqvvuOA2eRtGH0U4M8S12mC+8T51zDqX4Rtz79zB6dpy13QbzvXUCUH2E wiSCfjKBINrVcxO0FA9sjaQjOySZzeAt7L+42JnBATI3po0IMMvOpS7XN5U4nwVcHnZ4wK05MT31 fHg2SS2JJAZnv5tNAoz8vt7uL6OYGajorfERWDtGVD+VCBuMzUf9e71Tz6KgmHwrYLSnsai48bVk Mrhw19tK+iJooTh0IfhvNYvJ1xfEP3LuwemiSrlviHWc+w4mEuPTayOtinrFR/LlgEk8jvx7xRoc EiijUeNrAATjuWGpOvqzkzqQ/c/LCBhtn0j5qwiFPniNxHaAHWYtuTPDhQG0rZGhLY2MAA9zBnXn Hm6MKGzaMJMd10l/KTgg4KjEhXb+bQg4byZ8LvilqwYBQX8TYPwxMKCridficcqOhox23BM138mN T0IbQEX+hNHNJ2dGdEaBqW0Ux7ndufowIfy6jOtk/GinZGjKICq/quAIgCd5DgDjkTTZQ73i1F04 4xmw+jFghW5GpFEBkTrjDUDNuRAsJecyeKifH1SrSy5BH4l10Rc8BugowzuEuXYRnMT7WDa58d2B 5cZ+M5A6/5+5dBduYC+Hh6xoyXDPA6DgzFvBvXe0SIIfU53Hpt+GobcCHVaAEElPU9YQCz25otUs QCSQ4ernWaTK6wyl6Wf/KK/3fTw00Px92RXhVIQYzy1SoF0N//+VbUTvUFzgJZbyU9gCSufFINXT 6afCl6ykFGl5VIseHE/blt2pIKDtiA5hKCbO6ZhXE4fTmbUGhIJwNy7fjxcthPYWr0d9kRZDW9nf gLUuOYFfc7TaAU05TOIIEUJcalR4uux1U4tXsbN5pcohhv+dwXD1egDx7JnMeks+sE0XLZRbv+ok kTbFJ3BUj88vYRGSh+KWAOwBOqJneURWbf9KlPd84LIyqQJG54U3FNI55VUPGlyh61l6YxZItwtB YpV1vRNHdw5DwTlusLYE001oht4gCNkJj/sswnGxYGaxNaFMtOP5Ijc3YJiWf6BH6KiCO0fK9lRT FhLyeG1xyslF5S/XyAOcBQ5Wb78vLc+4VVXtGFCiXFZfzxkZNxx0IvJOdK2A80hq/idGMABMKafn tsoCQOXeO6Tjo49pDHoB2V887P94Vq1i723st6XWsJhoSbNcDG/au1SJx3reTlTRtEKAaVDpEjym y0UyIo2fvwzd/RI1IfB0sheRoVPCqDENMlvwBA4LjIxuOm30EaBV6Qjr15iL72xCnIEFouO1KYhG nB4XsHDdmgNhXJqvPs3hL7w19wl0uwE0FlyBlFyZQmB6eNWnXvoJrY1oFc832tLfWkockLaoGtqp M1mBRMx5UUmrxmHlR6io2u7jw0ij5wBH3DWZe7XoGomQLqUdfYcbCy2mnGB1aNszsC3MnTC+qPKI gd7PY2dfa2ITxrAky7maPwZR/Ler5QEjAbQ85rUgDZv5soOrpiqT7l8yi+rOjP6X9Rlt6JxjiXJV INc35n/DKyr4dnJLgJTFISpEHH9mbA7gQ6UdTecVqGM45QrqifdEf1IL7e+3AIWE/F5Yytt1AYMW pJvHX++77b9I4/+yWuqyQ7v9sURiSkZXFJyBVDdacxkq5DvzIOZQV8hE/khLHuTdKyKrjVNLBJgX eQ5mmRE3OaYkhZoq8R8E+jTuY4CCoQyc5CWFqhuDSXe4dC2S/qCa6jaQLcTCkBqoZ7/23I2Rk2gS OAmFiP6dgivO83BRhcNC6lo9K2fOgE7So2JwRkUte+4JgmZQZ/LAngremw/Km9i4lxZrFzT0VyYB 3mjjsVl5aUplTcbkDdT7TD5a4oZD2XHQM/cANp1tagaCB05qv8FXVdm/18txToOceTHaGzQcB4aP gukpB07LCLsaqm82+/2ZulKyjRIkZDs7IUKlJzZlFr5SnR9ZFa8nP8d1dr/zNCJyHVJQenEOPsv/ 5FnvefsoJ/MVZCVB9va2+aRAAE+rCk5o62mIwnaFTtLLp+Cv953VINpA6Sek/UcJmZAJ0dX/W0Gc eVqxTDq2hzpAGb3fRifzpzYHmcMHytTSTBOWRhSgTV/wsDZazwuXLf/H8KzAgFtUZUaGo7l3n3iL Efjv1NDhTjuDUVQxOaXWXuoca6YREyzPn52ex1xGMoXjl+RfKrLam1hv5LoG4kjknbA9/to2d7l9 CbrJ8v/wdnoAya6/rSxarU64Qg5uOup3+vPQD9I1T5hFY3jnKRaAIADpa2sYVaLJDX12okxB74Op S+bcjXJvYq6zE03uSSNYL0LuoKDWtYBZwcnidEv5NdNeCiVZ69bcdvMKtQpAjwtSEiRlvaY6xz31 PluaGmFyThJbD0tAZCuclDzQE/5mz5L4M2Sfw/BxunYLMDLwrRB/+7Z+hA1Rj3X9r6dTMQBZRJop 3NxW0Vq0F6CbKg2g1XB8Poi5EUkGtAxBwsteUEec1ZelvfTTp4XW9jawlf9mD0Aa8O5IjqkQa/WI c1yF0c3CL5q/EluQ1xLaE+7ZuBmlNVH8MUmbk1qji6ISK0LtrCQUTz3BwOiPttKcJuuh69IKEFKV +DkwRLXxXy3ALsQLiJnst3WP2et2c59+PYPR8VWu8E6oqtRFBH8KaAOllMZ8tdN/BU9Jt+WNqRck 21x/Eih5/nSVYD1po85y4b7gOUJa7uom5ryDXSsWWzGZ8ylnT2ZPd2j3vZdlgTs0otcccHuieBbc VkF7Dhuc0HTm6HsduMi++xUIzqpBqgHunWduHNOTJ0KOArc3bD3lTBiBbJLh1KJLfspHob1CRxcA JYh9TI7VFDqgZ8/ZmoetJdJa+E4w46WIYPPNBhKcg0Cz9BRoiQt+YicLsPufF0YI/gT+J4QJPl6N 8ILiuoV8Tn8lCHrTGa2W8EqaSZp+36hxVzWEh7i1Y9NubEvlAGCINLrkj2DJELoUqXp3aIieOJl0 t8hlqnY+sQ8j/g6LkVmfZaxZROUe8ZWsHqphYCiy8olQTwyv/Aqx1K5v3oZ9P1X2tXDDL99if9yY TakaLyIxk5TlS/rNAzqE20+zijsc88nXE0dhP1r2wn6d5aBX4cxvUPjdRLqMdqEt3PS5t39L+2Qq bwJxHCQOypD05oKTKJhTVxBNxAuVfzF2a5tTT/RGfbfsZ6yjFRgxJcH4gtYptnhZQoTzJKaSQmpM cvFB6mpNBrqWE0uoC/Mge8sPEeW455OVfiYvNq+QGpb5PgbBGW3vvdKrbfxyZk0O1/Z+HFAHXp0l 1u/KHW/cxvO6qi9dlw9+hiQuHd0g629ODAKO/wk9oDIwH3Ny4ytyZ6867MR1+qilYvjf3xn7HPbQ 3uIopJI92350KRE+luKIpiAcmnDt5ZsiWE6tCOlNRvE77Bn8dYaKu426EoNsoJv8abxU08fJ29kp m5udxw3yOafZpaKEc9V5svkO1R7RzHGUVCJsiN6LDTzx93+5fsKwfjqkcteAN7OfC+8q50SVZA6L 7RYvX/+4+GILU2fJhsO1K18jFYvPcgcwOXNbw2w7oTOhA0SPhWx1k6d7tQidoQCn/jquJt7/wXN1 zk3uRMzBz6RZvnVBWNyVkHujU6GVN2yngP1HNVo6bbLdtc+f6M6Y0T9gOv41hT+OVZGp2pPQlwt9 cW32ifE0oEjTOo+MZQX0gaLZky+GupArASzUHPKB1mqOC84BxR3Iu8eVC3hxbd6eQFel9DHpXUub 2AUWYNtZUhjyxAr4fg8mQziiJw/WF7EvSbMpATjcfaenVXB2Qcb1neQk3lgJBJRTDzHK0c6rbfWL K28B0oS0wY22bOriTRwWm7q/xoyRpjjptrMI4DgFpaNgVzfeesGSi01IAUnTjrzysSl6MYgIfl2Z 3F5Nn060Kp5gVnr93weqhSBcXYpuWpzaqc83z7BNcG1w8IS01E8n+XJ9CgugfyeTPBd5yESdo224 tRgZu26aULp13O2PGzwSjx+XHR7ZE0K363i+gbOVPI5BcOOGiL8VdSWgcYMVfhZC74I52iIhMIk4 1Vl7g8A+DQwoudxyW8kNPmaZ20IXXWDWpe2r8ED52+wbHnT6AtWnpCm6tFi4WagGW2rtvDGDDWUH fC3S9E5q7aq2ci+tg3cjesyKahJZX/J/dsn+8o6v8lgrAHYMawv4vetCCkzs5iZfikK43F9esSuI 7qN2zSBcI3gsQtA4vdknDoH/+zcoqZkKNItP5yKf6f/7hMbnwghvPU4/fjpiAnUy+igGouu/fyCg xB6lPUFqTQCR0dlL4AaPj1VKThryoyqVAIjifSyEla45pnipPDJk82Rdk2avqvVY2XQArbXhkdd9 UcHUfx5AFER0+itBxCbIHuHcIcaAyakcFibJ/xDY++af+XvXDdjKKO2/NGT/q3cEfXnmMZ72qa9R n3FImFMQELs3rQsqcbR0Tb7YHNmx7D/Pd0zSYHEkaDhvbcPAjQ3hnDt5erHc4KEHxOkYco/cjjDd tByc3sLhpocC/n5hXRdJQf75y5nlQGjD6UuynmoHgufoSgOESOal79oMMYqhj23sDPxo7c5BbpEH m++Exv/doWzQpTckYSfwL3ZpnFAlk96P6EYX72DnmYDV7QMEEhmWCfu71PN/G4Vcs+vtq94a3IwS k1TA/UfMxOF/graBvJ2JaVodk+6yyQeFiy1VGAMMDaEW1Q5VPGbqtbmabAtaMuTsNr/dUHm+aDJ8 Fox5ehQLGt0m+OwukWhX4lDow5KkS3kHv0PjMlKid2rTiGbv4FIQW3RSmviEhcR5sSxCtnjTp7pJ 6M1GvS/UwSy1LoVRUI9e3xx2BJpNCiXuCRKx2x0+krdaHD/b/DNnnYG/9kxQ9i7zIa4rkWTep/zF 7eJrkUCbqZ5pm1qxscil0rLJc6i4cW1cwnX/EYj9C5j1tEnxIHQ0eHIVJGk0uDtDdG/9Z5B/Fm6I 5QoBZOyAHQ0SuOhXccQpRXvCWpPGiIjbqw7TomwkeiOXbFdWSuXIMjq3mT43U7U+WF+Hu73yOsaI gVCDmBucqaDATTgy1uAF7YAHLF01NSPboYCzjZBfbT9XJCW13+z2jLzt8YliPg9McvU2rPneyT0O Tu7GXilr9kuvyS9MCEuqU0+bJ0gasuq971yT6SoLi7Kbmu96gFAq7W4OMRNMRZNiyVwwAInnDoUV WUOMX50L99M0KsbIcJ7HpKSb79l5mMwwjiougtNLp5y6xlzPeGgBUkrzqHQuEZ0vtbzaSJN5LqQn XaKtETS9FIHjUyCzSRftWRiUJfNzcO1qWZu969HJGaBp2ilrPuOFjSrXQEauq/9M1xUoYe5z7JXw s3s63nMVS+ShqH/k46mu7gGaGwklLcAU4liHOAG67mQ1HcA4VP9i57CQ7sdjdhk/+4hntZVd0gyN rF/9BBztsfzynptG3v0uuDa/++cmnDKXAJiEkr3iQkNOcIWHczobMoLX4a/d2RVaVGJJ35ieYhP+ /CX7HlvM9uFsQzfukw+VHKS/Y3LV31sn28p2iWjScCOo5WRaVYd+FzCC1Gtu6D6pLWrNuS+KAEG1 lFWxk3h+bRPvvet95akWiIXwMJ3gGT5Vo/I67QFvun3leBEmPiclHSDKs26N9Y/J3eJZkPfbf0ys gD7Dxoecnlk7bxlmRLZ+8bcqojlWU4fyIyrtXg1//P0eekf6h4tK55SyYfKckGigJTImfEV4hrgW 8y4VIigZPMxrrTRCdOUwlmpbxq6jZMrbvfodfSHnedZDuzN7Fa89OBmgOoSbHfdWuVC3RFcUlD7r OqN7kSr/3PxJSpqPFUFGUPr1gH2g9AHJVhm+peygRt/13bhTeUiLhHh2ZWw10Lzi595/+zb5PnLA jJiGnSjRKMHoSfK9GRPpV39t2FeIBtY852Iw5IYYYf5VGOPJjICDJq3KjSF27mXS4taEVJjXC/JI 5S4FQlY5KqZQ2T1LkFdJXm4iqDlTZtP+7osG40LaMobZJ+dBkCsnvnanrv+nzb9DiG7OGzpnZQve H3fXiG0nD11uIT5iAdrWNXw5iMP1j+Mo4kYOgEIN71Y+npnWAr1Rp9ERbi3RCzNrE/ovixVABsQc ZYYPjuM1Qf7w2okdAyIp6ue7PEObBFkMddGwVvoaLOBw7XUULbT0nw5i3tkwcoKkgOpt46C+Zo7G eSHsqukwkbFwhO0K1DuEucoesq9TGz+s6P72d0SccwSfdMINKTwMTly5QaA8bxjRhWxc/DJkZlit 8djvl7hZPK6NXZdq95GMtDBdTkHeXk/GejaI/WvEbcbFZDSIeXzAopPy3h521oXfX/elxoahEzMW uh+BtcUnLaNcx6mYbrmj3ezzwiHpOsDCpP75zyIrYVrZduRDe55o5/Ari1wb/1vJ/tJkutD7MVzC rrpRA7H6GccE1sV5pwTv0b6mGq58YHrPuHABX2E8a7zp3yAQyxOn47oObWqE3GjKsE/AbpOYFOwY ITT7qZDUotSK13G61g8nnOnSWKx3wnIc9HfX6lrRthVHbk1yryMkOT5ST6OzcP0Q2qIYx1O3/ef8 ce30/9AVuuQwnY4c+wpvHZEPAz7Fg97TEcQKf4kuDVLiON8u2fFLd5KD3QRJD+EzQCKa/5303jpY OnUXaRnNL8HD9Z4TX3HGJ0EvA1ylK6LfSjFfjoM82+uGiuZDIn/Yz8pygqgPjMtC1GPw68UIEAwd QTlejZWEl50OFuiaIpWj6TS9UEDRXbeLZRukwwtLIwbIBg9YoBJORkMKdsr94t3qDka1gbPlLrj/ GidTA0M2N/aYMYK8AupSjy3fYbOhAHe6q0bMAR0ZhU8THADkYX3FtRSjKX9G/TMT3wBd1WXrepnj A1lMtiiW0nfMMpzCfrrda5IS4qxA8WcmL5Hz6cQcdxr88eL/hxFORdE8WbruYoNOByYfwfTYNWpY V6pSyjoUjaaGNitYIZ+WoHgSKNKZtGn0nD2L2h9Uz9/pvzsRBIWshPKQj2L2DAyF7yVqw0U4Jcu5 pBpoQpYoqiTbMw65Shj3CDrQFXfd52MjiGZdhydfBYLU04Y/pzbwL0pSDrnNBR9ZQKnAhxBI0WxI G1f4mKNa/C70KYnEn56WRzCASeObSeoXjtX0AvkAOrAjnxVv4RLTZOF5OKItjrk/QRrxBCcJvYm9 TJ32P6emV0r5/hU84SV+QdWrf8v09JdGoXTwY+hBEQl4CRXFmoTtyKUOKQgaF5jnOMgFgTFRMmYw 2tSbh7y6qQ0XTjfOIdoEb1fzh6OTlDmzPRGxtCMHDqvxaa1Z+npACFVEhplyC98jZ42BTnr239Qm CZ82k+ROAs2e9Msj1mrtcZZG8ynpdgelBNZ8uKcf1JusRo2Da1lqIFU0FkPH6oBBUpyVfw2SzBqO Ms95c/js/IC1glGtLKsbySAy0Ej/SHXwgkA+uJ1dUdMZ+lX3qR/er9jAzmORd3LDh3LbmKti6eDe xcelht1xbH6yBL/isM+km02w0sK2u/jtkfbw/bWxdtAX4IKNbkB7P456XWGsx1Pb4j/iDZvXGD8L FAvrkVVU/V1ZkoMmF6tdsjlMnTYs4YOGn+55gxPub9LW1XAayWcs0he2nxifuqOOEdtOjhwaEorB kVuiqIl2mTopPu2JKLGLMALF4Xpp90nzxZ1WjGphsu2Z80MoO/p0x4uo9H8pjA6KGYlJQrb1NB1Z Vud/4XT8gf8H6H2VhDtgUFJHtlx3HQU1RA1UoQywMEVeVANospcdtE2W7itNwcH4/1NIphSZyNJM kdUNAbZWJBkOYciD1ac60ZzKKyZ3mHM+kmQhsDWu4c3Pl6CHe/r6VkpJIJNdxsFs6BfSeuEcHvEz W6XnAhzarfiF0erqX6lUZ3X4obmgiJg0vsVygBbi4OH/PhZOUlGrXSW7f0wk00Dmk036L62e5chV nEpvS+cDh4PgelZaOdkxcmgUN9oQ2yjxBSZWP4jNNpam0fVN6lIsG3uCOjK6FJRscQRZCqBl0svH D+S3rAR0tsicO1AH/e40MdVLtIVuigHu9bq7y8X1yWhH/UTTLJsmLebpTqqR/OpqPb6vI6Jlzg5r Nrs4dtiGAwdyF8euyinApd4uOyjwO8kb3Ed7S5L6j8waqs0v6xamA8Ucb50wjauurkgTnSInW94Y w0c5zHKwPqH18dcp6cbqCbzNJtqMcBcqEcp1IZ6IX/b9nPp1PLYmARSuAL5ZayaNS8+q77yC7/xs QI1tQe96NfQjc/j7ZK1CIlJFJwQhVlLhn1H9Y7KdRpnkWlh2M7DlRP8aCP8HQ2+9DTtm8TFjuclY G+KhI8pOKFstvtFpJ8lw38xbSZxHVTAkGPK+djKPXnIeOAdKZ0VHbab7xY9o73pSFxLSkatgxf7O d/aALG4YkwShiV23TTnegVxj7v5Kai/yH33pCfeDDYxFyCUE6kLfyEFV38A71z6QsNX05LtdJ/w4 e+Tut4GYP4hIGw+WHkoaB4HQVMc85JBx2S6+VYKLvX4lQYsQlb+Gim3iY4RfkFQY/T+jkHjvlb+Z 9rIIxksDVHUHGNyXiQ0r3aOCSuZtrLZTDGK24G2QK5j/O3GVMnD9Y6Kto2Rf2VTvj7kXHtCELhGk rgtSFXVV6T9Sy9ey8mlc4s75OfrBeUeg+LjaZai4SziYuCUFCKSsXNxgs317vsyl5NvjXhdYduL8 yWPxvfzo4mZU2TqjWaY/ZEhijbnPQpgwmg7ZL1iXKTQgNaPcrUYCTQkWr7a1zXoMUaZylNriPGWb jL0XpyQifcd3ngvovGFccukIFOLg5/aIbmUlA93++AAsUO6nmTwTYIBNK7cvo5sc7Ets+kjoQCPy 9ARadCrJ3gTh7OCglIRpTYeRcmJqosTszsfSYqgyw9x1woyTq6RYuv2EVJgzcN2rY35H52wEjzDH xCtf/w2L3Dd5xVfgVEKSUjqwom0yqK31i2oXtRFfblkjZkBaV1nLBw9muOfrhAhLy0S9E3Znoeo+ vV+h56zDIfbzf2fLKXojmoLH+xx/Je4q8TY/JjSIsAHWKVXkw9Q6CJRsbKDh1yU1bCOIVi272GeZ tquMFCwjuq1jQg0XqHGLWU5RWTO0t94CqlAgT48ZVTP756qscIMdWnF85L6ej8Hex1bIrQvF3IlU j2OMSijDYg751cXv+ofyvX0gc5ubTxM0POERs7e/vIoR6GWtZiys4OX1bHI7NUbd+80D6yXNHIHc SiioAMWdA0MVHRwRgScTk4ivB524zwpEwfkQToKbHmujQY4cOq649N7e0jqGIk1jBlIBry2dLpW8 JTc/L3x6Po4IM07b6FEWf9wNfe0s7Ae4GNndoMgQW0D4Qmk5UeQ+JaB4ku085Y/4oWb/VhAwbnsi xy5tRDU0N9d2176/5q30Q2tU/m8kAEaelaQ7bYaNZJ2COCjHbzets78Za9cXGwH0qpjwmHIr9tcM 8anzuEEp4pbiW4E4/cQ2mwiKXEMH5tp5vn9GJLb35eZEiGF/E+8ejurK1tzdUomzABkx2mWLqNCm tDsyjCcZ87V2Y86yqKLDjVN4o2ixOC9KS0uE3yVwDM/S4h5rmdwEKiMrVgmZtUsvi3ak889O9FTk mEUPDp0zDc6AleyjWkaV+XRxQqyAQB1xEgrnRSsOJef9F2q8Qvxo1ok2eJBJCafzjH+zggMmaQCL H9quqO3Kb8eyrH9cQiuhmRUlUle4xsbiZt/+EEpHtqCAGAyRKvhFJdfl/iLPXkLYSrj08hw3dbMB 0Q0LyZxKAki1N0g2skNoBskXdYt1Vy907C8F6jQ8NLP0dehZC2aqGIsG5LcEOoW0O6ygvwmNO3/N ES+n0RyjaDXXS8q2tC8o/EcjwctD5zALv3dqIH/GR4oriBPgs7XRnd40OckCuvVfBPJ7MWas15dN gVEywfplunFCxCX0dXZSZKtJXrl732C2MGX9NfF6IH7QcIhseIJhgBbowv1e4JmbdaNeMp7rANj9 dFaobvRTapJhrGp1DOpEAz2pA37EGV6J6kwKKAgrIAv7hsrrRmB1UzSApeUVZ+N1H8ntKK//yYLj PRRmQRfgjPaPzP9gh7ZmZdZo5yGJvcF2cxZBjgA6QnY9lHctD8+DIMJfaSgvObnF4FIEm1XwZzY+ dIhNSm1Z9wjOStCLLauKqDWUKxHA+MeF8yCT1tbd4/Bqox5dwavgUtm+PLXrSd7YNyUEJf73b9LR 5U4HTnvpET0aqDhfWZhilqLc2z3b10c4b1jnwhO1xjmc34GO8ek2emWpNXmN7nqA4NBSj/AAbvlf 4C6xDYfbTlaYqTSK/Qo6ytX2QMn6sspJLrqjhflDHGpZ0fEwpCfb1ZTYRuAPqO2aeBW3wwvE/yx/ HkWHLNeHxGecr73o0kHrCPGK102ZQXM5awEvzyaD0EMsZJNc3pc1xQaNjKkI+giVbbOnvpLe/8Or KFZX5obuQnt+x2yApMOKgPzQYfEKzpriqxEmcLB9ZqC52qkM7yP5GCbikzec9+HRTGM8Pq6+I7al rqZWzs8Bnr9nOquIN0WMiJXH4nWiJLqtKtNt9JXYNDBgPmRKpnzf21fyLpQIKry80/q71++Sygp0 0GxicsQeZGwe2487wpFom4RIm9xI+BIvCwitCxKLy5yzH4HlyWAfdoiB3Fq/NGOiDtOY/eoxcu32 IcVjH71+k8TLJB/xLUzAMvJlos4k3KPXUK2mvZn8lFqTgRfQZuqI+38SzPBtCdLiRr2ISqehwKc8 7SwYL9vTXQZsHiXupDYz/4+3lXl8xJZzZxXJ+lPX1xUdGY8Vea2IF1IXuJG0nYmsWIUDn7iEml6Y QNr6+b6pyfDrR0e8cihBGgW8eQzUNmZCxsZaUvizjSsNw3AR1teUGbZCGn/76OvsDv1XpF9x/bmb WyNBktV2a3c5W6YaHPQNd3wv7/pmIuwxfquASAQXQ1TgP4NdNkpdT454MCicgaih+WWKWyRt++R4 /cXJ5x/pvvLdwlND/9cq4MPehoTZoeAsT+lVP88nEn01bm258+SjITu0P0/tN9c+w8t5vV7pRr78 Us8KZ5SSR+on3UotEo451nlXyvQqgDrhTMH5BITq9BaupNRXJs8v4hxXmhaecZmfgAadVe5BJiaU CAZr0Fw1PIk2z2MgNrpxy5GQrZU8HppIn7IASV+HOg2YpNM6hUujm1v/WaOJyGPRnIRSkRKzGUI/ qaARGfP/uFNZWMAiVHdVD41UyaRigydE20WDsz3pp305223OLoLolSWItv4zkiSWj8oJNOtmCUXP Nl07zuHraK6Ly167B18jxBS20RwzsJaBNsmAxtHnsVbejcixi3ms0M73hao/sPdKnkURkptGpJtR 5NB/sf45mfCffpq9VSLx72sfGfJdDH6flOMdKcKX1L/51Mhb8UOQ9Qbftnu1Sae0jdSPESvL3RdM x97RVMql7hZb1xYs3x/qcLLc19KGSlD0HEHZz3QdhmFKMFQV6biYLsM2cMNq/AJYTlMVDiaHjbY4 RQE5NOfUis6MRYyZeTYxjDq6Q/dEuQJ7sjxEysg3ZfmHMMEW8YVXJAC8T7zlFHquM0QwFXtZ9JS2 WvNJB4VWBZliQZ5ef3I2Ce+rI2Bbsb659N0uHuDrRhxAAFU7ym4md1AOmnnND6bGBw7d1SRth+2D MvNMG/ZTxFhxgRP8Pc73kWAskdOc17TxeReajh9OKKAjfREoQ6NLGO1XYG2OTPgv0kLuRHTMRwxe qAwpHkm6lOMTVSpJwGxlvRigdXF7IaDRI98elgs3T5cIk0CBOrQq1p3zfCuYh8arUuhXN4iwEzj4 xGPEyT2pgcliriqSdc3FdocEgiZykQqUynsADD6omwNfx9KBZIkAuCIvmxxGjHJns5VIWXMsa/zQ nPXcs64yBejE8EIEPPh209ax9PTnDZMgcDpacMCeHvmiNsSOeKajNnO90Cw/v53UVhQi6z9XwHIq sb7fUEJkJePOirjLXR6JLyfa9hxI3MRHqtxasiMU8t62D2UQs0UBCtXspQmXkaTl40hAJpQTWbOT tD3kpKqMhHXuMV2sIAtEqWQMOfkmhucszGYz4l1dOiZ5Y/zQ5djPPa2o8zP9a8p69FU4bnMtpK9G p26J4oP1Ldkzk/fdws9l0MEPnqCsOf+Fygxz7eHFBziUjVi53CyBjxQTpHUofGim4sBKUxFVCZXN 9FsiGbziOkJtN2jNKRcYHJVQGVZAhk3PdwCKfKsIo8VGplT5lnQWyJWW0vYfKOUBu05mV827kmsp 0lpmqJiu2sCYiDZmy/m37Hqj4vHubP2NBe3lrSPD2ALAq89oANpcgCUNHoDkTuQrFC1t9YRu0wnU arFkryB4u6POiS47CSmAsJ4CZSgmOy15HFsS0qsE8TR94XvNEe60oVdYeYMsGZStsnic9bG1tKiU rnwZwoZPt+eBZg7NXnv4qVMovGmrCbuGXKRsxWcZc08EP0Cs3lYhRkQPU4ipmYZ0s6rf++BV5lK9 2K8v6MOf7ltbIxdJyso0+zGFgcfWdbdA1Pw1bFs4+mtFdguJtS8sSk3852FYkI+obMCq80Q6d0EQ tT3nst3r5XR9YsXZKDL3RIPF5bkZvSxrd5rVtUD4wIQ0kNV8DsHVM46UVqjTIkD0QLTMXYJXlD5W EtoGauPsqy62oyG/yTpQK0SzSctEC6IunBGFTcCIbjGMy2BHeQBJKtfSHYmVSd4SZiJeK82LXlcd jhD8Xl4ub9hZ/vUnLfANgSEEsXRxwD4ISCv9f0ebaR72dY/FxE69UVdun+VAiMUd7+baSABFKF/P J+v6sGHe2pCtqE4Lk36LlrId0C2L/tXdNhe2+a7voMo2h7g7+ebyXOA7BUgqTnyCFSyLMzaLt45F rEqGHNpjOv4DJtfvLZVyfssf3mh3SdXLZuH2PmTFKDoBYEOTLggbt/3CB9tT1GcEWL67rvpswZWD 4zCUMU419LfA+1czPi0CTAsfS+hnFxXXl9t7PTBfGlIAhIXvQB5A0DFOQGGsHz+FYGty8FbwiSLG jFBfrzR/4lYsU81pHIItIy2h2g7v0zteYG5Rph9iIAR4ekwko3zOGA9NtBVVJBNt17/AMZlddjo4 otsgB9C6ywxUDv1P36HGTse94vnvygIg22KY5nT54n5ZOve3ZhCAiiJ4rQ9XPCQi6qQv8kah4elb GBLboCEr0SetCNBLpsl/vxTb9CWgo4kAhvE+whPOIa6NOhGyQ7FTivLCUU/v2i7klsRQ86dYEQEx KmyZRIfsHxkptFR20FoT+d8C7w0V5un3qF1mJUxXNYSuPgr3Z6CZomOOPzvSE453LXmNsQJ5x++A UDGcuv5Q0UM4fi9ABvgqBDFF5LcnVBTnJgNwCseDL5qUdcKFJICUhbXVmhTaYST98ut3lQ8/9eOn 8zwOSWvYM2DOnESrmkaCyN/iNZ525DoKqs4Grv06EfrGtJYIHg/DpnIV4AokxFjd8qBWT4aAZ6UE 4agLoTXJmYAWRFNrwzqqnPwhPguF9fb9V3cpbILpVGnwQKbZ38YlX9I/BXUBWniT6YRNEeEJvmgW UC90+Ykx1WUoD3J7pBjBoBs6Tr0dzDzhSi6l1bnTEclXG43aNtKK8B6GxPbLMnYvLwr7jEzx1Pwc tw1018h/LfCn4sVkNuGtaPNvlTN0Di5sQI+q+FFxxK7A6EsBEuEA4BbadSemi75NIN3iB59xP0i/ KMIz512cMtqKHAZ6AT1F1VKybb+EAYV8XWCv7nse+g0ZPCFS6Y240qE54rwpYQcK674EqWXKmtQL xY7T4EIEoVLPsoOG3Z6zKUoWguKi35F4YrmvDimB6xaD4pwteV36q2foAfI2k3Hdk/rpF84jYqWK hebgQDReSDDHw2Uglm2sdCIb6H9ZkhGKtCzYble9zLv1pSUT6L5CJO7ytSdxsxDc+3uycRRJ5mDA y68nXB6kBX+EqofyuUfyRlILRGPAGuCa7kUv2QWjjfFqzTJNW2yDTojbCfCj8vpP+K0h2d6D9sFH pS8bJCIEPZASlwOVVtpbwDWv7sn0Z07jZpIjvMpersuANUUT0P/+0ZMa/iPvsGS6/Bp7NeZNjhom PKm07WajpQuAgK5Ms5/RKFLO49guFcnQ57WCDtLfJU1j3pt1M9tm0KQQQZgqPA06qv/vHZD3F5pD LFhp+5OiamVAd9s4MlvTmdpBjW3eUuYUqX9f6TAJW4cVEHxy+YYptRr8MCmD1CtOTmhOEbyGIFOs NdrA5TjmsXtTX5rnlRlD2Ufee8Va3d8+QphJfi1cmJTFDIMde5Z4ysRHQODUqIpm1ddIrrlvuxRP CpyOFAnsSsUn7E7qeLs6bZYlscrspvCinMzVwjASxzkXjV4OnX2gF4TnPLXf8iHWczVUWtju/eXn +9HfnoMAJdmnIqIekfiZ7Ui5YCPROw2h9uA5E2EFdHH77sXi87hKhnu+eeOfIXF7+oN3D9wAZeGj QR5f7lExOAzuLSk/j8gc+AJLNtDz+caKaMyp9j3AV8Gav+M925v7yMI6qqP0eRkvI8woR3D0AZV7 4KNPPScy5Qd4VCMQGS0f4UdV2vjqMHkN0nb9bAq0kQkpzqYqEOOHY0XnpM5oDNUImGKTABnPH/nL G78AIulh9MTh0P+PUQZPqTNd9l+5Uk08F5uEHIaTIKeriuSPJuvKOUA30rsSwBDnSYJbiXYkU/jW nM9rJGIvXlK0xkby6oCo2ynvkrMhtgChle93F7lWqKkxO1ZoCoAxZQxF6xQzg8pxv9PxP2aTbhWq 8CfK41Dvarr1cioTZLzU2nZS+dt6UvoTOQVCAQ9g+LeT3U7+obBYjnKwVtHWHHBm4dQi3dYgs3eQ I+aCaHD+ZhIliuIW7QnkNAdZwIz3p2DBqF2h6wVwqtBn33ejytoLfCE5h52nRokdeXpEojvA67ht ZdVmzU9xL+OASRPfpKO2LUwqTKc9myLMYWS2wMx48nbQqD6DxiCiDolPgH5A/h7yXcfwEYOQ/LJY TLXaBOUA3GqYfZCeFo1Rn/pxAARDc16GR8T2AcltxnZuE+9FDg33Z6BCAh+ahmcyw89nx9vUT88t Ls+JNREWvA/6sAHYBhiRM51q6g5WpGYzpys+kjRNXIO4CVp1EZJDAeKc3NNjCVRhIwkRFKoRWxqM zA+r87rHx4hEvXuvnilckwJMaW/pd0PSiIDinhPY7i1b3jBDfmm1scY+YSPFaVoNe3RPJls1Ij5o ygUUqR30acDUaK1XHz3kPmiCmR3tSTPxO2I69lNHm5aykqzWTMbH9wnoTPXXFfpHGaFnPojiPpWd jaa3QaCV98JiEj6CoKGr7o2eaQL1VT/C0wJfCHElcXE7ITrY7GV88gf5RGbISXQjZQ2MJRfxZaR5 DCU4D67oC44XEaTLh88IbFLliUsZ14MOLWEIEOii0CMJA5JaA5JM2EW//WBFKYhmn/NthPwFnHIO 7hRyR/9Ljq8LVvyZENIGwXCUFisbvUm+pgQWQo0hmOZ2bDs10XiX2YV1ii4UZw73LF+XfnUyCooA SiqQr4w2niDEeik9I0gqupo0Mz7Y3ksmpSc64R8haeBE4X6zACpaduuZbczkq4LQDcvpNFtbYjL7 iDKzne7ElnFuQFVhMRLSCQZUhtOvfgum7QUvMR/GaEpQc6OAmiuxBTazqnKGvI9Q2AP2HFl8yoeU n/WWQg79b0FfQXLlMKyVyw0fidTAtuHBPPa1v8sT/4Sz7W8vciHQxmA/hYO/i48qJQGa8T6dw8of hI84ukPszgjdVG+bFM8Wi7FL0mjnvB5OIBMfNb06B+rCeH/imxyFRwCBurKDgqYcLrBxwqeA18Ko ECzqShEL1ZrqKXeaoBzfY32skMyJaYJA/crTsdzfdeZ7qvoL1/Bo6UThp/TRy/uhxhwUBPh6oV2W lekVpj+BZFMJh6CXHFZnwu1rhh2D6u3Of1ASR8LfldjPfUB5wUU0bwtH5shC4OixkKK1vh6/fv8I DuadutjwsypuYv03dNaEX6X+RQXKhUxbuvrABnT1Aqmy3HP2PeEYXG3mlQ34GKSvkzE6XZ/7JMgU IakMzBMdBizoq4b8ZksoKhd9AU+mrMwK2FQWAu2xF6mFdRobxd49it2acF+q0D6yCCgfltSIJ3GO App3vDFwAF6eKRnSLBLw/wBM+UJ4dLVhqVUy1sfRham3cdFkuqNSfLAY6F1H+jBJ5zy23LDGsLex AWLx4YGwc2qMGq0ZHK8UzyMXJWZDlFkpl340aB4j5kWZSPM+XoC1rI9rHYPcjy6vMraqyErCuQB+ YdwuZyV3yylfOd01++bTsoow2hbjmFia8YY0FnJQlGbURYTse64QXf7wEssxKK1ktgr/mP94cy10 mSTxr0l6JLTZbh7vFRTje5U8TqLnRxL5ztZuVZ+Vgtiz4azanflEUMzxV3lhWyanhF0cXSe2Dc0/ LrjI2vdqzKo2FASRy0cRzyNjBHfP9ihPNOVFOdq9KRilN6U1+IVQTalKPl4RfTealRuFdxIOphTZ CN6T4If/vog1We2nvvZ44QX7Isp7PM/UQ5t77iSO/25pxy4Jsytoo6qxaWe1GgZOKuEJF62DDphK kQ8I0jsjgrIgtOdxx7E/sTqCoadOW4TCsmGTFEMGqBZOtqCz9ncqVRU6mh4nb/CBbJS+um4j7A2n Zw+alVCjcxs04iXdeSVswZvnNn+vVWmbnRysHRCL4+h0Dl72f+k1KxlVCQ60nhG0YRZQwsFh1m7y 4+xXcgSyr8eeAYYhGSdiMXPgrcZyzpq3yPAYGX2F8qk8ABUkiVT+sqq7o9kdR+NCLdSFOVYXWWVm 1bTsRBSKzJa91DuLR9Wu7jAvx0if6iNpTRglc72wZpwXfg3C8PlKQsc68hHPg5JmXZyOlqhhSH1Y rjfq71nOGMLQpDcPjF4GECmo73IzG0qC8INwVVLHESdWfkEjaHvKoH2hACYHg8yS2XSvH8/v+hNj 0mEVLGXrsfp+7/At/1HjThQ0XtMVSujDcMPfvtxhFpFsMmRMuxIUUEOV/zHDAfwq5oK3vk2MtSXq dx0dvNlwsvXt/b+XtSx0e4a7BeBnnWMHuk5jt/o1Zc0PzMGyR2vbGV5dY6Lo+0fC7SWvF4PStLPE tecjGtMDVbLmCwEkYjQyc/SMaBBeV+eZOsngHmQ59FbMrcUrPXwFj9YqclWkdmtA/YJ3niLobubj Drdc9mB951Zw8gtZY4MIxfhFl45q4Q5xbkET89MkqKLa6TgMtGsRM7fMfqY7OoziA0SevtaCpsQV mY9pnBuiOLDZDoqUhsXSnrTuZnl/gNUdxWr9BRfWI4MPWlk7Rg96oCfhAC8tVp57zG7dxGMgG7f9 8wAsBozPzgnZ7bE2xk8tiAI28F9IDTtXHAjQdb9xtyEXMcIS0+qhkYhdnImO3mKEXmqpIs2AeC7+ rjj1/8OtexC25Nyv6Li+ecApieMUS0fQfxNfgJJz1yK/XzHkfKArKiJgsQ0mHjnU4FveC2AEjhJ4 Ye1gWsOwTtKUM/VftgDsTbn3G024GUK9Wpr2Q/yKtMdTQrcJf4ibNtF4QmJgG9iWV6AxRdGevChs MDLQ9g/kEQIBWmvLfJod30ksytna66sn+KhxQcBPDfv2a2GHDsJD3o52AQjMhiS2nf7e2lDFQg1e dfwransaaQNrqsXoMPm1pEp2+iIEGi/P6RKM2cHdcllErPHxZ3C/IKBPTYL2/YQq7xuVfekA412Q h7ZAOHY6lPM4L4ByPp0ic1mlJQVN4ekA9YJeMw+8iApfBhFiYL0QDVScj7zx8Oxg3Nv8TIn1835Y ubod8BaaOuKBMOkr3wMaaXt/iDSlv801T+JDgHWMk2vIox7mtVE4Hu6/6E7lSAsD94WMcgEfz8JD 1jo4hBp8SLy/9HpDhXMv6YsKX7bJYTYzZKv0O23GDKj6lSInAqI2o+0PxIiCODd0sY74B561yAU9 eR31NwwoTQc8LwmKvxLYZxEOeri7VnFU4s9SPv0woaobAVFnU/5Rt3PC2jp8TkL93AcMwD/xjpuI 7zFpot1z/5SkB3MhmUyiuznlDidmuJ7bv0lv6VWd4L8GUKv3SByIOrGZaCVlKMJGBzOZASJUlKS4 gnjOp2MOHR95HtP9jZS2TZSoM0S97R+/ka0AJdv1bXgT/CISWce82CmAE/EVyPkhW125+HflQ4Lx cwfBOSOJQkUZct32Ae0z9lWvR49/8Elho77VPBOsy2k2O8jro+dU13/pXrBQtQIiHcZbB7AwdHjp SoIY+2mahFf0emin7lWPihpo0PtsCJPy58oPh9zUtmI+piYay/2q9yMnyIPsm9za28Mzi0RBVWI5 ek+4F2ddvumWl0PGZc6ZxZt7yUF2y2KEmDI340e1HlnZv42B8AGKT2VJ1Qx4YFIP4N2ejoi2Xn71 Zh7mMv6ql43/GGkYnsmP7w/lYhS3yMZorrZXHLRDVvJzGvwRsC/hitH1WctaSxA7Oj+K3hW/1uQ1 JVee7FR5oGvsKGbOX8s7WYXJjGj0jnuQ9Zhz0z4SEZBYA10gIYO+5JjRfkIqHon+D3TkjwjNgMzt bwf4EjcA3Nlm8n89WC1CI+tkKWrY9BPqTbPQZrZP9vYjHipYRO5gyYJp95FR6YEPEfdfth1Obb3F HSRmjraGgW+KGvtNdV5ZhR02tyPCcopxBKYVDlCzSKdwsEzNu6WqU9EFYyWaeqo63VAiVcogE8Ii 98WM7gAS+E8yEqeSK6IEJhk3fEPdSzs1N+bizj8wNtXe6wahECTY/p0CGTe5SoBTOgjMAb9WHfH8 p3qpBFIkOXKdWSOAoZG4UJdHXplluVKtSHPQzlDsF6pBBWi3bO3bYb08cqmhxC8pt6TEVZFvIAqe wnjmUWYBdyILXX+16oPn2frN/TzDtn7X6egktlGE5bzKDeNzDSwedUTSDsqGxroqMwFGHeCjlRbR ubccNiMKpOjfR53qjSThzt08OoaHMlYFgr2B0hAUycCbeVy397roL0STXZN3GxIBZnWPshE8zzZi U/DjZAFn2dcLNvMzMzQMQLyLZr2QSWVSSpciOxFKRETYcTZ9JqJtN2ucYSQ5Ay32renvnzkVh5Lg Uq63aMh8330p+LyC2Rk9pY+Jepd1kbJRPW0ehQYREfEtZ1Eo/zV5Szl8LzuOLuFtyQyTRcb/taR5 C4ah9QxmjXqlaVM/ZxD8gl4PriSSLQK7cxgzu93yUPTqaKBToYBGTPfH5/6q3MMlcdQQ1hPo4Lpt vY17h2xUjeavF4RGNgsNsKP5yyu2sQG5BszRZqTFM/H7ZLh7SrOuYcexOSbmyOULISMbmUKWjRJf VsdA5H0WarWKekZCQQUbsO9Xx9LDhchHAk7Spk8gDGQcjlXO/23tCJ4Gb0umHIqvk4bD4Yu0koUJ 5e9OH7X4ubBvmHBpDOL/FX9VrUlCoR+egK/PdIHc/U4jcdHgCwgAVDMbZ2Ej2DSS34lctHIj73dh 1GFQrcVlBQorhoogvd0KaUioEgKjdUsjvndvdCAqBQIHaHhKr0KR+/3QyUAsFwna54tC639lMM6G 01ssu0jpxRwTFqYlR2qtYyXtR2+lSxi73wrzcZZxLB07Jt4c55BoWvrJKsONscFD1EB03bHm9UjT 6kmrIl4OGcZplr4L93EmaD1388LzRMbUPkVWwe5okO1xEQB45vHX7SLtV0D0WEP+BNIVEpLFln7t xWNwSduOIjOywvCUsE0yYbPo1B+tOib3Cw50/g9iWVUnA5veUiftMqVMr5+T/Hh90MWbxBou0Fln 7TdA3yvyOtG+Wlth3VEXPt6uDaiivQiQIjkx4nxzDu9o9I6tjWmTT4nvZ5ek9W0Go/BZCuHOIfVA nyJvEWklsPWZYxEG9YNSB1Bzg/ODJBP/Eb7dwvmfpriM5Lsu4ng2tZpCmSG8nXyM253HPSPhAoW/ pezuxsqSDUIk1nQ8jILP12NZ8wXqfkn508OXXQ7k0UKPw4uHRjWMdv1sAGGBbjGNY9FbjRMRFTzy L06Sx493ysIroXawPu36jQuFeopBDJ+NB/bMM4mv/OAv53USUW1ahvzC6SJpwRZsWZdHHjJHET/G b+/P0O/H7+u/DKq7JwZJ18Aamj3pdN2YDH8tGkHf63ZbPATepPDwTTQIfrWwXJImDX2mYtFem01H JNP0giUO3U+0fqvmdEzNd8J3TMf/6YRzOIjR6E3GpB9VjBMOj1j4lyue8GvFv4m4zVapEHw9xhak zJJCka38aqRXpz2M5BcxLGJhQ7ejpyh8pnyYm9Z6WlXuZamqkxXPu6L5KHQqJBZ11+GbPJUg55wh uL6VJ8f6eM5RuAug4/dVmrdgZKTy/aNtpENJb7QrH5BWHpdQG221Tyg2j5YO5Uj1ueI05ZrNNr/E pUK8mWdgPD84I/weB+EccpHYLn+d36kU9slnA7Np3bgiGUdCHaevB3LoelwcQ66OjfFRll59R8uc c956LZ+DySJf293siKeMcw+kRspGvrTWsLBRSmzTFSghc7lkr3cLd9JSp6lwN7zGEw6VxgpLJ9nV OZib3POOHPEuCEjey0xKZJ3HgLcoBmOJ9A4tauXyc+wBMOkolQpTuHW3V5tGR30cWSW1ETefNDBj uFO0XGdDaWMRq/NQZpcMEW1nEK/X4uK5CYv6xOsDlx28LESs+s9HEbTk5boUVFoylJzZ6RXkLdZz 8kN3blgeIIs9cd8+Jwf5xFxbMQpCTlk63b8J8DEf58EX4VZRUd0oP4MN8cttZyPhrac6ynU49d3+ nLsMwFYaZa5EgXi0JUTVf1EnGlRLECqRyK9sRbVQ7kOATLq6l0vRelNMp9qbTPeqKXd01d+8FlfE 3d3vdSKFZXqfSK/Ob97VdtYVTxVHgxKbOAbRTS4YWj6pWFd1nk8j244LCo5OYuXtR4LhG8FAxc24 3ZBtz1befY1H5F5EOyWbWyepVa9SnPJpX4hcOfyrmLuyEQCQ4Ioqyum/k2BZyob0XBf5HrPhIeWQ UQR0T61brjdIKiucCNXsHxwaGfsv3wmqhHAJ1lCPWbyiyuErv4IRGoIojmJ5tc3QpvHt3eLy9eYZ f78ICMNr1E1CZT+culuQW0UEuH/qu2AmmPue9MMF/OBc6oFuabwz5mwQcpe2VR5JflP5Ov/ySYux 4ASx4AKh6/PplezaxCFuF9k8nfEWxaQ5mGeCFu5EA5xEeV5RI7YD3KxGQgYXyKVRay6mNVHLa9r2 FFOMwPPw+OYfzhf0Wf3fANuNrVlxxreTAp8uQcl03t0moXn1d8b2+XRZwlwDgC3inkW2dFDaRXN/ cIwXuGj66gJy+Siw3rm2MT3LABBf5U+VLdfHXIBxCvbLm3zUXVLFIntE6gukXTuxgoaCzU+/vZ/a DVAGhlRgAQ+htrciUXkMdIKIFyschxSTta1pfgrw3V31IGtLhLwzKnmOuyLdwPUF+B65mlm4ch8m ka4CnNi0zOAVEIIKX1qJcn0V9O5+4t/vYul9zwvNElD1l/4tUD/r0mm29ZcOpUp4iVk0ySYYhmp9 QlyOuSMKYjDzziPkr4M+cl8aG9Ydy6c7/et10pEnNF1SGOoCWnSdZz+xv9yNcKtYNgft99Qs/Z+9 RJyjhu9KYFDJe3Qn5JU/u0kli96z/KBMqHGLWILVMrw91pjLqCyxOwKlNn2ccQP7WTvcxObViR8K sVxBtJYuRxSEGkIqYVmo0f+L2qbuinQ8lfCu4ELHM/wONC+jMsGh1PchI+E6435L9UJTs94/V6jA zrfgLz3WI5LgW19qOg2Vi955g/xl/ZyYgfCMjonsGXBpgUYu7SCajnzMT+r+hpb0CenlUk7KfX7R BG7wEE5FinsDpnuGhaHLuV6YKZt2yCcF/qkiuU4s4GceAtTqBEwuzg6UeOgPRXnCvn0FzKg7RUak 37BgqqZmzWGVbFj1+kEsYK61ze6gnTWDikBGMWogASFjZVv6IRvs+23v/jmE3YnZULHKkKdCG8zP PsrX2gljlvGna/tytJnFj2+wDQoJXncjPnvQudNVFlCmGWGVIKHhohd4Uqxc7WgHNK2hix4M44uz L7WwU2XStulj+Ovb0U/TiBeWabRNoXDO01f+NdNRd7fATExPszeEZJSy5HAgYF+8OreKHVNnOd1D S5znOqvZgqO04NHgg9XPaG4uLLUiPf1JhS8ZhHvPfOdSaI70DfPIkzChkBU6TXt+WphobAwUHW2i f1jt2IxyFiKOG56mtn5NsPT0Er6LXE0TLFX/zKI51O+5KZKxnIVPsA3NpWd5EctqsN7wGDbLWclt ja/ScevfXuHCLMwV0QD2YWZ5hFkQbgn0D35aG2op6NV2K1d8CnzHZm+ABLFL47WMS3Y6bAJoM4xZ A9uH5/Oiq0Kg/8mK1j+zPI4LkmScyyRG7qPr/T6jVsm3gJ8Qg25mbd3qEW0NBKPdjHHu/dxZlqlF sXm0TRn2lD8wlgg+fNBIdoAjaQGCBXeLfDdCX95uSRredzGFrnsULFsSVIoomACTD3nBhgQh4cq0 2M61EFx2/pouRgF1AAA6Z08/URk2qULOeXgMk06M6ji0XhdPTfv020LPOkREJjKoHSFczpnQ48is 4omv4M0AFZv15npy2sgoSaYa5TqlaY5+Fp4x1uSVQdX68vfCjL7RjKXtVeY0z/Z25ZF7vrGMO1iA YSJs3omWlqaI3wWyN1WOiKEiN5lQbcex8HlSTZWFCZ5psqMqq8Dw9EJ68K2R8+s7bzkvqcDvaQx/ 5aYtTpKCEJxUUvV1jVfxCTd1RDSNXLnbzmzj8WHbjQhpSrkVELDCpCovYBxjQurKUOns+x1S0cTA nkHGplkJmNs49S9qqncU5FHAoZQ+hIB7ncThARHWT/cWToy3a1lLJ2gwAyS7e6vuUJI7Rfjme7Jc aXJGja8Q/7b/igfKbTEX9P18XFUYm4W7xEFgIMwwemdXjUsgKJLRiAHQIZ2XyXByxr2/rkM3VQDS uFg6Z660qNq98NRl0XcsRGM21wbAQ7ZSmwc1synGEzTfFeHWcgjyy9Vr+UyqU4MOsU4PE0dsTu1w 3y78CwetX7JJL27QBM6YnPQP/hTEcbShM7+T20c2O9tokoCLzh2eHpqz73XM45cdRPXrpAo9Uj/e SnfaPioYPeH9HkNlLDqDqH2g76Fypp9lNwbujHzuup5yFiRkY+KcJHZcvpjfvTlu7QZOjup3Nhkt cTvcR/+/zdK9UYny8VmdOdHajxNsJMssOr2DvdASj/NUOOcfLMyI0nIG5o6pxtfCEQzqXTVXiudB 4O4TbVNRxkcJUqUruU44sS6dAhALan0skwpsCULcYvaVK2iiAR3cjR67yaXQWrWjXlJlulsaOLD4 k9k1Dgfz1jVyTsMRLO54RX7pP76GPyye9xIPk7zZ0hQaCd/T801wpZb5H7ETi8nR4uJ1HjYFGA7A aqQoU9V5ImJ13RLpcKmL398ABYwkG4OnkvfarXCcvbmNGx/gz2yzAsZfDM1e/tynexbEBF2WCioP Skg/enRKwOShUdZO60yuwMMuuz877MRu2wzQVjl+xu4oOl+c5uJi6qB6AGnJQLPZzlF3irg4LfUH QLQBHjp6kbsS3v6KOGHmwDK2nQMe9BX6txQC0jikDiBDer9zpJjyZB9zEFYC7C01sKrA3ZIbWEbs Zu5S/OW9z05CR/pL/sSf7jjtXSay2Be4UrKielnMPBlXXTqLhtcuKKJ6gWBxSKPGZpRTXDboSh7M isg80xopHO0clH8S4ghv1tNB8jR0zD/6SFgpg7epqDdZXphcUnfW3DclYTg/gnW8LBQTp4ix4Nhe IUfzCELVp2wIjiMgPdbTtM0LjPqWAAFsfKwVW1qpyCcfcB9e4plSYB5sNt1rVmGhhkNkUiqjiObw bEUBHqqxuk0C/SbiqRaKMn0NfHCV7GwI5qDT2197QA7zS2WzW1RUH2uyXuw/GPB6gOCrPBIYG140 ZsS/SsqHi/RR/aFXwRYab2GuAtoJ3xAaHsGIBXnwrAPxxh1nEPk2quZWvTeywRexd4gNicDumrmP 09gFLoXRKzDYrouSpuAfzkBhz66I/jLapXkVbGVyEh82OUCP0V5GluNbQEurLjSXJcL7cbyPzfrt 5m3gy3YAO1vPkVjxGurwN/dSe3k6rRhpX3RMM7ULN0ixxWSco1owv7nvhVvrdJWeOD8FrvHi/GvE h/iWAQHCs2zOTu8YWCxvYiAhwWhUlLIdl8UXpggrtapuZkKfplBNaXczAfezizlzDXEF5Td9bpHf aMd0fJlgSz+mBTIbcNjRwfm3PvGrc/uBuSZajldcDjBi1Jnpm0HozrxOS5lg/RlrkSx0pJIMl0+b 3zAbRJ9vUEmxStgn8C9Fy9Sy2yRuajDYvvl1bwMFefnHPsB++fPwQhqFFxrgD75ONugK25/VoQIV AQjat6AH9tPYB1utbRUBx0SVUqZfkTBk26hmYQ8Q4vh/qxmIlbgsRibljCSXhxXrti4CohwxvRFM AmfZV286OiiMUoxQSJg8EqLgjJ82a2WQVhkzJyAfxPC8BxnGhnzTg9VNW3kxrhyKPfosgLFr5m5j DiP6TzesqxkPFVq56WTnmeFGb0gGKBmCTKF68KPp2AGfbhotv2vehiqJQ4pw+ZhcYUghfdEiZMTB F3sm9ELRur7Aom55uzt/Vid3jtdB8Qgqbkp5HFwFqIgbu9L/IPL1Ax1AUgS6j1eUPUheyyFi0HWl YqYBgj742Iv8Yr0VFYAjXoSySu+9jbJxUGAvPvqV5He7BWFTcCkAvJra8uWXWEhbHd2X9GMd9GnR t2iFawrNP4yWTexgZto1qvSkRhaNalyVUbf7oKHx0iJLcITiT5O5JDEiDh0ubh4vvHbu1Ze3O/jC 7KQ9jHpwb1kWJjhxxEgt0Cd3/BuZ1usb42cn9vnJwQ+kDPqFdW3aXTd4PChTCPvq+bdd4raZB+CB 3Kq8xPYSLdqPePDnfedvW/EItBGEBB/VndQG+NNJc9AhJUx6sNUUJcgro+4GICkgrJwE7sayllyZ NDkX38pfb9FwLBR8s8G2Wdvw/MSLhm/mFe5DbFDjkUcV/pQBM2VmDDlJUX3ITxoBmNeNQai5uf+P L+q7Zwk8BRO7H8q8YUy8hyuZoYff4nGqEIaB63jFuJAL2Lwa1zoqcIEaLWOpLgZ/5OutN57ziHtO 8Xr26gzR2qIGy8XqnYYnahFnPtaezmVWRg6vrCwqmK4G472/sFaKFEiV33McPaQs6sbgEX0/44zb r7otnfbSOIvLKPt7vDGCLwdZGxoyzPxzyrSPmiLxTg/SiqRrOcZw+G7+Uofbcrzn15glkcvIK1NS I1Mh+6agTyu1lt2rQBILKYMcRnMCHpdVjiqzNVM76NOFUDw3CohJWTi4jI+vTo3z/Fs49hoTfin4 xCfl1lW/gb+0S9fRASGQkVUpzNA9u3fd0ImijXXSmuAE5N3Gb0vIOg9fz2tywJtCk3TG9bp5oHGA Zn6lqxjK0tDd+XGy8YTqRpuja/PqCgjkgmhRkF8dWJYOT5YK5vbm4N3D7fqSlfpNK984dSZJurlE nF6AJ9Ya46bO7RzrZMDzB/CN7NDmtoZol3kQoY0zYAkzNH4kzL2DcGYfQFceI+m4g4P6IhIrjnoG iBTN3JvDi50a+6uQSJCv1edhPWA6Jw92/GawWkto3KuYsobKMUY1BCw+BVI4x7UJMhgt0N+VBYhw NdLfWnxml8PTV/IpOJx0RQj2GY+Gzsm78+u/+bUQoRYGbmgcO4V1TEUzU6JUKTLChG/34a6R6Kvc q1G/3kcQXo4e5ZtAIgCBzLJI2pQJLHP6qScK/Q7653cdsi0hztXKdAhUrwl47rhMKeeCPK4WEAOr BlhE5hbHjxRpLPLVoYIchPLQzPEBakW0UU9DqApk1pvFnjeBYybYViWiFS/X6LFb8PMeALd/1BiK EHXOllnEaxodNtoT1tvKUc+9CTLWPeTFTIGoUkf1pB+CosJLed00Z8lN3uHGtbTk+S49Lvvuhkah bhBlmaYSWmsOIQeFmRsQfA30+pSNJg1W8lF3t8mR9d5YzDNybxemQtnm8I452VIhAIG2KBZ29y1z gJ4HTLdbIpJyWC/UuGGzgq3HDoxaXenR82XnSmdJWbMcLPujX2mYfNlnC04jH2/hNqADDR7HGfuD KgQbnxVmHbClqFLuV7K9zluh5qO+PNccxMez+HeTd0IxFs3wDxZ7vv28UM/S7YuEQfUe29k4eI22 I4GhFqSHr25jpUd2BpIZwXLC2gGUi1nGyg2IGp8xxo3f1UIS5fDYkm2Uv2/zFcqV6dHdv0Umt7dy PbUOxCEofq7d/efIPzLwTmrQLeCvZFtJ5t/rcR8Tq/qq3ygwnuhykLZZTCeqXVs5L8Xquw+N2leK mDG03WSCEAYPBo+fHolCZeW0Ccto9qIQq1VnmX2TIXCE4LWj+1x1TdfjLXjaLkAHdwEoQAQog6hK WrMq6fpZ1hYWrOvcxzArxRcIieti/C68lb+YDT4lFKIW6czFZG7lRPLtDdPQQo71my59V1Qxk5QN 4XzQH6GBAy8Vxplq6I4HmNHemds7ZyA52OveTJXNoKJlO8LYiCmgXayekMtscly0OBY6l11Lduvq UJYPVABYfGZ0+M4Obr2fKIMZQMvNd/BOc2/59ZdmtPZpEdlWOaHbUhlheFF5cFSDcgG3qgtsZWWM kWvEIaYx7/wh6+9KWWZUmSAiUZ7bk/wJ4zI6dOW+qEUTSGqZSLCQRh+7YMUv9omzYkglHBz+ZoZV HZhlWOwcRDdQiKc+f76d/89RYBck1suG7XZ9YL4FWTJhA0tgyfu/EM3/dKDEeciUj9u4bsrTdED1 17DGm7VFDcVrtzGtzEkQpRHwNdQonJi/POsoJLBcG+5bh0eXDehH6vBQ/RyOTU6iFIJXo71X9Jqj 6BmGTcFJh2xLpHQkP7jIYMoEaypEagsLIzrF+oGARKkv1tvIUZ3sNWkchymz4bj2wUcW7cdJM4lA Cp2uwHzW8pjQUE9+bDVsqFY4XzGmRxX6Gco6suyEgL/lzKCyJ97bzWUUsbE7hv1GUahrcD4FWDJK ibowZqBrkVXP/8zKYFqvtDPPbq29AGw+SIsp6qt59eq5IKOA3+O13HuX6ZYQZRvi/Gy5KwUMWREW rOTWFvzokto+ObHZ5C4FUuTLm2e29csSmXm4apOGK9V1xma3/+heK8pg3FjlmFUjGDhayzme4FHR uB6aV2awpfSGMlxr5YlwvOm74GBmZ79JZCWCBCYsIcKnx2fUuRv3V8qzW0CQMBZbC4BnhDvLvimu QeVw32EJ/JKTzfInZ0FKIuDeV9SFCP/NkLlInyTQqNn4Sf977+5Guax0PyGjMpgqQ0V7mgUZh6Kr NXW9k6/jDYmCO0MaAtEJPRRWXeFl2SQIqByufFpnEXCVUHX3eiX5cZZVCg9fh3j6W68KOMrw0n8V qsuoab2Chp/2laaRKwUsHn7VroOGVEw5A145P3LO8OxFEorbd/UFfiOke9qH4zgdoepLuStUz6Hx PCQww1aLtkTe3Nh2kRgHgIAshlj+JI46itSU3ahNZ1csNjkeULHtR8N9ByMdDvmxWAMEAsJ2p8gr 3dDYUw+mVzwp1nArhbOcrSR/fIU70oh8sN47lSLI511NBY18YMKtXgSyC9xOsX9SSopPsO8K6pBH SCnvb/r2ApFPlnl2yCMNXvKNpCVn0U2NZTD66oOmkh29J86OsyOfDVzXHORf8dsnI8xe+LaihZVx Xy4Cs/zy/LoG2a/z5KRWOx4bXDae7XWJ9oELvhBRNYJTGv6hBHkDmdBQDaBjxVCufPudExOYpih8 mEzaa93gkFqYF5BRh7w8T6GoVjJBFFbPawFjXqFHK57MU9SW1+bdwEUloO8yzX7x5hDvr8WJ9j6b mihcMi5nuEfWJ7JsXG0RFYh93G/i1THbhTe9PKOoPTN/YtBuhwx/gfapaA7ShdJ3qrspwLYSSD4e 3jzJHrw3QHsb1YIRnyqbw9lMntd09NTdqm2yh5F+8HjgrFQslwzUU2MCPszthOI+E8U0JYebW0MB 6HAsvV+PBUDKsU+QLnthz5LXrqeEPCe73ZUv5MT+4iWANWk2gEPS3FWZaodYnuxuyg2wN1wVurAM nMGZWCliK0k4bVflo/jFX8wt77ZDrkOrZjs8LDDRDaCPCFPtHWbuQ5L5XpERPSFk8sCXNkeWUAK0 5qID3DJytKK/j9pXsTv85IrUoLErj+svHao1ZgAX8Th1R7GZYVSnzKlfl3m6jSYwKfkSCpL1yikX nsHOyl2SmQYHUBckFaSvAp/JKFrN2WlmNJkuD5+jZ48i/xYnbmIhi6fqlwPuT1W7bYCDGrSd9Tpj WWAGQC9U9hRgSGdTBoo7nio5iCm34gxGapHfjb+tt5s+6fJe80eihSBDn+pJjprwSm1pTqfXVXXl 0deP33jGLI5dya8Hla7YVIyMpvTmGBpPhGo2Ep4U85ZI93yy9sH78LJldg1tUYv5x5lmCFd12lgY 3ByUqG3a38aAMj+vJ9DceDnfWlST6zdAra8LjhkR3N+At8ng0kURosvWGDlj1tpZH35VNxSCTaMN PNRuxGe6GvKw6hNfkc7NGQabvj0sIxWiCNDHYtQlEC1zYXZ6Ph9OEzD8Ak76KyfU7vx+/Lu+qVfc k0YmoDr7BzvcZQpHIIJjaJXS+vvNwMJy9SWedrv88/Mr37HogwhXpWo7ap61n+3CnFI5sSFECEj5 HsCCNuq/Vtz3hu5FW90oEHOtG8HYO7xs7EiHzmoFyTDaV6KtGzFMOvPCj80HsIqeSjVY2RWJmJEg +UQWw1Y8o2fmO8MU77GzIuMMNsEoFylmRDeJ3JvxqNPh2yLQN2wWL+cXp2SZgecDZKtHIWFUZ0Pu i+6+/PTCd8BvyAjcn+SfqDdqJOey0YDy8nHgW+1T6YmSlXPHJ4xYDwWkDUVk4M2dnr4MexyuYPPE BCNrmwHbpwZ3wXy/fBQeLM6cf8DefiZxyppfqMfUsXHcID76rANRGuYAFxpWX/wW5Bvwf8Q2BRD4 I0OmxKNIzs2xsnUfQQleKqOQQdkvPEBtOUENMb5+AyoYb4nSc4+tz2O5ba1l4DjvzT1gNvLSG6Sq 75tEmy28zpWYx23LS+mniL624Y5fmT2IkrycbF201KmTF3S4vDx34FmBV9959aO12RDUjLsGQ9R0 T7YGuug98aGq8NePAmNjWBbU3tYq21MXb4tXzBuB7fDn1Ykh71vgcl668O42BXjPZyyECPSVTgAh suQwgeMl0Ytg/vR/Vh5XhysIjiCrlDvLy17gLBvrMRet4nkU/SuMhP5SIDXKQGLJxth7I9e1Ku/u p6xbuOTK7JThWfmREaxGIdO99tcCPAMsKu782GWiBAlC8lDG2IH7f7W3mcVL8+PmrDO0K582eIJT OOil7Tv71k+ieoLi4MwdsUrb1w1bSBldnyt5ue/UyPHVTmx2axztBTVsqXnDKvkSYD58WaiVa9qP KBTDeo6eKr78jxHLlK2xshM+D7t6yB3gdTJXZrvrmDyV1ZoHASWxhlXb4PZVZNcvSRGCZdxk3/5z GmTk5vslDQtcUOwjgDyDAc6xmDcSMnIOAIpyYiZEicp34q43BzCGfziyY/AGx8LyLmJM+caX7K3Y ZqwcXmNwRuNy/6c0qD0w+sYutlAydVTTRguGH4tlvuczyjzjTUHf9mX/+GCZjzSApacDig49C/5L qpeo+jX+90BytAAkAgbygeblASs2o32VwyTtukqxqCLJH1jUrfROts4HCD/w+i0z3yP0pqxHOja7 2nPul9MH4qJjFx2XZTxtz2ehLzJOYY4r+yoqwJqcpF60dSCq3+fMuRPq7e+UXPAzeq+HsHWFAkYM Uns1wLUNEm8abkoYcfyLzqa2N9VpKU/cwzoOLs6bujhsl52Q35lkELRYgm9ZDjDTpysGzl13+7OX go1brYcwSgrHU+DfYj9iN+tf04WgIBgbgBCDKpfvnxUU/GEFD/js4e0gaKkzjE0oTr/pJL6YrknQ yWWNDs/TCn0Ktegr0WjgeGFoxpsOiq9eOfD/qb9uK6SJuIls/Vn33DP2BBXKLIABRyJdQoA4tbQk 4fco2++dbCLYgCgy9FYE5EHDXeM/sFOT6KB21gGu3qUrl8qWlo2Ov+Cvseiqd4NEUlv6UxNLl0WB D6g/fFxNz+VWXtRzaLc4/8+ybU2LAWrcjC4zOjyLMf5lVCs7awhFTFAxb1qFCjsFP2SjXkQVn+y6 1800Cz2hrj9S9b89VKof/CceQoHy19TRBMM3xFbK/jtax/iCxMLGPRB7j0r5lmhO1VUpNWe7gC31 c4mfAQ2x0T2/81XVs1YlRdXZJfDZPw3Oq+2ryl+LkZoPo5zfwLtkLNhiyRlk/qOdM36U75zLdQmJ wpPiIcq2mQVpIQbqd2R5iuKlJlXdD0eJdX0bjfgaqYkquTfEbpNCO6JY4JeNql27yn2ea/1FWzsG fH+sZxRW3bwPy7RpU3iRab6S6e/Stk48b21odvmgLw8TABYaXk7SILg7ocKR7BOcp+GDKdEkEYQU /GlGhCXQh2EbIoYLzVIssDlpomh/m4VmpfM984WUqrtWOk66eLs2V3iYCsMFgG+h7OJ5z3Lo6GU2 0kbNaWJrep9tbxt5TK4YGSgCc4LTe19o3sQro8ojaQhOq2+Bxt2x5N86LVYclzfW0Vm1mYd6H7PW KbsUhtWwXH2s5GOfZeLj67TZO46IhJDhSEl38OzoJnajeU9sOsxUjr//Qu+mto85oZe3SpGYG6st vdP82RDGPA4zWIN8ex9/etvZzjVQ8MnRaXe/Sxd5S0w0VM+GVaVsWMoXuRwwOABFikEqQ3UQCpUy KZ6faIyftynhnqArZzEdGEC9LOaHGCrRrLYIKeijsiycbIy0Q1Q/XF+1GwThxjcDQXYXveReYxGi k4etsooYcDXvWWyA1W7Q/273ID89LFHZtxqEpMpWkVEC4RM8RUq1RKmjrKvlLi7E8FtS3Z9DPjZQ JprQCXkp5gZJZ5+nn+QFg6il6kYhTjoSnUfAaezlRFoZS11a4Hd9EYELkfuSUX+sTCVJmQ8FwP3v c9ug1Fe/9zaPDI+DoZjIYApgKhON7jtoVgencH6/vejK4LXpC2vuoxR+3KFgymRrSaUWeCoGDpPj gXJCmmoHUHir1PDYqaq9eANi8cv3eW+VzLY6E28/GiQqkI29CMu1r1IyFcq12WPr37nKufbDpOzm +3LLHV8WQOG5wNWFdCzHlw78I0v18S50sc5CQSq/RNiJPoNrMAV1LVpainzjugWfFVeCrnyZGiBV 13G+e+/ldDzCxFFdtc8pXeun7HVEbZPBs98jlj0S1iDQaa97zXaATZ77431DuxiihpQr28AW6Mvn IgAyFNceDoVLxmnzxMZM3beg86bLLd34hldJSbW6ONANAlb06+2pbuDGaRG5Xxwg/yb+sUYU00QV I4a7aHgj4nvCRO7prwaqzCm4TOEUdds0aqeo0JbysctUwEMR06Xw5XuJPj9dg2mQ9yJQUqIv20ax aDXqaJ4H5WoJ+BxS0qNM66EVU2l+8/pPIYyStem39ajnyDXIhc8ex7oRGNY0IRU52DFncLT4OGyx UXL27iuxZ4HC4CuK4yfBnzfdrJelghP0se+mf7HjbyJrjTVlqtgfQgmHsHxiNR3dnHCIRKK9ha6M vNTnA6td/PpGKnbIlA2NTuq3v+JLtfZI1VoEtlLkr9NnyO1oAu0qH0xtsU5zWe9wNCYd5p4Dpp6c Ve7KzH4E/TRvh0oxad74vlRLHLwI5AbNoZVzLNnwt4FVYcmb++79IP9nnbptV4AgqEDoO1y9RxAX m5Cw7zKkCtR9NQAce6gAnFKdyaWXpG6uigBI93UBqnYcQIY/geVKz6HOIhXhNuld2sxT4IL0IG4a uxfMuvCO/vfiMBbHuKgjjKNO7gE+P9HbH1t2/nuOVXUvu9WIoE3c8bgLL/a3a2ALxcuaAtaubQYN c14wogItN6Qqz8Sp7qrrgndx90isP+5q8PegtPgGV8m1xEEc0BUAVRvmZbHogJd8lBKv/dK0uDx4 EAagKfkv8eTQoLy6H0gxDEEjtKUOW67v8hxIsog7HwJZXllqAlPBfRch2RuSeYUdTvAGgX8J0NNe QOxpgmAgeYPiZOm83eVnBrsKa2datlGolDbam3Zk8JQt0Xi2yDGvTbmTtvfMtoxnHhC9gEqwC5SG u0+lECDTzahLrNbzJ/AgxCVBvywR32VzwKNRXK0lTrDaD0BPDJj/VhyEAK6LwQ6QgsopYNbtcPkO K4Kc5/gU27CeVJX09pZV1sHaIDZdeyQDUEQ7di0De4xFOoQfaujgCTIo7WGCNlJSJLykNkPMB5cp XfTb+gVm89LgnaQbXLsxJkJquK+RklEimIcOJAJ3oP5IXzUconoTjWCpHdISTDL7EVK9kIoPEmuR FvA1QRLWiqJsYaoDthZWQOf+nqZYaToO4EotU3vl1IM0LiJVa8ZN42WuLYHK25NPMi1fZhXp/ciW /5a21dFj8G6VhoddpTu3yDme7ULie6vVLdUUXMdIULFUUg9ImALIuwsnjslsOmBnepLPUA6Z6+jh 5aYR2ljWmbYHZfyTc+m7Z7yXDWXPtU4HUAKrg8R72MBogCyESPOtsl7hHRiIsCR6p8ucnPzlTY2X fdykk368YOuXY1W1bKZvBiFfFpQMYurbgngVPKAarZUwkkSxVaEu7P0d/oFQpzsT0CRpZG2jvzKC 1yWAG0JL0fBDEtFVeYhm39gzOqy3XCEOhHbSHSLPbnNC2m8PanByydjYqMW7AOmBjeUcHtoP8Jvq nMUQ7ZHvM0mRsgu8Xlp37OZ0TdQRzgfJ3xOZ1czwgx5tZKWGhYuTopLqaShDgikDOjJ0xQhyEnk9 r64NqjqxE+70D/E4+x3s5slk67U7/rASRGb/c2uUFHLe8+0qEE4nPYNVs9WleKWyqE7+mrBJs6ty 2/D2kLWcvAfDsu5a+xVv7WAnnnLsJvdwts1egAlmf2CX9uO/7SArekNaxA5lhK+nfq636FMUChsB RXZ19fiKNIGbr4lac8wnwRiSIEouRPdSmxpOkB2F6iO6W5UHnXUSSFH/bmbSnuC8E7A5VCqYxEpd Zuato/uKaSq9sYOhrSGXRRNkK9L/FAgBNEYk9zyqHz8sah6FKNH3Dh55+lQqykAu6flKTqSpCZAb eiO5WlGSFG4i85ADhufnbB9I0Mn0yqT8WJ8H+rJG2VOzwnIEANX3bUBo8RLVLOHiMSSiUKROSD9v v1aZrZLiZSWSaIkzTd3+4/kx+kWK8emzepyMMBZ3/Cyc/0b8Fo9OGl1OJpf5RedVdkDBqD7lyOEt Ylo7FMQ2bm3uQsGmwm1kTUr8vrQ6BQoAWdEicS/2yY3PMUxix7YjaIdXAiwae8ClQDEw0V8enCmF EynELb8y7JrUY3Bgaci53R2rnYLV3R9DQ/+5m2CDiRB1MSzYw5d+AivqAZ5bF2kObebmLmzFNo/S fZ9UqhbzmtM+Tz5h4/CJtKpm3kPazRiNx6C90vtSqu/pDLowF6Zv02PhRzlJMA3UElfmk4IsRC3q PTD6UoHGG8x+rSZ/W2kTBK8oZimHjVz2RtOBLQ8A9mK88/CxJ+R9Qf2NDjxk9iSi6dSdAhT8Jwyo cBHnB+xg82YdNzqm1YdNxUSTo2uT79oRkPVzgl4hLH76tekxUOBRjgJq0xhyX00Cw91e4dILNvSf IFMaXtLucRulzTPva7HSVJwsYGuOOyFuvEH8zaYvWjcNxd8A4GjQftSiVLsnIDlqCBn3Xjqk9lRH QZTzgpVs2jNRbOVB1BXA5yyKPoHu8iadEVkYYbQF0vn5wb75LWlQITbp2n6fvtqoqkyk84mJ9GPo F/yvzXT+fYHHuG64FsOcZTQ/0W1+tT9fXMIfaj6YbfmyqDiV4WxwhK0281wkjooGIjkCreElJ7Fq yBq/HJr9dw2A2WOYI/7dr2L7kUXF3LluN1njZHjNGjhRpq9yS6ZapWXu2U1g/z+aQOKze9sWSJo/ VaafsZJSyqAJkaEDtm5Gnu1Hg9zLr4enfTSxgX1kjg+6s5dGTWH+chhrPfta73N1Xh5zuyOy3A1n LP2A+DyV2kPTmdV+ZKIa+WMfw3VSvLYQ7fxUaoeBiBnvEsKqow3WNfeZwCSFfD0WRRSPcKwAxwAd aW10u7mLxoiqnxlfW020eptiaNYjHdL6M8QkYzWlW9R8GbAL99+vnUnaPe5xsm/VsGRupn6PVO+R 8zt6i1ym1diUW2doHEbCxm1eznT1MaB9l3MZryQfEfHm1znudbyWtAinvqRLyWz0AsdL87cq1S2G DEZ2xGXpSJS+RCWou5r3USxrvWjt4PhFWWpCKF8iZxIEh+e9UUDvdf87NFEoYfpZGvwuQA3ObqdV /CrNpj1SQCXMIrcXHdj6pw2I0cn+eOaEVWyheksh5ZMJ/45JDq20bHfXmzJXkHQcTd33H0lKMBaO oKlUU+fJnmmmgU5N52QkuIup0arbkTOlKVHLMlG1E1aRbCT810XEToEF5SxBM37fuwHs5LIGaVG9 cl7kqJV4DGpZUFGnGM0rISDIIN7yi4YosjCLodro57Xcisk0CZHMtmEEbeGKt1lQ2KKGzOam0D1e l164B9MAays3sKykY1JkHh3yY5I0C2r64M20KszV9R8gI4Fos2c32w59f0yohjbKjUN7qeMdquPG hZYYXP+7HGaYHtfLljVlq8dgwaO71Nv26W+nYGUz0cizw7M7q/Lr4DiW60imoicnC0yzee6aqhtA R9QLqdecPKZbTmdrsNwwsyZ7hvH1ydA6txH04E7jexmfTBwXsMgnpprzmlJJhsra9ZF/OVdy5XiV MOG4/7HQeYyjbrs4jT59nqFhoF9INpGVGNc52lLlih0kDCTC1pB72zv8nX5BGKMZUKTywPdmXbIP cVxI/NvUo1EaZmHYsth6TKrjLWLGT/O8tj2h1/7O7Jseqga6yM38xjH+ayMUmCKj7V3MQQ92jdqg CYVRawmlJuDxDp33OwZ8r+0S1MB9sMYEPKCeKy1FOAnNLqBkOYOvkzL3ugdURhTsVWYGOv/vdi8p N9xj9RxUs8sbEMhS4qo1fNfwCELwcl1N9xhLSlFtZqQsFmubEjKFs9sVNpPXX9QjcWKyblgA1WN7 l4Y5JHxU39ZsxHQfmdeKm5gqP7Dnke0yqPUzdMGQYoV5N7vG4mrjAzYPSIC8Da5DpWaVPMpw+Fl7 NnLOwYu9PZCFsd9/awUVHQnSRuFHRzmu4Jo87iqLER89cZmj9bAqH7GtyqYyqJ1Ecf0/59u25IN5 CGvx2ORJiUKz5+AhYKPdxBY3H/0/XywcTt6RG0zYwf738GzcxLgeeV8RrmGXlwkvVwb2ArYm9LFD vTtHljSLEEJ7588y1KPSJPd8WmPWL9yOod1Cm9VRH3/tg4bddqqpbo2mNeyA9x+8Lv9PdGMxbubG hp8YGxOhtPPpXxDW1DoHdnjzYfrwVAQlg5agsWBWDwG9OW54RrfWmp1lsa3KWzRTY4FYXeBupQmV jA5x0aW3/wih+utuA+gHDXwLohNauyWltWwZEixC4Kg+L3/UkCiPvrNtCASU/ByrXblz/vYhL3h4 PkiEIYQWG1ZKmx5Cs2IJ/rZZ/XJl27t8lXTBqpgCJcLKpkn9/8ae3v6yieala1ixPv5wbGf1jSIV o+J+BmPaLwdyexT/fIjo01eHBE/GlWqnz8XZcueziKGA9ycrTRL5uxQEQwEnCVvcns7LKlQdUSc8 YLh6TFWcLsgvrg2UVprlHPr3gTOBj+dk+hlyapKkajEhRu6ClR+gz9U3EREzqsfwnJD95SSTJbHz aoHYHyoZ5Qyl6NSZydQb/N+iCorvX1CkbVhWAdUHp3Tp7eDLKyk/ZpdnDMvMAguIRIFF8N8radw4 6pDr3O/G2fzI1w2uSd7IRL9T/8Lb/lsTHXZV6R++poKE8014ltvQAPjjq5W03QOwFRfojblHqb0O GedFuWrZvHvZCOlgHlQgcOgGbTP2qRlPtV1dyKw8XAVy0OMr8TXZ1qlCiVWcsiWDoVSoTEbFxkAF ITV9y6N8cTGXYr3sxvEN8jt57BRLVBOI/LVXnBOqkn+AoXHcQNLhmKbAiUyKgD77IQ8P7FIM7Des tDLigtLxi2rSALkL2PBvB4TjXJtj2i895m62qH3X626F6K6pZFVdnpZOu7QNEsnh2V11CxxEARNO EFPPaMhzdJ/pby5GVhuU41Uzn1HOnU9+YMouLwhnaVXpjy/mJD5zIddb69C/BDc3U8xGikgqYAqA Ldzk1vKqLiAiuHQZNpCskACNGs0slM1wr9OBEQm2igpr9vwA6mGglnavL9WNwPWRvrU+KErqr3wO GntJa+LzyVSy5FxSWLmVbyRNC4k1+vBCPRIGen86RpMo5jKzoIIDtsU6TuQ6hUWPuIeb6lYNMZ12 hnHz5pbVaR410eZmhUM0fIsJ4T3NoCo14LMSmNxf07EqOb6YGi95A1T2sQA3q+zA3CGE+U19BDmz nDL5Gs283U5t7hkv05PQPNOZIUJR0SAo471B0uQmhV/tQT9P0fNln8I7HXwqtQwOgpN0Be8LcNU4 VJL2+faJgOItOL3CleywMISziG1sKamQULKFMGf9edoWkdhV4gZ/OV1xtFVtVrTaqAylxpYvbyAw FBq5cd4XXgHndX/QY+CThz0+gxOp1lIemwHaad94GAGg1sM/MOzRiD7XQ6+V8vAp3XJZ8Ho8xQft 6zkecV85EPWQLIqpxV+G4fpnaZUdMbA/m7m7Ji8fpETWTd+LTr7q2Iw5ZVhurV4gzeJ8aPli9eSO AK818VR2MzQjtf0RzFPhCY4kiUMHewXSJ4oakkg46gdbS2t71vmTy9aYsI7FuO5OXN12mMW4NuhH as9Sl7ww+qdeI7CHC1/c7kLZNvsNIT16mx0x2uyf+2tUrFfIISo4BBhknOz+AZvAkB9d0CR8AE0l 1gTHfXOEO6HeCU7FUaq474hqxn0Umoa0OKqIKQYp1OLFQyRRIu01kBOkYy7b5plSITK2IbXpQ3I7 oSdFl/XjGkr7USYiE9GnE1CE3brwPFHbEB8rmt68j94/1LmyT/VSiae39/2F/d5p4BuNdatXoifx Me02nJvwOc+Fy0b+yAaELS1Yl8ahd+NO+won73ZFEJIDgbL0BBYgVQEMe2CkESE6ly5zyYSH3IEG EyIWPOv0+MS3j+m44SaiZ29B5N/wKHERCoDDAsPOs1bhtI6dD4G2m8Iw/v7dmA9WYG+jdV0stuq8 4WvviAzl61IS+pVx2lMLzeLGZTY5/53zW/q4PycWhD5diwNznhNL62z1E5CcArPYBDRTJEhDbbkN jyoQTX2W9HdgwA+kO/T+bASUzzKWkxeerH8ZZ323VkPGdYM2XIeMPO4nx+GgM+BKLysnbOXW42g7 165L59Qhot/9IU5LU094a6y2XpQmfJvzLkkrEJn1x6vgLxfNMVODAwoIDKZ11D9+kgNXjJEPMwQx FEO2SaB4kKudPL7HZM0ru/Ybrdc36toL1lmlEetX+XSBkEbYLfu/kBMK1WF7tKEtM0usBSUNlMn0 al+cUAbBe4ld4N1NhQTGBDmSy+O6c6HEuMSqLOOx12ymitW2l+Nn4AXAsCkbU7ZkSvlwo0dZRXmR J+5yc3fZXPdDAxVEKhpCisjMTJPJVLRXWPeSqJcJZqmNz2JSF1DMM76oTX2qCH9nvt/CmVFA9y4a oCFCugzCn+8Q0lel609YImBZbC9U2N79aZV532AunM/Tlo/+fpCCSiFba99CcZbbbxRQftMCMzS0 p/9dqP8Dus85bnunVwvMlUzLWYqAsY26kWBEIbXijoW+hMBE5rhhyI9IZG8KQkFxUmmJHBLdUSOx kyB4Rrd1Gr1HThIO+r0Gx92FUGXZdPL5D6iNfP8adGft0JMdm1jvDQ/sTdgKBs5WFU8SFog1tjhS 31Y/EaZbsh/tfl+nKP7pHIXIRzXHm1uiwON1cH4iUMiMqOhFUn0BeTc1781Rb/jXHdP/POLUVTBu dPZ8I2YNwGeBroXq38nSeiHORX5gX2yriQVDpkxQukiSCy6T2T0fmhAh7gqqRjVLeekl0w3IHq02 Bp3VrnWddL9MnqDm0nCjYfl9+y3MqyGeFTuMinBo5KEwBM/1I2Ik8B4/adbdLhvcRTs0chZiK0bV Tp6KRBdt/Y4KRitBao3TApzXaGJrYTqKnCduRdC2f5hyHCT/31QQz6OzQY2iWn+ANjU6uShrNVVs wAR3txK3kYTZM6HFz3ZU0J/MLYmZYXAd4R+zgN70qNY8H8q0YxYudYQogLHoLl0jmmpCaPZwtkBA C6aI5uIxgAxw07tPCKUzeYitzY4vHbTUW1nc6Caw4cAp2TB0NVYJOg49ChMB1Ps8pNQWMHmNXCRo fJtnzYRHeLiwaSlwZ7tnZ8BShKjwhKb21gnyA+Lfm77tHxveF9Ki2QpIE+w5FE8+LGD93P7z/sQy UCJzSs9DR/vGxBFmOL08TS8oy7kl1RHqeAywM1eJfLtTkMUlzJCSZoLzvH2vjRVoNhU68ZPBOw0n t+8F+rZ0onhMVXErWX0F/G7x0V9+F84N9heSUlZ4fVdm1i0CzY5edFYxe0/3GUFYW4wd1yJ6Q9wD +RHeg+tbgP8e1AaDTCptfUJxZW0RTCc7UsHEB8Jv/tOt4dCuh4innuUwhRZDLAsh420COf0NKit7 7mqOCEspxgxRSadc8xf7X3V2vXgzdFqCLJY/WUO/srnNWngY98nWqJJWG2Los0s9Q7U0o4T+TrEY I2p5CVxFY/G4/FoSfOAl9tLUY62CM5uojgE5kyIpUKYpKd4VF6WqSigmepwpfCB9yO5XL/4kI1p5 vWP63K4dCaPohaUlHB/MlobXjZC/NHC3OiIQOXKJhG3jklO9RQKARQzy1sT8FGIHvQGr90XwLVn4 3j8V1XKyPCAmn/9SF74UAHir2CZPn2J7rN/78lkjTYwvI7MzJXAVlU9YkGddVPUHtEi3qekSpzMp nJOLg9Quqde1alPJF4dLNSVOkXDXOTysoL2XTALhC+A14j3caSInh676rt5lRvUyq6ZzJ0c1bCfp N0jHEBpikQi38BhhxLnB5DmBa+KKHpIQ0vmHY2JbUYTTr4ks0nLGKV2B7+RiruptDqXjgwBviuXv JsO3Fl1h8M149/nl8oDpNDO1cYx6DqBKNZOIdeEvsNx8M3CcN72F7vz7F6hpdCdzmeMCSDsJC6od GpiWn70g09r7P2/GzeeSQ3BKD+tFp7uiG9Dx2nXmjuQJrLuxs+7rJEsH6YMVSNn51+h81JehwoW2 qDXwz3iuCKXkbjH6M6Q9+wJCT2VhaT7GceHfUgQ+ffwDalpyaDr2YZwi2IfhnCfQO7TXQtMyToWP AjkOYAAS+5eccU22JyEK+t9O5DW6wTYYdtc2+abNULeVUoLMHXHc2pqsl/aWchXQBsa3r5wVIa0b qgqN27I4eVhScykDA6IVMac1ilmbGfnuDR0oSsqgS9+KNJyz0F0ZIn4q0fmKnqhw5t8HHWOCurJY UUyk/jJA75Yk0J+/sxKJTJEst5mIvGrKaRAU0JiHIagP/ZHt+OeJ+PxZy0sfMxZaisAFSlE7+z6l E7e5+f7lPmypKfniypwDOjQpTK7zfyJ7yZEJODTO3JUKCYoH9oEcQxaAL0ExEa5E0GxUvKd12jxG Q1VWbDZN4soupUSuEaXn6mefr3lLOcnqxFHnvkk9gXngCmiJ1afRSu1AVkuNz7aiqUosHMbG/d0c DaQ4JNIAdm4IZCXr3zWsGyJMhXdULYT98ANbtiwPIBSVm6Nw0Xk5iG2rs7eUZD5seq0pf4cSjjhz 7J9wHH1LY2oTLy+gHuoVJAOskz+wjYecC4zEwdi9QdDnt7fP0qSL+C/d8vZhW5HsTscVdyzFho8U 0f3xhmN1Uqje5Jc49VkBCWzFpr/pmv4GZIHIeD142/uhAl9/1+KVKgtHRI70t/Cc4NRzhTwaIcvF 2bpnXsGjQImi0TkOksOgD9PtDlUzE5iNJlfjWN1bysXdXLFmTsJI3Q43zkGLAsSqiAgfVi44kleT IIbivTdD67E9s1UgmXzxzCr84YV9V/+/hPgqziUEdSnxqDWTxL4BzyhrcezMsMGRnBY++XyM8s5W AkuyEB/REhn6Qwhj7DLLX1Ua/V49WMJ1xzFO3Khcd4tC0jvU2//4rdAoEw1cu5UlX2wVDtN0aFos yApZHq2slax43Bz3jW/UOKAC3W73WTBKDtjK7G3tUV3ywmmsOitLz+wJyPRzWIx17k2Gc1sfFwL5 7jeKvyfS0owEZK2nUJdKrth8lCPi5HRfvCOPYs/8VP4232c33sR5kQZ1g2nqNP7wxokOpslQsz2V neB8e/8L4zt2bPHH/pwK+NB10exAXdeIddhP760s4LN4OjQ/tS9LZ+SmS3zaIEbIRLWidGWa3Lg5 NtUNFPpEgMK2NcvLin09cvR3RNtYTIEQU4h0i6GqPepghDm++KAsx75ZZ43uWQd9dGiedJlnIODD AY98fHOMoaJ9O4+G4po4pwxg6uzKR6t9m3rFMo89JEKM0gFUh3J7lTK7/jlbl/Gw8xghh6xgD74v QwvC6dJ4oIsVwUpbXv0/iTZViLXSzsyjHrQumi9xzyoweUYm2P8ALQZYBRFKqnOEMBlDvXUSAgIc iWmR9DM8/ThWsxUe6uflQAplAz+oNzYquCMkbT0w/zUuwbM88YRjauHBz7sIsOmE8agTZWHgZZ6T CsHtO7XdooOaKhAyLITHPE8mj4rgnzfTBIF7KIa2thp9f6iL4Vgy+NUK+ncvsOdDQHqPqBUrAoWu wGCnU24N0YPsHmrm57S47Xe0VN5OclfrVqy41cwDqJYXlqYCqgr+EdUvpLqaUeXDYi+pj6HPscsx UqyP/RdKoHnImRJHrad41kn2AgMkXV2BbyoPghHnpRu/W5N9bTxQe3Rj8lMkulnLdwWhHklfEZCq plDmADJQsTVn8HNJRaEouQ3JvhMEBe3q2K2FuxcP9sIGoYQd2SxmMiMIvYvnBpEhQzG2vrN9qguP ZRT5abr8tnnYGjsNMk/OayJzM4P+1CzqkVQ4b6JEmFkb6nOrWtCI9U0mr4mR96TZsgJgqLdvjXSe XpyAHiFlNS8pTVFoW6GFx/D8LA+URfeEuRLwSrK/iXHGcsQ5H8i/m4Y7zRHoHKN9rmdUVBkFbQKu BvxyQ2a0HFhNYaDWvgsWufxeIs4beCSV9Ujuqi3r9Qkk4z3GNSaSEYJ2aq5htL2nbBIHBVxN980n U8A/p6x1FYWhzcw4F5n1IVdJb4AK8EdnMVFslKjBgmF692EnrHN3apHLsiesY3ezWlI3OxG8EiCX cnqfDcKhJ+6dKC3G1VOP1AKjf03Sd+LnAf49xIU19mf4ExEBrzPw6agBUdcf3n+C4gJg8oDakawp Lv1TVt6bMUVFjl6ck+wJou2abit0lav7dl/ffLQKukYB14GmaiMWCxmwiezso3F4NJ1uXqbCAcdk L902xmqqZRhci6iZzAsp4kfBf8U93dxF4/VZhyYPASB22PthAj2iZybk0yxhmN0nnqUTZbzVmgU9 SyxxcJ45SQhUaLKHw2rmHY5sB8MpoFXQUskDYuie7bfmagKAhH66xRwb36jM8KQxwfd234BPn3hd +46108+u6ZPsy+0awAtU0YMmf5hTZ3oojHqpNutY3KxQ8A7vHRufzVRzkMv/4v0Ipy4ig1r2ZRW0 V55ewG3E6gSRD0jGvwIbwMUqePRsI0IsGqJSh8q3K0l/5oP1C29wU2q467hyXI6dMdG8Mmq2w3uj adikBrVAP4TnCLJe6SOuyZy9rZogHMfEMjUXpOtZMVSF8TCZLYv37QPe2Yj/YhJ9jJ1PaTwY8nwK sBPPAcRGfgz9lwIA0yOTm0PifOZTHzpuEK9nhu2j6yuCOsOX4fVJm+R3CNIG/o9HZQTpSkRNyHRA TOHm3OX36jdiml/l9dGM/j5yqfr3WbtPfaoRBuxkplkP+5+P4HWne130DBp9lsAZTlxYKn55EKJ9 vEkZfhii8kb+22oTSdj1NcPcAgCZ2x4DOBl2FxmwsMCkCU15OPGJIwFwJ+gj7EUZgsj1JqBr3iUF yOJRofwCmIVY4dugiFtRxl51j2ApqycYncWZKAAB5W4hYQfqVuEiOroVpWxYzmxBd4bDQgUvIebC 55gcw+BS5ClFnKp6eJT6nzFPxCOPgLogKMFT5YaDmLFr9Rxln1FZwPGjYO0pTsREFxPA4Zv5hQ0G l+SVS0/xGc6uTncC6RIHPTrEtSbwFzjDvHZEA37c6S5EydR15D+YrfYp3PZSJbo3/JOWPv5F1xTh TNMe1w22jxM37gp5I881NgF6F+9F2Dj9s/FF/rL5LxKGuU1hikZefqF1Y0oHYROwdo2CbjiMr5aZ RoXHGAIEMgo9EmPMp/6yqRqwWORoHSgKFVUFq7zXjqzYYdf6l+nbl1NeeS43hLLgSI4Eu7hvbaOy GcmeqiZOHf+chZhHgNUlAQWtKoIs2j1SORicNEj9g1xQNFmd1U4eLorlUkjveqm4B1RWjeTgEDA7 KGNLQ9nOjTBXQTRiLaUIK5qsNtMXM8Km1YeYYXGskdlyzO88GpzIn19bEl9CXH2qSGHfxHTce4Hg wB9Y4X6kN7G5/PC3BMrCDqh1LcyKYz32/SJyE6UOcNi9+Yp36SL8Jd1dM415XeUt16u5ITPT+PAn kx7748mpBau5az82Yzb8JzUKmLHBAtDH7Rg68yoUVYxkTwuxRZp7+O4uTaPn+s75EIKnl2qH0HvJ aKBADfJAj0fEgkXZG7DhUsgYUDmWtbnbbNMXq/1kHA7NhEfgqhSsaPTLeMYwxZDpiBYlbJw3TWwU 2vOUua24qttdCmO79F5ielaKwyWIhQ+FgQgYReGtj8Fc400H9PUswhXpcQDoEV6k0Gbqixu1+TLP s2RFBM6iIpJpApFOA2QodUFSzmkb8hKq3Y2fUtYBZIt4t4n6PoDGeFHfIzuCtRDZep5XceDp1HiX e/ntFxlY6AXV0mSyMX+CU/bbz3opj7ym56tZ/e3cXLbz+B3TGOKl+jnWymXXtLztDL3Ys7WAzJ2u 0VqGeveGLr//xZbOd7WojJEd0eGAckgZ0n0NACh6rjUjfcLOYyiA+rI7Wfkyz920nOB99yE3GUjS lnKYR+ZL6mzRbMLgzVz1xYIDjY2+OHBHgoZEBICUvz0Gsef+AzmmJJ1E5DQ0+qulwdRxXI233wj+ bw1sgr7pHqq2F+YZMZpXf5/wEE2RmzFO1oQ57zJ/YsYpq2YVwn2ZUVvwWk9se7ktuSVCXi4f4+Qj 4lukayeOiM+rYttTktrrw43LreGA3hGyPEvcJf7soLX3AeMPcVKs+CU5E1MjprXmVJUKbrqNpM73 SLYMroAYx8qLVRbnNuEJPdVxGjCxNGMZOLBTVOCEI8hzX1U+8282h1wvmbzIRXrpX1HZbcxweqkd qONaK2VY7S6fTTvSEk03RSRX4n0ibjHywyFV1f5YB9gqoNPIpJRdIPIMosXLNvVfdjnl0a2oDuPy 44EMRSpUtrQmZHjCFc2tRhNjEViDO/gnaOLzBDwWpQB7enshmrKTM0U8aa7obnrZyzd0D3xa2Iu0 PYetB4wLj8snxRjflv3ytBOsdZexOtnpOvcXnz70m8bqzSgJZk3IkTVjUjG7gNEhtr7Z1phCFazs kvk1eii6Z9onM8CRE2oQnj+j+HH0/GVlb7rTjfH+bQdet5MzaUc8QK+Uw4BLaJtTB/pgjQBmbTVL 8X0Ogw1T+dKZavB6927er2ehvYcZ/jstSsxZVFT0dbZFgFTkUjwSAvTCwc6q/yg7ta9In2F/uAvW d+Bnl5Y0jdc0RbYAKV46gg89arzTIznkfS2JqdZrQbcVgHA0jmWeIg3Omqn8bQqNj8emmWk1HNsZ 3+oRMp0YyTNdtouDNM/Edg9weCukvUUnlr1mtnSLZdTf8DxPY3xSj3HkMqc3ZqnJ1C8Rx4rAxdD+ /H9stIj6MGdx0xehs+wokt9jMQSzjl410Vz/r8Z+2qc3Qvd0l5iHlyDb2+q1DgorS+gADarBk+1f XEjDOVHT/owDH05W6pR2ZV6FibvM62VctPC4NkgDic9MljVMiJrO3Mi6jzGTvQzOyZUrSa59L5q/ Jvzh1TtuuqLNL32ZZsuUoznvknX2iIKG0vBZmaK2Aai2LJ4J01TBq5QyVdjlYCx121JTbTxrSwFP upK1T8LwJ+7fpGdQipBHgmmf2oy/KhTKtK39rOWHiz3+tRrCyl/MFaAOfoizUqF1bVNyPQe4i0ha s3ukig2lg5LTfTvbnTeqvGpVgW4HZZlv9fMLstyorSEQ8EI+WEGM9UJzt9YXSZcAwpHv17x8mhrY oqYL5DVxhXod9AUYshE2Cwda/L2jfRdkAvEHZ5A9nzjSuLnVcrxfkPWrj6ThgKIeIQgVsBshddBK 4jWj0viuq3iVofOhDvgGx2n45Qv7RbZ2kFfT02NqWgPH+de/l/1D+SVu8mTXsJLOSan2gh+DXwI+ Mlf78R3aiX62xSSv+PR6nT4M279Y1wPY9s1ACCudYI1hoeL4oWF5kqEg5mT5VFDkeT/IiFOdDjqN Zmw3RJFihb3GYyk/ztODBneIhKJlAxNC98n6SINWBduv5HqZZW1XEIEe/VGi6Zlmcmjdik4v44CB D35VCEvbJ/dSj87ouRbIiNDVKDz2vb69zVn4n00Fi3mbHT/pMPApQy0iHVq9LBq1VJYVaFLZ26pq BZQ14VZRYAQitLQrGoaZQB7w6Hk4bi0G6BLbCXsSV6Gq7khFPWCEt+8cC459PLC3QVGt9Ilv/Oe4 jOOYRXP9gwRc7dK92do3vj1szz+k4OxSBX4M3R2ccASQXFkPegN1h2oudYURm5J4x5qSdU6ULQSN ilo5tLvSmNVl0BduG+Rb8yvYm7S1tyaEBL2hoOZnU7qOOFYynrEtRwCjIsPrbSqRNdGdCbOmI7mx NOXcvcpAeFdb/gu0Dp/c7Q8zof3aOkTqT3+MvRJPO/pYYmPYxQJZMHye9AD3/XKCeldNG0KfDacn d1KlK7+KVqM+egr1YO7XRuC6C47GttDAW+F0l7mW/EH3G6LkX189/dPpDP6t1wKRVTjV17RMzjQa shytvrkq72Ckj3h1OJsqWM9mM+PNXyK4jxhbMWB+QRp4aygvi8LUqAwhVADdagaWW6HyHIojeTii NB3AlFqLbotX22P1/8SYuwIBDyMPkp27zvEknerIYSz/wwXgnID3ZHN2V+v8ZSpG6A2SuIjVjenB EXaXY97umnxRG7gkzyEYydCauxl5yXlClJ4YvxOlBtz9izjGRcGjbPcpzJ7MUibaTuepeUf02RVB KFiGp3NLokjzvj3mUKpn+5Pj2iYgzQ5xdHIybwcC082Y6YTwXBzdHZow7p02co9n7ySqJ81qPp+/ pXDCCEkfPrzD8GyVbUk0IlJZs15TibhUfiQonbLUGrFUtaHlektAIpWdlCLuJ5sNvLGJfhRNC4QI cQCKx1cAiW93/2TWpwJ/CqqmaSWj+xUh+eL/TQVyK59g1u+prg91XENZaAi+qffbAOZUkFzo7lbE b9KHL3k+WYbU6vHbY14rxSk4b5ELV3B0t9EySaMsyiadoaE25grEEoG2s2gTEVRVylshBzDEPAJ+ aG7W2uc+apoVm/gkneQ+lZMUcqBR4sUfG0pJjd/VAOs8ctWSxaTZ+BuYCbwvMv74Geym3jvrk7Nz 2ywfFctaDbS1Mx/QeyHGc82yXqbLu6ISi4QVEu8AQbPYpD2HmrFr11LIfdLVfBEvJj/oMfHPNIKf M9ebfvlaDVuER55M1OHY6EboGkPSq1LYs4OFfJq9clZlAnQDw3og4MHvReo8ANuxqO5jCyeWhHAS KuSVdk4g7YW63hWPIxJHE/noZat15lGiN9XcZljASGBcFA31J2GtgkZoXDqB5U2AypK4LKo6cpWj LuqdaWz5vwajrRfHK2NUvOylmuGqRAN5p8D3AoXQ6tXB1umVhabM6ti6l/p6CMneFw/xacbxW67Y OJ1AIKdPtEryM5KWVJCTeduL5gUtPDgvBqGpUWbT1pvhSd+gtZkRRuk0HHwGGZwa1Sf+ZQGgzJRj fZ7srQ+xmQ3qMIr2sZtEROY5gRTBZgzjvS0xV4PsOCbe40wnNjjtDKsQEVmVNNbggpFzYaYfwkNx LYDJIBcCJcaQ7r3uiSep4fVAE7/bdUhDMDKubWB2oD7jXXIYiviN2+Utqc+tbeH/8030GslcACcV dzKV0k36JHjpSRZyFzKQ9Ez7KeFIwr634MzIpI0jm5xSsjhDzRXKyub2PMqQvxypdcRLiGvihXZ9 iwHFfJCHJeVvb38NQr3wBP/lobYS7w2JZbs5WymCcUoqb15nl/twuqa8kJ3xhC9ZyPxREll2zpyO L3f+tHYXLwi3wmSdFWef46QDl3wNDj7rm8Hzm1A1fzSuz88+SHbneF8N3uTqOzCC0aagt0pAx2W1 rtYhxyuSvtc4qEVNNl2yByGYRaSbY92NTngpz9RoYjw9qrEur4t0rYYdWQdBRMRNeSKAoePYtUiS lKF5ViIXqas4xak0MrK0ENKNKJASME2ep/pfjgZTuOO7vC/X8zY4bQVZ4YLQa4VJcg6/lpeMeowh 0bddowcyNyI51Pr3GbzOVY1cq4wpjcFVaxBlkPDheMXbXLEzILs+ZOvuCRdpn6k7LRQgHpeLqad7 nRokeB2NCAAchhrd2KaN8OYdwiGmh3Vb9pB1DzSJzxwaxcgYShQtyFdwsfzNVJcQo6Sz1wcWD6UH Z/C5iIWqzLKIzGSD7z3HhEwlY37y8A64M04Lo1LLS4ou9NIeqLqWpV097rcTzCvatvjQUjhw/O9P cA77XHvQHxyQsb59jVCC6s6kGgvi7ZDg5OQ3slOa0sN25K2L85KrfCD0G2G4fxqeOJHaTmnIIldg IF4V/NBFTc3fQOzGuKGG7DJ6Fgy7qm6lajU8dFPetSwhpcXJDtaRxrlvl4UzYZk7FMWXDhXCLWOy DpKfZ4ltBxhaKiaix1gh6kO61nlWIteQAuohpQPHAhDUjEBW+If21rkGqYy7Vv82WrhLajeaUeGo FbmM1zzJNH+/PjtDWEpKHBU4AUYSWahUNSMLo/RIg8KOP7ckiGukLEW0K+cImsIl6dwlQxKXhrLN FY9EgWY/T91ysI+BB6F0yUpPJ1kf0cXcrWZloETXqTCM0NTPfjwmfejlnCCaz7GnkRJnOLe2Eqsh dRRhdgyKbvW72wTj++H3cwGwsfoQQz/Hru+0jSWzcnsM3MEysH6eK/HeR0vez/LKYpv4XAQUE71I aZQC7PskEsmFyb2XiCVNtiunsz23Wrw8/ARFEL+hoKLzpgX/TqCYuBvoS4XQu/zWmxl54hGd5J3M clr4Jw/YCnULFxedJS5TWqIC3TbK5X9qRSAeL1oR2r6OpPUnizRMuoTytD3E9ULhKVSkERRYx/pd 0xWJNknQbNYmGwzFmFyMmGGdON2AFT+WtTodtUK8F8ySwcP+nEgU4T1d/nZi1vTEkH17qp2t05UF NQlWMrtSiv2h7llZKnq4wdFwE6Ai10dBgJiYhIbae2FIxbY+Zb80wy5EoW2UWs9/GtiMxIpxR1Ot OeIfkPz0gGe8Rdq+N3nuMQGEyeF1crBfoBgGOPF9clYQxl3YFx6yvWUOfRrrnaTGB/F1BOvr9PPy lWLq4d1E+1ryzjb7IbA0SJ7en2SvWg4/JobkcaH1Wkbh07Y1UzCJ9z6M/dsAAH6xQexfevtxIaWq nC/mAhf5G2YQKxuirBBZntdljN04X8PGuk2mjIAnBvV76mGv1xp5ywameIEMu9rFqWBEXbc1Vwkm cEJy+M/7BPqUTT/ixIgA3vkbNGdwPmpYP3gBg8GJ+moZOXCKseI6iC06zdaxMfRG1Ow+A3NlqfLV SvfkwGSXff+sxn2hQon3XJmURaM5fHSX+9Mg31aC7VqYetgKTHHQC6+7b6mIuUKyWiY5FFVHRte2 o6aRp4wvxrVWJKZApx5WHmCrQM8STYOhobmvDA07NkyNIXSyEGczvYHkafSJTo+ApSKE2IN1Ih+q pZu+zO2VNNXSvgpUtS4Mhj1bhZPizVBruqema4PiVlClfpqT26FjlQvb7Dgj5CN+pzcOnp9Pc4IH XxBgtusUtIMBIUctgCv4LIxBxELf0Alipyiuq9PF/klDW2KjRWKVB6ijkjQvyg7SAOyPE4ZNQEVD mpR4H6oIme8JqU8xU0u5z8olIvDRKFNMjHAHuHiQWVlBSmB25zyQh7wRI7LlI1W1Qp++dA9RsYtO Eg96N1tvvx6LOo66cc06Nxw9uotBJ8yQTWtvtnkITb2vYDZyHbipqwSubt6/0Gx4CyKWdZwYCsO9 YdqDSMWFDfX73n0vPi9KdUODCaNCJZFotVqWWZgCiJyblhAGBJyoxTRo5czF6mBv4QTdQcyD13et u3mtpaq25QYF88FwpqL0epz8GEJaNDYJmdNVMgeeKJjKaUSRnDq8FOW4oaRgB1w3K3nG1KQR1loh yXZIJqjwmdvXIRxW0iDaQAuzNl4VNRgv4glAPytC3zqEw8+yU0od4AeZ2lCUQhfpKpqj8uAi853a 6ZZLoPl0X2dyWLTJK3QseF2wnp/mBuCF3hOasyUlXmIXt69H3aqsZY2o3ul78cGMI6cgZDCzfC49 BPjI2HtsZPe2p8NK9bgbQBWB82GMmGkozxN9aKHNHhnI/O2H3k+NvfVha1bPFmX9TeOjjOibDUs6 6TsXmx16OKTZc0u0uijWsyogMICSia4kPySmhrzK7dUe1soVyeKJT1Hz701Rkn8wWuK2oB6kyfCi 3lWYiY1crhdhff4wFzABb0tc/EYPihhvFhoKGusXY4X/A9paQzY4UMi3CqASQ7p2YoMzAieAvtRW 5fzjz0hMl4s5otoB1TxE6Rc7X2vEtk9/+ADvqdTM4daaT4cuRYjP+11Rf10YY9cpRC8KGwJZaAxN UgCX+wpFeBdfWrNxOneNE/3jXfi7tZmiBrDqiSUyIIRIANDjL4rFMJaHrQzebOCMK+1ZL36MULw9 1QSN/igi7hemy6+PctdO6+WQPB9mc13Z1KQNNaS8dnYUm+ICfyw0IpcAo42J4rVCiP5KRPQyq5ax oLn6cspfgvrnDeZk6hTIxzWFnlNBgUurOS8XgcC/stkiTprtBENQTKAcw8RPRWpH/R5TLQrF/Bks AtC7LyCWYybbamtT63+GQzzATGvo6kyOUyVZYqgq1PROY5SDgohtXZYUD/1oqvbQRzq6qWbI3kp3 gTjmhWtg0gUDdr9DjojW4EoPzHAcMDRggKJAZ4D1BVgDAQjBOWpogG5XJP8B3F4y33cUDMl4wpwB S6uUvPZSEvx4W4rQ+sXbD6kp4Xg6CC7mw8/8WbnthNQOnt/ONDpPa+sNrUUH7VYNEms2N+Ce+UWR 1JQHe9FxBsXuaxGtXvif8sIkyyRgIc6vTDS4OP0mJvVXhZFt/hMv/WpBKDPO85niq6SD+zv/ECVr q0Ds2XMPMMO74aOmUWR9lIILijWIDE5hEAtDgGcAJRgObM6u4vzw+TG6zMHJtBTP+vBtKQ9sEbXE qGjaOGB6aMB/W0VApuDx74MGj+3DKYPsJYzZjg8rWMglFQ8SUqhzb7gGxFLvaItEl+QLj92K0geb A/NLHfprPzfQiX6y6zL1q3epnZIQcMdeSjAUcZ02BWFPQC04bxbNegDcKcKkqltl9f3RU6/wEM2p lUJ17RPR6Jrqm7zS86i9uXVvJ+x/kjgaYanrM21Rc2BBjuvY/susXMUApOCaqZB7LAZchx3alPJQ YM3GFlPHdbrNDllEGgvOzaYuMRc2ozFgEX9dFr18Zq45HmHEDB3thWXT1y1XzwWUsRnXSahzIpfb pnvmYbfF/XVULd9UvXtDNX6yAhHdWJV0E9o3Qgsnh7sdDOt3SVMI96pUEr8t5dinMLZODxAXzqMF Bx/eiIijMpDuopoQR23ChtdjSgQKukrTU5XumLMJGZzZ3RRid6fNx58lobO5dbefiv7fwU4u9Buo diytK1nngd7xroUujIadrS8P5hqgslIqMKtLERMsJv8jlEwJ2Kja77Z+z5ILd9XeMQDcmhnexdhy jJB6E+GA89dq8FSBmHp5/YrxbSJNNX8Iupe5Dcaju4qwAgjvzMcRU09dZHES+x9q0N61BQkfZVWx gVu3jElcyQ19w0c/ur2Up9SOHpjkBJkSxsixQQND0cW3Bj137IvJQ4uSPfjZa4WDeD9UTz5N3oYr ZjAbM8i6/7Lq2HchZKnmwHyGRWgKLJpjpm/G1d5YjF19MQAoJgeR3xcW46N92Vtc96PLh1cW2pkD KJgnAXIR34hNtTL42yfDR/EjMcDIY7+XnqTedahObAwC0a1v5xgwaL5S+tOJOnM4vd1LEM5LXyIo Swv6wzPsjwqm5PzqZEhv68Bp1wKz6lEPlHZ+LH6NnSERnO2rrx2Rql1D5d3Y8IUE88Xtz5qoEG1+ RCz7oAOH12gRCbsJu42nAimkYPF0VyPaRQOY803VvULqswnbRRgkBTnRGw99os8T1hXw1XTexU+y DWpgm8RNVGbGQYxaT/eV/NBIGa/QkuMgZolPJayvn4GdcN5BDcIg93sgvzc94qsLEi/nt9Mtm8LV 33BnQm/AygOc0AgX7hdtNoNArkJGIpCmKe2KeMxnmwXJbkMglOomIyEkk3ycc0vSLGPsqciCAKUU yLeRR/MkDdjgV8MQeUlQOE7n2goH5GIfNsSuC0UD9/iZI9UBdTngXF/akkKcemqsx0KiIE7hUs9c Vo5Y9o+2rAAejyJPbpQxUV1IrJJTz4TVhjLaPuofkphnr0XzF/SftZE9VelKAM1MMrg4MSL+G7NL 8XgdPPelo09K74XSf8K0Yom54brv91wSK7+cF3xsmur2i5MFPO8L4llduiB57cUKZIq9VxY5DWvM sMjB78EwGNbfWG3Skgk1D+BN5hwjHMNmcwoUokJOz+/3lNOYGx/rM5P9Bxvv9g0DbS5Szd2fRkTI DI3cpnJ/0zf0rfm6rimx/gIzvZ4JkOM4pxeJuDdkozVf/EI4MUP4YLti1I+HeLyWcujwsn6JYEgy 3+bUPz75EoCctYLK9ezr9yoj7ZHewIGScyC3LDJ5SZ4Mk/tMgwrcvXzTL6K4ZKW6Rd+t27+du3A8 Zn8LY1amNErALqOT5L6QBdAbtPQGkRFpF/5gJ5O/hfMhfqaSJSfBEdW1SseW2CmwWQjfa/wMGBk/ HQGNSFgorWwQFACA1gUtMq32oPYZAI+E5gQajID1F2FVbnkRMTZ6oIymE6q3lwdU7qTMYuJftJhh mAUX43J22nhWs+xPcY0zBjYXP42PND2E6yuhCW+P1X6rWGjHcShF5p9DS/Xju+w3QPU8ta5Foemw G4ELcHIffdQrOMiDcbyWKbRqST2gU0dGAgBINg/iOUQe1CqqmOw9B2HktqpEF73+dGAk1Lttn0ii Bnpo08wqq1Z1YXPLw9CF5YVWERxYpHv9uqVKQmeiaGPmsSUQoR/aEvasjmD3nxe+/NMX+XM1U4Iv 9okcM1roPpDX8b8LYFjTE26CRhBUm2cN115KxR4BH8i+owRLaZylvTSge40Q0nvEzbkXy3HqPZAI ctl8Dwb6gTZMerpwm3Nv7InLpKjZIOnD1bguqicPgRJfFbjoKzWvME77hzxoJMNGGPDF/TeZ3dj8 NAqiEyjPRBEWqZmk5C+AEzerdj1BMiVtag0rj/JINRVamchY+rPGu8nOfHYL4Q+0FriJ51gp2pAE 8SSvuonFL0Xb1sijShIfYOQujtOcAmH4Ehv45HzCsHWFhGYtHsXkrunaJVNyBltcJMgALy3vRyn5 FTfdemr0R7jbgCwlwCuY3efLEVnmOvKEJJtI58HgKvZby/ukJptDuwwGhCGw7Yre+YXA4KDSIOUg oA1sIHLldj4Ki9vLQ0rUrpqMEa5EK/wHhwXg5MRIc5oNr3MPPomQb+s3g80feiA//N/VGkKSTCYK 16c/Ialm83Uwwjo/Q4k4Ul0t1SZTpJih9fzh4DlUIFKS3N4pN58UPe61T0RNj9ySlWr0vDLdhdPQ aTeBEI+iRJm+6fWRpygzap/CGNb6pIfeKS8lo77rEYgxISgPyOFyOowm+pyp+6MyE7wa64i5Ym+2 hXW1SSDmZ7HEMDv+L6zKg8lIqw5CbiRVdaz0uqSyB4wa4H/8xJoaNtaLZzF/6+WbxzjGLlHb3udg ud6lT1tA+P2xyuD9mgbjriSwWwMB+AVBOQOI/SnfVzaqA2MBX2mfpC/mG2kfs/Z+W7NS2OOkCMyC 0cr/3CDaELEUtrNZd6vyrpFmFuO10aEo/prlS1SJE287WvTJ1T41Up4DAPdNFnN/JpHlUOaASmir UCiKEDdWmCoOMPniaQ10nNH7X5BldgrNZ+2zlbA5pgzYg/iKDiKLTnyfmrQVjQSLFVu++UTUygXU cpi1oVcQ+XOIYDIxAR4ZL8OBlSfC1xyb2j0QDhLlaob8ZvnZ1GC2KfcYqVHQn7eEaDsbFd1vMPrc c6HZD58aadCtwETv7NmCzivlhUm4smMCJYmAoa26Hau1Vucu0iigk4ElJWFwnu12WyVOWzNkxzJL ckWwNdmCxqP65XOzpxkbaPt/ZgW3RzSxtKTJAbpXEkAUThokam3JxS/QJgAdnRAXjIyTSRNv7N7Z 3nKcmPAA0MhFxITXfjTV8Cl39v3E5N3TFVT5DR4njTXGna0mLjDN212dkqv0sDYutGY5RheFvIpW t823LTlvcTrCZkef04UPxsjRKzu076E4NzJf4l+En8Sx+m5PokAPfBQLc+iuZ5s1PQyH79/xIIjl 8AJjs8U9Ye+ud4qjJr4PX5b/3edHKw1q6ANsQw9VUkERwF9+nIxJejbVJisltZ/Ns9GBCRQxORCQ xL7T7fkAlgBu+fFBOy9XuD3Ec6Jreka/EqevPvurD8YIY5Z1aV4z62S+O/YpYf3GhN4lRXYdCT23 aix8s801Xu56ss+uVkERZPcvonJl+Wv1vuAWkrI95Z3rLd/rYY2+PHAPPufkTlH17O7yvmMnkR4G 3pVycwavoSXS/G1+AgbE0Ss6VSv+uutD/ygfkMn7v62lKJdPtw9rG05Wz01Fi8wk1fdtHuH58GOV XSK1xrAayVYL9oY/DnmaBliWNUFxw/IfGAdpgXdDQv/SlIyrfkOrA1HFGaBC4D7PrtuLZXVkZlze c9/1PD/gR0vQ3hkcC/E7CDzuN5KyvSJuyZ7YykNVgKWfgfHqz2koogDB+SIb8p9ta22KOPtX0Bfh skQFTc4JnsjtREDv1+NgodnDBEMI+HYZ4YjLJ49sohXSj2EGYv9DXl/BVUqcdCWopwyIw6YwlVY8 LH6QMPl4vy0iUe5fnyQ/JlPMEHNQSrGMHgkv8/LXlxoTvn67mBbpUfDQKA+Hrn2DNluIfpUVTFzC ZT1pHUqaYE/uTHmIxUteR2d0I72iwXU2ITmxAhiOMxN1DTUF8kMTPVOwTu+Zuemy0i3vhhuqOmav x7TPphMqIqe/M1UpZn42Lb9IDPnAmE4cr2xMzkts9CAt4/DpRfZRbtLKPW7l8trA4EjxV6HL/+u1 uMB59aAXoH9syQXbxO3hXQYakMSy+gvpkv6nMPNqkoG/DXEXQMtT/xV9hrfjiVwX9sMmH1Dwx9sj OkLAROiplz4ih4TDFhxWSpkjzxnbfg9H35eG2C689OJEB6UWO87lIY5DGTENSMsvNDmOVtmsOuIC w/o9vlbQWNxl5RzW7qGePY0IK25h24VO2srHufVG2hUxXfNrq/YqtgaZJ+HEzO6bgPVvpn93ycou xPmZMBjIXTpC1rl+fv225iFBRjRMlisbr5T2hD4lmM3LxYgwGapnWIp/75rZ89xjK4Sp8yCuLj7e F5pxfK85aXZdJ4VUozurHPK2vVx9lY4eLcFQrGKyNOTA45r31e3v3Ng8u+c1gaGQ91hqtpJ/j4Sm Mmbybk8JRm1xXSsGGIt2NlXpHHZhPwWbhw6Qm8383l0yW9oUI0BwhVR91gL26juAqg6c+UQgAyGY VDH64+5a1n02eiLaK21sWHrn3Sk/5vwpoWtqb77v+6TioPdWayJkYjOo6cb5FvgPrMfpSwlRYWWm HnPNkb/lGjdpMWRkzpe9DQEUnf5Yovn8wBzOd7iAWGypTWYMB5yonSy3CtCbUQ5SoLLNRIRTjtWc arFsr7C5YRrlEJaiyEnT1EB2RYccgGzKPBghEDnSQRoaqc63M/CAKlJXbMaD1JafhxN5UwPOhQZI RA7bV1knzIT+JPMhnBF6ZkzK3unqqr74mbY7glag6RfzTLnomCt7S5GQMq1I399Lo0PMotTdNRtf jbdad93APDqB+cxAvZBiW1S/151Fws8iBTOWOmyEWrUunUWD/fTKxeN84b7yftfAzkq4usr6abqL 5IxiaPRgjP0YGmQM/zw0PehNCkxRgH63Ae3rwVimze6XUXANv1nn+NEXUUqjmO4eqyKqLvEm3UV8 e/sXLEnoY3LY68O/d6rOs2PX54XcZEGXtM6l1CzM52axPMctJKmze5lI49bsXQ2FNEBtrl5/C65W VNyhopbMWJvNRug8fPsnLxmNwFYMP9WpanxbKuJpH9BsWVwEH06tuPPWBgNSHWs0sARTeIZV8xAi tWlew47+pzvC7qy4KkfgldqRrNe1C6XGwCyo8JPgjWSTO1WINQ0dmnW47xXyR0UD+ClccVTqHrVW 9aBIXQKXnvBQ0w5Ki+AHd5RgrvRgBmNKj1NiMRnpJZJlLXUzB4K6o/KPrBohcdI5XuHH837sjwIR BB4GC3ooI6Ep+5HdzFU+FPgWw+nA0rw1atgolrUXT6HfvCKsLGXJvP4jKUSfENDx9FRDBB7sBqMA lBja7zQ942BRzNP/LucXDoSpBW7qOIZL+bTvRVYnKkQbH+v0kjv+Ijri7nYyXEz7mkB5+gW8Etet KYll9AAZGcntAfMZyQubTDh4c+J3OPTcUq5TM81jUcuYiEelYU7E2oyH5Ga98BaINy8fGPYsbRJG gLaaMbWFwh+/khx6gQSwb1h+wUyPFegM5rCPtzNx0HrAjY2YFOg3D5hjB10DeCPtlK4UY4c7Say1 TvWBV/5sv2zFpwwl5qt9VFSm3HTVVHYoodainGo3LKN84EV5Yc0Mx8TR2fRKjaRvrTe3CaEtCzXt FX/Qmp9WnERHUA0ogjiyjLJgqe8xe649RxdD5+yc+JDvU6pqluc+60xFiqVVoQA5QnQ94RQc8YDv g9+j2klq4oiXIytHZymsXD3G9aMAYOC2Xs/NrF1XAEpDRzRaUJsCOuK5sVlfNKsBmXHhcmp0JO6C r8lrA1wyO9qAd/jh8ndsh7IZpBqdsuzYMGXt2+iTTsJeV75vv9Jtb8BkHuh9fTwt/PDvpQpD6BnF ISOTe4KvOO29E0tIZIaAH5Sn2GEh7Rnk1fsrUveKo1M4NqTbzOg5TS7OM+fXNRYfy4caa7YTYLHS sTnDKTrG2BczHF6YwpKM14a5zWw4Q3x9EmYpIGbLJMq5HdKnHMc6EmeBDWkp7Nb1tFFcL7Wk81Z/ sbx/KSIXjeEzhPQ2LxmWXTAgtK6l0MCY6XZI5JWev1ROz3/SdDlQnc+9hrPJEoWCBTueKj3y8Mpz P8Xqds0MwbrujW4wdRKOzhWHRhGv6FWi3tw2mehh6gS+DSSlSdItwPckZn7ABBPRTnsFV3WwVvQw 448tVlaihsCR7imikGqQ8xxpv+nGRPxeW/JRsIqx+du3oCrcZTYRCCsZmESAhmnQ9gpHEYLegg3l NxjIpQRwQRdccdPJDPvuOKBC3acDXXnzOCPGaWR0Rl28Jfz9vDSb9S4Tig1RJueXDq8ut5L77zFu kS5+m4cykNjORg9THly4yZDqP1xkAO8gl0UIHg0sX8AGmTrClJVLosPXPkO7ajDlhrBcy4SUxe97 lGK5wkZrwdxcSSesUGe9NTi/tXfRQsC3NIt7dI583WCjbpxc7CW9Mf2RUkcmDBxLqnbSSIAiaVoc bn+Nvd3fuTFRk+WkvwtEVWLiOI/JUAVGya1Ag4S4PxrEehSOh82q9e7p+byk4C8fzITasXAUnOS3 7pf2pJ+LHn+96aGeZXWAM6LpmT3+q1Od1byyThtjfVjGxf5YiKkNxef9lj29y6Xj85ep9qPjSetU 6GW9fyXGPrs8b9j9TILNic/JEWkUGpd5QtnVO8klRsrWHPEdYjIIEYsQyoLFNf5Fn/SYa40XCKdK 9p6YE9nKkyRhHXCLWF5QvUb3MZxd7jbAC5EDbPwXEJYRI3IcNrVbvZWFJ4RojsTMQSu4mMp90Qby qBzZqBr69+B2MtCeR3EzzXbEs28dEwYjI2/8E84UtceK7b4UdrMa0iIGqJECxqKbFrhbgZnWdYM6 bB6qaI19f7DCwE7+l7Yg2VOBvTG2NAxjB4509VGKWuw3GTPXvUqlQO0Tp+0YsX4B4E0PktA0Fpfu AZL63NFSUHyLtSiDO+86VlROZP4ren4aAl/6eUBOZVNfmgRzvF2xbEd434NbONpHXe06dNXy91wc EGLDLl/jYZEznotvbAT05r3kaMdE8UI5KH70HNISGJdRXpEp/wYPNuraVltMZVohvug9Vax/fcja 4MTiZ5v4X1H+frSEnydhaMFDfA7G/8kkEwhp3JSS24bw3JRUcnkRW1VCfNNgcVlcvwjMEFZm3Awf 6m3mepdvsOCngOFPvufMWA7Rx19XAeQ95FKLn+rIdPNcZNEnpMfIxvtGQ7s3WlUi/HrVY2vMO2mX rusz1CrhRZ2OqMmSLl9ApJALxyJrHRRfJcDGdER8LWdFtY2jw9iYke7nYbscfQq+TtPbMBy9cfB9 +7sStV14Jr/sUtkBgQgcHzwV6KTg1pheg3N+JiHs2MPHY7Y2Xjlbgkhsyu2rZWfJip65R3dkvaWb n8LP6zcWS7Nv2wgzfx+SyyyQonmVN4APO216CM/hLiIqYiamx645u0SmakGrdShPOYal226nQ6lq FQhufm47PvL9drXNIKsGwySyRfGgOwwRawgdgZRIblK+SeohMtrwAfMUeV0u6caMvxJejzVvycBw 1XzlZDjnxELARNWZ68TR4Rv/cCbJ6/8dYnm6eA788+aBSduAEWXRbNnFKB6U3shlwciRLfzr+pH/ RRKGimYHXJsEVsLuEYkOku3qyalen3/IMIYfCJKC3NR0WwhGj/7sYDGoZZnCw7Ge8iI+jvm7J9WA IjrPlsn2WWRCNf7WnchdUKy4OKrTFdcg3MLbQWgDPYWZJIlWwfwIs3yR8JKdazlatNlr1wsLLiEg Gi25WM7eh03yM+oftSrRCOKCSHftRJVtyr6mvFNXcez2diQmxWr40d0ereoN/jWJKi7evU5/fgj2 aGFfxs82rhYXDUlIUp+f+7hvPSI1qlhiT2NhOw7m2hshne7JvR9NwZ3N1N9UvMT5kKYQfZtbxIS5 9rcusPWgTwmOV9JIIqrVGKbSDtopVm8IwPdm1rzWmNOCBrWPhEUN1hwL498Qbn39KjyQE7si0cc9 Zz/Utlhs/Bbe9c/4Ux3mJmDrENPskeZkLPl/wt0smBGI4foNqjXrOba4BT7rSmYigB79VwjBlFTF EQXPxUDEuu6tgO6bJ9jFCY3PHCDkI1KNiMrcnayv3UE4ZrYB/RdHWbsLt07MeyyEQpIfDt9aZwvP GnDkI5pzCKbCxYWDG1liv5AiHXikU6TuFHMocpwFWC5Buni/q6W//aBCUjs/qc+50ndNbIDbjmFK UBQwO7wHmY8yxZobNuai66Ce0FQPd2CRI91ms5dVf5Hez3Z02GazKO2huVXeHgMPQ5+sj7+aYLl3 GdgKHuJ4g9hpD4raA6r+ff0d4YQGZ7LuiOtDJOQ1L2jIiyyYbjQuIEVP+zIgdUmmfP3wPeKH1TAB +r9ArnLoq0uBO+BzVG6fLtbpN5pah/6+6EPX/hcTRzOx2BKvEheElintFMF96KEj7xmu0lS8F51J F5MG3Kj8UWvB+F1WsAGWzA8/i8c7OUeOe6DbLbBKtC05xGg8/vhWwYUPL7LfQ7YBQoZNN3kG9B5M lWtzIV0XNAX8UfHRY2Dfmd4jR116WC/ULqup4rroYo2g6n6WxcO/E2MSU1am/rbmLXScrGVoSWv9 3sust9Rpze83Y4sT8GXPlzitDfFCc3kHldeyjCfxL5H1nlIvap+iJ4Jm6VHZQ48HxaIKkhCc1lnm KEty1TtyuFIR5IdD5vff3SiwhF99lSi79oYC1AQ3BrY0oS0iahzynGuq/Vp5t+L3Pjqpz9HmC211 iJZv6n7MFswyDa9PQI9a4+4UfvaL/mBXNonfzalL4Jr/EXNNEXsq37KQz3yzkyQVuEBTTgOFQgyH gkX6TofIjINtUedUA3koIk2ydz2RuGeCkA3ORwR7yCKqVNt6nyI3+0GamQUMRHZgOR3A0BPjxeca GJIlYQkCeodYfAiMjqU7oE+DCwCqth2/Rx2FQbL0IGq2jxIZR6IpYilDrHQCOZYo/w3WTGL9sJie wudVSHsieCotKqdEab2vlbCuFyKuTvxylorxyAh1ILY1Gs/PNiigzWK2xYAhcjoaTGr5pvuCnjnF ZmXLqWN6V4pg71ZEH0CleKAP/AOAaA2yInMCVufq3HVPqdfoATQpAxAz+UmdSTX5BcyFFijKuidm lLDS4KQRUSGvt2gxxQBRS/PMCmbF+BJOdsnBGKBlGNLDZyuICJVvF5xsLz8uxhLZ2oXYj9jgZ/Qg El8G6c9RW9ASWKAzSmapX1yzieXNKC8XHH+6k3KuzAHtzQcfUNiLONbvNmL8C9GiX84WWXM/vjTq cnIsnChBMcEM7qPRuOz3TM9I/XYGL0GNpwCfBrhTo+Zp/jIRI5s812wglcd3MTAre3UbCNrOjgqx WK1P/tOQddWbxCurtx69suAIqDRwFs0aMmHgxoFR0YHxJM1F3KpLXUN4mawokhsqPHr9pOYBLInY dFG2+OkD2sh9keJHgT6SUksyJZziBn+EmFyFaXdovd+yowMxo9TE/F0+Wy1aMz2eYhUaERcan/yn kJ+C5ccTRJX4YS3OaFBO1EKP6QFZHddD/j6IoPvaztaqaVMk+dB+5eB1nOE2GwHbC+eWofrSSLBY 7BU+PM0mhyA3jpMQJ121wN6BCf8np2olZ34+Qj7+29KbQzNZIt7X3KFQTMxzoiiTKeLkf4fQrr1c 96KMlkIL+n08SF9HhSX2zMN9i8YRfWYlUM7CcGt2zAjLo+J0WGXOLbzTIhBRMinpKZK7EFfL1ltM vSHzIskSF4EIiHCEfzuOfh91D47hKYjkghVz3iAPAiQH8dN1amqNLwdbzNqZikqwYyq16ctqaUvk 2mKwTbT4SZycXCFPkR2fsyk2llc/WqcHtptx5o32bpsL1uEeR4tEY0gj1f0ivwG9lTnw/nrCA0Xl WrNpB2V7LIAPmQiSRlBaybcTPbatnIG7rEN6zZxSPpHo49DEttqktVfi17LCgBLPZfubsh6vk+iR wYTZSNiXgKv3YB+NFARnctkqPf/jQeZsVdVMK08jZgxnNgu4A0d6rce2jVhfglTQm3ABaScKP2Hs Fi1dISEGOoT5lkoqzx+06fJiVcI9bxdhT/ZC8/+zAWcZACjDo8rm/dnPwbRv+beC2v+uKfAEnQTa dqJKulBmF118DbUT1vYm8c/Akw3fVqC5plvkG2m3XhTA6se+J5ZAOLkY5HCshq1gYyeLQDuhMXTH L7G63uP9QmPw09LleM/XDC+zYl4UpSACp1OT7PFXTcXdUco22Dc8L9pXV8uEnvv3liMCZUGWGgp/ K6bvkqTXcS9gfpVeU05SdZx56gz7Vp+GwMsBFdvrEoyWvLTRtCQpZMSA89u+zYhh5x9qpRWI3NJF x3UbP5piFoSEZpO9Mt3TNKy+mwi8ffZUhau9PokAIdRrlBnWMMX+UqiZUbHLjxla145vopbyDaA5 MmNYi0BNGxrOy01gdn6oJMtd9AQhR58miCAA+25kAErguiBjqAEwjiQXTWCji5698M3cNOsr1yoT cFq++appxaLC5uECGAFyvQARJUZ6xFAzdGMb63MZh3+T84yYDRQ3iAGDU0IGhoOlATDK1Kz+PY8v Tn2cbIPhprGoWX+LWRByBlI1PVnpSnC0m7UG8IspoV0fnBRdtoDWES4CL5Hwuhzw5sIMfB5F7+87 +oe+hwUgizc5RdZep2NJTmw5QjDSetykQsU4NShRWbmljvx1uRjFYMfSM9qS76FRNQmtvy1lgcTV FeK3HqJ0nnq2Pt2RJmV1Brlf6fbd4Je9Wilb18YtdHCl0Rv4FAeppg/ha2W+d9F99uItXPGn6W92 mdikNYW/igiz2GKLlQeyfj6vAqksWfruUhJXmkEo8DEgyDI+RsTackLNqBR4hb1yx23BaZ/AgTjy Qe+VvDnyoldDjYsfcyPPvy6PGCU7wGAPyjH8ychUukR1EmZ852lEWkKP+QLQ9hoAL7q4jq6ioGSM tkxyqusIuWJmyKmsyZYX/ev1P+3DoBg96oR4DYkSGK994ks9QCTs8GiPnOTZLKpokbt8nJHdWNYR IPHEFfiTUXMrLun1uYF6zhpr/uxatlVwodzLWw4usbhxkxFA+UUfL7cKYKwY7N7FGjF7D+sQ7l2+ MqA5VxrY6a1Eu4I6lppp3Dzf4GAMk4ioh4nScgclIynLHtO/6ACT0F4Dd+qKhaV0+E68xzBsJwcM DyKbQ/idLG/tucQc1cyWKS2Y38Hnpm8iJ3s9I72JonWmDrGJA1+ZSJ/O+WVWru1hyXw12/o9W27O 4HXbPCzQW1YFuPJGNkNNNPtpU3kFcEszmoigeQYUkGKyeia1zokVQzCmO+ex777DM/aPcLFHJXm3 O1tuYAF+QvPQxQB/2TPEVfR3GmMcr52M2/s/0Q52pWZoyTv4FZ94XVMFfyvA6kPsBRxWi1u0vXXU ktJ8PbCWTpaedZDdvK5T8gqxIbu9uYaBtdmjneAsVWSfe3RSYPcj1qqgBSEC+m4v7IUlO94Gwc+d QugT+kaZ+ETERTrf5ZQbWSdSuVrR9TxNQMMx/3vlI+NJ837in7rlAeuF5R6UwplJVcUVIDt58hM9 +sIIFYnxDuct95GFhA/wb94BBMSu3zQ/qRcMKUYb02KP3Tl/ysGl3zU1hjTBadyrPBZ+YAfnIc2l Pkj8Hkqf0IvHxqup3uk84Zwck8QqvZwq33BYZTuPNEoP1QKnIpFFUBVicwvPOvEAM9FtwMyAGGBz xQpk7aUaZXa7519CzmlNBl/WXBYU1xqOnyYP8RW7BMgRAipWbsb/AHHncyY9pVOetexdEUmE7CHu VnTZ+Pc7tklpfz1sO6M+Y9i4wicV6nM9c6u44Twb3IPc+2VmI7DkULn+fpS4vkqWOSU8q7MYeFpz kJ861ML9026hsmdpto6CzpplUFcS6D0F27+WckdNcnBvmdsbyG5j8JT+5IR+ONAqfpyW24BrJ4FM 5/kPPw0FkqVQiOauwxY5crbricNN5jMebB7Pnf+JYGrg7hIwei3xLlWXfhw039/S7yLwJ7fU++8O MH2CfZM+IYC3hW+2bVfjNGAMBv2f4R6q9GkOx+hX/rbBPl1E+zXAFjsWTgTGC+CZMl7eK+k5qani Mnb+H9AcmQiP1CcO/3lr4iUSBwEU3puxYS16mBBYJBwPJwPM0Ffw+nA6lpm2vuamUqPfSJakbBZq DxGbmMDjelE2qSdl8ZC1X0dYV5CKET8quGUcDlK9Y3h6D9QaNMMwlBT7qQ+T6dMN4ezXj53mggNh Neb4AeNDPBdaZXWoYOqvQDiWlitjGXfEeqsNrnHnIUAC1gSsT8iykItQriz11r+twZ8mwTD2k6Fn 9gOSV9eeZoHZjxYQiKsrYqxWeAaCZi2UlswbQ7wcTEyjzr9DvW42QcOXPO4PrxTzV6xoiKHxg/2d I+er9jBrnH/TOWNt6MSDkMTaAh99QybdDuM8rFmpiA0TcMPkNmJi5FWh5SAUli8zAQ8rUgd+jrqR RahxHRPFixfy9ASxkr7l77eJ8SkAGeQ80OM/EtQw97zTDY7YmZWSOm9Prb/FFJltNCoDNENh3k2W GaWlm5jTDhpGO4LzY1gmK7NTmHrv811KtuzdwH7SSIbrm0z2jujETEbXQOCBAW/zDaYZ34sn5vxE m/HF8i7Bg5Bp8MUKx60MxaDRTpC96w6eBb5wl/JXRGea+zWKODlr3pKXMZu/+UBoFzMrjvYbfkb3 O23ETP7tPTtUYy6e3WKCbc3+oXHFVZLi9aaj/J3QKEBDdWAF9c4UpZp9V3mE7rPi9yi7LcALOGxf 8FyuHa+hpKwwHWvlijkAM9OUY/Ilf09upQlnvSK8J0tmQ0NJE9kcgdOmxBYEIhXIinNmHI8D7JcK ehZ0GR28njPKITJtus1DzlzaxKPjA/qNIhyad29u93AlSpliVg1W+oeDzKcAm2DFpD2MN6pWUuQu oTszwjWVpTU6nWYJFP8jkDbNskpBsHXDZXTMqYSqd7SZ+luv4+XvgjW0a2vU+2KWYzXbSIsnPfPC 1D27eRsYxmP+Gh9sVQ76y0PD0ALttIb1jXOoEqieJWiq3rVrNWYsu8OYggLCyVeEiGy9ZeGpEHpX cI9HZqZbbDc7xXNQbIt4oCL3uzFzFC6CKZbvf6zXJaOf3DOIwV3oE55WLld+hZdRTr7kz14rT/og lwCJ3qeA3QqqC6obDiswwWWTLp3t0SoUVoHEBy6aCPf9c3mjmtTIOx9VmxgjwpXqAV0yp5hC7xia SBCx7sl1CMuCjyexTwx4TVZCWPZke3rp3r0CzDdvoMObbray/5tlVK+hRzgRcEHV4xGFiCcfGM0h oc8xDOEL+szeU9IyQynTSJ8ha7MsQpTqNKzNsKjfFe7rX9JfetTied4EWMHizlQNgz6eax18RKx4 hnKKjfVhc2lm6yhZDQeFWQhL0hvR2Dng5h9m0QiphJKUHByNf+GpCBnO1HDUs4023osfxNLTPtgN lIPq3RIOo5wE+3CZR+BJXljZlwnDVc7z9WeGIGil8rX8V7QFmnGN1sagKNbKBkVr4GqxMGPicX2X ZN62NQ+49cDSdAZ599b1B19iPmffmZ9voh4wCFOptC2xb2AVLdM3vk06RHQEMmDvzrpgC95/6rnY X48YAoqSITQFjXSGAP/IoilHQXat1MqJV6KtU1TfM8WXDY0JwjB4LjTLWbzQFv5sQ92q2DTMQ9N3 KI9LryVmFZlNVm13AnP4zrH9kBYDj5cwtLKI08VaWUpyB7gRHsVSZZ6YzZ+gPauh8nKOqixzeHnn 21rDEfeo1dB/rjSIy9F0mBdN/ReCwzOx7JS/slT7AjYpKsN4/SlRUc/MTwwGc5FCwyNsUxIO0J7W ctfBBhyGDLMvY68zclkc1eP8nLO6hZKgUoheKQi5uRayhtwAXOmBPzEa8lOyRmdG2yuTf2IJTPwk JZ5E0hi5m2pYYblLdOEC40pNc+dSCpXepAVQpgT7nLGqiWQXoL27FVqRiUt50MMGICFuB8RH1MPf xaFhj36/G7KkcJntt2pJv58JWraZaHzNrrSOF+YeZksadWTXIjvfOcMG669gHwHuaiPfYufyz/XX DUIALsCJ/JIkdCHGqjljReJEFUUhj3ecrOKGvSrf+eaKJ9eVUQ8fOyxUhAdQoSGsjnudLyBdDGsU No7qP9hckMU2YtO46vTDUNa3Mqx+TVElriDLLZZRHj57Z/XO/A356miNgtHUFlh/0JxNP8QOHp3e ck5saDPGq4HLfmErGgNCy57r1LXviI8kbJbdeoBkb6sRLfJUhWTHfTjisLISuEsD45ibh8igpulj w0YrE57e93GxvPrRCcZEWuMs9YEDq7efUkNdw/wzxBFp/SLw73v8l/04j8hhCqW2Lvob+9p/6QvL /30ujHtBjfU+EcKeGQNT2VV0nhT6GAzOx9zsEKvH9J8MOj7W4SjbYqLD93ZW9rTa8BVYiue5oNtS JWV7mzHA+sSC0FnCFydJsIWUJs/oPHqsnpgsR4h7H6WCJsaH+BhDZqAyrX+9kSat1nBUADxm4N5k y7d3neCiMZmV5gQQx9ESHPboI0UouziUxRmU6AZGe5hNVBKACV9amQlJnYSn30iUmoCmAih8jlBg 1fKGTnHq2/9lGU/HKFWAnyHjVFLjAH8JZ9XWs7ibNQfo1CrbKXZGiPh/31IJot/Xtb5ttEJYhXIh FrLP0S2VF2flbZ07tZgECU6NY7TYFFa9o6lxwlxxsU97Y/ns1/+ZAYozHUccHWR+yRp/0hEkkfXb Id1taaMS7UCOo/FRQQV/th9Sx+NtYKPSjDGJ2arsb2e+i9LS2VjIBxMuCBFacnVsf++EuQyH7uyp o6npFKBdnSNw0wypUDkujt1A9Q5YwM4gjybQkUFfw+GEJXblSNMcdo6pslazwx+iRnNHABnwPCcF L2MAgFvBr9kQ6qQ2eFlGDDHPNosLjo479BrayIPPB+7RW2nIMHBy2+V47Fnyu4uO0dYD2UgfOgzU A6+0k+Akjx1B/pXExC533ybD1ylcX5ipE9Uuib0XrqO8B2Yy79AxsIH8P90P4Mfn1ugqffaKbOtO tqINlYsba9cHJEDklKFvSNBRFPfcuZ4I+QvrQP5BOVwXnQTSTmZvg1Pntjgv6e8xMEn5Rd3aHKjM ayQqaS5W+XuIT27fAveUru64uipCr21Ca01JgcVouccjvMAp6Rus2qy238OZwqys+M0/FGJbZi3e Nfy8hpSp3CD01KLX4S18vF+EV/MTuZiSpZZrggNwJpGiulOH95Tvb9nKwWTWuPKjxZTKi3rtlsiF 5T7qXi4HUBn9B1ZgRv8ogMfr+ONpmBURtNIP+Q3nlSa5OTh7Mj31Ccf9BbUTQXL/mM6yASI/PZpS quM8Cwh5NFohX3dpAVgWvFWELYIfrWM/vHrtIrgUK9GtMSTkiPB4k2fH0T5j9C430krnBFdSEiIt Q86lHA4IM0BcGB5EK6WsYvmA6OE9TtTzWsOMJFDcKaITQtV7wn8WnMNUSObXvS6cwx9+kkeA2vVW 5xDENud2tgOLr2Hxe1wY+cWHEENph4BxSeXd02FW3KBzke6ME9dIaR9Ddi5E0AF4VlSSlAhblkrG m8yP4wGFQlPoSJHGxZvPtlP49/IqWIgf/kHqWPLy0quHAqLEpxsSsp5QRp0PbV9zoMovx2CVrBOF yHfJBj+tf/0mSx4sAvSpLmdrsEA9ltwqisl8pjFCo7vpT08+l/9Kf9no/b5BSEzYIB1NaU2GwFoo z/QmnXF9amPKWtlBL6qoPtJqIvbpf/E9DPn+ImvlIpGeRQmjdTVtVbQhuclb8W9Qmuckdyd4Rgmy iAuZwCnd6kMv6RUTgBOao+lvpKbcmLAGnhAU4dOFGtZcaVgfr4Ri9uEnVZPDZwIiXPjluZ3X9LTZ zZaxlTr/gbVMXwHPAjiDd2pex9wJs0DC4lBtevImy6j5X4VV7r0ZzSZ2MBKz5D76ktIA2VeK1HXW /VGgkSHiC6p80a5QMt+yjOazXsig8zdQpYopIxDYShHkFa1T6u2VXFDqfcO4BBdCLc3mxmZXy5r1 re1RVFmJB1A94A3KEseEGFWpLMrFayvsaYXdevfw+JLg8EUl1eA9WaGrxhk4+cOa4qaNogwUzkBB ch+u2/fmHXwapygeus7Rz3OxNvHfyVQjuZXbXyqXEMaC/K3dKVVfu49+wa/23RCVXkXtl/DKoWzY pjK5fO7w1Xzz7dIX00V75zSYxT/l481lUyd88tTZy1UYuzb6ROf90Re2tBgQpYg4F2uWnv3Q2Fes sLO/5pxlqxv6FxmIBnd34SFBAzZLasLZLwv+vRikScyTGiaRGAKwHRpycYMtYe79BCqgaAXgpwFd iyYbAyuSqOrXaQgLcTKf8Nok8YUErAh950LtDtq1B71DuQ/r/dF62aRNY0oWxuxiCWNrviLI/zVK cI5lYn8uo4L9ooBmMOApovQBhKL0EaooIISco5rV6eiG27V3+D+tdhMrvSJU53rYpSE/LxnxqgmF tOCNhfglRSuKsA0wpUfTDbARCOJNTHa9EtSA5XVUV54/UIbXXTQ1xju51c+P2eUpp23Me9+QxGxj 1dmrsPimH1uybpoVYZ4oswmXzsNQX9mOXzm6S7rlY/7CyLWLexA/xfUFwZ2iClJF7K6vY6c6o8Py OMaNR8QD5xTkxs2yqsda6m8LaLk0Ofd2Hlm1qyVPWkVqWpbppdlxhld5S1iTZ9M071FqZHXMbhFs 0UekB6i1jfJheoOf4nEHf5u5QubDdhLWiMUOGcaEVXGkUi9bleLUTbx8v2vWQyp2UURON/klkHJ9 Agktgz0tzzE31JWekMvVol/k7gYbv8w6pfVtnc5WTtlq3PByg4iwjo4lytrNkx7gfXdDaQFraWrU XFi8DHhT9h3jxa/7zQC3N5IpKxX5MYZkya5hraJPoG51uoU7qbP5wqmsO0rz94exfg6Dle7Bv/Kf d3Izyj6VCh9JL+GEBuThCBHAGBJqBPyuVmuBQw/iDJIM9mNgWa8IBeNwLsGcDVG0yUmCGrghmFU9 LRph0hc0CJfo7KeW5nOh7+5h4zZXr4gwn8uFDca11uEufIRR3FPa1/69dO7vGO/30L/JBGMlPYoe 46o6KYpvvY+JuhfaNdnAFi1wfL9Fr11yohxbuWjT8EwP/8RQLi5/Gu5tI0EGjoMZnWUt5zI4zb4p WTMljhflwU4AUGKfU4P3iZhA0luMSA3/esycq9Nj7tKT/pkbKpaOndaDa8S3zyK1H7AYzXRZYU82 GtB9IZFgbcdja2aPl41gp0aLj+eER6KS+w2tQPr5q0a7Zymc+GZZx1C6n8A5Vauv36OY2Tl07nFy //6Pyf6S5lh5271zAgbFjIOOH8kB6EG1ERMSa2Gw0QZ9No/idb5V1wjDzdOMMJ4dnO/CXSyVCJwF owRhcDN+zsOgAXr0L/gaIl8PFNRp+7RVMSqsAwXtHBJPP/nD1RAxYvVrPDT7K2pLqABjWo0r5l+X 5MLrtoBiZaFaPADO1bhxUXdQicY1Ck17o4ksA6YVpF9qrkyoRsDsT4HAHrXiQfy46vqnuO1FfsUs iZ4NIZrzeagcYP/Mkr0ymalJKzxRafpYEZvQEJC497esJdbXJgpwGNjNOjzKJK3OOfAjX/O5XCZK sSdB5A+KxC5MPHUoI0d+mi/8hrRpaYPJ06EWcQFNZipZBhxMwgLmpGUkDrLwusY5jVkC7MTo3HBk b8U+GS/75dMx2U9fdmlIo3ZinUeN7fiqkbLe9yvUZhMzPRJB086u9Xf57pPQd39uZCoeeKCSzHaC PBFMZJHOLJxfIvmlh16eNj9M7ieDWpsmFeCI/zAEydnmFv4hX40PQUK/F5E5uzwvVhpA+ShiqiFf gFd7N5qHgo4xSzxCtDoJnwzlBpiMBFaEE7FZGQUWF6SSjH1GvGfJWbXnJGNPea75jS9NwSvlu3Hc EpLnEVYN7wbEywoQwjljgDFo3rdlYQOD+g/OR9fFn1tbYmYj07TRpNvPLJaOFivawRANKTs21DfP s/v6CjCl7r418IKd1cMvOy6g+uHpoz2dd7L3Kcb6D7xjX4Wb5teE/6pSd6IcNWwVMAGIzM+hYRYp moZi4qvGgGWg5QM1kr5T7agPsASslO1/cTh3Dtyq21+E2WOL1mCgGdLosaRS91p4Gz17+V51l2aI +tFAJvn+ef0WLe4sYYnWKRkEKcQXW2jPFSYn5ueBco/1MR51FKSqtkxdjKU1tdUuMHCH4UZGChfS RZv/MsvTiJNMrbN2IPaAda6R1icxk+quqbol5yQ0CSEeSA04107zVTQfF2eZHC0jacG9An5ofTla 5+UEeEFxc7MMUUWzgegDwicFGYPmzt2rNvHma019OLfLOcGGyQC1ebHHKsPxI5sYAxErf41odyVn xumx/IqShsflZXHn3epSyoj1RM8QWCSYV4VYg3fV3QqDiqkHYG+VDGMHJBdxPDf+JSi/x0NXtVOr OIqvk9W0QsNBbSaZhNhQPxUSTxtCEn/KKpGsvhGdW6+RBYtPCfYf3iTULtHCxUPbncrHz5bbG2Do i5BED8PU83bRj4ZtCDyDk6sZr9zpuwO1vU98jPYwGCxyBT0E3ZYweeaC3NVRovVkBWJcnmcm0FQ9 bsTK4PKQE2IJM78HwC5L+qfD1Kv4loB2yr+BjlhnMo6mmNPUkL2kraLiMBpcxhbn4utiUd1zzbRA RwyeeTwU9g4VTcpjojmoR9RlpJZXjSlXZRtHbDY+31j7yfu/HJl18ma/9Tx2ihKqGlOuDfLI+mFp BnJ1PUQixzDfA5yxW4dxwIbyiWs/QIpyWuSSk/EbyIepy7Qv9Ld7Cpj5DOARSLl/8mEKLAVIFNjd bn8w9wKkGsY4tBzVybZCUHc3m/3m+fvUUHNJjAUFF/eShGSlRa20/4fgw+OOPuGR7zQ0QhU8/Q9H 1+R53lHxxYy21c0TLjdhWVLc78WQsKoXZTiAV4v3vUqdeOsG73k5jiMYkBf4HTOz11Zl4yqqTwYp H1liAOgYVt6JaxGD8OmsubWblQCaRNpIqWgfSu070JHq8ax3juGx7PNLzDl354jKz2YOUnjHF3W3 /XyMc4tbIro730Vx1Jd2pjTs+EMvmJSuU1NxrfcZjaAsu7Fh18B2ze6RjYwKEJkPmwC66iB2KNV8 cU/x+xmSzjv/cXI8bBd2lsQ4hlH8rsuXtGBTvntrxZoU53FUSFe4GxeS5/zcaq+jzEEn1vsvPVpY JKNH8dwTKP0tgI++q3vqgITiNrsumvkz+l2eX+VkhILKbbvMn1K21n7DgMI1sJYP2yFyIl7EOPNB oLtiBysMM5riSaaKHldMlLylkckQULLBR5U3+pzSBCBLgOm2tYr0uJCzuTWCXCKQeFUqnKoI9o4r Sn3RBb8WoPii+A4rxjSlSYPXsbiN+B+JtAmf05HB2JOfCtyif0ZxS/5q5/bZri8SlRvZgeXDZUwQ xSihRkMDwpbU0QBm27csZqKfFFyD7fqr7C6mbPkWgUV9iorcDNJlc+UefVmuGdlmhmer4Zcpt4uk RGgkn1g6BBJBBgHK0SEboyNLHrmSxSkxbnBX36W5T9bF8/9yQbgGTeZmtc9DQ/jE371n0A86YZaV y3+6N+bln3xIqOCtiqXwtHrcEkIVACGMtdBdp/oYiRN3iZY2ySERJgEEi/PJ6cw52OgKJaWGEtTE gqhiDQMMYnD1YZkNZ/Q/zq7tUiPMsvA+s3M0KlszB/lp/VQwXznqSzKuk+WzPGLQ5yxecZPAjvxW b2ulbHQdYSbTo8oUlOvkW/MvOFkMLZXsxcpXIkA1vI3v/x94p3vwmZhQ+HQ0PtrebLuwrCUIITiV RWXZHiBtN/9P7t3EyY9L/xsSswsH1IhFBbkYK82YQyawZrJJYC1TQ1A8GcclrlI0LkYiQFXTkURw UUtqQyZo4qLnFBdRuwZwLlCaU89yu1iMIMG7BGSYh8JzJ9ScAE0jt5wvG0amoeOJZnmAdFuyPk+X WL+nJmSyfpUOk9HfoSi2oLq44cd0mptaWtK4Xj1m/s0rJmigtmIUCvgUvzJjJ1vncIHoyfgt7TOT cXqbn6C3AI1ySk0HyLmGUfcDrAQCJKyO9a3SFh2WfBNlDsJPKiQmiz2bh6L5WVcla9YzqIoNdl0D x94y82sGMJoFpQYVtHukiGsKuPsvxKKfA7X+5pv2aQnXZoHr8XvXPvjpn2Lf4cMJ/JYAIXojByQw FzNVPrhMM1Rmoo2OmT6VScYjLIJmbqxhPNBMgLUcCqHyL1j/wf7NaBbDIO0jiStlpLXmXtnca7Q/ uDtCcvoQucpF21C1QqKrZHOL0x6rjEK/1aTAxZxi8br0PnQVMAXdNwxqwaI3oTaMAmk612jsuGN7 u7llAMxaTN3ZIQ1zBSVROrsqU78W94y3KzNd/CpY8ITiciCkdw5qmONrhb+c6gCWa4jBSs7vm/Bv HtnM4wDztXhk5CiQWX+ZKi6kpQoQ0hVR6PPFvTHPEDSSzJTfzTB5XX9nDIJMBz0DNw5hJASGW/9B N/NjXOVnviD+SZQdU8GPmpUJqE2NNfPpvxdQStBtwZe2hMspQmSmcgPPzRgUk+cbcYkaWJefBE5M H72kXO4ByWZDwPWECsXhu2TKOkXXRoNOiM81mS++yfhMiwePPnsODgDFEHTGt6soAm9T8zlawT7c gNlw4O6tAEQNtC83AuH0tABpIdSt3x8uYqR1MTW+OGPmQOiDxEuOQg/lehFDsuQvtWuKPqKXfKbQ EzpFJNNRwMRK8x6EAjxA6xuXA/dPcawztZl2nhZcqL1xOjS11tb6ufoYaGJxxEVEdYB2MZA9r67X IQ6WyUdaAu/sN8E8BwRa2s2rIC/vvycxUe6BjZ3KuyxL4Rmcsp/j5zKNj1bt6UCJHAk+pK7PV6m2 CD2NKwwsNzItboWFcIjg+0E2npPe2Rzs7VSup9a1gwXBYdm7VMr389RULW3fanwd8enGeH+m9ltX zjWM7pOSggAt+IySxDIXrrKI15Ataj5xBSg6sdKbdizjc2abzI2nfBLaWciFH6tyCIaHMouEd/w3 JJwvjBWhQn9EVrJRGftuohVAp/INeo8A8G8aFXP1cykIRTv5A8lzIOAAGV2ooRIT2QPy7yyUR/Ot HngCDREFqWFLKxKWV6D7zvuGqgq3JBSnPSFfSs3gmeiLJmOOb0nOBSX6P1NhBjcBt0wsyydh5VhJ h7tzPAKLg8kEPFOrXmKKsoM4QG3lto+nW2jA+m9NkO9YJb188Tut2ux72fjr/+o3ntbsU0MqY6/Z LU5KrXyRwbapSVq8qF5NKVpXfzsZzg9SgrmCK/vESxNQKvlIYJVp2Lo1J0yFqsr8bn6jhgWSBHPC DaDnp9VipuuzbjKXDiI6X+JTJ8fmmH97Olvp5FuUAyQlYQKi6+pXaG0Q/ZfZciuA2UU9GTlsg0K4 JgKq63z/rqWvHLpTmBk/zl1YjFHIMUcvCJfRLdjfUWwM2cNtvlap9C9Kbz1ViHxv2vdEAttyMt8E MGHAwsUl5U4yBQZGw50fK2q+zoRzcnH3U/XiMMj1LXm2FkeLAywkkUw9d3V/MbHU4MwXPuo/f44C JMetZreH61LPEqceUdakY45j1vyGxckINdwDXPMe1xUXmAQopHSBYo+JkRQbwgnFBCDwAuwTHYmk lBy8AeSoFE64rdXwMJVEZfsjrGJM0v5bdbxVtokXtJgyYbjGtGH442dounwPEBE+Zf8X2GIXq/C2 B0aVYhbm0Xf/VGOVZiQMD0wCJyXPQez/1g082RfSucjCyjbBouE2UydTbpbRITA9G+BXDV7p/fBG lZZNPKRXhj5OOgkxD8GXrN35Vmu+sUvQALggB+OWFlBZzdGkkiahRMddIpH+x02c4n2bPi88KFIG wnYS0VdaY5LFcrFqAfdAoRTABUL2Be04t7El3yYp/nIeF7uxkB9dku3H1XkortCHNRwwxM/zGycI /4UoZICBoibZrLFG9PxUZAYrpVO/zJmDDUHt1ieOOAHlNP+/8ReVBO+i7Uij6HnJ4Uxk4ylGvyYJ uzy3Gfd6shI3y9JZK8wko+1UbR+Ea4/jpfTaUkU6Cfo6FUjyxTN76I8AnNlLkvSBZVpUAvQhTG02 Q773IQte1nV0KLOHM8lShpHKHfqBq1aU/HJ66FaG2s8bT7uoQGdmFfFu6ZTxxf69H1BkSof0BbqX y2AFpOuxxDQwBOpIRsppGtahsyz8uaZrsNn0sLPR4IvSGaDg616ZifeXfA1+p3bVIYnVpsGIwQpa XRf9jcfOd55YLxTOBueIJtSdPH+X8kbX/jVtubIg+x2+EHbBd0sr093ipt6Dg1y/rRIMv+bJxFMw QBXxfFgsx9+kiI3HwSyW8CwMA75/4Ks07md8ZgLEyfNTDGsrtwZGMW/hPIQYunaAj0SftsNgg5mS FHEZp8zcPJHeB7x6q8aPy+9NehsJ/z52P7qVaZTvxzekPLRug+Rk4tqvmKCrPYVQd8TWaYVPaynM TbXuldSWxdUE6VyYTarfjSMnFVz7lgIPeoXIxL6FUVx+YTnG418k8c2iI5lwMMIEPsu4W6dOy74t 9bnt9jfu4w3DDigVC8JeQWfZ9GyvzWveerjDbgn4a7C18B3mApaXulSQDSltqvmfKU9ptqe0d9Kq IyMvW5u2A6HumidRUhgTnlNLN5+sIQ6Ci9a5YpdJnzM9I32BICj04v8iulMcYuGF2+BJ0/mDvsq2 wTQ32dfn0gX83aKBPyuXaRK7vzOLBzw4Lihzw3WhzZ63LVAb8zIp927L+u57zN0u8NImy6Q7qgVj ZVT78XjVtH4h3z0kKj2kqNYf5oyGige89zn1b5FMd/gjzPnsFSLBAtJx7YoQRzzfrtGbfijbb4ko uKkvOeO0us49IucGP4PM1e8uFFcm6tl+BLzkDOB6DgitRu0t9ufqELVyHW1qx6MkO366FCEb7lTR 3HAXWkvo8ewQfY9VlfD7ysaEtPg5/JP5l0vBbSB9sKla6R1mGG8xPAz7+40bzxto31hdGRbQEwQM GT9A8/45uz4fBlogw41ug+wfY3fsj6zXgjXZarmnza89vwTgRzZWr2qneYJ9VwOONlQsPiDxftnd Q+Q6Dzsh3dkY77pavN1FLovp5h/7XVwm2lB9sIVtSib4QdakJGV0SkyzL80G4tjeHIdBRAsOBAFT gccRG5o8/UGfChHluaFW9hr2SF7GQtGstv1wKbNcXP1U3xBgOh8jMtovkqJ467a6gXJgSM/Sroc9 vy3rYzGU7FsHwvpF/LuiHF6DNFdGNMzqerGt8Iv1k976T79MKXe6yykC5Wc9sGLyMzi14FJV6lqC ZICyW1GcDBIuMhIUcVygZ7jyEOpmYCfAyz9wxhPnEkhRiJsQ7dNIFetz4QOY7m/LRUq7DEiVXMF+ IxFD7uN+fab5HrRcd35k/kiq1axwAy9eYNL7lyX2r/DjCoIeXJKjfkZyhYZ+G6iwZ6YkoFotHNfz zsJlAB5VBZvW1zJLNoqdYzB1JvObRChxXkyd+Gkf/3XVT8bBnoCEy+JvP0VrxQ5aB/B2jzriipzv sdINW2iMmhT1DbQQbP+P9/LTy9ssYcyeRFvlnaf+OUHB70aieJsrkkwiO/xpe6kz2NvVswzVAYl8 pvnEMRsruJMpq/7UIUduV2dwHUKgD6PkuDPwg0jfgxNtxKkA+G6txNVWUo58E6QJKvfZwvC6UHV0 hGtgEMvevrs1GlK0A0lRuwCv90wOqMXXK6Feyb0VjUn9s1GpB+GdomTsuhcuVXCloWMOWbx1k+sQ clY9BBxJrURq1Nwo8OdEC3pbOg8swyROhBj9XhGpepAYND16bzpin2zPKWJU9eqBiGZxx6sNGw73 N2vo41n8UlhzfhXgQ/v6FmSMwRcgGYao2I8FdgRq8bffbrdvj+3udzRUu+MQQwTj7DQMjYeh0Rwy l5DaumNlK/DIqlKg7VXRxYm28KHmxRXkvuH1j9xl/Tha1PAIWGZuaHtQI/BMPJDpyDb05kec74cK 6tjq6COHaHZqHqaeVb2lO8fwzbfP477qra+uDEnT9Gaw6K8hz78nMwVM/EE65eu0L3Ny/SXDZfBr Bw2bLoDCLdQ54YWpIbdx1QjjdCLrVePbsNNbr0Z16w2nAKX1ZMiXK2wnO6G5p+uVwneKZg18fvb+ H/yLAmVD4zDho7kGM6ssWqn67+l6FLoiTs7j1b5tQRUPabzDdfejyobI/G/a+orJURswyuG16QlR LScRuC0G2G0ltr4K+rbRgFTPrLbABRmlI4D4fUmFhFFnzbaFFViedW5TD6Tv8aWm3NJPgQ1gCcec eCpHWMDFtSBvpdJs51V2RqenNMfX/ZPGKdy0cCGLdUuQgVABWpN72YIYR7GXrT/CSSvRS32U+6Mg kvDQ5Kboab21V/tUEjxNz90ie/fB7uB/mxu6LZxKNTS2omje5MP2inGc8V/szezbZoQkQt7GacP4 vpeyujGFhZZ32TZtaqAHtlsi3xmW2P5wmAvdNExn0dm7oO3tV5c19V0vicH9ue9v78a3S98OD9LF onnm7pzPj5qEiZj/LwqCmbY/bFba99LRl+tYVziyU//RjaDcjY3dFO/cN54JjIZ5XwdEkf3FvyYk 6uvS7pNl7KFADhfE1KEffoJwgbwM2gtgqJQfzKhQjr/8yfyAd0D9MRs+dAJaN3NoGgBKAUiCOPb/ u032yC25xmUcwmF2QO6RKV9GDgbg6J1GQUYxJfmFnOD1YbouqsMsXY9IlLfHuT9fSfuZWYGBN+Sf qtfSasooyf8rXuvob0nDVCkHtriLbGLMThbm3HKWofYAMyyw8wNuqf6CQUq9qabxl1ixtJviO9NX IG1ne8d2T289w1SEGDm5aJx5ju9m6z6RPFqxvghG9VbDg9aiqlIob7hla+FxnGoFo7TaFhOGxn0O RcLTQPGGAnoGIzj1DgtmoMXKCnpLoDBNKvxKSYZWeDpErIcfw8dwUbkvS5lLg2bSEgKvkwfH+IK5 yHPJYFMwCEv3kQKkldJNLnX64lOsTXZXEFK6NiBmxuA7r88TzEM5NhBVwTWcElaaeC7WoqO8jxtx ac+NsvKMpCbwhZyVQuUsZOMtKCLBDMxGFXbY/ECbtTgEY6hzNgGRm5TUlXTxZEP9MwXbWo4zAkeK niYm1JC9b6/fP7kjmwt6mKpaeruVSewu1MWO3Svv1ZI1JObLorb3RnuFe0hItmbyxApUE4rhYJwK vUCnt7AlcwgtCxN5eBiaeCuzvzTCngupB07R5Fx8xNikThFBUgRa1x9pre/ghEQL/47n5Q3k4Fsu pWAn8QJKErgNWbdnJcoqJN2bUZXx9qEgZTtucCxN/NL6cQvh9YLb3pru18qONNuX5pgPsrTBnrHM KDtus6gFdttpH9PSjq+C7Ah59+oY099HAHHNejvza1HsMT1ux01/qrKRC5b787qm6uYZJ/xsDuqT glJ3oOaFi/qpiJ+7u6bv4GB0LXMn6ILyljcc/JHWm3BXNT7XyL/eNyMM/in3w3uOOlEJJZ9++GWE 3BZv13klAcrTq5avGsR7xI0JX9Qp101tBNLXJ/AGgj9s1APTpK2pLU0KF/SswUPXcSQF4bMoJf4G bFgUAIPMYK4gk6dbeebnb7Uhl2FdAKlj4LgjIko7XN3XBJpoS/y6ZNOy28GQmCnhOf7prvkn367e JQlTBrtD+VVA09zEZniHz7udqbUSbLqKv4fWQclSMGdgn+T6xVoN+htprH8zowdHUrFj8+/ILuTw idZmNUZbaaDYqpRWSn03QnU21bxh8EgRHoDsK8L7saGXsd38r4UW2sOdg9Tk7DhEtvSrapMSeirW /+vXG9DMZG7JCXqzDVNqufRVx3hw5/nUE9pDfcP32iOzJO/0mlN4OtepxebKG4Yo4oh7KASCqG22 EAzYrkNsp/0LvBT078xD4+OYwZS8BdgH7S+9zpLf+5XTbVmUsqfguqTk2cMHBvfq/P0Zq2Hhjq+c pHGv/wRMybeIvDvGWVvsBH49CeekwFAnm0FbzvANNHJFWVJP7V8yTor9rzMNXAoyPemxfYfTVi8c IgQl63vsgce4Zv08cbEYd/TCzbjS8J9oCRk8T5x8qRE0Fo5tlYgVkhlslUiwHzk7xWQdaycEOgg6 q7GvFhxw/3JIGBg+k4i4a736/jEVB374bYOq4s5igdmD74QF9ndWiiUWbLqDsbhDeMOCvtguLI6m MumLWJpjdNKGxIpPw12qvng314WWgOZanlbCFdbHIjnhRkyDV0c5TcWX/rSjx0nuYsM0+//6bqyj rG177Plw2hquigg+7xQeLdQFuqah5b9hr9F9wGmITlUHIc4vEInPOTwTnR3OCgl/82a28GGmQsY1 vZ29JDw7yUD7I8RS56lXQxVicJaXIgmPJOBc/L1r+mmKWQ9aaOd45mjn3/6KL2nLbxkLlj/HyySz 5OS2B9LMg4uWkkbnYJXKxpCtpLR2V/j8+SH7so8Ac6gMXE3yyDpjjdMepWB+tuVcLT3xMCYw53OZ 9jDmB8A2fQLYOCD0Sl1rGA74LO6RCFPHN+2TiTszNFMDc8CY27FXH4WPzNQdADjf6MtfhFNBDox7 QGrSjeA7K7MPyIsvs//7aWbzhIETYZsJcRN0z539/4PYA8gPm9PC1mATWJsEEw+8zfdvDqf2wMOn PGoR2Ay06tlnvInEVPWz2t2O7GP7Pebh/YL4gP4GYPG9h3VPuyHN9i64TbVrY8j9n66eo1q/HaLh 049+iQKZNytSu4lX/13ohY7VG8VoRYnHeZKVoMdNdjEt33JsgXo2aAosQ5cIDQJPpwNcf3AJJX2T irbuDRqebvbcjIxTMLdYVSJZqDJt54E9kQMGHnyU5HDhNH4RwRhzbI2v0RtECLskVklc4cHYj6Dx 6KFRO2hN4GvhWF+PWv6JCFMGMKDo0YSlWPXv+RPbDEMQwCXUvtX75XztRx63LSqatY5SX3NiIl4E DZxPWNEienHA5UcrLbFC7u2vsNAhFRxOFNZkb1W2iZYX49Jg9qzOF0Asg0gNWmqzvIkrgfoHFyUJ gDOVIDFJ+RvkT0rJ9/d72DLo89ZKcUxYwlYHcOcHDfiJflEydkfMPtzDjWYPqTSfkyMywfkXl/6q Uon4tbNCk7PC2YiFZaeNJhPEFTMzNnP5foq0zuogBL5TlL9P6Hfbi4L72FB6vMm90oDv4CAW2nyb Ia9bzq3PXenenlrSUMnrzSoY7uLp32nth5d/kOJPcrqnSxWfGzdGZ82GIULewAWqpz+kEuYY7vAW khjhLwN94dl0xle7d4rMdHPxo++Z+Vuga+5TLpFDi5lZOpBcu75xfk4P8L6Y9eZ+QVccgYWjo74h FINSVlCWaFoeZUwco0ag9yjfpA6x1J+W45xqHsKxn9hDZYDCe0OH3qnrEjh02+f1yk0FIxcmC2nS djecDfIPpdyo8ho2SVaThRPHltMdXOdkLhlXlCLycvfNfX9VsAIrii/U/gq2LdgoNiPVzmrGkkrL CMIlHfx1ADwHOW2wZtYB2B/6P6LpCJeDvNptG8sf7mweU/JlXK4/N6R52w7sErXLjTgGOp03QOCh BQgLwmS6hQLz1fipG+iiri06Bes3TDAo8e8KiYNrvtsneqxKIvy7MG+TPd6Tz5tFopS+c3QWwCnD cqSovIOurHkJhwJAoyByjUWnZeBkaq+n9Dlra8g4pOFvsohaTDfz1toYa7cFMHkHGQosd7Uds/at 2AoQxLh0XN379/FZHv4NpHVrOrDPv9k9nw/7+1IVi122NEaqnPEyBq5sospsbmczNa1B+d0EgUmO HGiPcQhGt9h9nxq6AfPJMRwuC2R6NWxY3n5gnjGiouEPl0klGTYQgpZ4O4ZB38tW7BaaHpZ9dBx3 iLr2md/ssDp4jJRoPeGBt9CwIjz/SqFIbjVD4gpgjBn8CnIQ9npQwUyg4QaJrPyUUgS+SpS3xnyC NTfScNCIxhcu76ThTzshywJux3Mhnix7yx6oljt+zd+W8QGsCq4vB143kUfQFh9Vc+PkJJRC87x0 G0JePcp7b5HcVPd4Apf+ry3swZj6FS01wzmdmd1BDVjDlYZN6Iz+6i2qo40IYto7IS6aHK6UFX0P Q7EQoxDq3CpPfay3rRBRckSS06p7UBTWYVpW2po1qpJeTtqjiMQkFbzdVTetCJwuQ6J52vM0svA0 r/OZmKT6NFqw2OP47mtfX2bV8xoqcn066/uPAcYi6Bedsi4QUg4GfHR7zUHJ/t+tJ4cnJmVqiJlq suf68vR/HNlES+K2Nt/NNjyPtn0pEdG/VuXYIvkQ/ss9xT9tSahoq4Z9WIEtWy+iH+nLy9pprpOF 0QnlQP9b0Vk/1UPX5+at9DCwmSZ7kh7TW7XBJNkcsUFiVkE7kw00bjBCPsXnaZ1qu0rIMJSuijyG eBdmlhRtwnKAbSlim2qDv/cTcWuBun/k7Ha1GyBDUnQT2/WFggGuiIEtyA7QiMxNl2sMGehLc8zo 0lAHtWotd51zR8UwHMG3+7ETuRNH0BC7W2elF62PYTei12Xh5DG/TqRscYOEf1Ucplu/HCIjxrU1 vND2CY3TinORFqT67Rdhe+lAMaIoNFYMap1t2IAkj8EIA/dxVp7GzI8aWkdVEaJVFq9P7R1WSseY PQZhej2e7qBzkYMh/vgFGG+NnOXO3w2mpGi2c3NtmRfzraVpVY+oMfzw99M7BD4VR+5Wp88SHJD5 A+RhnHwFbeSep1UTHlE+TIYKnaXG1G01RV7w8AHt/lCdECNDNSara+eWFVP7wF8M4iQVohuqtNOm 5XkWlErukLdOVtz9DeP0gcmc3Luf7bV8niF+WTKq9e3XP/bKSmVSFzR7kTKdg5z9Bd88gP92pLiA wm75TsMeScE1d2LoiPKx9vQabL+2XpXsnTj1pp7KknZQZfSlyr+8qmUkPxOUlB3p0pb6soNH1/xF zyJaUYfT8O6weAQZlRfI3edSRrCQI5ni8/vF1lP398Q1RCZBgEtSi0DbVbhC7Bcu9xxGnF2o3Jh3 3h4t/MLG0Rp+lg/1jadDfcnC5Qovraxzg807LnN/To+IbxIXcgj3evSuKBQfBRDvJhcyEt63GiqK LUyE5G1Hq/xxge5rsKMh2x8d1UMI6KzQ7gsTWRafzvss5VJIk9KJ11/Dp4WTmf623fSQz1BzJlJe onRu7z0Zs+b/UR+bD2D4mMn8LhFw5Q0W+n4rnKwn97xNtgx19D0xhsEsDlBTw+fuZ92LNyhTE5Ig AIYgZ34+gCc8u28pMZB51ysAzC8vys3OfiSFMSPwhFrYW4qAcxGJYmeJmNjdtL7K5rhO2sVYE7zg ODYvdCo1kL2rEmwDFZD04CGcCLeNri/3mFkT/5kor78VPzNA3549o5LmDRSfQAi01IKHQzttwC4+ rWVZoYDWbo+J02DdMr6kbZufADCsg0bqvbjqmqM/Ewrwmh8PSk9p/cx4/7oM3mD9BOnoqFsXSDtH PLiUZ56fwabfxSluI+1/inFpb5QkjpdIlsc6NZg/4Sqj9dzPdaGgkxIYrYtxNFIhNPEChmWJD4L8 s3v3upQsvp5RZ20CIzLEfuXRSFS+ZJ30Majf4UrehRVa3qAoY9JldCU0rOZJGFNAd5TflejsqiBy eXIsS+R4Wq3zLzMhYRYAmIDQM3xUkJq3yYugpijiPLwhNDD17HYxaiYmG9Y9btliRMIDQbN269P3 g/kkFGrZnfSeIZwsL0rgq3cFo8rjCLZZIMe1AsUxrxdXxCIr1xDPlwA61wWJv64ulj1ho6Oer5dJ JDwyOu92ytGAdwe2umJ9vOBIBOYJuy31tTsPWgD8mhkOqpkcgRa5PIowctQDda1WS9npS0imsSip 7MvAAVQFNbPBHwktRIjsbQuKTFJfysXdOmQGmZlC3cjppBzR+F29knY/C3d0k2wA6g2I45/s4kGn L9nDbMmGyhgPW5MP1NXEQjQSD68pcpf1Ax5HA1MfyyabbgN+xsHC7a88paiwTOGjAdSdmnCByq6P Gl6qV2wTcZdM3SkRugsfwFdBH4OOmxq8iC0beQmrY+4pClTudawaTGNKoQSB9sCCifaLM/uTLCV3 nMbyQzJ7hD7DPCL4mHOG7CPkoNd0g8PVjFkqIq072XWT30QbTMewyHDxKs+MrxXg6RvLTOdgU8uc yeEQvuOb+Mi9p69fZxc11D2cSgR4N/FyBvzb9d/ny58PSHPEKr5Ix/pFfBoT3oLl1ZTRl6daIjKD 6/uwLWyGPoBZIgsQMhANm3g7lCZEMyvB/AfHBsBmZVp1oFW4V5nsy2EuBqxf13OEKbWBepUDCwJa 8vM1RtLVckQjdo6Ywa4cEvEgA3EMW+bRpqHcoSj4Iq6p8+wX38VI3PCfu/LIHyMC5JD+FW/WekyQ ftpyEYc4OmezUjv1s6RJQyVqYhGBp9in38WmiIGklEG7odT1E0EoZylioeUj1obca1APuZJoBQOE 5oQFNQXi66aXVx+on8s9JzsKODLQiWpqNkdoo1kgJyWJg4ZtkNBKXVYSkVEcMbyggkQIm1z/4dmR Vf/dtOQek0DFuuNUI4WtKIkyqiB+Wefm0vnSlEhAbwmSShNRPwVSZZzV5e38SmgTVig6aWj3ROWm Hn/qR3SLgdzn2r2th3amg0QxHPJRuH0ge2wygVMZNj24sHPQBzoHZKrSQb8c6F0f09hJJzwpDiLS Tngr8oDWwd8HLusYH1/JWWb70AVpes9LdwW82wVVzPFhZ9C8AxEG0NS/v2d0R36cy47RnpCPE6bC HisFee6af3vt12nb5Ur2L0Y6hfpkXWmwJ1AEkrs/uDhHqjqUsNJNMsbc87Nz3xsSgPmGgb8GtqDu ciIOjf9aKRXUGDzruOMSqsfc4psNSIb17yrZn+TAViGxj1J4ZzbNRsadQyZPm1tlMmiPB+Gq6ROa NC9D94FwMN29pM4xi6ZE5kzQzsmMAFcUKgz8VH6rYDDFyR/0baLfWcWjNyQccrZsiAVn2GRfeYYj d7ZVANKdlCwcybEkIcriuNniut9pz1asBKrafCdUlbd1FZAlkMGyf4o6W8x8lKGx5kSFFkTqFdWM U28+rOMP2QOZugvWtSAbkHpS9PlCMignnUhagpntb5mvQXrx5Ql41rq3sHfgHIl7y3PghtcjvnA9 7yBG9j2F+xSwaM0WVOKNm2+NvvVH4fKVHl+rjGfNgefBNxxyCASv1SMg/LFdKr7bEg/4d7EilkEg VLIv4QMLJlXdEgYyW/Ke4vjb0d8rvnZy5nX6SVp5hjmHPTx5yYm5BYgmnWMjoQzOi1XkIU2TENUk P1JRkTyUSKeyDD0X06Ks3BjPMSdANwhmmEaAGiZNxXQOPG+G6sXegtq5x7Tjm5UOPnGTRYq/PF96 1ZTEuZwQoDXaEmgLNxu9XG1F+vtYzUzP0gEPLqNahDBxlNzM5SX0KAHTM96HdGN22OzmVba++Clv DfJjl3MBO9OcLyf2z4U8omx04NwIQG4eFV0vudr/Yy/mskYc0xfO4bn4yLIHOYZICcbFM4T5c66K d9TWgz/M2IfeAH6KFck1Mo37ffCLlJkWh8Men7Drsaus79lZEMHF5KrfjpNKN2N5/dK+fK7apARG aAcTVwGoJqIZiqBLeXbXjhGdsJfjrAtdiUpiXsIPCJlwZqeHkvLVcBtwOH2ES2gW/inxotsN0MkQ Hg7Ox4Ay0LaOiPYRakCoNS7pthEC6tjZDY96p6q4OvRD/glkLBfwG2lUqqBDif0LjtXhFDxZiO/a jv6Uxdd1VNeOBolCiFKJIBww8/W7b0IGjipPcsjfGTYcM2cO/hV7hkZd09Ip0QhuAYVkGN788xci 3g9ov9St7xDiD7Q4Yb1GzlX+AoPyjP1/t1RvqhYshKpxi9KrwBHti1dyWf4XOPlr62Z+ZKf6KA7z kLpbTqfNhhPKvZ8N9RUqYsXwR2YL73sKUSS/kj4o5uJYwlp2zo3vIkbJCI6aU17Yjl99BMN5kShC Hj4ZEKP2lmXHUDDgJhOHYo1PNmEBh+uVLKsOsJM+k68KrRVpc6ryhyul4m6z5L1/tYqivDzNYYpO in006AoSFZ5ZOgRzwYsH9Sh/KiNkciTUzXENJdhxMdqymiINDkXa7kFz3HwPPbEoF4Vaz2pPTJG2 CMfrE0QF3LXZScj7NeQa7dq/bj8H0UjCMvQB6gVb6KcM5amDXMti/ATTa+wBhxobS2ugflUvoTGm yOA1I2UQGwAk4raKzEkCwz7kHRSwbXA/rXete2AYNkdxvVMvHopV1p9W4VCnOcZDg9FEsvxgwx9a fm+R/nUwMf7seeuHW/wsm0Q8FVhWLBSZ8W7/cEH3ld6mk8iIQ0QFM9KyTkYNpHZGlBzjTIiFgree MjiB8Y5XWq2ip3F83G3g2Wxa0QJsS+iR2KZb0T4e+T/uq5VUG/9addM4zRCvpxcRb6NI7wGbRcZM Iz9hTuGKPFVb9207pNBEO5qh1pbzoyNZO9qtUvy9eik2PhgC20rMlrzr4GCx2K3ZCp8tLE1UKOh5 KpGt4cOGtBuIdqQhDnGbeShMtjIcf2d5w1xUW74MmztD4oRt/07JWRR9F3VBIC1j2kF6vsWF/deL cDm04Zs69ro7wYSkKLheQpGx2OHdn+hxQbIGd787c0TskAgHneq7D5HcBDFKitggUf6IZ3Vxd6eG QUPsmw+9tDrEI0dktBaqjMZCQ4SWSQs55VoQT7weoH8C+7dAH2fyY0V6ub0eyFxeWGSdpDxf8GRF Or4uX2gwQ2jYwf0UnlcXBSNRijJdBJBBmO+2Lbi7WqagK9t7eWV6Y42T1HAk2aXHBU3MywCAJZnN 0yFi1EJ1pT0xXLSl6Vr/8dl8UkTkWHSl8gFi6lKmQGTFaowbvpgW6ZRjvhtoX7nkfDHIAjznPBYn Jh9wS7lfaKwhsnoxOhmNP1XakicUUSPWLJg+AmX14ShcobVw2bMGbcrvy2HlTZqIMwZCI+UsQAMO epMa8xzMRSew7lE4DsTDtjqOo1pU4JSQt4Kze1DJTBtf7LFKzKk0OwdOtpmY5NsCXarpJ5uiOw8q 9eug10bTyBGJHOmGvCfney/LQnz3miTd69XzT+g0QPoJa2EQW0PkQ1Jwr5Hz0iWYnxR3/g4iop42 cdqN+zDdWPFc2F9guYYNQtaYBoarvlcYBF7hzN63QRxIsep/tdUSIWQdHr4dbKPmwsEfEpqMBQ3p +mlO054fVWHqHXifafvSvfqcsowMd/4NSfKiT5d5W5oP5TZVxZOKIMthxwcXaU/zxzZbaYkGF1JQ cyWqI4+hbn5C4Z1KRqLGhmJq54iik3ZMKsmi8dYLfnec/u8A7HHUZlLBpftXgkwcbHBCx9G7KZn5 FiRrzsBx/daZhxMHxCKu44VlCJbAVNUPwPSjvlr6jtqVFp/y14MrSZ5Hfj9m1AEMD1Bypeor+tHB n1QAex+QeuS7eWwjkBNW4jKg1jrRjmdtS47NKS9F9WGrLXqZJ71MtSvpOBJxI0bf1ni/PwafONsF jW8P7DmgLn5eRwDga/vzee1nZk9H17dSK5XXKWI6V1w6PvK+anwQIHN5vRL4QQeQysGT3O+fqa7n LHOELtOo2v5LyuYrj1vTgIKRORo3996T304QR1KN9cvZchNtkBH3moSCLkuRqkRMun3CQOWItc8K jqLeT8mLONpWusDa4lbjuZgrqEKcfraoUXFojk5rFxVl3sd6iYxgjwD4Du9+oSvMVYbMPansW4JZ bMJAwPJ57wUE8wRBwsVy6nN/mtaW+zh1oHyBbE6SojkUUlfTaJy0gfcdOjasz+wdvxpRFMmmiU9r gsbJYjEmEYi493p2CqeN+yqZq6bVrWQxF+XaJ3xQ1F3r6E2mdNMf2mkUpL+MfO0D1BcalBLYgLeJ 6D5owWs5dquKxDtwfmD4iD0l8bamHEfCHgJN8yyoYesux9aHgZHcoqdD3a/Wzdijb6G/7upOHSQe FeJ/qBhH175hfBUR4cybhHSJI9BJG4y0xXGCPZB72TLaIfzhwBnye8ARCSjKzCVtYANJsVvnq/r/ eoXSue+ng7/hC0XiJ9tw29aWpJ50lfcDKC7p83U420ECCUEVpnVPbFK458vWiN2RFKkOeUUP5R5x vHwTjQtY7G3Ux1DjlxAd8gVm9+AcC1erW7iAZVX8arl3cS2KQBXd0wIKVq6i5lnjIzQXSd/4xafF 9ynP8VIVc/xdwRibcTKHjOvpQ1onD3lotnMQFUINpIIGiwxTJwmL4jd46CJqoOnh3ZHWykjnmFap WIqSyRhPoOSMYji1NEaaQZyKTDgZHGaH/eAx3ZUJL4vrct/lgmWhOqkbT7dFrCoJBFazWN02updH 4G1GxFk6DGY0dFWERYcpj5Zoz6QqX+kPeHcEDK30ICBnD70RgGfid6z0DwKDu+8TlxaHb9LBai+S 85ZAdf1ONdxmnIjfj3PMpZ4Lc4ox4CkD7QYdXBVzSdfIU6kodCue3mtPhLJSqxVSXLkQ5jEH0gDJ wRTkB1uU7FHqVeo7DhpO+caYoalJ6m6LdFJUweSJSzOCo+vYm0UTrnPDxLQq6OF3G3ILnehdDoPm iGGoYEJZmJGvv+yTEE0jvD65Lyqc7hEpln2xkSG9NYskDzJtGR0OCA6SSiYZOmISVWOrbyKtjSj2 F53yEo6ksSgCUWW/EAuRBmPmdclHa3UKk3KXwzyXnMfE4TG+MQiNf1MfAscSi+GfCtA0X2vDQf8j yMraFAOSWz4c/ZU8pXPK7w73u5yWgLyUvcEAa3DlN0smONXlr6q2AB4PuTiNC1xyfJGin5lKgIV9 a6zrXukmSianlNh7NjA+969HIjRCRC1cqdMlbvCtRzvgYiJ3Bv453K2+xJyKSfp8L0MadvzGLjW2 h/cBbgyGhIxalAqoBeStGKFCiQrZjir3gnVa++55J3vfuo62zAx/RHGrAe+EtulPIuqVeMCyNnMi ANLv5POQSZl0RNgCNvqqmHcUhPTjTh5SZcus9tHuhN0fE0+ZG3oBE375a2x4KVHhpEgn01cLXeX9 1/6VDiUnz5LLZibgbX6Av8n0m1jECIX2P8rFnWbghMJrCpAS4pt6UgiWcZJdvHn+J7QCzyMipw1N dZl0fKu+cQ/rffHZJP2dBPaXsI2baoJJXcjtgrELMl23l+NHMGQJxNU9wxyV/fo635YeYoxb8NXC 9Z/Vi2K4i4HAHMdriJ9I+8WNuKTPpmuzWB9tS3UgbJO4ds3E6qrKbHkeI5XDhmfmjowvNtkWRRS8 nZsDhq2X+KT+sBYspTj4F0yNI8RZkHhniXtrwf8PelQdF+KExrB8DETqH5g3zOQnKaeIpVNd8ygT Dd7LgbC70KQx5QDLXUX5M/YvxV1R10VilEzxPN3H4Z9Noy2hYPm+8bU6VXwvSD4rXht+C/i5nWdh gB4IrPuqSQu79v84i5unZuC+hemHpo/a+E+pxBwLDu84FZAwCm9hvBuVSXR89fNrB+3s+nFRDt5Z /uxx9A5trJl3+980Wrmpfj4Yc+zofCpdWHGbEI5nzFYFm0k5tT772KhCoBKDjc2XoXvTJKkIK4Z5 NsgPQ5k+3WFC5Yp9+2gqrxxiJB0q6ecwXKGh3T1778X1RW5xNKnkDTTybkWc+O0CxO1/KV9b211F UF7eXF6yYyYoYvm9zNAs3OZFmoFPyCZ1VfTRUDLPvGF+VTDJpwAKlGD7GwALrCToNc/wjoGufSAq 4pF1boAMO51SaaK3zjalQzK2uDvICqENwcbwpag9DDTZnFaCo6xDN/ulxAGulne+PMnPrOYFWeAw sOMJumztWmIQpgp1GvTS3B3YRFbozyYljhiF6IREvrtYaN/YQe7eTXnFK7cf1Tn1+ozOCpSgKwfg hmZJHnRWMkxCLwl/tzUypZ91k4OaiD+z87lrHETnRdqPTlGtzr4ld0wDAeWJBQOjE+XZ39V1AIrO VHXIDZ8ok32gIxw2e3szXuihWkcFkYEmnGzPnI+3DyDEWxlLFwYG4w0JKObrH49Qea02vvP4SFec pjkK6tpYbVXoKk2yqxPAZPxxSP+pn6iFOnByAXbQe9QLqlzA64LMWgqfPmFcZNEGlD8PqehYMwZa ndO7HQzbqRpyPxDhKiBqIExaQwJJ+016JwEdQYf+zUi48TwPfHjzWUU2BKgQNjcv1rTgFeZbhheT hGn4D+W8fuy4lspZtGXxI3d0vVps9DUEqG5ltiltk5q3WxHWiP9c5wMxGKmhfmpzGMu8sKN/HG6Y VqF5v4wZtYTzvrEuiVn2cXvVDSMd2DZwndEtlIowKT1cjpDsU/tM5jyz3rXynE6mLmOmUZs0Ltlg hAGU4rYPU1hQ+pwx3OM6dUcqqoLkj54wAqHaF3WDYm/IRBPzX1o+TbnnOWkhRkLHCglOP8yAioR9 1HXC6y4wJizFUIezb1qOHKgPFj1U5SQgoMfG9RpN1Jt6J6d/tOzU36si/qBIeKKAJmNLG4TJvGHo DfyzXu9r+xW2qUaTeypEzzH3Lwdi1jySmiippqOxcVoKKSoz9J/f30+Cx8W/rHBsMtP/KG0FpLGk OwGbiqjQQbzyBjgkZF9XzQYK+WmfzM7omAnqhRsFjHF3ueYpKYA19r485uSxBrIN0pOiLF0h5dvf aQKUIc0xQc6kjHuv984vgyFsYyM9eSUJ/87rrFCvwytqsboIl+2zN/hJQqkJ98u9nQOYYQdpRKkR 0cwk1xRbjD10kpDdhIUUPF0p5ERFaDrrNCGOwhAYZXB1O3kmFgvIQAlLSgnMtDZqCgnm7Z1v5ebC 5/oGrbr715bjIOLfFEr6CQZdiW7KrAtDvtz7RsfoGDv//pdkjo6F1X/tXjgDHWXnE78J0CQya8Mq MquK1aJDcrNB6SPTbd3en2P8e8tdAXEYYf9PEyf0PXBlnSlS160QLO2RVyUIC+UgpdNwwYzWBrh9 P9VV7ous5pbSH8cYTIUnprfeoTc46vJX+NdFExsX/qckmu4TxaFMpZJTn2xl8ByUKJU6n4tqhc6s PDL1gpC6Jg/m6uKNOdee0DqL8huXYxgpKyoLV4iru/xfB3mRHC0/VI6QNrm1w/KwdWxUgzBBc2Lv Bn465oPlEkiFlJ1vTg+yS/C2La4CRAhcj/GhYFpwoRZX7qr4i6XmgyKyUNHhxVfBlUpHli0a0+4Z jkDWVEX2Nwzk4ePJthoRQrTlD8EXQqJ27/US1czLtRfHvmJkU7F8dPfZRrZTMAFyj6Ut9APM78Fp hBKk/oBvIUJkIwJXxeV94Ak8SZizWQJuU1QqSJHW4CHLaztG3THm/J/Yqt+225+MbZ1rqi9gSlow ZyfwUvU9xUATDdNXuMY6dF2Up0Yuf6eWTPqdLsWnET2dJiC6y9FdgDTIFjSJt88IK9qNmTsPVDXQ mdrKZkknc4z+Mrbpevo1h/r0zbsM++O6PQi/60RyOUVZYooqBHW9VkgUqcyfBlY/4/9dK/X/IeVb qfTt4kWgnmvKyldrBzupYWMbZ4vhnlmZyoosQVzrdaup+J77czD8nZa2vLTHyIgG06CzspHSaTb7 zyFaxJvLsd2bIp/TDt1Va8Bmz61jr4/0/Y4hDFbTdTKv95ze0SLwjynzfFu/M9lEWjS0onyB5RfR dDZlQJaDo/Z5coMLSPmZ2Jju16NDQYUygSjp+M65WYI3Glko4s2FeTo54llNC4zHx+nk3F7weh9I JlNz1iEe+zIHgNzWcicx/b7PzT2oJPFj68l9C26mWFy195/VBJGaCuH6ouHglgnapVN5+Wz+55jA zqwjLvcNagsEg14aWI2lpjxcpOXJQ+7Sl4C5ztkuIZJvwtahtSiuusKHGivBt3YoYYV5W61UwMHc jc7EvmZyFPwd5c+pUvnEUgqsVCtuokHh+E5tv7zVOX2x5IJORlDgOaT62hjnR8shj4alThX6Qm7S T/leTLKHlNNtr/cXEGoVKSYsCeO2UDV874LhiLoAxy9K1VceSWnSP8hZ//5VfU4RWzQ+Gs+iYwu8 ckGeHuDLijZyLDZl5CwHG3mghudTSlkd3nRSgin2AbJzJBN7by2kIhTQ7Ro92wYThuIhKPsUERii 43BkWapaGTYbLIqkeAu1vlPXQqAM/GwHJrEDW1ZRbp+AefHvSY/8YwagrHAAK8GnUtj0YKIv8ZQb N/JxxOfQVc6WAwn42d5nmhokpIJ+fktyhBpu3vd/3yNMTJc0RHs6rLthkge4bBm9VBmfdDg7zG7W lwm0knJiSdsq/V2kj5Sv9hJP3LM/ZhZ8Waj4ijEJSvguKKizhi8TrRx/qFCjY1lZRpTB/PHS6Z/E 3Xo2RI6k8oW/H3pFk8esDXl3ZHFFsDiBLFsFJMkPz7AW5zgRsH4xZW3l+Nmpnsm3j6j5zLVpp3BP /7nM9t41nKf+L0UDkoYtcLKCpjDrrbzmxYNb14bK3eF0BbP5WXXMheA37LpAsslb7buEVxNVAymV 6EIDeKJskaO9J1uprqDap5lsJ0CHB4KgYVxTGCodV8BnXnZYnw8Kyl75/HPPV76ImlMP8HpsLfRq GxXdMRi4wrjwD8euteVfyQgiSSLXJA9qlLIDz6zqVeQu2wJpUZ2QPLxOq38uWc6yNbl25bTVX9lw IfTPpgQDncQPDMCj7GtYokIJpb1V+4KP93CHEzIcwGXu45c2CjvN4T0bC3mae116fUn6EIl4GM2x NStAYf2xQjNAQwrxbe4E7rzi2GoKofC57sCIjU9OcDM4XJhRBH9A+I1pawY2PcS+wCQOOTRy92ql OAwemiQiAFr1XZBC+cEXfy0qWgtkx831wUGrkYUgbynTHCNcDJ/vF/N19ilL6A5g4aAoFkkYEEyB VglbGAjqygxbChf9RqrRMZA1TDEDuKyiPt7QPwDhcZETZmqvVcRU5NhbwAhg0mwfYGWkDlf+yBhw 4CM30wCUTkyri2T20f16N3hQQqHmFQHZMK8AZx8k+gQL7Wr3YPnph+rNQkFLvfZ6/RgmtF9CNdFw uc0OpAkymgktxeAaZRg2/9wRo7FWpmfFT25hIkGaBKlnRc+FVIYXnoBA00Ebl54WTnjVEf9O43O1 ecqREln8EJzG7qg5VDcmFVAB3Lz3oG7hgnEeOQqSm4uivkxDqpEorX1piurol5fTiqKV/hqgI3Tq IEEm9Qa9+uiNupVwzLIsTjye34dQh7V00UjM0m+lFj2NfaWOEFdm3sbgsU7Lt0Bjty/9noaFINuJ EsRh3IPCHhNKLCZSQCVS03b/vV+RPlU0bmgbWIqYNDkmxSvLwZmj84uvQnr61KrfsZszV9pN9fSh rCQCUM06Muam5By5uF+83bT13dG6jqp7SXh3fThqCEt0GITpFQ1RyY/mPAk35b1dxyyUk1s7/IVu f6DIVuoPG8aiug+n3cjj5Zw9+QXN+7sPfeDIEY/3hWXT+HDmfUzW2iX97i3mFF6nDvtgEb9i/+Sc cV8Usfe+JxNkkGnC+PFeADveGw7ac98YGqguVcMEIl81HRt6SwgeCdXwMPemN5qutqEFNNBIrae1 JF9KZ9dqtO+JX/naO08x47POY3AmGVBn+E1pXmMqL/EHHog+paiO3A6hYDuilvcRXgYiXvBpSi3n 7NO1wYwRikd+0FBkFi5WwD2cft2BANmBm01u/j4jy22zGsSALsRQ82w7cBHPEL9ars9v9cSxX1ot jU27ksAsvvWwrLvFB2dQnN25NI974o5JNoCBB+SYfWnALf096Lbk69aCmScYlsduBzbMjSa3PBJT KogOV4Cxe1RUULOu4lLzhGa62cyIzo8MmOpSjNHZ2WuASeSS1u05NRkRMjvl40JBAoc3Q1VIH2D0 ZDMaw40YOYKkyJqnJKGDf/PzhfWaqAEfkLywjLGNH9BlnyB512jIS8dPtrQ5r2o6pqbYOZip6+js HQYG2vg1wsS+2bX+y3thwtxGW9wf06U0l8ovIjJ+qVwuTybGdi96jNUHaRpNvcP/NEJlyd3Q2HDb KtGKPi6WkLJ0W6oHoxzSrV/TwraQauw/xDmkKW0WaKRNkfTaTp76XtXDdZWv4UQmBdH0AOlPAR4y F97oYqUkQ/b3Pgk4q5H8kYnlQ+X1fExitK2211ETPj+3O0Mhr3kszedNzdXajpI/GECJiKCQcBv2 Fn1CXr7FpZVye4+wqXXClWBV23HP/1U+/7NuinZfXalHiI0YRUW52SMHXu5GQHS+iVnriUodD5KA Nen9OwLN0PXcu6S16B/f511QjN328tUVt6UledE97HcrKLgOSfZDEOgBvQKm0p2Cp9y0wTLaEGhw WPrDmOh/hn3xdHSYy3ASU+ncPrXPZk0ROwsTjTPGGJQ5LbIGDc1uAY/DTqSFJE7mJ/e6IlogZLOr XQxmqyvtfrCxciekFcKCuoxyAreIyVr8XaVMudKHHURuF1rvUBHGLs1ilwe+cRPqOOY0YS7djb4m Xui+1kuA9U1WBSRK4+ZNBEvjjmch/7/z3tyfJ0jWq4S+yaX7qucidzgZ+wONb0k4JwmjnCydABTb 0+6vsz2kCN/SOSbwv7t8jYO3G2Gas/G9Z4dHJvEBofN7NSfE2noiEoqNVP0Iw2/1IAqmBceJ8mym 1AgiREvSVe2z2OmeGfRp2iCZnqpQ+xqHspZNOqdlsHfS7bjKFjXarRoi5sDohVBB6rSoiAO2SteV oBP95TOCvkqUdnUD5O+EHw4zUUip2VCX6Ur61CHwMCayo96Ha3nxuUOVZAiSh/Gjei9tJmGh33eK rVtpsRSaizt9Vx+lnsBWo7/EBh+lKszCjvCTIP8Xc+gvNSsxXK4bBqQg4hnFnRyzjAgHCI3chmg3 0yzugXtwB51eHJjmFBCXBfrTtEA0FExLNk2VUu3D+M2UG9dPSkwWDWfX2DuAXwBHfKpQONZsNsP8 Z3+fZx1VGXc+BuR3lB2ni9/pJ427ojV8Foc8r87YcHk0/3BhIkRvBPTIbV03I1ZETIAtY+BgcyUa R9oQDTkZ101YA8YgjPzVYBfVl7JsKXMzqDf1w8aE2zrCwkd0xgmpPqrarUYiGy3DpqWpPcOaikQn W0xH7YhW0XtpZkOQWwMIaUj1dupNSegS+ABrbyaHzrGmR3Q96EnC3nrPSHYMnG6gyJ+Pqbf3w1p1 og48Vm2moRp5AFt4qKpHJppkAldTxSFuS+Vn96Myb6xZruY9iCAfCmRTZ1VimJqDp1/sXFbwj4dU xRWq04O0KTi1itQS0cC6zU38tbdqBX2x5+tNc4Ecry2Yw6dHf3/Pt0lOqOvJee4VIYd7EUuRlE0H NxiKrXLI9DIfADN9LhT4Bvejzc17QtQNmP0GCI7/Ak6gBxc9oyVFYDHrtLTFMgRNfrPHL8B1msPw 3pV4hhWOBlFVR0GT3JRUbvKy0p3R6+riQl+DsfPvUiwMATOwO25WmfUQ+9dRXmSS4DQ/+HLw7mcz DD3ju9VjnQtlpUH8/pMqkRwps1Ih7ULJhJTjggCb9Bu7FdzuCxOjEhIt8WEvN5atrEw8zZkbBSQi mFq2wOa8mUez9l60DXsr2KEwp4OTo6nv4WMzl7CbpwpQWZ2RQEtB4Pi84KwF7V8NTJgysoM0iA4e srrUB4TJE1nqBqaL2Pu5DkYkdts0KYS4fvycvkbaAbzlgXeDUnmzhW1BRg22xOfyst1A5AgdHykA 27NAiT2RPhOE5P6jDsTTIFNECjW6KzD03pBpR5rJmk5hA0fEDrY6EyRMTjONp2hc8aHsFkOtOe9K d6IpD6GDT9tlRkQYpr6JTuR48Grk7488eX/ByX9AkO7MWJsVaNxkKT7SPxHmgFR5n1/1yTQtU5bL LXD0PejzRorJPX8/Eztu3Bv5vCif+aOujoiS6pvtHI2UB6R4qOFGu483wASNVkR3nPQB0LMl24e3 ipnv1ra9NYOtMYKlxoPYnOU1UAGMB2zEXcC92JkepIgFxcoDqWdMwJRwzuhobc2lY0JxFLgd5Ld5 92gLdFULVRra4fc9U9qHdRE7jOTprjpB2jYzX20Kt9kLn6qfvpBiToQieRb/Lj+7SzUsrsfomO3I AlScB8/x28fFWcepXmdvL0PjRTtUZ3tsVJl2ez167k+HmMpnbJ1KwGmsQL58Ez3RKqIhV7SzDWFz z9seiO0BK97pQxvw0G5Cd6wa8iiuq9ZpJS53rOjzqsiZf16vORMclvOjQbK2biiItwhHbaD4t3e6 R2/cXi9UDFkkWlD3ARk+pdK5gR9Lm4UQS7wcDPQ4PshKocr5xtRkun/kKAMgvF9gFadqC007keAU GhXF+dhZMv8B2nvrwAsLf9+veukVzoTFP48FfhVMdy0QkB37M35a+R49qQ9X4SSB+qEOpcndlOpi 8YVShZfyEyPHFGBaT/sBtc5K0FOetbJGlstaZpVuGzO7z53wDvAlvVPip7TNW0QpnNAUVfPNVj0P ZWCGRpOg4cDQ9AVsNYHvNr+uPlbczdzW/UpdsMmHXcBAKop8gUvELQZLm+awadfMMsiUbOx4IWeO Oh0RPUXvBJzaRqRWLrHDFwGIkmRB12qr/+ZSaB5l4xBKD+StkjRNjD9Vf56XNQN3D5CmG2ToBD48 RYw07qBMS2RixcDYSzwmD0UR3lBKdO5FMpUPXVdNL4mDAQBvr0fNdQXXU5tUY6o7IHPlmjXtElKe STNBwK8VYtJtzkiacJKsULTFbkih77j8FAeTOwh4GXKCVqbxKW1p8X+AUXD6DoGh7gdJuU1FyCzX ETXbqw6NyqVgydvNWxarjLnIzNFLy77gtE/ctb7A6sqFGgTWdfBRm33GKWYY5eVRgpK3ZRieXTTD lrsLMdW/6deSsIyP99mbGxHDWbVLno6fUdbp9o9Z3Mhs40W85P3Ok19q9eDQE491NMMlQazro/7z WHW09Z9v+USBicpaRdrJ6vfL7YhGP/IDr7htQIPW5yWIQnZwsaFvtRRnqzppzuW2VZK2XuViaBCN TjSWkGhOkeszL1nc4Gpgcfcw8gjBKCrRbg6ZdkvTkTVB+5xYoWolnw7UNN5j4o3g0nHjnkbGks41 EQDpltH/I2APZrQtOl4AfWa5uCpeZllO1QTW/rdq2YGkdKj8KOBmQCRR/ZnOivxQMnlfSIl/z5+X Kbg/uuYelbOagNu8LwPTIQkSEqWJg8dkO3R1lFGb3XHnvPGwZJZnUbZiHuqhwr88iuVGJPJRqVNp DvaPrXI5/GsoUjXxq8gmelwPXa0SD8+wqI2LfhO6jxldmpHtw5QJc57kvpkLhXAp4uaIiD/BxTwm hD96h4IOyJdsqWdTYg3bwa3fsb1lxuodBag6gKTKneVCucmYo/1JFSFiWqoLDZl99IWVP8eq8lqN qBh27URw7GAWWixY8ZRWA1AzVQPHa1IzPUfq/xFweWD2HFYWh7qeS6B3pvpvqpFls0c9/Qt3U/rk hTFohmaBlMRZTE1vA+P7tJZUBNhkiU0K8z4q+8LrEzJPs1gnWj61i3Ht0K5PjXjUaHCzXEzssvHB ujHye432Muz4O90cDWQAjFbF4an2/6WqXV5E0+02uwUM+ROyvWcuqHczcprn3eI2SZZ/eDCyE6ka 3GFt7x+Gzgc9J/lM8Igx5rnFRlUApykMdVUtdjIEvFsWpsoIVzrCbC+DznEd0u90IkzpEZhIhY7u XLEUvlnbAoPtaorblwSEgAd2CwIqaX+0eh09zyxKVnHoC37xohfUaVT1oRFviOGQdWn9ankxVm4a 0lYxQ4lVNKL9lTCM601drjg9osF+8mT0BRpLLh3gk+yoNqPgKGUvFwwvKYLDrYaoMRLrMQaeXTrA ijfwcQJ5Ey7TrepFKPt7x3z5lJAbNQ5nueXe5yZY3DbJXXlITZ+Ze1xBk5F2j9yIssHjfbNkkAHU KMyXZaniVjXZ8nmtmYydhgGkkiie476bT5Vulw15sMIv/SffDZqvb6L5ED1V252oieFTfv0AFcgF Rjx/O7h0gsqZ1+Y0AJuU0Au1bCBJnZ80RRJs07z9u5y0Cve/n2Gvl4Ogfsl8D0cwGtTPlACAezjQ Fzr6TUqRqQfEEB+0RaJDuT/m+85R+AC38aiWUdfCJwLs9eJgUgyLCBSJH6I53OKnjUCzvwekpC0j dGq4iDSTRBNR/RLiJk877BlgQbLIJdA01ezG4BlDQXin3zwGHLr3jJ70yBEogjSShQg659eYsvdQ nqmWTwn3UWlf0dr7GS2EcmYDdjSiiltb30aja5uSQUKFU8pB3Uu5fIZeMvPEhHs6wGwSitSww/mJ LA8I7O+8J68vElhcg9LbcqKGJbAMCwKpSYMkLWtWhLtmKJBaG6eBpICSxiYVdqyVo5y39bS2Mq+o q23mP4KPVqHdNM1XWbU8qupOQD3/BAeAaC23lOQg/fmsjYI52BPuj6Ky/7/EbhDmaos5HNtcFPkO z1emRxBkavcoleZ0z83GEiJYJk6aFECCrBhhmNEX+GpEDAS45dNZVHtSvOIQ4nwSK6CsxJISAice 4tMj4xkOWR6cUl1PrduZGu1P4Nsr3+w3T/ddAp2kQeEXwL7THdohOumVUm6OvHbeHodHt+QNn75S elEZEK+e9GBRNBwBFAeLD3O4YHQuR3aewThspWpDO7vhxjf2XaQmzjwEWPVdfjEkQn6Yau5qmIYF gzu2jb9yC0vOjUCprlpVcV5FSywDbR7nk1nTGh2VaPk65WnS4R45GAgLh3pzKQi6F0dcr65kv82l WDxGTQoc2Y6u0hyrdaCfweomL97t4ZCege4N/UEyUDdK5F4Mj/Qbxhgd9wv+9OBl16cHZhLcYT1I QjlMgCSk06Tf2+2mY3NiZ57vYHvDOUj8hxoBKem7TwxFksSOjb11MoMmSK6SBoCsYELYa1NAhBng Fpy7Do0vkymkoY+XmO0jPX+2Vpi0+aJptNbYW4mq3166GKVC2hT6IHqgHHKB16harAZgo7ZuLqHx TqTiNiWufYyG8Q6DQ514iutwfR6OTEKy/dGkGPBWlysQToW/eVo+fhDXLEsZRW0R3+Wy5WiePdil Ybv2vbdLUt2QHmw7p/Irh2wmxBWZ2+MMhvd0wI1i9QCk3nLv2fuZlsf6z6+k8PDP3WMo9ENPCadQ HVAxHHY7UbpNN0tAuy/uGAcWKG5tuAYR3yiXrHgodaCbrSINNN9EuU0hakCcg8+tU3UVmBF0NKWi dueJAa4EfsYX5Ey7XYvFloX4RkfY7BzuGqOekMCm0jHj77s5Rejj7E+5xwCY5IZGcPDDa0tiLxTv RvU6BZJHSWz1VhrAfXWEQXv5R375ig0fc5fO/A1h+sdDQXPLEIiMMCGu5JgZEiLeFgw+xMMWmRf6 Am1IP7Zd3E4JJdufAtN6dZnUkwQ3px3/XPvdxi2M9oW3+cwpTZ8W/kQgdcVTcM/+7ekFj+sYoJRu P+1oasM0riII19yE7OMpcUPOcz6F8jiewblfdljZwtjq+IS75EyuyndeZp7mLae75EgT7EPRrAE2 BAxBFeauqpaZmWp1ytmAYrosP1NY+6csLucbH+w8SnUd9Uo8Oi/IPR6v1f3c0InJLXl+Gwg8bRK0 J1Cg+LL856872GR0j0nL/U0CXQFD2Rx/rAtVWdBaKDYMxXyx4SNa9RqQgLw+3EMYweIovs8m6Hc/ 0KEN6bAWIeoiqSSUXfFnO/OeglS3I03otqkQeXKzC15QLJfcHMKa+loX3Wf7HpvA6m7jDnRE4+M1 RJqWhCFt5vSOqf8KN2LW1nMfCdikKQNzI9XegaAWFciKPpwcbYUJP11/M9BtONGfZSZ0AEGPdNJ9 S8Y7t2qoCy++iup7rVvKapUiYN98ENA5kmnTPQfY7NMUTPSocSf5035Z34mEnjtYGOy3zCEIHNSa MkMVvbgDG7dJwXp0AiMYsJ40Z9aQ2HMlwgf4H7mRGRzXAV4bhlKazNix0m9jhs0qtevU2/FiXON7 neyP7ymTj06kwkIa9fwkuxtt397pEN9ITQiekIRbYhvtVL5aSn4/Uml64TO4qOMCOgOPgTc4/Wr2 YaADjVQwHc2WuzvyOFQaJVKnR/frQMcvQJXhdtjtmQqqwZZt7wxKZvGOgCHN0w+T3E0uwMVxC5vz OWqz3m6ZT1RGPkVJFByzIeuIf9JgugVuyIXrfaqO+ByZ7QMc43PyZKiIspNeGUyROlt8CoAo/4Kf AyIgMO8r18L2EatGZvSLuyRFeSwmhp3b0PLnnpeMuDgq4EOJKS1LYcF12TiTHwlOqNH4wsUWvFeE uK/EcEZuGULtIhE//18RgBPWaPOVMRePZLT4ng5ZFCadOvQUiFBwjrCQug/bw9bg+fttuWRVuBUN whTGpzXgROlwIFLrj/7NpFwYtlF3gwJZ3zggzbOPGU5+NfsKwWSy5l9zevI3AdTPPdGEMdHbZz7l 95Cd9pVvpfhuLZnLxdcOFsSNIaKqHHlkcFfKb38c5W4KlXCyhB9w7WKCHChACr5cqBDWkV2Nriyu Tf2vFotOq5bxAWCUvzBTROjrXApOHkvOuRkbewKRF/qF8SLvk2oEZNECe4XKh5rsV4r0Tn6MSZiN UypsnWJ86fk7Xi+aiGQJ55gNk0Dhiv7r7Fjn6bOaGQ7PIOA1dpH3UuNsiaX+uRR0mhR4qFu7FVWy ujGfnC/MkgQGK3bnmvA6eEduDPm1FuKImLI7ks6IFKqhzeq9+ZxbnGIML2xwwhxh7xFpxNOdOkvv L575pmxoThU2UGpYnlMCiQjoXzlkBDiY/3ft1wlPBIh1SRQI5h5TvzWlik8K2CGV9EH3pIxfrdwR bQMMax2ku6fdZ3Mq4v31/w/MCLZJ8FTSAZgFVqLYbXI1bVS4g4BrDZy1tztwCAfwrI08g/mHMwCl Pdo5pbREXFNl/ZMeRXHoLqCPxyHR7DBQ+6+XHdWa3LW47lqw0WFLEezV/VDwn54fjsvsZJ4Oocl9 2y1xaOtsyW31Ygf0V/HVB9IBeSirZapXeVt3gyoEflRA8UNMmUANwW0nT8z1gCb/jWhrAzPucH/s E/DrPhjUWStgfY/d8KNEr0F2H69vjYWj7et7vK5xnLbvCQKIRmX9QVKRs473ASseWJs3vzNSI/o8 UoP1S4NywE3xQpzHRGzjeVF/TvSla82UrxV9Snll0Rh924ifKSPItWyP8uNSxgiycuSF0UkVtF2U zHGR9r0wTxusiiMNeYWUWg0SayOaTyFFM5J1zfAbEYnVz7I91+wlaF6HdHAQrHJN13jrO/BiQMiZ 97ILCAKQGbDhLsdebyBqWq8DiK71CQ0S2aiogBC+UrzyF1jcq1Voa9UQ0QkKly8rWu3tbCPNA5T3 BcL+Sq/rNvKdAO7ufeendTw9EVuSlGiDs/c99OyQhgxdxva8CKRFd46Te9ZrhZUVrtx4VLcogcfE yTGoNq/gnueuO9Ti/DFsnR60nIV2g6OMqljzjJlo2+803rQ9np2VjGcgEizJHZIwKAS3En7KjCDD li+upfZIrYuctGpXzNYuqTiLzU9Gu5JZtelikQUirZEKz9lQ2gaym+vuHYjku4pDAJ38oce867x4 qvXaSXUqN7a4uB+NQdMyqJYwFrZz1r/7Xcrqj40FmG0bEex0NFROd2YP2Bx9KNsK2UfUI+LwfB9Y x2HrS0QlqtClWjIy4vXV6yWw9kP+Vd2oL7ttSWInqUUSBJDLohd0gvDbnvxkilZbr2Oy9wfOOufJ qxuK3EynfJwTzeS17fYGPA4eEQX3gZxsY9eH7I6ynOnhZO8Hs7NonTQ/xwyUXP84gahcER4uFt9p UmIswc3Zj2DIXabrmrrp3eSymGOUNfCLYWVC3DDcmjft7GxaNN4+uk/JonEQtnW2bwqUEfs3O4m9 CdlMjD0ugqqLGQUCY1ynzC14crAtX01zG5R/h4K0eEYq+GSl3ofsaMJJz7V1kEsrzhVu/m5HcuIS myxZcIZNbCpJemmaXelcvviRuyBB5NL87LDCxG0IYCEqcKIUlgKT0kE4dhPq+EpjD7QX+OOo9tVD 3FfZF6+HmzvyJqZCh9SRwYNCPJ0RGgj9bPiuw5y9ympkELDqBtnr4l7gEcrGMfoT8lEpYnC4t6pY TZvAhkcXzbBi+0d5GJu85l2Eb1dSPjxDE+sJoFelWtLH67JEJiAED1jhCjKlnANCmLUIPmo639jV CAnORdutwXI/fCrMiDoBNP+gKQTWQZUuI+EW/vEQtepHVLpu+XQqOLlHS44/AO6sRJc/5TEoDeMU WvhZdwwWuBwvr+NGEXZ7RbzJA5alV9FZSmddaIstd2hz3DEtz95dPorQ8ewndoaN7EaMc6NqRPsN MqPORtr8OInYlvDtzJX1bA97jWnDGm/50m0nxjgqMqNgP3CDj4QquhxSYrxA2lNnEqM1FPLmy+Si aU1w1Yd+RdjrowxaBLCm6m2AwkXCDn8H4kFJVPt4cozxY4QngmBG6YjTLBaKYcRGB2wN+XSZVmr4 DYJHzCwPnbqj+JZ/g+PmtEBq7mKWNmAHhcU9Z4xpZDFVERBYhvE/SPEVStLUcxJRIivhLYVK0260 dTkx1X36UFrO4f5L+xAU2jXHzAuHmc2QJcnvZQ7HE4hvUtbZYvMRF8KBEn3tehUUj+5iWhMmsotx qZpum1XEfbfpHcpAqYhFRXbzZQeMoi8Hm1Dlau7rpuQoMosZ7DBIvU94qIKE7e8RN5xOF4R0YiiA 28dQRKceWLeeE5EgPWGTueiV4WFmyrZdQFe2RFn7gIIOGcvWvyG3AMN5CTYEpPSJCdKJWrmBd+At im8c+RIuzlgo9bFoT9F+0HOxVvPbfPTtMyPEJxIg96DWxXWvhsr68Tbe9R61ZVP4ThkR4+f5I6N4 NreGeNPmlLSgy9RMjY+f7eEKI1EkPByHq8hckd1w9RTkQ+BhYU8gdFbvXrj8A0sAWCqAk2NfIZfH XbUYF23T0B9f/B64EV71pqcNPsn3SahuWYjcKJ99O0y9m0CjRVjQXpeJFCnM0rVqeH7kSSCofaFB HgFZTpWqFLx+1PPnNxX11fF1E2AXCD83IR4ZVblER4PksHvpUkYob1wLI7qCpcDqMuvMj+7JjRr2 AiWYgZ9el4cHJjxRTIhqOnZNhShy8b5m3OTZkPm76SemvVuCTs2dNSg3FgwQMjP6tj6vGGaQXXwl WhZt/cXHO45l2qnNIS3NO3IcoMcuUBzPbszzk1cOmDLSVmTyBq/BYb+AT2KDLbBJgEYAVljoANy8 j5TYe27ueD9+Ltf6o91W8yrC/W2yvn/Ep2RnoNrLMRZYnzWPzC8Lc8QhAxOzUr/FSrS8NVmRXcfu HslXGvjSEARAq9soyxX4YZI8oDI5BFqjEtXDZoi3/UtJRX66kQudk/Fo+EIfbT2mVM8KlYaMYDnM FAiNTVpFzKN/MlBXcmAE43AlxZ+jnGw02cC0QuPZy8N3KYS3uqNNg67jqyziNwxIINeXiTVxXCuY nGOMU7EgKpQLk/zyd7lno6d8T5YgFzJt3Z0kNteu4ZgXxeV5uROdGxkWDMeL33Z9J2HWlRGPCfig Ip27EE6+/KscZjQFfEAeWrww83H0zhhdTFI/VdC53xXAjMS94Q4IqB8kl0gmT3G1mEC/vhNBUJ32 D9goujdrKCbUkBabIHfuwtO2vqJsuE9fN0ZWnWQsVFB+l2jnx6GLg+faRq2iZjMi1SIaurnMM82i mbeePCzESRtV3Q6I1uQ6iG/htOpo8fTVbzsjeSDoDbTGwEyEkAZpv0APiW9KuSYDADRaC5A7jQ+2 S4NGNgnYeiHogURl+tn9pg0hcMx+EFIM/qREgF/QK9FZ6alHPvsWPUlE8M1sXyWPXLSEcY+WKACu LBBosPhe2k/xY/XU8bdwVZy/Gu3eQYzN1ahzqZJA+rL1tkdfT0aJPdZ0xT+sU1XmCsAlDDt90/w2 mpjHSARrRkjMtklCqy+EvED35TlgsVP9CBeFrN3dzy0jJvlm4xtKH+dV47zbPa/pl05a5Q4gvdDq lzoj+VAb+YACEYzd6Biy8jhU8BJ2zHGrpHHmR1l1WlZr7TYthw4oHIY/XCJEgGumTY8OAl8lcC+0 1xBvbEgFZ/w9lVZBRlWGvaPR6MZ3qyB+C8QhO3x/iOn7l8p4QH/kTpGyTHoGdeFbv0ZiVlP68szv 9dvhMEflzoMflcwa0Tj8qUupjfEmfqs6zzUfEE1vf5tcUa3YUzwvSqXbW+sENrAZxLuT+Z8y5t+B 2WASNloKcKdzkQhD+p5EByvNBA9q+Wh7xN/ak42ZNQhz61a0Z40emW+NFHYp0E6meXFM4PnafRGo US6v0TAGwD0iVVz8jogqPrjqIUFSXYM6tDq4JTfRP+3JODyKTtlUc5fLY/BF8czObZsXQQEuMInq 3q0G08fDGiEILgy2mmpoRglf63Zq02NAHiq4/BaPjXfhhB4/FsUVn6Vynoj93WlNU5yrnXF+Telu yWh2YOgcLJuOYxUG2Ou6stQ3/jxQIxF9XCx3QaEYRwIW2LbUQRIgeGD6/wCrmppjNe1vkVPtR4zE hKhwBKY+kGqAzhTDhry/50Xo16dwS/NlI7LmauSgZQMRQupIHbwvzfjzvWrL6UxO69TQy9N/mWTR +i9Gqno8ZCnuj4MIlI0nHVDK2DrMDUCOnju7wXh3/5hgkNoG/uos/WC1VKXVQKyL37YwW8pz5VBR UNFEdHgcbYB0dFIn4WhHw+gtiviROQhfFeTlD5b8bloND/N1VCjGnvx0NtEmpznz2ghEdjO6HB6f ddfS2p+viDa0JIb1oeWHeRgL3uBmh+9LZVp/jlvllq9qmAonD2JoJMREN4KdiOLZEzQSuNTqXDtI E/tAYvymFTU+IAVOgTPoVthisrvpUM/wJ0xgEA5Dn+xq3OW2HKj/tXnnJs3qpOOWi6IDhLPyOGE5 c1bST1VZzDxdfim90biiT1bota9ejyG4T/CuyH0Hq+BMALuWInz39DpTqFHagt1eXh96Ee/LgtvV Gmuf8veFCwT3/6sXL2rJYqqwmUCKx35TZKuKNG8wMRNbnp7t4GzAzj3ITTvFaU4CGfYqEAeUGWe1 KDvFXrijsidiNA1ZPCW4UkQLbEHVY+c6RY/2quDQ3ZjZ8GO4UJ8hfvrCNBd54dX/JT4G1Tg77RVb mExTwyQv243idxbjJ0ctu/1g/8j5O6Mre6zDss9VfS5ic8SALnnDWQQ0qKZAxmOaK8vKIsRuW0f8 FuJPy/gqzBJxpUurXlureGAKbOdvntDsPkEXcWQRJlRCxLBg/AbE8kqY82OFvXH2Dn7N7guXi/sP TdXusbWWQaeK/r7UFWGNGOjShsjx1kP+zDyT5kPfPuLRcqSBjlkLC459wM+nYo5nayDsbkaxzLZ1 YWM63Wv2haZM3+fPkQO/1vmC5twBuZjCmY0meZczYIYCyJG0/oV47IuSqQBDRxMafbHTLL/WTJI9 bxdm4LygE99K6fXpBLDmrI6pDnpxzLAdfjKKMncrpbu4obGxRH//vBhSTCKUUd6CG6+oBSc05H4x X79WiDFIgib0/guMZf1xo01fjrk7LO+Eo7XMhLTvNll+6k3udsugBnFzTjs0D6D0lcIblnukOLCj KNjzOz2TE/A7J5Uri7sVfu44iOUC34qew3In98z3Svyz9YfmY5piv7qBV0v/uRuTa0/i3bJ2i94G M5wULxH0q/HovJ/rYmsAANR24cK+DNUQ1LoMLkVGMy3XLuG3cusai1qPopmrqHy/zOf6P/Fvk66Q c1Wy1HqYh+CTrp/N/Seud43A+FR966D1naMCYDLW3gGddnfucIe0/qKnFmLTqqY/iBH8ESKrMx9v wZdHeaTnYshck6482fR2rGptheQOwAPfjwMWh8Dp5Qk7r7pFcX59hCXHS3ac5FRyNpbJl0KUEcWc w0LRbvI+1JcOOJb9JgxtA+ol/rY3V4HP+FSE+taGyRoFYF8xOmNTiPGYeFMHQlr9A9zTuCUPEQd5 bSgna4zFoPk0eL+Xix6nwotng3rFQysxFSW24rrJiWGHU1WEKeYBIkkK9S46k3DsOBMzITjD6Q8n RObfslYN9HaJLeU9ygtbpyZnEu0HFYp2ZQee/X3cFLQTUDmjv6tcXq30h6la7etbF9rCbwvzSCIN xYXzR2XP5pt1hFBTY/0xbBpE4vBqjxzydtotu2UZemvQPEqDYAzeajMHEzp1ru8/ltMIjF4AdQxt V+8ayUoaO+1osLI4Qy+FxMqzYM1XILJ5asBx6I2TeAoub7LRbTgWzLcDYSU4U7bARPl73aNfSq9N h/Lk9y5KbvWTKxO8cqJ9BbRIVRnC8yaqi2dvqvH0Hawfjdja4wEmcHbyjYLc7PqHNFfFAP7CoLBw QqdINHMO3lNi7exeSqmrmwZVGIUrdYGoCoQuwu05u1l5PCwSz/9Vpg4af/Du4lgkJakGujTPsDCl 4E69w3RkMA2GBlOVSJJDrY5ijlDLn979AKJTA6UyBX7LAGSOFSLMztdQFrpqzzmZSMRnnAr/IJWY TCMINjKf5QJKfay9+UZOE2xvGpDnKpqWsieFCuMG4bWRXAPEF2RLH1Ao6r+d1KmTnGuj/JYDFuwe fxtFHhB0GURxl14VxaLeMjw8rlOO3VmNcRFGZItbJ0cOuoTeS4jbgsZ2b1QnDxJV5nHhndo6R80B YQIURxrLJkPDV728fUIWJSq4YYF1E3V2YQ4KK65FCY+MaELqYALRxKCZKou63O5FqHcd0Su68Z2t 6+CPeEMDJew7jm/bhx1c/S4YjzdXnHvccyAt4B61oG6/jRmRLqPf1JgOKd8L/EeVahIQeBtYWFC6 ClfEGZP4OnPR8G/vCtekhXYEVqK1QOOBPEK9G2gRl7C6n1ysjFIxqIvbKe5xeKV/HY0D9VrxUFng xAImv282tD6ncRc2iNl1H/8KF9GSDpEZTVwKJprdghG3wMxKLq5LL6A/q7aZOkZjgEL1I8GsuRj8 6U2xbWg0OXlGkrfvDzjlDd3MJefhmdJ57NrKYy8BkWGDWxXQX5E8M2USeUaAKuebVKjfvuBULY+Y ir/KpJ8dDGEUjcq6v913G+vxsr+mt3i7zCUEIlq7vyTQhUg/e4AHneeb2ljGcaoDZEJwk3tRNqAg PLM+8aPDHYcY3eZYWpN5HQZnK8FIOjxEPApPF0fdBsiXSKeo1ysHyzZ6EfYxXUXo/UO0x5hegjFR kH+CzNU8hqpcBAK4GgJbsDuk1zIEyzdREqCQZCbPXPJxYPdLnRswwPlfX00LorNDY5LZSIlTvg5y zLll0fKLD15h3Bq1i0HJ6bZ7zWk5JeMIKIcFZeD/SSxGq7wThWdt84deNNN/7g+LHHivp28eASoz ShhjzyrCmFPnLaFb+u3AScR4OzSF64hYl8yQ9r4oyWEf9HWugH4JlVhCxblneWtJ2xIOD5v63kXJ 2DDFXwnwVBLppkwrZIgBDU63+t9Y9xWtE8pUg/bzWEATWhWP5ydmnDFdkPqA2EwE+/B8/Rdck8X/ AGmKUR9x3JSpTRDOa7UvvZa3W0n9k/mXNDe/ykWsL9S0Xdmm8S7QVB+SFZtI1t1tXGT2jVSd64T+ beugLrl9Zmy8X7ylplm9wwUHMUsMSJd3NRjlKrrbYh0hFtgiqtQltYnoNWcoXP3p1oUtkBZcodXc aDjl42MGAvJyrLIXwkdTO+1pQxSinY1wRxj6pDAyQMC8QW/1tA2aDrbSTv0idEmyDSlrtEEt4OxW 0lio1N+dh79AR+CDAKSmDGUj0eubEpIG1EpfttrGfQI2ptbxnfyAYClmUopSpqYLPLhU6CIhCgZy LNPUhhvGACMDDsOx8BGadTNgKCFhpjxv675pyLwmr3RgQUDA5L2rNtwHZs2YLrNBNZSAC2nQ8VC0 Ap7txM5OK9Zk7VfW2pKkZHFQcj7Ot7/wSf07GqsN0lmm4EWR+d2+2XihxVIA2L3CF1YoTV31J724 +f9S3HmsbVB79xGsHcly/XmoPojCDcz2GRt4Y/XbW2FC4WO2ebD2hv2CqNs8IB5Q4Ckaoby4Odzj G6dNFHpV7Vrj35msYVJTxkrItZQTWY3b/crXWh8ycsRcRLWYsjojTnnmJvC/2GMjkz0D70aLcRgc vL/DdrpwtaDSS31T4REQSyfUoC1UpLKcRCg4VBQXteUM3iNGi0NriCftlTo9WaIvKcxhagc3ve7A Y23m+rZD2RyfpT5RKFffZTPISK++yX/4a17+jtmJeTLnn1DWqjLwVdB6Bsz8s+cONOnn9efwpQ6A p8/0U73JsdpUwiGqVmnYOWIHMr9+blMAXjm77PZ/fezdcA58kzjeepmWkTAWKb5fjmj2+I0ovcb5 ZLm0YCoPjSRFd5lnfm2y+/X0ZDeSELwnp3UFDAWwNco8vsi7D2bzzRZsYf494kk/SOIDOlm4H4/x h+yySSCFBNn7fkpGMDpKeejY5J1ycdVoOMoXD3656dDoWXGVAb5tr6dsRYfUd1FJ+qfpkt6+uG6x B5M8Wsk6YLWybWsZgwSumgEK+fHo64LnLnNDmsBDd3XdNOACOdE7a8who1iP7cLCZKZEBROWbaEz glPVeIEKv6QGKLKWyQl8YAtdE7AuhdhNhyuBY/ETtQYIONVVx2lPAEPIt36m81MZ0LJ6206h+kzK iNaxZv0douqQohIdJk0aLaiOjMVfxEq41VvWHEDVGKJyhWmkaHkwvUaLEjHj/pEFLU/o0T098WtF z+X3tvm8lMbjJ6s6i1UpZ0f9GA8Yvk8PPRuzweQOwXWOQmGzI+Or8INtf1i3jDLVdfUIR8lc0W45 qo+IZGqwyMvP5Mb7pU2eYWIaiPuH4x6s11QyUxaDPvhsPT+8VB3Ac44eMvcaui+qopwQXXfUHzMN OD5EMrvx2go8doci/GoQrFy3St1iKx+ufcmlEOyJ75UDg0QqUHknC1hRfzwZ4XiBAqLx3fLzPJs/ wvQl6qjxBRPv3ep5/4YZnJIs+1t2r+593+R3njkrxi57Y6icoxzMqAWmY93AvPTQvvl7yTCaF5Id lEbiJ5TXSfpUWbCMM06vhtZbJKE2dNMHwXsJMjde8DGdVo8XkbRME4LRePN0hGAp8dc/QSt5ZOiN 7T9GeMVawHV7mNlolaGvAxUzjk1mH5BSR/Jy03hINQNWCG9aYMRbYHbPkLS9ns5fB4YBb5td/C0F 14aJ47Mhv2Gn/muARuF4pfx+YHfNgB9Y0jMkzHXQMO61qgvzAjO7Sq970Hp/HF3ULd+hqgt7No25 YCrLfuNxtztRXJdc3rs/d2y+SwcepiN5gyPesxn72+QHABz3nRiylM+erPTmlnSlNSmTUeMadE3D FaD5DSazI0Sl3ChCo6SHYQ4yALLDQqiYzg/EOJ5N77TXWiHYOz0Evv2UcMn/oVcrKl0e3XhfUiRS X9VkuN2QAkP1S6XaZgGlPwySx5J8P03ZW11R/Kq3gAkyQZXQw+7N1mxT1QxvC3zL9NxbncLmxny7 uO2gWVA3nRcUwM/S8l7Cm5RgmfeGtAhwU29oZD5tNwnXTh9N8hM8CCW6CVIR02xVspjVmqS79xqW hFDbUgLR32DP0hIcIg26KvU79afxxOjkgnEl2geIy3DAzBRiTta6bMHZOrpprjmY6GGrEqmEd7MM ig10sbp1VsT3ZsrQ64tpitZ/DRIAg07MS+tlPw73y4XNegjbNj8dLP7cWxsoHij1l0hyVl8Bx3En y1NRzpRL4S6O+RWO8XsR4LqncXF4Iy9wZrcfvy8WXWZkOko1KJnuEYBGHV+YY7O5efJGjvP7ML1p WjWir7R9Nb+3ErsjiSRNT8eiePG2lv3o11bdqT79pRVTapWg8+dlxMwAFArsWezMDIXiudqpwoEG aQaqp03rEexCm/zfHmNxt7wQNDs58g2bcJszfOKaDAl6rTc/PTyBqUTL9iwBF4hCqLtHVzM4Be2I hh5rsWPmOJPEKu6yYkAx0ZFboNRLoVdQN7b6m+DtTHvUAMhEymjq/r+v1NihIBUeZRQRABnPihkf 71Aggq3//UQzz8bspKL4kNeBwfKSN4R4o7epRtBQK+MGC+QHhSvtagwz6FTfhwbtc9oWJPFHzE0b lNwP2cFHm8In0LJyRHaBraorB1HCgF+C3iMgABjjOGs2rc7BpQNyFu0SdpOYk0FdVKrjqCzibN48 Z1M5EQjNL7jmWQJ5iuWrg2HoiLSCehZGD738SyUKkSI98G929I/SpTMq4BhdQOXzHkaLB59yKdoP IEVc/T1GhEiyoYjmVESmby0mq8MG1P91M2eAFzbSOfdDQ9dieBicYY/1YlnpNo++6NNWX2VzAWVw QU/ThbuT/3s6dTvoJVpAbGEcixQSx7JwpzP0iwMiFOEVzhr0fwSHVB/AlTsblGKRivnckL1Qv2n2 0dM1Jk4xOsFQR+z/BRLo7VN27T0w9Bj9n+HtlvQ2jsqnc8boQdqLzOs4o5NJKwVm+aeRwaxXJCmF LyxYUeU4gVhr7dcHmyLpOu253s411g1S0oUYFxnlJzXavo1tqcjmkl/g0vU0rImayO17Tk+WrS7y X7UYdZtZ7guQSNxzbvQLB5CmLkD8jOsJtSW+JtTUiu9SCcri3mtXClB957cqzp1NfrbR9fRifmjN 4Np87enFkX7QkfAMnKN/6BoZHQiBbaKS8uiuxKKqwDCQ4bPSgIOqnDBbox7TIVZJ+XrSm4S9XZR4 iKzTS1RWtpg7q4jiVuJzdzW+krIb0Avj4tUY1EtVvlGXocDHEt4HPp+06DMCvPblDT2aTJ2Fx6eT waAODdzWQ95R6+Icgiu8MCTptho6LsmTazHQ3PrSqwyv1NjuIxpd8qt+Zf3YhzYM57ThkCdWDToA jBQSLpC6sjL7Fv61QIWC+2cFR6+zwfJkwCOIgY614Y1mncUIk9gsk71WlJGNs8lKvUurlPtguDO6 hW/Lo8rRgDQzRuRpGillZYeYPu5UIlp0NsDz8QvQm7wvrQ6FNxTP2lsg//nNmsWKDaXWrQaEJu1X x3En4Z+C/RV7LuC/Ubue62AFdLFBk/4G+tEia1P0Aau0TnAMq1RSOUPv7XcURne0vmu10FBa40gg oejhSNGKrYbx3PilC8vG16uCMXxOSSkYPBmx8ZZ377Lf/AKDnvzs1yswzCw0K5ediX9OcAgo//I1 JCgVHYn4UC+f6+tRxRjhBKTmjTCQ3QvIdBLK8jhTw5ojDflIQjnaOxpGRXejtMS64TSdVCRFAlCM SBJSclS3Q0B82PjeBR1XKDX4ey+xaxXQQkYRcnVCRDTkgr9dAZuJu651j6/0XZ9kXuhfwIiVhRvL id2Xfu2Hr4oGRaKhnLdS3qFdiI8bQ82jvXZ7PaWcLecivsAkymhkLbzlLHNKzC2MzrAIGIYUPOWj dZDczwUsoj7wUWC7O1BvssgGugMQIoMgW0+IcRji//6MTQLRuytsF9m19d+gfsb+m09fI0wqUNKB nUdCKhRDklOz5XXaw5j+zSNnvET/gXAMI4JWG0fAkSsb415mEAmxqjO9Ak7MYZviK94WmrOT6syN de2KIbtHbqnpaaZZDoHmQyjl9JyWEiH0//ysKrtmLNszR4wR3sAOTZRlTGIE+QjJpr0lW1euv3/m 94iiBdonaZX+Q4ivqApdpOWonkqZocbg2yusDLPnyLmTDvDZPV6VcyhV7076lSdLMAxjnhvvehD5 LWQ+5ct7TphQdNm/VlKaejR8ZVM7bIz3B9jximbCCduVjiBeChdDtSVboCib99bm8Madf7Xds1ZP e0uckPo6A/eOjRzWMcwSI4YFSTm2SwvKyQsvCaqydcFIF2iRNtANrukRYgyMeCKv7Ps7XT7nsaCk g9jX4k2lfhE50tkas0OMWnoNTpithBbMYBEzdTj2pr3nOvBGanhiSAKtzYdsKJ7wi+wB5nuO1Xwz 2MBGE5wFm08BeZeEDn7ai3cF1aTqO4XQXdA7+fvLO88iB3wwWZ7dxU+m+rNsBF36GpH1oI8UjcNm YcfM9mFwXw2qC/3Bv0cM1OYodL0O2UkHvM2iKEmgAi418MZxXBq5LkIHrHJqJis1ztuCMcQNsbqh mQmAHOboEupUvB5PAdMnEBdVaUUX4nXj0+TgqsygufWTaP9L2LemCITVi3lGofja0wVnDM7yEqrE iumVf8Ss+dn/8zwUdslCEbsxlOF5/aexR7zhdQD45ZvcZHKLOHwjWGbE64ISAE6u0FaoV5QIYxDP ubuch0qF7TzJReSGdqJq8FJIF1FQaCo6gMN5f8BqaLgw+ZsoTofjlWXQuFhpxSiQC73cLx9Km5QJ milxYDu+9mA0HzBkjre7PgTS6QIO7tuRhXGuuxLoaVtk4CwQFf2QqfNjjV6KH3yI57dYQ6wlGCwY MYN65zEpUtVQltN4gverE8yTtvYddmFi1ZQcg762dfFIIXdGUmrrgi/TN2/91XH6AYOetU+Rud8X WzH0IHPWyBSwKe7nKeRUFJFEH0Uj69HHMfaxO+nuzTSsRzNWdG5hjagpPoLHwVP6cezoBBZRpPJz 1iZ4+Ab/wz2NQ4bEeBahdGBYyHDVP/64cnLKr0ctOUrkgW4/P/tftf5zNtqLRItpkqhHrpQURhbF rHxpr2jLtRJISB6t7DVroDIRxv9j6pgEBP5hgWF8jflTi9gRbB2lF4WNKZ5UXmAD4OsQzg5fibGm GJHBVEZbu6+itLd7wTgPTO/HCWX9RlXOACMOwCI6OVjzKYykOUrv/KGdF4h7vWbBYWNoUuZNq/zR jz17bYEIv5GcCQ65mMqFJw2/zgw3dKhGC1Q7DdvZt33NLXfPaJTsbxeE0owp4sZKLtc6LRFvwJ2T lP1nbEqrsqj+YUDsB9iI98D0sDxn8ANBzYfn+Gt81Wt7divXdyPZ/24qW97QRTgrhu+1sxrmYDFV oypo6gABeP9n4muXbueUdzg/wv0bNd+OU1iWoOp+T3TJTECDB4gygedQ7nYRC8MZDuh8atVQRnPt 828xb+m8IFgz7NOoLZ5kfmNwsrBkA1kk8niyo+nEKjvepD2uXB11ypmrF/lda31fR19OKrbz6s40 q+S4viBaxLLeShH5n/NqiDClOXpxXs6Rjxv6641Ia6e8D/4jWcWv752vJFNOawf9mVmWSpgnBB/H sMaINmViRnnLu+1ID3EVc45o4ofPP90UwpcEuhu12J8x1/zFXhuAze3yga9OKJ9evntk2Cpz1vUj IxXst+5o0N2/KAX2Iaeo+gUuHlXdCD8RHxUQbzCR0jKYN5HuHR2P8vChJ566XkYSBm/o3RnkfcIf 7KY/Ttrga/TT0LFl9iDbI12LDXuNkhew2I31TBgEwBRgYzCT7MHcwhr+24ksCq1gqpnm9CVp6quQ 9VoOX6wE/qSb/AWhVC5wHLku5/nQrz0lzE6boR6UTsIj5XcT0Q1De9/CPy7c+ISanT+TQgN8NZ5m Yj2MrfF0mMIGv2ndPQUT06POCCGdcnJv0nHsFuqogWot6Z4v0P9HB1JS/gpHpLBgn0fJ4n9fB/Wt FZRHf3hnaSGTkKIUwvsIZTR4MczNlOgiVf8puVg7QUMLI9zMM0w06TPT+mxmTQEeBqYH8ppEyc5l cmph3BS/P/qEq0btLSTjf8TztxvJQj6tYFXL/xzRilLp25yyxj2JcoZZCEJRtr0Af3IkLl8RZPdb dBa2CB2L8o58Lzm7Cx8K/1RgIqCO7/QHSZJOTAJYQ7o8gP/se9pfHCNZ9w1+/aVo8h3edrgL6R5O rgpvB8gKpQwvzBkAqbF4ltJQnehTLHfEfkPwzCt7M2R/WkLXoDngkEufZHB70gsO7w6fULu+vOlR VqWbMtmQ6/u/AqDq3+S9SCllqiuXZVa97i6xYP6YII6a3Upx4yZ3vUY6XbbfT0TDx8g/h3aN5FTk wCa6J7wvq6wgLGv+dzIZzfI47shaOH+JRX5duI/vLbawWn7v4N2oYITLy1pmR5MKM+Derbyolbai zmoBwLahXT3ccEGs6s9QH84XSRsJcIBrIpAyuIiOcIkeDCa3NpT4HFVZ5smaDnwdOQkguDUsOmmt 002AfW0Ikquf2qCRcLkyZiKW9JQ1WD1CVA0gt8nYOi2JbT/C3Pniwv8ysfJERiVuN7cqHyjWRwAN raH/nAKME8x9KzC0obN+qTMjQfRhw/4l9KAXzIN28Zq6nr3fVuuJb8dd0nQ9o2x3Ngro3qMQpOI3 zt4JdKsDofXMXvvdVBXj+qXnF+dKUWghsXBwE+dePxPaMvgBreOO3mgurN5zzu8mVJtk4jPJ46rd NL26YDYXzS/jrppEhwyumG1NakhtJlYvdu4jmXQoTUB/46TzJwdEPtgcDP4KKpyRs2xbXRQGOkPA 9hWkCsbO+w3tBCbCpNyGSk37SzSprrSJX8sio4wRiYjr02kEdCJk1qhNTl4eN1kcWFnyF22+iwCT JihjzKrYMe8UQdFzjM2AklZC/2pSlcq7GqNVlccN8/JQXcljiQmVuIhnHf1p0U+i7b64i9zqrWM+ foC0jR20pbvX9cOkLgRFuAjqKgnZb+9DKzbJbOGZ39Bq0Ok3KZKj4dhg59eeu7cn4bemIxCcWaS9 iLEJkkj1BXjf0g09wo6J5Rw/xUTP2Rw9etx2jOXB6sWg51H/m6C5kVjhx8PDk1zICh1EqI+WQQuk uCIMOHlMn6s8wwzRW6H7gkLiDA96+xF89ut+huxwaYeCdxDsSdE1z3VO2eZAUA/xd95fGQQR+dl1 GGWck9MzPV2Um7kv4kOsy5SW+S8ztAYyaoZ/htdWknHc27g0voCEGPtobc6HeqIZSysoUyltvQZU smEnJQQgFOtLHmMfbNQDUKh3Tcie+9+rZ8IK1YTCSMS3v82e3G8ra5B5GFqNBO/VTJ4PtaV/4b5w RKe0HRT+XHjmst2KU2vfkBeOBiEJ4H5NNfgENn55q27kFX2LDfns8IjeFy/tbjUiozpGGHwtrFya ShdQHqgyVJnb5ISipKuM5x2gbzg2o7QPRHjqKqNKFOrT+vW0EA+cAUUMUXbaRyoZspibt+g1P2mg LZ1XRJ8hgIzAHqnJU3sBJ2ATuNcc+xRj+iFNY3hZZQzLgFOV41um6xaKl2G75NVeg1OrSWa83sdt hTFKip5YgvHvPnbyt6gyISkxAo6sCEzplTa090FC02xmJtJMNBedA8FMwHQDd/SC03DQHdYBbGES CaLj2N61JDIdG0fUiaERoHuaptSh9jbSSRrTZCF/0DKE95VEXTbLKXGe/lzkwOLKJqJdRclTZrXS pApbLi7vdtqKemIX5SLY8kb3vieDpKfUsd/NKIIjEKzu9KVqwMnC9P3YkbZj7Olu/NtQKCB6ZOw9 M1+keVfPHM/UZRxmBst6OVw0Nwnj4Yo3RUWPH8Txwhy8vEcLJBuCUlyLZh+U7OUbiUHAPnZKoDN5 f/d6+G4FnUoWcnx/H6iMguxOa3K9vzuI/3/o0rcWo9rJ/BuzpLDf7WXRfNmiVi3KHi+LycPqedz9 PEBXAXxxOU6ISQmyOfwOBz1bqhdmMgl1ZSO7uFXJg/Kc8M1FEGL3074SewMUdUg2LVnmFtXPEoJ4 fPv61kDHQmNYkpBMAnMzyU6yW4UOWqqjlXUIB1VESxssht6fK93hhjSAP07ZsbImk8C6j5rREcHo O+GYlf9tE8faaO70u01hJWIpDe/pwTpH4u6g4unv7FLJ8cylXI3F/YkvVGvlYGFxXObM9OzOwEwy JBrzkbFaVs56NrGDQxRRfP45CJcT7jgPFJ0WVQ2Tyyg92vp3lcWzMavKKQSfxXyA3rwDj52lmfpT 443zV6jieDKKUn41qw6Fc58a+Bw0k3nb+bEJbh2gGpEC8FEA0eGxV3kQYF55ZHCBhC/ffgsirCqO JTR4CEhmqi1CFyOkc1gk0p2X/vC3QLlkkWsfo1qTD5kK4XtkBCeFmGs6LtUjUFmDVdee2ier250Q DablP0Z5CmbPgj3WOKFy3Z7+y8W8TVuxQOjrHc7TAf9ORxRiA9iiXO86HXUhYrgdCoTqTf/EML1c 1tF6la+o8SDFfDf8ghBqdH62V8twltKiK963sbJOXGlbWdBhcdDr8lClgTJJCwNlE6vkf0niMj93 jUshhxuELSuzd4lnmdGmY8ie03z3JF47g2pcqw/JKWka1rkU+IdDnCE3SwIgTfRK72GqDbutIZQ5 LdQZBBzS6Mkz82NFJXiQNtcm/9gX8FLw+QTGCqTS5pqUucvQVnjjH2b8yHxyIdjTqTOuOyyLxD7e NtlJj+tGkPds27vBT1jBv8PGw7uF6rcOPK28AwaPTIj+C0e0YN5yUlsjrm7ziracRHw0wt7dFsiV 5IIoML0PSk1kBjtR7PcdnDj3G84oF3ZPEM57pASungxGc8tM2AyqHfT48v2ixBDosELaofOMbsvy TcVyepNJxhPFp+0wDdrGgMxKjanmkd9rUAtZ/oAQ5mI21mqz6K2JkuwmJlaV4vACNVQ2QYn3BInR AKlGxdGfV0ZTbUuDDYN4qSFMOWhDBcTTiCfoggMYmEgqMQG5I3wIMkC6Mbuz+hfvTz1bO2YO6mdX sIqnG+QUAWf9ULbDGXmkeyZzbzm+sUs85GHS2Ts+gC2nyVT/ay9Hvju6asLg+1vuanu3yqBbSBeN YWk+ovhdBrahoC5AhQ43m4UUk4dviHH0xYTJZjJg50yCXI56bLuQo47SHS0zkg5Szakrfj+tIZPX P4hG4vTlczSG9TmCM6SER2JQBm0y1s8XGyYZrw0sRI1df/n+oXW+gdrsKG1Pe7iC8zxl0w3WuwTW m7R3BL4P9ZdCSMKJUQqtTGZ3d4CGlUNdy6uBm++/+iowzMS0szROODjL/oC/vB1mNfNSEj1wNJI1 U4IQAbeqpzDDOsmKyy1tOFuW/3r2FIZ5YvZnvXA5CyGLugcCjgha3NSnMKegd1co/EHO7w0xNC2E 3DIqFA8iC32WDgqLiq3nc7iTZcBriKsV10YT6AUpQH9gNFZbCjoEqsogHjvpU9jzX1Ke95WMMrcM eCOAdRBSNhS5a/I9RXn67wDCkB78kbm5jwLrJjNfaLuD4sTuS/f8USNnOdOZetsUWYqZQTEK17Vl +N0A2hXPDj29FsSlYiOmTAN1nibNZGjVe6BHhukXHF4swSi/7rdZXNxtatvN6/3uW1cxNZXPRq14 RQd4RGC3DrOCMUMF+05RYpUFIKxk6gEA1FvHopIWIYc4WhVw5OGOu/WPSEyrE6FIoIqoh3l0OT9c Fi+6chK5+/qPvE9kKSragNSIjj+fgsz8yJvYh4NYeC2LwouaNBmw0q93Cy2fZCj71Y1KpCA1IBQk 9X/7cF4QX0zEZRCVQKderrn32pSUHDiwlC8BkRL0xzH9KZOWhRv+woYziXZy9GnEe4+qlnhVoo3x 74/UUNOybOeb6T6rkLBl2OrkEXdeq82SBVVmsm7doOjRq01chKAHmC6tWoAs0Ks6VaqUSIP3wm/+ 24wZ+BbN49sJJfYg+OIcC2FhqUjJNQR162jdsZFj0mFACIlBwYweOak8wAs60K6zdOIUDLp8Ri6y gmylO5UNBJslABecz4TqfN/G1KQkbTioaScLphVHeYdY4l/LLT5h8W5EsIWsVNFEGgXNzO/tr4JQ 1OYcL1mUxlsFB70L1LFtQkBzNzSbXWLtMdwtz1BIZPEmLr/b1Zd2pvXMKYmZ9IfCWWxInvXQpUUG 3sOgWzOwXH0odxr3z9sgguOvStJuwXUnV/xrYGpOjFXcCW+yafEgqSrfMi6E6be+gf7btJ3OViQW 8Jlbe5LuWnLxWcPY+keMj8Rhf0wJR/+W5RY0OTPkS1v29XJW8gwMU1tGq+9PsbY8ZMOWz1dRPfXw XmPEUdUJMgfx8Sea0z7ml+7g3hRKMOrDY+gCnKeE7OBTuSs47UOKnuYRhvQxIDhXihA/vZ9ZOxfo hJD16mAwaV0zZREj3VXOgMF+Rp+J8EaAxve9+r/rTVw+DPgvJ/JDF0DcetsXyPiWDW9VKxxt2qHN Ge9Y/3cFxD3+nlRM+uCF/xbZgzusIGL+7hafXu9YWgVRTCGggMedsbsTSN6gXIw4Tb/tC3c5bfSf hh4SWrnB14xBTUYfSDcDF6ayVYPSCqEj0AlgXo3TvdRdW78lnfDclpRFhcWnynIS2+BzlGEsAdvu iOj8ODRsLSF+l6BjvZJl+pcqQK34FB1ycltknWxtVZxahHkZnM+MR3bCTrw6sW/ERXqwl+/DbbhQ E9xPmZTemKMy4GaynSLsMplb01WHNoJD53/zCdiCcDDq/+Y3RfYDEGTDIgYTrB4CtTIaEp6pn3Vc 8Yng3wSzvavE/axhf1wbCrwXut5ETkSpfLSDJ5CpTkSo1WC1fMjSK64ZMEpe+qsuNJz3F08i2mw+ mE9NMv5jPeWXSkt2TcNRRQCH8ZNlR4YAuOKW0rWThgw7gmpWEbtU08pQMFW17BWed29d5uwPJ9gf j658TG3VMazFHx36MHRn/i9SoHTX70cRq7aUlnrtyf3iQI0/lie4ONC3xeB9GCOxTtuPRoGBNkdC u8EX9mKQZuAHkYDpO/rTNxLXqCNh+NvUEB96lPU5RbopdMZjaQvBQKvqqnRjzX8PPPnNLV176DbF 5VnyRVsLrqhi9esH4PpjvuPx68Gp6WVrBxlXCS3NoRTyndJDp6SthQCQ0DgXFD350e7r4uHn6bVf cDT9nhbX8IdoD/Wy1THM+hubuN14+sQFm7yVMpwnxGw6lsgFtch9svHIBf8yYyABLMtIGkNgIVmS 9Wu0TGjVYbfEVfWYj4SssQd2FGIqdKqyyUy6JADvgFBens3O88oqi0SqHg6DJKQDknbMPTlWo4Xp zJbuqOEbhGhyqBmFtgeaO/D2ftqNjvd1fgJC6pAxfmiM7OkbB4VipxICBzlN5NPl++kLj6+d+hF3 uWGIkMa/NxhtQDgzIdcarWGVTJWtf5OO45O0tELZqJScn58a77l18fpnJ351jihCQ4ppz7KkxbjH MKZ6kAGj1HkMTX+lHJ+r2BiitMC9iIhWUzq0URqp8vML8Ol8rc7mb3zqaySw+eVIp88laoRCHVQX CIhHT1n7r+W+IIMNDLKP6BIr4F9K2P+cxNSqNIoDELKHC9SXXHheBmd6W5pfOSnJoE5PhU6F+Gen uHRWFOFqxgj0lJTiBxgfW/0Fb0NmZbKnm7PmN1k/Pum0FoLtTIVW2+HYNwcp5KyVxWqqfGd/lP3Q On9beJkzLiYeIBKNBNLQO3Wpmwjd0jXDFF/X9fOzgH4rF3uj32My91lh9VXeBkl62MapECL48TIF TvkEXJX/4ex/SaYdNl6zVV7mFpcNZ9JtoxWPYGaQRHo/nJBRRC9hPafmhe7cWUKX8x071xPmmmB7 d6hpBljK8hSPLD04g9gek5/92WP8OLS9rNrAxU5Y+w2ik71iWq45tSnH+OW8wV86IQHVgH1QpJEa 9oO4XcopO0NEaTw/eywsdFUJU1k/LdjYy+rQhV73n1cvXVFx1HjcsFjF2Lj9Kt5Diy/Gc4idYD3C hqbkaGrB3CPgr8H/iTs3txpZSI4JxJj9f2JvaqOG9nW0aM0QvtxVlwgzj6+hkkDmQDL0MTl7PxS4 /tpMAZUEvzWGPsn4ifnepcgRjODdNr12JoeKBRY8VgLzXqYGlx5a5MjY5mmqv46JwhHNnKCCpHmD rsd+xBA6X5RoOdyEfPhJn6nmkZ4X7drn6oMK9roWy+NyrMZu20WnJR+OzB0KTQEtYIABlfO1qJx5 /DsA3C0HKygghTSWEnyBfHPqUVNndWqHMMEer+eTXwtonFC7CbGjzwahebpEWEF1ToMqhrDMlfe8 iPBu4K6mVw1kyWHuN23iHWvn3Fz1WeBQFBijOA2KCl+RO3MBaS94fQerUYyhOPtSF6+t9HR7kqgf 6UE6qOJR0z74e4wTieyPHT/QuppwaUudhJfFl62Ck5Jq6FnmCz5gZyQ2jxGoJQ3JuaWQ0SMNr3a+ hGZsLxd5LWDG1VtmkGNwKPvyE+XZ9UXGRLW0C0V0kabZqa3Z1SfuIno6Lp7qso2juklr9FogjPJe IDkWgImMVDt3/kAdYcGGPcsGzloOG/OyNr+vuTOBdWsBaZW8JA/FfpC9zppzvvK8YbPjP1FIPGr9 5OTZ9lPaIwW2nnhF7sYjz7KM6LOga4RHJ+xeqhVQPjLv8WxKHAhsgMmshmYWMq/G6XIFVAlIEOvS Q1xhtXxWmIZ9jpRxZQHPQ+a8tsq7KJjEZS5fS704FFAchn+lNqi/kCzkzDm6yb1QDmuyBeSv0Tyn p8fHmdGfgguCNsIky0MQ6lXyEEkmo1VudyfWOsxsZhQZrBSgQXok7OoHzO6j4u9gEMMvzPb1RiSH rDHTaP9+TYm+9h5H1VtPKEHZLtNeGYeeMnNWJrV0DnMdupZeS5bWPCAv47iPjBugGsufisQA9xwb ww+qAbFw/CH3EbODDep2famTmOSlE8eEIfYF9vA8F4OlCepPjPZQ632v+U6WQxjMxe1Y0/9Nr8qy GSeaiT6RpmVW4LW0/cTLLqBZq4Oa1qlcRpwKwR9G39MWye1IFR5L4FEzkPcCbsbqFe/XHxaEtwpv x2UaCxjU15UxYWt0ghgs8q2GZqhdQo06BL/sUKiRUENhG4o1qSerxuMPS6Ch49EXBietAJa4AAkP B/oxG9am2FZ0wktAEz3G/eQHvauojJRIc02Eag0pSnyrNs2T8OHR57bcetjXUh1umig5SbN46jrW 2m3+QjZV+Q2lhZ2WubDzervPvn8RCWHYIFbDshDNxp6HeFi+2IRW5DFbgRTz8qz3n65CeNEJ2IQq Wv9DTl4+DeF/6Byie4SEeeBs8o1u+s95R07yyU4Iju+AqgsykEzQhU/Ue4ILHyGbC7G0BkrxYkSn zITrQOy5cgbQVT/RlEgqEGvp+QI96j5rTbfEF8yFXq2YGxwM7CJzhlgELDBDl5TSPYfULUlQgBIc vVVoLBeleKKnsWulDjAKpu5bCwPgfTNfLI/yxN45/e21f+mMFMogNLx/yNiU6r+MWfBmv4cEo2MI L5cYMMOLNiv45E+hOFUU9YvOlxhrDATGsdHpnkrZWYT2WeVVwHeSzvZfRdIgLv+jtJ9VEJOwhohg IQFueltuXNdA1hmxiOpLokIrFqs7ojqw1NAZn+iNIgsKZabPtcsDAdbrA601Oc/qlPP1Z6JbaDXr wI1fo3RqUaKOlaEMB50zd+HDv7fYLKxJs9Apa08DEGTwUQELVCZtW9/8adA95/XdUSLdWe85D4BH 13TUfAVvoEKBEKuHurIZ87nv5Rc/rnQbpEuRdkJ7ZiVPXoTWAO5pudhf9DjiyH/NXkMRlSb8KUe4 bLg/G1lO8Pf10Ak3gpeV0Ql4Z4nbzql+S0f8C/ByX0uPVUkJVRjyCRD+hu87ji8DZbZfiZJKihSD lK3lFZ4wxjX9rGBYc2RZlPPj/NpSblsmmqM2xEHzH4RDVr9I+uiIzkyPhXqeb7xe3QQaZY5PmJRe 3a79ZJiRV4cE44f2TQVdYxiDBOSm5oorB+H+bOVc+CWclr7KI5auYGRMehC2nm9UYIozknl1GZG0 gcZ7ji1Ax1gcVyyNHfKtckPKm/eU1zGN7b0SaPtKdfDVt4dvU05idQvHpPKYRfPQxukC3X15XyhH Y7fXJhbn2AAyVAKbtv85X4rIidwSnYlRSV6U79pmXc48lr9EkPe6uijzGT4n5paDu7TF+OmIDXq8 9xH35bAVo9BnVBG/SQ9qRPi9E9bxZcyLHtU4AXwopPR1wZOpJXPP7fkrf0wEHCYRep28iUHAWmdj lUNv7lloCqIbzMBIK0hNYLuTEVpFOnQmCFyl+hDWjqlUw+TAksh+RaAZM7UCVyvq/pqdFMmuCIHN /uXKEc1h02dfUxzjmsX0yTKkjLMP3C3+RMyJw6Je/5C3zWxLeH1F0p5aA4xkyuh9UjOcnNG/l+I1 VgQxhT8EXx5MFbDSC7sr8HgYxOe0vmiWQIv8SuPaNvVpJhAgiBGg0gykivdM6vZDQKeaGbcsyYk3 lzfxCMQJRMHSTEG/DKW4ZgaFjHsyh0MFnPx88ssfNHuxR9TkVj9LmlOuSBQDNYE2DfPyyK/6UENR Z42x2RyyAF1/3sCT2MJGI/enNp5CxJB2dJ0LozgTgTPGpU9jIkg8QG/oeU0rquirg3CAQRxJiqtI CJ9fDw+VlyEMge5U5psRYbFuv2ubFKCceL3SiEUq1djZKNQ66AZyvcwtbBlpLJ+rXEGaES07ObFk U2INLWaSfJVkxesFiA2l5np7ORW9HmUsGHCsiqrHR3S0b8C79uYqwLud+lPsiBLLQ8CmsgoEZ7Js AqrfWblaLSTl6qeCZ4SCy1n3KZ06yQvbqjAh/ynwhpsuRqdPkAdt2h+EG5MTPvlcekqwPcHO7xfU gEEcDYLBi1l59RHeClN/dnfqX98V4rGTXbFR5DAVmNFk9AZlXp1c1jD2tE/sc+iCdBYha1huU6Jl FVEd1WN7w8J1IGWd6ZgTxb3OgxdSeOz9pniVs8OTWGeWTuSWTkPZ3aL5iPcm9TPIiUXShfPmzsYs XWmNErPwcbFTjux3N19aCaj9MWdXuxT/YgH9/hESde2++GzSDPyLg9E6F6Q7NfFKsup1CD51b0fM kNMRMp0HMgR+2RV33V0tXDDv+p3NWJ9jce50asr7EwEGxlMEIpX4oRFyam08zw5FS8FnP51wbx5J 9/xnCP9ePIV9E64eAim1q3eg8+TIPrBrGBVzMIuEiGolWlkjb0E4aM/0+CgDUr1WZMJTCZsHnpeU MYJ2neD2M36f0EHqD8y614fcYxdRCT5RNo06GoM3+0nWI/zHXFLnEWXZoqj6G6hWF1j74vcXFWne lsmNTYyhSi9u84DNRey/dcj/qz9A1fgs9WYMQpobfJm7le9z436GlHZshlWctscKw9cZBfRnytvC A7REY1cN2IjPjP+9LFhqp4hsJoh1855hOP6d8sD4j7pbUONZhS1kPkHXXgcpwHUz/4FJFpENqlSV qZfrhNE2r+6BSHJghEdhBWu0sgoVNqsCbc5WfQNTHpPoA3+IyWijh3D/VfmFgg5+uKP2SmUVB5uj w6icq8C4Yj1F6no43WLjOCZMTB0pL+J1yu8g2aEUFjhbO49YYkr4pbKAq0hvQ0WqIJSqpSaCkvD9 SC5faT49TzSHzcZGIsLZo6BYmJ0u+YS2XFK/Fd8G71cg823R7bHEFnhQwC8ixwdsDz0+iYM38tja 18GMG6mquMji2Ys2gRsKrdPqpNNeDJe4OKh6bjjgOuuUQKyXkRYMqwygwXjOYfp2FFIFcGPDtHL8 EuVV8rwcSmF5sL69SYrRid48eRN66LVcVyHgjxSl4q15TCBGjnWHPqTF9E5sApsP4uYf2H0RujC0 RH3pfO/PCAsOZW4I7B7wf4xx74Fv5zoXO4kTdB38v1KcpA4izx4H2+kVLtjwNc3woBYokGzubjaB gmeuaJrplnyAX1WEh7vtc1eHlsAK2n/oHvudcPNxXoqOLEuFf4Qccc/ZoA5zQ9yS9E2sfl/8jYZi rAYL+0rGncc0vaoXTYVe3kmvtYa0gnfKpcEsbvuaRSejLQsUz24LzzoAEIDpLgBiXRIqfK8w0saU +mA7zDByByv3Gyo7YXxIezSqQURkL3jjLuIFdJjlBz8qgVmBhgxNseq5bdCQVE9SO3favh95+2C3 HFvMOprwWJJC89PzAKCffhso/X7k2+xml5zRTvx+mBHqyowMcRbSCg4ATOGq3t3zO8CBwbet+5IY C/sA2VfiUQExgf/P4mZv8c1rG5saSSC+zJuccOp1PqWsm8auKt6OLvxlMJVWcYCu2x20vMx97DUb /HcUJrXhBpeLRhseUe0a9voCewcWHIzCfYihAQnULNcjmg/agbh0aeyDx1Ni/T60xfI1h3ockjcF THIhZxj3Emf0bhymQw1MqPLSduCtH1L0TusAuQWO1L/RvCFnSAdBKtTf3+oSRRyMEMbes2NEbXq1 j5tkS1iB6o6naK31oTbZNS9m5jpyttG5dgzNV0VycknWFoJlCFmshcBfvXSiqr493eEIGUHoZ/yv 5qiuka7L8IJmKtFsHFsotgqYAkD3ksKIA7+ScK4G8NeRl0+3PXyUphHI6jvthdgUh86x0F/suJ3b gMcScJfqLtEx167WnN2wUI+FnZTQZyxCnKQ1JMpCPPRUUnduBqm3SbtRxyO8qaXhzeNMOiW/2wH3 lNa87G47llRsXRAz5VwNHCChYQ0LwAaZeJFzodm10T3czs5dHMV/VMHrMrHe2QkITnlQKET4rqeI QygghMIh3AtLW591/ct/S/y9aPZSVTmwJMbaRb2GBWnC6NilwEDroDYmYjPjzRhm0AkkIj5r3D6M o9zfEaQCJt40fnbtDREAWiAI6Gnlt2AFGbcdYpPK9Hr0C5EllgXL5NNhtvC5H69/t9YPTWADND7b 1yQKvGR3g5BEtJNYN2sjtJxX72FS1iPjQnJJ72eREmnbt7zEfPsC86MNXwztyMtxAE5+ssqbjq5A H4Uq6GyeOhe18+i+L87N6G/Qf4lH4x4jT6vp2jLEXyhuFoVN5V0xP/YMCg2V68hPgPLJV8+3GWiX k65qYs7ZXes1bN+XIMstjbr9cJ0iZZhqqFk8mASQaoWE+vVMBf0slBXARFWmPIEHAbTTgiFXRrQs Ucu2++NGEKVErX2yC4S2mbcqPILWkn+Cknuh4+ew2zqp3snANGkGQ3MJVwBUVDZL20DDsQwEfW3i 5WQ04y/hqHGUx++oh9ggJJq4Vm7wijtJcvBSgAiSu1ennZHbUBo70u3BY0c3t+du8x0jVOoThGXB YPpmFSkMUfsxzKLKi6ZRvlj577GhniAEU8gCu5HjRR6+zVVG/D33LSl85DLl4tmEwQXP9SB2VV54 K5fjhg2tr5ExhhMyxY0YurQnWV9L077yPCbMp8x6oaDfwySdVQacoIi1eUhObRfN31Snghl5O/S+ LM+Jzg7dAx0Y3btjDp9BkEh6A9gwjOT9Seq0nIbIsgRp1n2s8ok9Sl34SV/T4UidQOqO6eYMmz5s r66bkSPw9Hh/DDRiuD7BHVxAKLSvi9RXxIRb6V5AKL1TBnFe1TZ9PoEgwNKQO5GzO+3JMbt3Sz1M 3buOTTL7lHnLgtn/Uo4N2dDT99ROaO7RqyZLRGYekO1x9UbysiiXc48wM0EEejywfYn6E6Zj8xJN VlUWjSSWVzUHzP0WbfEgk9wfMY6GkPqj9de+BpNrUub/76bYjXU0KABQa9zsodNaJZj4qvPJpLrf 2KPVq6+KxCw6TGn+yEH9j4a8XHnsRyvN6Ftz2IaOiXIL8opFNHwigKbEoCT30MU/f1FuiLzRndK8 SXJKvps23kr4unYRcrVPUzY8KFCGwr6wCGA/TsVLsOstOwQI0LG8lP8GYrubBLD5DJsy8SFglyDm aLXWedJAtvIjMlehASGI7PuT9cCYCOizKTqm6FX+gD3AeW6BSh65DgS4GPQsPFfvPSsBU8TPLS2h FVk6vEH/Nan3lt3NYX/6KHj0F2mSaKv/3XYi9bwSnmPDKupWUrUc2woJlDasxHqmayBUPhywVGQW JV1MvcvzG7yGVQlYtixUlDlFpzeIakxDheaQlB9ysY68B85NCUT234a1L2ramkHGW4C8Q2q+sCkt C1XYF/U8s3LkB9szdxK6KCdDw68qNfgryuuhrTB3gRVsHAuV/y43dc3Czzt44I/pmZNLFfXeiWUC cJ6p3ByrYHHU/t0IdSjojadhdGqNv8Ox68gOOiCHn64KmKoVmDUj1pdfZzKI3KFZdzih5cjf5dqV J4pgAhSaSYaQlDCwiLcTxNJw2oG6y2SPoBqLyG1wqyp8ov7ivBb7BqokJehgYnhvRDnYxLvUVpfI I/u0t4ZnfVsBiBc1I7JmqKyITh8+8q5hpqAySdaNlIaj66AeswapSc6m6odYQEgaogK5+gjO7GTp XZ55tAqnBu+zB+fwb6EJGTPgoZrGCJjdPA1wVd8LiYaZYF/z225QURV0I9KhGevtEbzNbmHZputz SsOPAmhV0LN/naKWCDXwkPsHVAISrcipbiLw6Xwn1yq3iBUuYETBpY/YbYfqzbHmSwsxDwPfptHy z+ioLmMYj/X2DSsFi09WwKlEWPxEK04x50TUxt0870IuHGKsbU9hk0KnapWP7Qccpki/1l26BKhE Pemb+SOWlJ0VRswZ4JWUtCEnTXnGkdFZ3sjyfTCizToZQD1olBTVnGpZ1vBSw3pAS6DpGhjDOcX8 RrNxdrgX290psEzLDs2cOVN/ndK4i7dKltFctsEfX+E+Obrkagiv8jHVSoCzDC9YByjYtMY30x4/ s6l0cLlgfp898gZLbHKPrkJEWhKD3h3kpip8N1WtsmOpTPG/HCo02M2F7w5H3QByk9na/DagB7b+ 8Cgz+UVtCXEQRug5GweXV21VXXquYekUEzIlgelSk74lyEDvrDaBhlYM9lHt8QXFXZW9/nqK2P9P q1FcHfo+g0IQiihRc8cdxflQQcnlIMlGW5hut2yqLfTn8YY5CPOiziZgzHihAA+TsvjDFjLEbPqT ADoea0HmExGCks3zzzt9r1s997XDl7rivGIyrLGmw0yef5owG06TWZUtT5bcqpRi6DpvQqQ9cXRL kqva1jSDiA7xZgbVVRcyr64lzjjVEKzpAsqSfvuybqyTMSc2tSNsWgPUxo1CSfWe4PGAcIFPU8Me gON2ilYatqH0zIRiSeLF0QTzXAqY0Gx1IiC7HFXfl4dUmVwAGaZG9vAaGM//yBvfPDTZmOGHyoeg 7f3Xe00bce4mg5wAdzXRQoRb1Rm5YYbW/L26m6WcyjDp821gUzq61EbOyUa/rJDtnlu5hzHgrqEk bmqWIivABGRwYvMnnJehyk3kJu3I4PYPrNErlMeUrZy8QpUlxDCaklQxc4YI6oqN5T/eiObD+hP0 sj+BW4XRg8m3OM7kcNjTfomncPwGRMSEP9LkMMIQ8HufaOjkuWy2FbSoC0q7Qy+h5d0+F/7YeaRh 2k9tdZrjHZ6xwZn0T9LVOhpWzYdkBDev0g3oqcOhrqv0BePOQJd1DMa+em5oFblLUpfa3kcCzEZa HZ0N64x3+DPH2FgIXmk0TkKfZVkLURW+V3z45UhdYDIykcqoPsRlhR3/PralwsjPis2fWCYOnfOm 9cY9T2+Uls/+RCnMqNq0v6aQDzC/FEVo4sEk8Iu2zE6hu+dBerblgeZK3cyMcrkJGIvAqIqkV7P8 PTgR3BI+GjgMeUGfjXM3KCSQru+EQUf0CpKaF8kseRuPxhbB49I/w9FTgotDIox+cZ3EjgT17uyz VVqKeElsHisrY5+H0QFNd6XVvc5TP8WWp4YJBkpTdlfmiaxdOiR5uwt9ljvSeqRC/6wMman/YOPv fnzjER4N06THfa2LEn0kLQMQ19End5ors4TsowsUtwD8HEl3wrpp8tq+8PdAAunvxaeL/oW2Ba8W Dll2ndq4Ada+VBK6r/2ypIPIpABlHZ1F2JgB1TYQjQkPdwgf3GUMHw/ty1zVRrRB29LKUMYsN4Dg I/p4KGh6gUXfBfZT11gRKgKNB+3m4utKByEH+nsISf6ETzYiu5oYaEc0p8BfwWI1snbu33YAz0GP tEpPvBmbu4aop3Qmld4dKO3FoHHi36PwGZs9TLy1R1kJkK5bcsRK1j+zQy6dcvF/LrrsGvXEmsbO CfFVbhVjKIXniaeHwb3svcz5AcMVBWPjFO7C7Es+kbNnS6YtVsYVFhf1HGNWH0s/ylVt74srFdJz NCf5ESg6QtrODNfQuqFQhqDYyhy1UTTTJ38dNO5dxs8vtGajx6e3PLgagSqKic2PCJG4zP3xaUQP JcjiOHTXGeBj5DPiI1R+nyLBvm2p1XeVsKvCVlGKgEfAxcM3XBQOkE5oFXaM6h/B9118NsoCBjCO sLYquQf1vdoGDKqzCuCEtN4rgkg/B0j48rsf8fAUL9dTnupPiUKFgJa9J0dxWYL4HR0iLPgWAe+U 9dok4LoN+9idld8PdhKEfQTeC7vPop9dzgXskd3QjKTbcongyPayZWbgYyePdqu5TYSzlLUlJtsQ 5UHMJfe7QJ/esTddFvBQpxwW3sMAHnShLhL9YJ03FM4koZlTcXLW+FKDnHnOn6Mh+PJZSKf+yFWi 0/cvFmx+anAE19jCdw0ElrrjEzu3Q60HZBdABzS7Xh071F28/XpkiBEUJMwCqNwpEV1PSLBO4/am wwoNMGIOq9A38ZCasViNbZKVFFFYOGOs82DylEk6CQOZU62Zas6Xz2ezh3Bg0iAI0DKmrAaa4SAs hciPVhHxpmBEvXUz1P9+3RJd4Te8Sg0g5t/HpsjX22SRw8y4kZC2D43jjRs0Kyu5CVNZ9570TbHY XxZ+R3+1GZuBfamAYIVMRR8try5YUi94OgDjjNDKbdVw9J4cO0AeUPbAIfeGjapb7pgUoQzu0Xd5 B2ZRmNhP+2ff3pWn7hZSq0Wzr09JaQ/f160sZVsqCqbUZbPLiuxePLlieN8BOackpC8hwk30V3PP Czd3I8o8T1UXAeWL9ES+c9y5LP4eGhihegM9ivF7zE8kmAitH4TWjhlmlate+q6VR84I5fj0/OE8 DqccgW58hMjrffvolu67NMsCDxiJlIbsYqUwQNO3NnVr9kO6Pl+x4Fm3dmSn2zVi3gz1QBw77RiT /t1a2w0Zm0B0RdWi+J4zugT7ydahWlpa3A0mwMA8ns/FvhWUawaUoZmjEUKuYrOAjiIgdEy46kUN W4AnKyHABXCGfopkN876QusAqRj+s12bQC+hjEbjkZJ6C06xxgTeKIETu5Wple0j0GJJGgjv7a4c 1hpwOwzBFnmjlKF7VHLMO5ZSEiHQWGzEE/JqeS7hlg/l8ZrHfzoJNp6GatN0garFtS6Fzv6Ox1Gq Y18wKY0ojWqmA9aaeNBTWndjqjwv4oAtDsMbwzcbkjUFqalxmm7Kvod2sjtlu8aEngSs+NqxA5AA hT+c8M1iGOv0hwXjDT/nEp+39JjpzhdeM6zW4pnzHzs/kCfOg2mZ9wfnhE6HkV1CE9fyjp7Cf3RU Yx7TrxyCvimxlNMnbhMwyuEWc+tM6XsiyftEkKjM3RKe8UXNazRTYunEhxQOgLhAl4xyNpszZcZp BRzjJLNNTfUhkhe6DRuqHWdOIQLcO7QushVZ+SWShfGuJUTe/Ky1ia+o9X+SKKlAnDZSBlnOu60W euKmW/tSRdhUdbfFV823flM8cVtSqJNRRmf235m3M7aszNqwhqgc2SmOnW5qfAv7/2zk9CrMR+Pu f3odQnyWP2TJO4WCRxs5bqVXJw1CDl75kUbdSTaQ6qXw6s9jds4DNyyF9w/F0SN0Vd55UWe9UcS/ unTzXK279VABLy+G3HZwTJjQ8C5wHva3qZH/o2Svzc0nL5ZXbzGqNKuQsISJjz77opTiAmmCDd6r TkfoC0ilttU92CUeR5D2wStH+6zHniIPdRd9zwhcxZaJmiKAD4lqqD7psQpnWpC/lgyQ7yJZydoM EojfoAlr0PQA87kuwqIiPHS2v/O6hfL4wm8/jfOumE4gRpbPSKTmFc65I5/d3UbqUCOJ8XyKOwAt pQXl368bEPiT/D+fda5VwJ9Aw8gjUQIOvue5uDp/ETmDngpgxO0BU2as5wwBRK0rXG9zATNQABtB Ivad3RJp/i7iNxx9X7gHnObUiakC7JtovLZV9tr9iCeRyjT7oANpW2eBGhw1AhD29Qhpv5gSk3I0 vFFLj9vMzrWlMz6JIgMlpUOodyLrpQ72S/3vGYyRY5YK8alPN7OFH3noGun4ueftklcEtDVdFiqp v/wuVmOkC9gvN/vcto3MxMlS15aXekYWbwz8uw6hE+tMKgKb9EBVo4aNoe6+3nks2VehasbUiUdX zOyi5LP2S7qIaLIXHrKiemQsSvcWFU/jWS+BIB7eRL786HjKsm1sNIc/JbIsdJyfUn9tYok6B3H1 E+ASnBDfbCZD+VetD67hcvr0evtqv4/lKX+0ikFM9hkEQa/pCB86+4dZF+ok5dCjL3a7TNomVGWX IhFMkaQQ5dc1LjtUlxsEUrr43jtF/H/Klrt4Jxs7Fp/MipJXJRKfInZcfh0+/kz3cs4yyGDr0dMj 19xmkPtmU9CJ18JMapFDNTItif2msmJq4pDEhvXgMnN04s2LiWaPcTVu0BDSpLW6sCSOURrFHjSf r7aOEnHrbURv5KZr6D6Ss9jxFYpp+7I5A4Ez+5qlmtsPB5lO4puWsJzHLYYxOz0OzCdVL4c7o/Bj 8DeUfRrPcpfu3v4X5hrmP0NSeo2GXD8B6lhmppf3rAqToF4U7vArkiI/GcUfqHabcK3kT/uzyrk/ K7PD1+A7KKKzn/dfkjA0JddvgwjA4VRVlgkc/XqkLXZ9AruXP4cyQwft4FQ5g5r9YjiIhitGaNuA KFNMtAuKizOFHfcqqPEHkYsBGjpMbJcYK7ZOfOVENpEt30pXIqy2GAdjs9qyl177eOUsJDyR/pCB K/rItHteZLki50HY6vsUvSpQfOWClTG2Zrqjc4Q396ICBOhKDQrCG8Qd/m6OoDsLTIhmQVg9xWKI bAta/5lts0lTaC+ZAadcrINLOp8yRXyPCPVHSIxulizx7n2fICTBEAUokMuxv62u/HCJ2CezM1Cn WdTtJZI9okrHaW6VRhkhj2EXc+ygfbdinv+5Un/raxK41GOOWzISYh8mMxy2ccPF5xlHjlTDa8Ja l/DtKMz7C1mq31sfWhM6ToE624nAVeMfEy02BdM8kwn4ftZ0JPVX4JFgFfj6HAFbjCaFu4UIHPL5 vwLXIJ9hKDgQKBOYc2jAhDyi9TFF0y9N985303A9JPfW/Clw8QnFwe5mVahjtx8GtmLdS/WhiC7u ohWbE99nFO6WiHKRl9ZJyOmU92zOZP+Iej/VdkHPTTzv2f9zh59XHbZFTxp1H8N6WAD7osf1itmP dn2GjX5M6wV520NAdGiuNg0q/i7CHiNNLSId7XVEvAJklBfVKy8oGPmeNrJAypkLThwUT2uImWI1 HpFSnZXHqEbvSEjbRjN+yXgLSek3c3zFVT+HzLmMMRwPADgvjAwMXEW0dpXJUo592uh0lFiQwVAk kwlBK5FbO7lp3HUWfsqskZVLQCJISll/0FpR68Wh8IeHGEDe0zCBFm29PE0egTr9q3+1euWoNm7q iW4E89v0EZ3dvhiDV7sAdod42fOuhWh1vj+GZQYdJR/ePFhZUJ+CbNRzzDOqYd9+OKIJAzA3Zf45 JConJBUiibGliddAQmj7adQuPb1cYz7NPLSOF5ANKtOQ6slawbBpSWdBW0nsQFEqljvyR26Crh49 RO/lXzm+rB1xmAHuM7AoKowTZIhc8bNAzKOI0LviMolxUGB5MNpWlbJgaJW2s3psuX6BlUXZIVJW S7cJPyemZ+/lbKEXLPa6XneKDfAlgEZs8dZ5r4ciwFfvmiPQXeCbRU8aqLTVyU6Shnga+b0oxjCf YFBQ/QWXAIraMfot2CrA8Gme1db+a6fToN9xMqAxoKHrzUlapL5E/S6OrfKoa5Iy1hxXLNcsvAYR tlSHyd3VweHvOzULK5Ul7XjgxjIB3sBV6IL0O0ORhGiLFiZYmDrFkZujhLz6VAuS7nCJZuuKe27z 7f6pFUNWaa5U9ECRifXzkP8XuNLilLySGewC8OzL/u/uvsgpjVbksZVy7a3v2v9cBWc6ZSC2n+TA N7tPBGdpbH0CDz/6rOE7NEmNicppOwpzkTkpodduLABvAiKaWHzOel2LAq+whPK7oQuEnlKCsbXj YpXpYX3QbmEUntAB0FXNJtTXT9OVFqalOAMjZlpkTagTXXb+W+LY2mga9yxnyg5KICi6j20y8drY ZYiU3uZzvlLp7e3PvN2yeLtJoo+hwWqnZrtUhSLTwfvBWLyJ0CWRHlhYOR2LDZ+ioy60GH9jZXUb mCgSM+QiMEJJ5+DZgRlHtZGvxldbTiIoKs/f2DYol5coDneKGuOczKJK6IAAyeE/7xIz3yFtpqtd bATBoMboV52LDxXcwqzOud9thcJM25PShYn/4oyjwAHe3lNaXqRXCxu+WsWcZrZBTtAp41dv3WWK T/9DidT9icWJTVpjvFmheWHct+pwdvrh6dVhmMdUKAy3KbhE1fjjISwDcP0kw/0RQpKUN2hUJRcX jeBZtEsK+l4OMjdogjc4eN73f4CnbXtQ5BdtVzg+zX90qbRr+Mg6ve3vI31/xl5vuBeKdvoHAK18 RUQFwo69L4joMzMl0s+Nsp87MP7ZszzMdNW4GALqu7qrP03TscZLfasuzPhk/v+YQcESkmkWlk/8 BKtSkrA2ENdb6SZJYCJaD1IS+/axrOtjTIczLxRk/8XEF0+U+aHxvQQj56AuGMgPexsmu/qIX/sB eS5QhH+693JPiu9eIzo1GW3wafZkylXaipze/wYHpaFyHWF6rvQS/+3aL1zIf9eGjENdd6tdiVlC 4UhoaD7RnWKwWtoIXqwy5c1J01mAtEU9I/g98bZ9rl0ZS23xA/B9rxyZyVcqre/MTawjbw7lmifB bLtszOybNW6+WvBTMI/L5pmcP2U/M8Somp0gxrQLDMq558zA4e5nG+k8k98Bfk9lQtM08vqE67A/ MVxKfvvdxUyWtWhSueWy4GMzgwxXNMbmvFyCpcZt4B6DM0S6QHlvpiNGWBcWc4y3zuyV5FNVL+qM HtPQpx04AK8YYwM10FanD3houc7BG3ixJpkPR43LkR82GmzO6SSftH8mRUh4d5OSPZlztDT/8QQg 1cPhYfTCdsEY+tERZEpAeSrv6hAa95UHomrzEtn5HAo6PkqUuemiSdm+3MRDxlfqsCv9OE7H2OY4 jord/GJBExLsJSgM9TS4DtImMYCpF6+nFA8H+X4LjkXde7mi7U+Vsc/zqiutD5LQzP5CY6ayIpvT w/doHlcMqlJzHqWmy7Jgh7a75qahmKlUDAjjUAI22Yns74yfJbx9nynJeuejeNgaOcozkYnvIIPI f7Q4Mig/UZL6e3H6gqducpjWdYNTGMmqUrImCkRbbvDIktNljldhbWqUCBbyDlbXK7cIJl04SJ3l mVOS67iLlgV0EOICwEJwiQ+BJ8vLREiXTiDAAyM30k2yUhisjSEcw1FrZm82p7BqjrYZc7dDG8iB J9Q5JBC3pt6Hs3aA9YYB53vt9fd9fGKBOqfzC7MA73iagOA/iOAb2Xh/m2AhEHtn1Lk1lqvL6F4i a/l6aDwAy9sZlxVPrURROtYjmr0tfI88H8jPSyFghoZoTc0WFmQfR8wU8FYOdD/gotJS1ZKHGwpg U3oOzBAg0XLiNEfrLVwwW9rt+xrtAAZvE7UIOtgwq94WXwaAXC/td0/+rapQx6SUI5QQXRKtGE/X B4YoGMkyxbGXG/jGS85kvNSRdoE2GMBwJHrR+H51f8owzJ1V8qX2mLUbxub+F8k1s4DbjnMycSmm EhcNva/N6KWe0+SkZKYdyrvSe8DtpuioxYlbvO+dxpvjcepsQPS2OI36IcbXDynduTqGcpP5h34i LG+wMRK5npVQ71lkymBmuYmM374Vi6p5JOKr+kje8bxRx+i8m1nlj0aER3OxeB0VQFcudGNS2Mbc +lXcXIbxm4Mb3O57+xuawEpOObG105Y6bFTNgZ0TiqtLp73bPO6FSjmBHQNPuR+K26MemrpQtwAv TTs+w1jMS1fFCg/AzdEyuGcNKYOQNqg7Po4mgCHL+tp4Syc8G4r8Xv33P5QIOMK68c5+guLdIwD1 qbvjNXgHJXST2afipUY0tULA9z5g5DlgMPvH626tl6W1v+B/JOg1AnF1nrgBC0chUPfg/8VkMtBC zWsDKhjRJvj0SfGQB1reRAdblOTlpGJ0XZYSj/G6pQF1bub2Yx4WyrT2scDkh2H0UcMfw5mxkTle crDRD7+Rj1fDiymutwQ4pwxs8Fr8WqhdnWld8bc5QV3fHxztVp0LBy7HR4kOSvkqABSHvMxdadjp hs2Er8R7A0KtrXmlsKf1yfBhDCcNp5/INtKt3UU00c+A+U3XeY0LUHseRqT/uwBwZR1zk2uFzmAF yy3jeFWT2Gx5/APC6NZtiMeA092ZaPvDOjlpZxqS00/JdK/V1gTijTngC9uP8aDh0ahVmHrJlRie Bhq3W15RhOjFbROp5tzAIAMyBqO5j+3SjupHLbRV/zfKhFLb+LyRtvZ0OLcdyMU2065UQuSmGVvs ByHgH410eOURYD5SSDUTh/VKW/qkS5DXUeo5emsXyJDhOQmeKyIscJjiwVGYQOj/TGQPdXjbzuha E+J0cjqNazVrZF7AMWS2ZeUWGbX+ZZW4pAE/mAZW2DDCsfijmJieszFL+Y0lGClsKwC3PRiKqh8j AAoIBYnb+pHU3deuoDc/5p9r9IeUO6Yjw4j7ol3BSoQe0HgfKVK0xZ6EOTsSSK0uV2EEjKhy5InY a+JNs7Poij39S+IZht3qSfrPU1W+UZt7JGmzD8hXNbMsy/xM710bdbdkxVK4tsKh4Behsx3elMER abmFUZ/MKuSMeSZ7M/1Fp/s94cWlsuzHpgdtcYVJXom9/KwjLLytjxUfJHJdLF/2am4W2ipEfmG6 OGnHB2sIlVjN5hu1bu5K8t6ZkTD98/wzd9dIta22iHgaIFPKJ6kO9wWrnqJK+l+nWvgE0XOCojT+ 8Wkw8sr0O3SJOVTZYyf7Zhxxm7LeW7MHeNYsIthlUK5u2BwSHY7yPHYVvcD1z+pC+mCSDXVcaJJW SmE/ZrvfHlllNYlLg1+FVODP9khxgot+a35TeD2dgp/0xH7+KC8urkKEL/X/UbEVGSLf3y2myy3v oxrEflFhYRkFH6hTOwESdxtUetAHri2EjSdaGXbKUw4njQqARouqf+zb3reJqsbC8NZ8dPnICi8F hHgZDmCsLDCB8gw48LHSMwb//UZ4sp/U7ycJEybdFGl52XlLfEsu3xyujbYToOQFZu/7LnEzeOrK eoLDno9SWCiGDpFYi1CgUXGkAr8e1DBjn7MXLec7mp6XyGOaG8BrD2IssxZo7e9HzwfeGV4gs9gc 8Bgqa2nW2d8BzGRV4z6rUUv5wYf+BgTYDP84WkQXTEZ0EDkETn1NuOEs93+URODv9CNHgLwUgmBU L6F9vDLpSdoom2k/HI9QaPTiLmO2c1r7zWM801StOynfLhH4zpWj0QDlTrpntbZU2gXJ5z6nz4OQ ++53/7mxDsTB4Evmrg6LOawQz7rTwi2Y6x3mpHqfiPInTQRTmX0GHe8USMAaZrthJjvm4IuARCTT F8lXP6OFuzw2kmubAv1PpYawTAYMruOrfAZFGI5KVuvm3PnTWynftLM3m6tQUHDwnLCq2HPgesg/ mjCHgMSTeDuklwN/ryQPJ8Smfp+ojtNi3cErVls7ZALk1DQkzSeO7PBi+EmZP4TsjgK94OBbH2Vv z5KeVJNPm5++Oo46Y/0cmQwxg9hiPBA5OtBFpT6XUnrUpQOC+I+MGiK8d34WtbWNnbPBUkaqFR2I HUgAUpscnY7+qKP4ICbjlrOvJdoznw0PNxivzUTHcHFwoiTTGgOcLebaLsk85fd3CJ8qr4Gq+j5z 9dNlbUciDSGSn0j5F02dthrJ86RKUT5MZgG9jvjgo01DTJPSRKN3dANYETo1CAhbDabC30blTmSD 4hh9Fe/NBKyvbKmQAvu6D/PYLew2fuIJeCW1y2CNwwQJSGbt45A2UY68Jm0C90Zx8zeHJq1DhSxI J7eWBDT21F65D6DFeOmxgF939AD4H4hBiVdOxFzVME/Qm0VtFjNPd1AHEsxQ6yMfFKCINO2CbKEW UnVu7NFIVNlq12f4xLPwIIkB0yCQlPbqnjhf/Ku8LGwAxJ7c+GoaHaisgFgUZaLai4i281OSY+io TKDAbhpOGHwZlKF72pSx2FR+j3bdVDEzynPjD1saLA+07gNKzzKio0vv7y/Id4TPjhuDj7Of/dPU GwO9YQQNLIrSU+86M8FNPpACZbuCPkNqd4n87IpHDtNAo5m5ItKVqp0XllDW/sJtug/vMKWfk8iD gAKIGNgCB0xos+MgbmI5yAB6SLG19A0SV8J+q7g6QSPQPHnPXai/17T9K+L9J6x5+oldHRrcbSzQ KgavD5ADUCz70xpyjzrPmhJ6qan8jnWxmGSVgiUb2K+Ov2VYQDxML5rY8tv9agbBSBc/jIW4BCq/ UR1BjoPYNv5VH52J3BpvevIafgJJTDk+8EjhWeUmtOtUvDRGfmZc8GrCqt8DQ7XS7ondqwijEdYE GTdTmFO8n9I/5heXhixKh/MArQm+aKD9DrggaKWhD5XK+rSnhZyEegz2u/EEfSE99goo5U6iuwCn fpyIPbMoqcrOfJRlROnT0/i07kGMZLGzwmeu/IYkyxLU3iP+EGHZjHqIH+2QBL5EWwWA2rdqbe1b iwgtqZu0Wky5Nl8R42SbKw8wjwD0Q+9Fmhxvc4Y3qZZnBWpjs15ihiMrcOLKUfVWpf7k/8yTKOif 1Aq+ylSrNN85OQgaj92OVMu3JBRjT0q2Gf4/KaOVnOpBgPkfbL0i2m8loJi6UI/GeGM0DNVhqhj/ mtP5qVZrSdTLAVubaXN4S+WA1Wn3R6kqWLi9uyThLSSHHAKbpDq+Hx/cCRZ3lJjnswKMpLp/xqrP 4l5cyitygYCoGyIoo+zUX+RdvuVKyr8cDYwHfuqRlznG+U2+VMCQI2gg7BWpPloRDSHDZpmm5Eq5 s+8jK8vyEEnu7RQdsXLEgWom7WujKPbLexlwZjdLhM6CuGICKEjgATaSpGAT3j72mHL/d5P+7kI2 sImGNsHIJ2saIC3+1ClpY2jdftj7XBvqJxI9Mr8ve4gkRcekbOeECGw94AbCvPKuRikjaZKV/FnM TYLeE69Ts0cJKNkeUpeFuJFul5b8X0F/nbut9aoDZtQqa9tW6rnVNylJIAxp8vvf3cWvTo6V4csl pfb8yh8e92SGAE3zdrnKGPitAxft3r9vlfIq3LmTDiih7NqaJRQNjR03eLvPghE36WcAy6A2kxLE exhDIkI1z7Oi2uTNM0CUQJ41W0kjwYkoqdj1YInEn1FdzJMvu8lrbjknupe+rS0Cp4v3F60kFd0w yOg0myO6l0f4LIXDhwpzDUu++LNuJ3Yr1MDJWq8zJ1T3Y1x1qEYOCSDphjGMIdiXAP3gZtyHuX4Z mInoNtJ1w/QFb91oLnyj0nBMiy9etcvVLlI064fGD+xcSjzfXrV5VKxxzH95vpoJdThazqmg2cuS qnofSU3aXfTilyl3Tt9iWCkdBfn/e3W4scNhxAf6aGfpShZ2g5is2SkSBYG04iXHWtAPfaotBMJ6 1Jo0OuPh86EcC8bRC+MvcgsS5J3mBXSnb5oH2tPTvCSjj+LsBFR5IIpfdadzmpxtMJQQfLAcL7si fRQmz7snZBABCzh/k27gYTEL4fgydtzSwPZE/B6AKVnBTIvfbNxntv6D/1YbJqPTJxZs35X5wos+ g69w1NemX//puOHlHUAM8xMBCn/OKSqAOxIQoeO/i8fsTU+kbatGT325gAvzTqSZMZu/QOAUNq8+ JvYFlmfa1Rxy1qjzyIsQjgNY5eIYGUriS9TmA89T4xoDJ2GJ49W++gKcd+o6V9pfIa3THvC8U80e 92i627wLLo2b37JO9dzShFqsGyLnVxgGUZFLB67qQW1b2GioaSQObdRIDo3RzNHkCf9jGQnYxhta PW0emchZHCnAdW2zqbIg3ITExkOqr3KcO5uWP/5xp7pvbXkUQni1ajTWH4N4VgxsDEsZMFy7fiGF Ekkx6p7efTzPIt+3sbll1+vuClzghBGSsm0P3EjtzJUJWAAs1aAinhoMW7WQ6S3qvAqh/B50MwXv wSFjAz7TW1XkQnluYAWXJwKPfbY52oANwQ23QtIv9oSAhMItuFSq5Lyz6evIcdgQGaGq+lsk9cFe mODphxvDWS5x4dowrwSmkqL47IqJ9xwzNsgHpMofe+b/aCM0Fw/jPE7vTHV6yXibW94RRK11iZBF 0s/5M5/ctaMGxcG/ProFTAY6a7Sx89eGC+qTpUbgHyi1zEEHpjHpSn8hSkvnl/VXLU9vYJwEufPx 3lSCWEmKsnT0A/C+KSfgWKci8tpbe3Q/cL+k6q7XMrmlcZGtpaZuV4KOQrP/dDPFpoYV4Y86MK66 xzIcDjnRDuXsw0RYOySSPpCb0K2OsCxBXGnyLHDFTV722/ToHFCd4RE/Tf0I4eocDkicUGE4G3pr qQknLiVBUKLf/S3KPx9UVl6rA0CDh5o4ol36RpWUiilSFYR7OsPCbDWrTAYTW14/Z9Ww+lYiUy2K A1QpoW7zLdBuOKKXxkjcnUSK/tC1oPveQre0i+Mi29D6gMeZ+gwvTscpXZWZ6I3oHd+ke+oQHzcd ATsOfsfkvtJZdEOuhwI2agVUCVH/o/PEMgTRE3op1AaszDf9DFfne5tL4aSu5PncsmnR7qHy1CY4 b+V+r/sN6bnNISdXFXRwS0lz7xpGRWkgGyeUMyT2qJEW+Eh13ninat8ITWR8vgTZxO+O34V04ksc cW9bBQIJc7iuoozfYobfutGbM+3AeuQ/xzHY3cCfUAdr109D8+sAOKUOgOABZUSRU9Yh7AII+4vr Cq2/m6v2Ad2fhS4XHWTtDS0yM7qiFpjjOF7OUt4Zxiuq5hRTIi6ZNjk+Nz6cz+YgKsJZ+43nFslW tBr96+AIpaeuTJhy1H983nqNTlPTgnMzP9bIUZuII/PY+QP2ICeevMV1IKPP6tBAkX9MoARtMTbn Fv9MNLYA7HW5dlmY61nI/ffp/WIOnZq+QMs6MFKhiG14m3DPY7UCvF+p31ivOfB5Ooa/yAkGSZFy 4CzoFDtpHfkJZGTMnmAJplXwlCpgARFN2WlRTjiMBO1hpX97uqhHp+3HxmRQ/mU350qW9nJOGSKj eskoUiVm1hd+mU4a9DZKBLeIFyQvyAo7kz8Dc5Wmzg9imnLHKN8L2jjxUnRh4f5i9kGAPFLwzX29 LwyxTaK03Co0LAFZ5R8I22/oX5UT0hKFq5P8nF5MShe2/e8YNtVAdchuei1+6jTgiiepDT158FSH +TXOuuclU0a0UhODLKKN2E6fBVeRoxcxP7jQktrB85mWQpeX9wMyjdYXJGX6w35NYcI1MhPCNZS3 RSwD3j2pLtS5FxX4oLZAAiDknPNPJ+rDZqr0Ry21JrmrNMmnjAwQ5eL1ne/ymPjpKqGT+C+Ok+Ws LVPI6bSACD9RBeI1Zz3p4JC3YQa7lYZ5volhu5HzTMgkLb9qQPmCEEN8549GQdq9MddAUEKAQbm4 izBdPB2rsKoWtkRdbAGI6Q65U17bmX3XDYYoNRJuDESTo4NR8a7ibL67y/ahQnc3oVqC9tU77YkI 8r36Gz5gMaOMAJ1NmCN/Iy29LGJvQFNcFMAtUMBofNpv3FCC/fSd5zTx8HBF9T9DEFB1UUhLvXBW i9Rq5hbJN8VFtY3SOGK3Vp3x7QDGLpPSJP11Tvocl/7NQJRA6f2vycLdEC0F2JerIG4gIPWNaZyx f1y/dVPe5NT49XoLrQuhgNv6fhxe7taqGQGAYgj4XFFlW/WA71F8AupirFuog3VXg2/6M/O4bY5n Ue28zJnM2RH4wFJSFjgvCI9gEwFS8kZqOafpg9XHGuWXfP5h6dRT0Y+v789CslGwCPAFW+rvpCJ8 DL6ltTkjDFX2Nm/vDe7dNmTs3SQZ5gcrG+5ZXiMOxU4dq7Z2lPon5XIRzu8SGi7wEAy0Ww4RTR51 2tktbvdSv2Wjp3qojch8v4pa6kcU7SKwNAKGISk6I1Je2+kW6lCKhzXdO2t5JoH09FV4f6/a4Zqk YoL69uCMmFFl5EPuy14uokQLZZXiFKZuZQ2mr9kBDXO+XEqX3dd8R5nyY1OJz59ukIpUlMTvCTsx Ra7n/AlYbvB9H7ErBnHIK+qWnwb03m0SH4geKqnlZf3PHAi1sHX2VqTqMpE9FaX/rsKJXUW/sKGn NNh5y1/RnxzWVvfx9+cq2zbEnC3Pcc9znguhe1FRuDZvLBUnDLckjV1Bt7R75cyD3iL3m0ScPhhC nDn+uU9v0WaPfZGHXZYe9GqAkjnukiGt+Ixlbl4i5FF5Y4dAM3gSQwR8YnRpU7t5bu0E/EuPjgJU oMcvpYscwCn+5L6fyGMFvELSKuERSVQ0bWMhSc7Ktfvamg73jlsN/tTW150ZoBGCT/S+UaEPp4H3 ymg3OfrX7CTUPg3KQwOS6wxcTV6OcXXaWSr/Ln5iK152gufMgqLTZN5t5GjGTAHEowPQnuBQr7Er AKBoXAdSRl4YbyM8FcxMkJ89SvatXhM4UZ9K6EyGW7L43AO4O+aaOFgDpKawRkOLo1tmpTIUWB0z ZeJrGAmBUtXU52yvF25zn/cwX1z+gE560BJLEuNWjV40jxB8D4dBFdzQ3AhTaa4fpQ4Z+b6tfZnW VvAhX5ZBGsh+g5k3vqrELdzNcEFjYVNYlsqMUn2c+s65JZM0m9fQUFdpKDcr3Mg2Bb4ucED8IXue QxN4SB1a8ACl31/Ok6ViHanrScp5bWuRdMRhoq+EoKqYVxawk//1MUZtbTRAwNEwRAMcBeM3O7GC BxjhOoB8fAlLer3mUBpMVutKH8SW5BWHRsOkSxFhsEAmi6xUNrfaBBojLP1qtXr8Yiadc5B6C+1T QPWabU0QsGjklvVLhM2y82sO594pDmYOHO/mAkFEMa0rYDTlfpJgq/wmqqxBuqVw7RYdsNJ7FbzI 4sUYGb8Q4nnn/uUemkYCeT9Wh8bDwjwx7VAI4ystxCYoBUS+MyREWQdA6BZ9t8+9la9Af790OaEm 89jCJLyS1wAqN6Px6ruwTouZS1BvMZaGhZ75gwuciEcqCWXuZ66PB4l8qbv3bARJBX6N61Su+QWS 2C2twAt22C/jlBdZfADD8EFuGPczoPOwG81GqFMvYJ/p6jV3IHDcHCiV8TguZh9pWBma6egi0/9c bEAfrjqacSH6QceM70ho9qyZwBAaICkVZ4oqIAnskCRrFxAmBf36Ou3l7M40gz1R5P+QW+ucFYW2 Q0uOEDzoyF//hTY7kWoRjnbGUgdTh2nJe6AA+aFsvGDbPAoZANMyjY9vfJ4MTKuAbNjJ9TNtJMm1 6KUh8/K6sJQinkqavdOTbEUBr1GHC0RmD/p7qxL8qorIM8pVg5w4WfRrC0NKiaGLiifqf5SLITHX Vi497AYe1YdXYEq1SYSTqjSY0p9Fie/ub7w3eOkc3KTzJLf/Y/E0aff/m90XW8KSaZTOAeQ1XZ0o tklAYXh+cMLJWSmGzGmPa7im74bEGRafboLttL+NEl7fC/Fr66ZevloKw/WLEghCoi0GlKJmcM2o teiak29yvGb8wdeEvBjLXVa0Q5T2flYiB9+IOD83mmX5DM3eHA7QYq/s84QFzwgbNRsORnJYrXsZ eWNtWT+VJJRlg73I7Z7M5AeNbUwe9tx0QH02vXe0tP26etMDgV4wwOxx+v1vEXxcSVJxBu+Nw+6D PY5pCGFOrTMfx/EEtow5dgh6TEhP0e23AId/1ahQXt4CTA0iKNhPIk6zF62TMS/lnSL04eIfz0qT 9aS5RLVdWL8FkJhsW6QRK2mfvdoefHeg4b5TZ+lL0/oLH6IKrC66qBU3h4O8+JOVQW8M9uOiZ/2V VnGHScEQ93TPplBgPU8XW+kXL5l2lCXoFwne2KA8DSRIwXgnxlaoRxcO3dGHElMcM72RZSEDbKA3 VGN2oeoccJP5IkAO5I5Z6q3BupqUKJvgOCv3j86315eYZDA8bOU5Tf3xMu/3TFW2CAbaHwSvhDFy cbtYmpgFAoNyH2MERkrTTlEzMFaMqYrn92lA+afiqFKlyPOTsfevkxtlRuZd6EOUqBjibldKvfRF Pd5RKS2HmFJUNTATnauIyLc2gT+qceC1eJZCwL3+wLFCHE1E6/qkjjnbbiz7+uhzrgZAvPYyBemb hk0CXYhArAkLgVC4q78oznE6vqVXyg9/YNbUM7l5NXzDiEdTvuB64XpC0hgQLpLpEXwqCrFe50LF e4ZdyKfCN3FSIC2eZ2ZHWgEPs5WCsP0VyRC5wpkUEyajbdam0vv6VRC9YnIKoKrKiPXiMvANPej6 Qj0KNHGdJmrygG2iK7KT8PpYaUV7TWxnFe2vE7D/pK6SuuuKB0NejPnBSqwAQkIr5o+SDRWZvUNr ZUFS7KXQNF2Z6Cp0kg5Tk3nulbuVnl97eizPv7FRYVSxRqW3/w/ICS4eobMWfq2Pmv1OWn0Cinw3 /AkGkLGyIjY1AorXoBWtgyr7jjLb6akg2rvTFmVnFw48u339tNJt01JCjPjlUJRjIDnuXiok+Y/1 NR4jBV7jTk0yzF8yE6m7hcly8cJkXjbHHrdi+iR6p1kheVfuu4SAAabyvlL3r2O93L2M7W/OOASv AVo6txYf7r0CekG7/Rp+QWISHiriwYqOrSNozwJ9WeUEkVB0I3+eqloqNOwn0fZOYu65WhmFy2MW d0eZOlwg0Xyl5x3EQHvO9milbW98cTyYf0ugQSWiMta6HG8RHdZLhQkFqgWMAPwV3EHz57+aRa3f sc61VPNjiu1g3haH2aH0ESinnRx9kMytxHjEfIoECNMJZ1iiYqe9ur2zcwMHzPRAODQSSZBF3DOE RwEljKuvIKlznxPs+Zg+lps1EpBU99LzGkltxs3u/Y4yNCcwF57qh6mi60CRnSx9qSF0/hpDE7qo ExU89q6P/zdoteVgN/aVXg73oUXSH0Ov7VPfuYdvNF8FZBtQfXZ2wsutZE/08i578O1RWAxnAqYQ i2hIFgfQ/zi0YQXvtaEcCsi3qMlT22qjCnoSxAsMeATwpM2emIae76sqUnidtKIR63DtKgKTl3Yi 6j4P+5Y1igI3O+tAgnunL+0LiJ9lhfWxQ6FmZtFDW0BI2pTE9UtK4D+gZMwgc7MD5dSnCiwNKgcb 5LnnjVOzuCrQ/CB1Svir1lJTQaO/FRnzMXH6ae0Z2bVmHp2HXdqF+9OifGDGLNDHnTN5fk2BTXS3 5a1WXusZ0abBkkjRSd4/fUhnhpDRRo42AdXV9HRpQuZHzZ2lTfTjpX4jdjS5n2U7matS0czAIWiG tm+59aWB+KsE1i6pBVc3gGPzxS/SWVSlGtHzl7P+sEtX/PZjvcX9kUWMKJLofScF5dHz2SzHnVXk cHs5NrcUn9aMo5qtZtRdIFVMsMV8L3N+lAggpIZOvwdC3zEMFREHkXWfamlkzpIiCtzzMXH1lb2S +xX5GiCmypS/Dzj9LQXw8hMqpGsdakot2z4a+sVw7kWxQmvsZhiiOLoyV9MFsSXTASMxnogrVoUY hx4pvW0s+IfqueAELMBLelycx4Sgjl44f/toC08zk9E2g82GiDipLx5U2FpbsYCfP/x9BXP/L8HM R7pJ+LK68gZHkSqRQPGF3a9B/i+lpJ5v5diddV/meH9ZKXeBPNkbQ55KNktH/dtup7s9a8ymwUZU 5KxktqwnbxeIF9QUFRSFPgeCr0rCcp/2OqBfr64hjlTm3DhtEyRMEk5jDzJdUJe+mPdu4Tq2wCoX QFXFe4QfNuTQUAOcqznJKfK+wnHm0jaz/ND7FwWCZvG0u0OGpCRFoH8rb6xZZqnsXhRe3Y9Wu60t 8gLLec3dCab/gBw/Rs6qoSzW0nTwxNSegwIkOI+El2k3x1ydFxQXbyBn4BaYOt19D/E/tGzDdqFN Wrj33tlYvMBA/HqnBsRLPm8Jn6zrfnsEb1oRA+REjrx/2RY4OnFN6gTyHzOjhxeNYMSqrRf+bq5Y P9KckMwzqfDQpoRlBZ5dzdo9DvgvliweGM57e05A/2Z1RzO9Fr+VIiWyZCt+wLnx1MnhlC6zPG1l dqi+osJEpxsqlFfjLvpff3cRSnohBSvmdDbmMoJNSb2+i8mtDflaDAYuNgwG1raTbKSIBa0Sj7v0 JLoZLTzZ9DB3c0teBZw58nI/JEmRtKnEqKEK6fE99O7OD0fT0N4jQ2QAeBt5icrS1rVzl1fwTEhY rfSI/3cXIMlks44mVujtDmeH49DXhvQ0IKQ1gHU3FWF+OfZ6JH69lwyxSTjkQzZnSwMQPDyLZOZN u7N+NaJsPha1Ih2Te0Egn7bQwaDU+MggrsyL76IX9C2UKyeuIxUBRE89fsFv0dxzxw/3rgovFZ8C laVDZHsFUOo5Psa2hnV88cwLqXOU+YIzmCtj7oeC2jdvZPqxYdaM+zodCaazUpjpyGP/a0iRrckC jkukjUib/Ejl2aWY/jPfiapmJTt+ngoZJxJs3PyVk9GMrcBVbm8S86zpia0qvhWVlZn7+A39PBsj XsOVNFcrDA/OAGMwHqzBARod7G4w+XJQ2adVizlQVy9RPzjmzJUSmrLxv6V8drH3RnPdYmfmCQv8 MTL74b9LWeXCKdhun6IaO3rUFdNBKhq7arX/FUuVwTSLZcA0fOkT8tJ7WaAE+OH+eLGquILcorQ2 669b8dTA1U3WZy0QKPV4/cAkvUj66joQWJ8EssbsgdzJCst+5WAz2ZvzNgY0ZtWrznJGr+rXfz2f xVyzfEjKXuKvzxCUj11yNrhNTOsjPtkgg0QZFHMIF5RH68HzAxUxJeXB0N6qg/1g58UNXbx0hOO9 KC6KRw0tB5WxneWK+Cmr923CnrdKPw0YT6tHl9CK18zaKUZf93ozHA02ycDIT/WD8ownyoS0XG9Q P61lA+JuO3Zu//DEd76p29oYXdn9DotLgQbpUi3jh9GHpaDtW0i686vFilpWTbZQs7w8qvS3uy3M 4br9U+EDvxVDwM+E4we80uUwb4I3TfgsUnNs+wrpFGlh5oyP59BbJjd62iV0VfyE0dQTjeFmKNH+ dzp0WWFWd0xC9l7FvfggHGCp8tevC6MyuVC3+mEaD4y9gN/K8Kfh+VqeHkpsPvG5CDXQ6cbYzxOY sM3pFI6clQM558Cbn9zDHxesY64oHqHUzKYcQHvBjy6HvxH8wwAEjqgtFFTsB6l+zRjuo0ADUnQ3 F4JupbftoHVlglKkuQEI9XxnUA2+7J5G43yuZsgGr7tTZYy3ia1RL52yoGgJHKHHP9fEiYM0UTE9 kbtZWD2d9Duhka5LAS09ThARaGdkvvR40PKPgvbJZXYDdEK7YP3ldLGnm+6OdX3SwC4MxMIl08JI 1Gng5MuMl6aSDCN9n6Mn8g5Jhzsj0U1t1GciOB8qb7484Kjjcowccef5OXENfgGsw1ca4wsPiKe3 zlqOdFLr9gD/pJVJbgRmakNVai6uJ3TqtbrE4c8Jg4YKmYYcCijBIEk9tzk2M6FGHD+TXQGXWKs0 92Lf0m+S4jeuyVxvBawr7L800Vpwvrqpr3XESi+r3msNE02FnDU7WNVAfLIQiyHOG3CkoQPjvYyS 13VIQSqdjUouYIssMUdamBd9rLOsEiuLbV1/JO0G35yGwFEfGjpE0hBj4nNQiodxHwjbqH8aolgN NwT+ZWZqrNg0WPPVEp1cL6CzY5XWKuC6EdjNcCkfVfTxgFgNGn18zEHSFYAb1X5oaAS/AgL6RYFA 2XyMf+/13RIIKo68BYVbLcOGhcSoIh+rnDc66gsIqJ5uGdrZynFMMJ9iZ+H3KNbsKrKHKUyCzf+U g3jpLCpURZhGuLt5zEsrspRlKzNPDZ6Q43n3EjwpWek++qvrFl/4ilFmzHZrxl5ctXYOsmThM/N7 YhAM6OaIeuz7nwJe5OaW02ldK9iBCqaKHHZ9EJSkaR+/OoBEQb4vRPsDRVv26gMdca4iaYzAaUtS +bV1vWfG/ZbnhYvOYth8PZsbyEFT+RZTHz5uM3Hj8Gm9LiaSzExe/0e1930HNQ/GaGKe7VW8Hx2x lzmItLvxMUVE8Etj9H8WzNAWgnglmpG15xRoo+g77GEtAmRf2IaF/RM2sVZV769XkFQfYhG/1G8P lOGPQi/OCBxGNdo8+7M7N14BYevqtJe4nhu6O+9sOMRZzKtuWLDLnpieRpX+aNBQudHH4C7otHUc OlhjgEt3PVHxLCMCx/JY3J+pPf/liPob+Py54Ict0joFCAeIn6j2JZFIFFIncQw7mNCaUcthLRWM XQps4Hi6+8IKO5vXC3bNT7eVVFLfiWQkjvcRBUYhDmnXqnDfRrqiTQI25d+60V+C978NQreb3fPB rLRXoOhn8R1O9r2QzujhU2BYtIoCVGY2ovUnE8VioiC0ss0dQ4wZ9l1WBjsCX7zfPTGaQw/sqwvs /bxXjhLwL0Hmoj2wXOUodO0mQDVipQRTgfXKsqII/os9dbyYA0KW8DyMs+1glP6+kUK66N2hUcDl ildj6Q2Abh0bwB9FKpwWRBpDHnW3CHXo3Y01uM3zuztAAsxFa+CyHa5SqdKfSXeIgEq5ZPZZWY+j 0I80L9l8nGi/8qxa7PwabIU0uDPMAlqkJfb128H1S1tjc7N50dx7l0xQduwYcly6WtOSwmuhOyA4 Xz9MCLlQGLD6H9wB+hv8spBGWNW3xUzoEa8pa0X4ML7Sy6HgAXUx00OSxZll5SCS4POZFxrZDIaf GiK/giPJIzmSzeR+58sGMWGPX8RO0DFF4nsI8cwJuctvytGg4Lc1Wg+KUBRSAsLqYL12CJIzb4ED +PfcX/Ulb8nd9y1u/TrqcxGNswhzgcd646UCD1Z4PTFrYwjvxUV4geGUlI6Fz4YKVoIaGF+6bQ0M WgkpIAUAmU+O6s5PoqlpL7JshPBBSaKpgQ6WbRgxTOeEWiRsa5Zqk5AruxemHRoaNHV/yZYd/gEH 5NMHU1Shgl0gdtGhD2Ms5fJDtQA9FIZ20OiT0hCXg1Zgl/2xQx77B2P3TvUL0Qp5bMjixmVmx6qO kdzsQKAPc0eUzaQc+GH825ORFirdJC1JMFtUrkFkMcowz2ff6DX2TgbD0TWTSvMD+n944qJrN9eN CYM4VUhWB/meIRYO/GekK9Jea/O9LLdDfj82QG+nFx097nMnBWg4BaPUE6KL8W04T34Lz7H+wcAb WwHiqimj01bd1cIijvJyoUGnrEjYuMxYKzd1NNSjZm1X4Wq4RndvcWTbuIT5gOWFR1gLpps2CUOr yqe8hyKKdqM61DgkEKL8P7EMLlIDPvSNLUVpAE+iMdTCTkvsaariS8TrB/0iNBso1qRtrcM6kMHj c7dY/P5Zu1D1pT8nLSyrgSm7b/kzXuANA1qo9Dt53sVqma64jCnNQ8Co5WY64ryt867u3yzvpu0p sIH+feDAYBVQM8hsXmzCua1Aw7rphGSvZ/DtcFxPcaK2wax7lYDDt0VERCQwITv2WzmdLtZ5Yvsa K+vzVcWKr265rGlLmyO/7xaiSv/Z/65diREPvc0G6I65mfr6zMZxkCAi9zyh+Eca0KsKKJivKozb Q3zw93uANYuSR012ZgUHoWWiuufO1zIgfBfSWDbfuHSINz7zLvz89zahsJ8DALLr83SMk959uY1Z nSgZaodkfAt+pufKZdISI/mIR7EEf0aWmJZwK4RqubcSV950YhuNLhV3RE4TrA7k4E1j9EMcwAAh xo4G3t1T65zrESSmwc+wnUcyRWmV/fqoEYrlnMHr0gH4GqdVYymHXtczZdEm0RqSOuJg+hTDgJec 97xmfexMlx7eaHNxJ2tLm779p5WgEhINnFIJF6KoONc/Uv6wCMWvUQnlAMXdGNjq4Mx8fBief2gT hnfrnOYUJ+YYXr3qxoQSQgU7gncWE6psQm0Pim/P4R0dB/TUwfb84wLJdgclue0DrGpGAitFqJOG qOMGXegm5xsmA23y7QZ5zMWrTpUAZ8fteH5s2DPYM3UV8Baldu/txbtwHGLYc+3xAmPXagXY8mCY Bo0094PmaMEpmPfJxRFkyGDVtMehgCvpgXTTEijCNB0aQodNJGjskkFP7jlXGDJMpmQ4yRQr1drL gpfhZdxf2Cg0CuVhqiywdhzWImH8MCEMIgKFbC+bJYZjNQjM7fFzQMjszDfIXxIjfSjlWScJOjq5 iIw5ZdmrlqrEWL8Y8HlGUxT3nh3pcyGCzYncUL5e2YpdXQ4oMpfqzEShFMJOF7fX+iLNKvF6+RWP AsawCU/4ju7j0NDIMPFJ+DpOcuWbv1MbXluQnqAI9wAfbo6Woc42j63ZAfTpyGNj4l68XIXF/a5i YkLNi2Su7HpsonhRKW5AdHSz3fixBp1mFft9UOLTKOWpafgKa4HiHsBT7tWJPfG12yGLbmpCYwb2 db+fT6ILc4QLSQw5K6gTfPZm3yLRm9aQFejIjKJtghEKnhiI5mHLyiB7LUJBZKPJvcsMfMh9UdI5 PdD3lmlw+q4eLPg8DCIOKWGZZtLNDD4g5R5TlbLA7vTXfBF+urUzwkFs1EkqW2d9RzjFKeYiIjqh 6kL47V8PDLIwSnr5Ble1qRA4MYeFXZto4/lfW+zWcRAm8O4x5L4Nbxf7QllNKbc/vxNNCF+0ix/g mEVCxJGnfePs/v8g7T5w01Y+/z+Xd4FymG1QzEJGP3/a47+rGVszoRbXyfueuBgXOxkvwUJh5MFr GjOOaKztbZbVG7/VIK19Z+ZX4NjRdnLT9VfBiLh07K9Sa4RUBYyIL7qDvxzzfaiCVgwUcYZe6NcH D6p4wRMugEzD8H8V+0ebFuWDLQ3X8VDCfDV6YhiEYhcD3uBW/+hAjafC/T7e8FTw+TQJDAWVVqG8 ZKIdKkgprzIok1c0LOiumH6eW11DCZGLrWZkFKWe/sc10bYME9vSP7DHmMW84SD0rbK71ghFBpSX wHYnAEI1KBywHyQcsQVylSbPMUHdbWFQ2MQZ/Alx5g+TX/W7Q9uM4eHI1XrulS3LjUlEsUsXpqtw P9oS8KYhpAADtL10pMjTXrQJjqqFLrvF08xsQLzCq+K+eNLQBeJunKmJHnDE7AGsS20TTSSkmBSR Rdol68pL5TFddTqGd7FZEVX0y1rclurce1m7Mhio63f/hC7g1hVn/9p/hr00MvEEOmMOQ6MmD5g4 6/jLUevuZ1yuj5Utx+WEwkiLtgmmfS68nnLL6PTG47YrSl4Yj6Bi0YRhp/U+B1cQPSWmky6q9KK/ tCteILoz+sEZhiIbcQGN4NtPCEqkthsbGDCiLGpdlEhmS8lg6lYVJn5691enP097fb4I9wbPH1ui CbDpKkrvxD1GkFvFkZEfN0YQjraIpWDHjv1c0q89NMkant6xnXNtnmfw4nCC6pM+5JMoTCP744xR 4qZpIV3F5DarESlT+TZCzRaH9B4OW5XgCHgXWmHq01BZ+b/PfJCSim29T5kiNA/I1dT7M2cjCspH 3oqh0y/mNBUAD8BDonMKM8d1IaeZMr5CHdSlFFxMopeJ/xL+fkOkSyBb3zTcmxr/PVd9XVvRRTUr kbXkXr2sypPW6coibKFw8OE87HTNRIIx5pdrvqq9C5KHHsLwLHpnnwmX/JMawLVCZffnrs5CP/Qv QFN9eisnbSOJkwET6fMfUz6vN+9fFLwxqNRAnzby+/VZpTFPU3huAtZUpvEvAj14W0cR128GayBA XFsFWUoYtvm78I0oixoszBcfBeORXpttpnQGdXPJ+h+mYsgnfjcVABAblnAoXPg9JudDWEBN3gYq 3LYTfD3evd+umNcREAOAcLXoELDS5eqolf23GeTnn3ynsBBN8DcRFLCi35FVWIVaGtljQjE7c3Tb jp0l2o2A/31DlPY0fMWxf1C5TPY2UQg2GlcvVpfG8K60y9iTXRn269XohKsflCMMMHR2+8doOxoF wxNB+jxdPLdyB7a86mcllUkp/OWKvhG29T/thq/wyvNO6X66MpIuws/oEn+WaFiHXRUDIvqIvD5I 0kUpERhiVdyuCtnaKSfoQo77+Q9uq0xhAoApgbsjiOc0QIYImb661XB9ViQBpvfZHpZFkVpo8+eZ XSnJYK9yF5dGWYoHIFdv4E20INBIYKuTGneyFkqL912onq5437+c4RN84kaIYLP8+kAOLqp+TJkn cnTgQcjZCc2jaf/zLKPiqjJ2vvJBcJUjheHKVbyLf+WlZz1zaPn9ieZ83ECVxuWNn92TE88CgkOL lHCa3D+bPxTH0GvKuYenVrHXCoON6aj5YKvH8iagS2bRcGbxIcbFmRot67NKN2GUrfXlU8vH5enH vCvo83xBqL8/fu7Pcl5j04RJL1uOjtix1+FkQIzY6NEl/Gv1b6syOFwkZJyxyz/IdkimZI0wUrew DNk9FmqO9QpoglIcSZmOL4xdl02/P8xyCc8k2jBm34X0eTB8ZYXIt/M5xGmMSqYXx1G4uuNAAnkN jLkuJCOivWHs5NV5qgSQY+hIaNWmGjAVjoNbmZCoxRT/K5kIIm8igbWHQqEXRBfo4HJWp7U7rQRf YpUJbxhGxv9ftYk08G/fgCgjFbMJjJfMXir0DeYCo36S25swx9EFxGg44IoQgpjJ2DQur+JltkZ9 OU6WK4Nj0oMxCbj4QiX/wdrjt3Uf45DL3e4pGgfTtmAGDGV9t5pRZQoR0DMSX1T2eko4ccSVblF/ ybap3rTwnRbi5T7TF3FxernNoTIf6M/hxYNCs6ZsxEQwP8ewqvTUnCTfDQT+NqCPrQYZJhAv7qJm anvR8fzqKJerA2dHWKLsZxdVZ17tqvD/JMPApg/TKEEitw8eSX8iyZvRtrLi6BP5Q7MespRw6gcb 0KWK+HYe3YGHsRfxgk/MjBxl3EJSDUQ8tJLpqgd3hE3YyfTzUBngA6N1dfWD5zU303XrfWdplcY/ 9zoE2bqvU2IAtGPR/HZqssFEZIS6LMfERNX/tcTW5PQ4ruwFvfTDiWULU8V9o1JJ+zArXHXld/QJ gWFWfSyZANsfSUXOK7lCIH4Ml2IfH3iVFJ/0PIxkrgQLqXaL9ThgsWP3WKrZmKwMMPRKQL9OPCnE J1x/ccmpIPOMmuimXCviFQMy8RmfOfQ7fJpr4SBosQMkEoSjkxsT58PC2X8sWzPbbV1skvOuBpbL 3Oa7WcNNM2PJm9jpfG8zL6DG3GaBT4v+LquEVGkG3i5VzM1p12uLxXN+k5B8SP6GCdSl822aai3m 58Aijkng64jVUOqsvi+dr81w/xky6ozYdksgFZlDNjGghyBZUey8DGnsHX9MMOxZ2RZnLwiDFVMH Mi0RM8nDBywfBq27e42P8epzlEU8Lqfi9EdrUEBqpckyhJCXyOC37fwc20O9PsFevBi3mlXK+Slj w24Q50Jw7AMBXLklz7rCWQicojztTprAyahTGEBlOpK+hMsqkjvdNv3D6HEoDgfjVhEP8yWO/m7h Pv7Rlx/k4QmFWcKXQXy3PiKersBVLlVWIg5ceI1yUiqVAygPSZBQp/YJBxhlZ2zfoWnsjUYgeX9w 0VpR3cN0AZ7RewLT1P6YbLMbxzusA2FvjKNwH1R82id6UI0SfUoSkZIo6vi5HDZCHuAeQf9KilCd fz2NTckrNn2j16bYTgxdDk/9rtE0snca9pfEBPNQ+Ye8UYtgbD6h55ofTmKu7Fy6mOZaKGsBwzYx kCOdsLKVAPeA0O0Pp1a2nEA4OhJ1VUmY2mAVi3rNYK9/0QTlStfP3xpGXOFaoeOqH7I1Oj5AnrA7 7jF68OSq0wL4SqTeo1q6qHxJbNTI6dfy6+lIgaVWyDhCHX5zPzF2bffMHbLUhIEF8GZ77YSho0ru Dsq8goLFjkiMVs2yMRFBQZmQaC5IKzhD+sdHe/ybHSpBCxg0kb7BjV9lVk8GN8tHJjhnPH4kTteW 3GAggB1NZlSAlIdAcfloUBJcRppLY2q07P67KP5zMZkyE9ZFaVOUQeiLvKhcve2JLGgFPpicGd/U 3D6OwVNKhpeSudelB3F35uPEnXBgkwkCG+2iFL877V4jDhBRGOV/RKAFgLh8StNWtPl3J5aJrdeP L1REGb9wp2LEX3iATMpI0el49Crq7eJZqwuvTUuW4ElvCvvIVGimnNHj5bqujc3LGH7+Hj0RnSKy wSCXzwiFSdTWU+NsB+jGESu3MkWh4vAAA1pWqZwSunc/DAkOcxI6Lnqg1WyAUxsTNp562MupkgO4 G063omMx/kJcGX10VVt7FeJN2Yj0GyE2M5XA3tQsdmdrmK7lCwHG/4D44al5MOdLlShMS8H7W/zt /VTDfU3m1ReOiDvcibMB5o7s+K4Og1/hms80jXshrOIS7rX2q7wprb+MkqLT4wk3gGHEOxmj+N2S iWiqRyb/C3K/Sw2yVZIahUGRcxRE1wZIaUWHkTH0LvISqIb5M2Op0ObiY4EnvaHph54Rq4hAC8br Eb56O2SbhkOJxpcSBFh1aZAcL/StgHkWxmXWMbcrw07aOmEvtWFm1G6Z1QroF6QV8PIhlX/HEgXE ClENwS4Tw/6IguNFySriGYy3SpYTXc/zIRcG5K2JVisnB5PmmcLFOC0HHTz5Qh3suiH+V2pOVs/8 sl6a9SJwGMLzoKJSgf5iYAVQ39nudwg90nBwpTYtOpvuscXtilDOMWhedYJDVRVCxLoqlHC9+Q2s 3YDqYaa13I87XxEzeTzfYsZTu+Gcgj84kS+FxVbhbygEhEUoqJClhrRBn9IPgLlq1B/G1pfIDh+U wAIsX6APYovC2F7c1ocPdB6RW+49lzO41mmoQCe3a0KDsww9yDK7z+6JubbDaHeVNc/uDThpVITn suaf5lLKN3i3RPArlrz2cH7TJVPNN7+4PAs4WDmkffnA6CzXkQD5Vos2tguhITxmXa/1jd+Ebp2t /iOU6mwtQa8Qzhc9AQ2bG6g4OB9PLj9MFRnFpqxG1CUjNhXJWd82Bb8PJ536SvlbUcjLXZUcfVCJ OCNK+FkEZGQjhTxNMM29iUgnuVnogMCNJPehpETkCBPHdZeoqwGb3ebYzq9A8EHXoQ7gS9gVniyh v6laiRZa6V94jkDeHPRcQNCxPu5UbtlgQlNZifs8RhYSCQmUczVDLq2bONGFzBpPFudOZjkDMXwj D6zMJ5qNBz1oF0p4e+0AhunrFCbNs4qurnHbwfqYPSVNQHdbWIgSJGwTQ0Z2SduFMLgUNllPpZ3a siWbjncZjjkMCweZWE77zRAPZE/GI7PcrAWn1Ia0P3BXuG55LiIjpZPN8ieBz4ucOI0FDWQg9gPg Aky5Ww59KbwxSfF1dXuvhEwpTV3MfmuiRLpNqXpc8bPpmiPCSA7xCTYLZ9OG9fGNxW91x9kNuDDm Z3nMlQ6EqgU9cu+0dd1T0jL9pPA++ruJxY1BemmFcsvyj9LoyA1fGmtKh9hX5XwZQBpQyGgqcbAd TNEVyE+gKgGO8Us4JpPii033kYNga3wni8ZM6v3jRdF3du5z07O9mpLo32ALbk2VBq85MPvP6M6i A1s4NwY9QwV2AtUIRXFH5Osdx9b5OpBPIvDhUBT25+Eone3w1fs5SIq8mtFRNSfKsJumMb/Z4HJU hEIdsWcAOwnq8sxPbRiyBNf9NQ7GZ2euiEC3tFeAHkM7GQQdaa5/OqskVpkVl+w6SEtYXxNic6YQ JBvCcQ3qk3Zzt1a/MRSWF4IWzQ91pZLwtdU3XH5x5Op5QqUvz28ttFkH8N7+VvvsSZgBjFsO3wsN afqVWzcxbgk0DbCfg2lQPDj2PPywi5IrSMID8hu6vwi99Lhec6kbxykwkzoWPUKBdVUqZp0wM5pd OAgXTCOdO9YuVgrRj/P1mDqYvGAexaUbhq99hjKa6eXHQO4suc5Sgkc1radWICqrLzMPXfuvJPqw Vmi9vmqs09tnniMcTp10E6Up9e1Dj8suhoXNZvfZChZZFzmtawg6AS8a+el2qQQMYfCPtiZEbO4+ 53HDTXK2eBbl5JwkMofWBk/ESLBnefjRycNxn7FKxKjgaXqQQHqQYFwKgcBc513coTLprIoayaHH IOleYdDT3WY2F2FLMVUE3mlOZEv4sogGnKHlYp4eUnl0KqOcXl7nHMWaroJinas2QhFxOHXfOR8n FnRgpQFKDhulEWYBUjrpQFXV4d0v/pkA0kvR7BheoUlanqWp3vJ8/SnGh9Jx/EERXfwqwk4wgWix Po6Rafvn3DFJOBng7oEDoNGrHEDoOweWGMhiuT6g0Gq8GvKoINrPo4zy99HcP/wLQTjTkUTWGCRW IwmhbwVLyfKrY10KOcRqrO7f+Ulg2SfNE1rPzNtJ+WuCQ8iGD+J9guIYfdxuZJ1E79tsbxG7xAkA vjP1zDkRbbn+56xZIJkZaxqvARM1/A5OUEekdt5CObdK30xNzuZFf8DbODjUz58p1mkDxK+JYZuS c8OBTMDAUlzXKCAdinlF9bWTYJfUBhAt072shdHyNb8awcXckKS2bxGsAX3RQ/Y0O/UJpO0JgE3g bQwOWAAz7CDInYUzNR8wLW6l902eCAinnwZb94YG8HvqNKvxgtSZ1Y82rag8nrvwxcw+AMpm8Xhc fIzIDgGwazDta6o+fb09dE5QqPlAXILj2mSLIbcosKtmc5MwHgQvBqXDZUOo5Wpf1zYe+XchH7Xb cC6y74WA5jXCNt2XxFhopuazNd9bsHljScEMKQnaIRAC76WNk1qXo9A0BMZO5ckJq2576urCTKPJ VyNALlF8TglglZi9CCSHFLxrrLJ5ycBDb4mtxf1p0H19tKIBA4L4niwflaZ/WYNDu+r7AnVhFAUX OCUuB02A7nr1FQtdFr01DbFXyW+LXVjWZ6INRuMwu4PQY036WPhq00/L5N5nJZWVXg6dMC5rEf33 w0zKqmEf38rU4RULd4lReWKdSWNx/11gE/FvuelrKKsmoa0KfStp74tOLp7vs7t+OYx1ynDtTBzp l+8OELD39squesPBhXAQCawL/wc3plF+f4zwFSFzgJGgzZNooFxOhI7X4ielyccS/QEPTlVdLKcq HrrJ1AGgl/rbPuKig+WqjVM8b3PWNK0eaJrVP8oFJTjeGta/rugK2kHg50EywHVfNdU0/20F8+9k 1a1+ku0h+TNtW5sqISEBZyAyUSKgy665xtE2oTlk5ozWBg/e3kldX54IMsB/cL7XaCT9FnBQ+pnD bGBWhZLVH2C+dA15bKMMyZNEGgJ9Hg8PDY+7RsXkKFhGP9yHHtjDr6chDxMKU3ulJ9HqLIVsQ/M6 xxvv3lwTykMiWm6uwRI4qp3CR71PnhbkhdK49LOyd0HcEcqG5Ao6z9z8QZr9/vsLYgsc8rk6PNiZ DdoaElcqszljRfvw4TYGx5XgXiAMhrracxD97m+KwPLmPKcVKZqmWBpWtdVoa7WHuVHjaTZI+iZv XBYhxhjnnuxJAfPu/sLZ9y6pPwo7txg3quMYXdwgQ2blxdsQGW8+VI36pU6MpIIXh8eJJAzArBbF JcxixI8Y3iK1gLx60TL0A9qh/qqrmLycov5BtOfkbPb9LAD3ouQcg4kVHOZGj/ucBBUzWcLjQQdS nP1+TiWy5iknSBcemQKkXDS92SkJfNyiBiKRZYqHqaePghP6kxSgQpdAC5KloRZQHimHBtmsRHXa UPyuMobcHMvxc2Jh88tDmqdHfftTYbufDXWhO+uIMGF1nOqNzls4Aa4Y1AgEPV5jhlyG3i4WzAgQ asUjBH9W5kRjbKs5KXatTD7iJwY6Ad+3mRQhUCf1Tj/RHReA1b8jo28GBzYHkBj+c8vkq5hHyF88 iDI9Uo7npYFtwllkbijgSM9b6nxEHR4O9uBBpkvzvKpFw3/r/qDRf3OgyBn02zw/Nxq+2gMDKZvg 791KGAcYPmNCLdo2xIIwYFNHdJFTCANNAGiebM2VJgoc1NhbrA65IKRbf3P08wTARFIJ+zrF3G3x 1ZCRI8r61Or7Tup5PL7rgNb5mj8jg1z1miMThPUf/Mj2G9IyHinpHChTX4OmCtRN+iEvi3fJ63w4 vTCFxDld2Z35YFPoLEBwp+49GxpYXDHyfychSZ/zByKywgIfl4jaq2kx5X326A4reoWwIfuKjgPV WGA/TP36sBLmKq3QY8oW3UD0cCp9BS4FqS20lGGuF5iEM8TRMW8y0wtTcPHmrGz+idJpblS9E9Tw JOl4YKN256AzI8j9WqWoSB3lgMrMD5h9wogTDGQJuJJEasfpZnh9u8TJ498Llb4g+9Vp06bb4p4t 2M9RkZ8qej29fXUMsYlHq82Iw2hU7v6Xk+jvy9Hzbu4IAM5kXxWx/P37YINoA6heoIfH6lJDS5N+ YyHPIhcr4yRiqWGP65fojKYDPNHOJJYawauarcdgBT2p0deVg8QgxNIpRcmedD6HkrABycGgOsVT +9haz2Qr8MmeyZIHdj9bcYmDJzzFmOTRt9dY2iTzBlZv5M7xBqkDJBZzPpFTXLUWYmFU2fixO0oz JfwALUu5e0K+uqTzyJsPX5jU0a6TiAjHmjohzHDfFKJIjweAGWJEupqSjbEYkTzMnV9/gyGeZOBK zTaCoAQTP3QE84XOjirvgPumbiGvZEob2uBmZhAT2ejfPerA9MmwVkumEbfS21NXYHBrFUZJxZi1 mXkqjfzinyPEP0jQULO+ZDibXdHe19OuMi2B8b0Xfw7fNpUO+En+I3xcQ9c3FbXMx3e92apfq2AI j4aqoOJvQXtnpn8Rhfzkd8ldY0vxBrdvUDNhuqOGtnkzUa1PpfG+sTsBx7ZLqt7Sk/FTBwPvVhRO VQicrABO35UeUlhVAPMY9YuE/AUH7Um3Ff6U04llYBrgLtZfDyTviq9Yqq4acpv80ny0c/PzMkh4 9aOYr9R9KQWZ+CIDoyisnTQexaaPerTmeIEoj7wYUDS8Ys/l2C3Qw15DQRLwtQrg3UxEhzFmTcz7 Ov3SXyCX5OwMdsv3txFinl5InhOkzue4BhTgadUMdMV1IW1VjM0ZpZuBBlzxXVbZ6S9+PBQnbAY8 U36WgitjkLT9DolpdP4+dAMvR6ktp+S4WaGRVQiVgaUSMQjnA6YCuhxmDkTl52Qb4sc+Nc7P7Zrx 8/w+ZjiO0ZZHzLEC0h4e//3PNHNooc0gOa66NpHSs4zmN2/+Kzfis41N06d+n60LgIKfEYnh6RIj uC6NEoMWNSwMztoy121OPcg83NRX6bYJ3ZL+SjKxkj/h4rMiwkG29rOghtcJRpTxB1t2WUtTHq/f 4AQf5HKTItGHs71INFfZaEGYxYFe2ElreAdaB0UVoPzifJOpbQClE3FFpRk1P4fmUvcxDT++7wy+ f1TifoiNyraKVSh15mHYtlxL/lAjcNX6PSxh/QjMgbNhxJmdHcS/0ZGVzRqPsBg9c4Ik+MdM06Pk m1D1udJ8wYRq8xtCl+rI/6lQHMB061985wLyK+V6MVVv+/HwrODeVpgB9e44aMlGY6H0dK0BdwRe RvG1QeztfAU8DHJzCDdQonjcbaSgtPHi82pzXlmtChD+BTPrufCAqIZ2YJlZXsy+FqQNnh4nOmjN CREmnop7qjjxVva5RsRpP6Z39OjfYyrqOMEZSOun58Gc8N5Vl5a8zZifZFh+61s8Y+4PT4Tp3yns K9KeR0sGcSSIIjHOGMpT4/njvsfAsvl3Eunmpr2EV1bovLECNIItYStltj4gTNW4aChGzzZyg6zK eUCq/KoQdRVZtZTEu85Vf8iMsB7GyVh2Ov6qgMA/O4rMiuXmNxIdxD2jkjMXNAeTF5lD9lu7yN/y cX8za5o6PxslH2ZhSAwJEcEZBb098OHxAzokS5UaED2VRG7MXwJynwVy2K7FyoqwFbA59v7OOg7+ AuY3k9FELCSM/5dvVHNa/FxpFd/1it3PjoJEZrHLVkX2qTPBqVOzosatAt03A2T5zy3cK5dXmDaB tLp8MDkJkP3ROJ35Ks4/1Zd05fBEweL+SXOG1TPHuGbNXbCiTlnW0wY98fQAa7qeuiLnykk4puwJ l4I9GDBLXQONzOXz1AdT2mU8cMaS/VwWu7yYSniNaTwGsz74dqSk+aD6sAaA4p1iiy5TKcRvqr6o oyw1uVB1TmlKtjzWhCqS95lRkHyUJwxFO9pZRykOtKxtygvb3n38yNoPulCRp2pTG3ywUgztqDSA VNVx01gKL4Tsj87JLc4bLCOKHmO/DAiRa0mlF5wgwVlzmrFT9eyM3LQLIu1I95JuYxmrNBvkklHp A8l6gP6ftsu7TKXJORr3jdKkIi1wBXU4pJuzNcOhs9WcmLGbG0KgCNOYS9ULPe8v3Z2Xfi4J8zcq n4pc6n8fQhdlVpzINe1OuhTPRbIDc1R5gM2b8Da6PAlrVwMuci11uFUWTaKrVRXbSzcf2LYDK8Kh DqY7Ad0Bi5d0NjriCCGLF6vkpt+krgd2v9Dtkj/pRk2hipZeCIhuA2I3+fjqfYUPopoIS2HH7RXe FFaRaZDnoyVzoCu3UZ7bMe5lHmndG2SnsbMz7kax1XwZsrHbJCEH8og2LbDVsbkoAGU1MazKyvI7 BFW+YUiidkNtVOuqMNb6sMHsvTrvnq3voVyuRNZBFNYwhLxMSVvd8mSYvENsJypJkgAUuurl6uN2 exRdoLaXM6yixJ7PDsGHMvfYe0Z4AGH3Jcyap1L/jtecCsveLXn4zxF215rMaJg6SC/lCbMHdVUo 1GgVevDVeI/2IncrJQJEP4R0K61/e+ciqHdvdXW44ZOLvUiDhxre5IoaByTp2rWbtQNahJmD9ZW+ i+3FiAF8gLSIbuO01fnAuXFCIn94caGGFqD9nnplz43ZeI1QDkBgKs8CU5WO6jx/zZEzTQx5HTRe sZuWvsHYqMh1oLjhzkCxyXRj/Cpn8ktX/JXZTjhXPMth6Z1H3lCU2GUCfYXO5WVOWDPhHGzIzTTl BWIHLMcUSVo8XENVZrl8CJwpFgTB3yTTQrne/cZx6WFYUOtpZjMylh2UWzYGV3A7uJfj8ziDmb/K Oooql8NG6K5yjG9IWxyuWfrmGHbKsm+nmkPsUS8q+65eSnqSTinQWvNS3DAQSUBpOtiSyV4Lg8SH wVggDGUX9fzVEbaNqfuWAR4IwBYtYGn8YJG8yf00Yq34ym1+ksoQIw7CqbR+ehD9H4bvCVxfF+lU +RYf3cnx/em33bD2P1/4kSHdiE0WhXozu4dXIM0alBqY2cALuVU39WnbEzF3ZYAbAa5wdRxu7MWq YwSIhTbPCh8urjufDzQjzdc08Aj2HztE6MVp4aTLxD17jePkSvB1PQXmrkB+3ogZDPJIbWi546rV yho+XCVT/C7E7aTc8LLfx+tnD8OA5/XQwLeyhT1QEH1cBJH9P9wGo2q1EfQjfRCPb3LjMYh+eBoO P6bMABTCMwUKv7aFOurDjuuXA2Sji5W5PMUPYkG+bS67dJlbm+VYx1BNKfJxS8X47VtPFo7gvJBX TFUlqEnYgzPW17u9ALiGYhycIsURXJWD3vt5C0QEw2p+ZF/rawAWwyfX5eTqZrERql2iauqYuzzl GGMB8456Kx9fHHqkIjROrfUwxybeeEK0dmvJwOJKDAZqnQMS7Kg7hYIJtkLJKKorBWHO4bv0K7u0 5SUB0qfpnspuLkvMvsbOqRQ0n7dh23RcAqKZR4WQbJy6EAxu4sBucNLtWVtqelBkx84OLRUGvIcR QHZIUO0OgkvMKDDhQpYZIuHwgDrT+s4Y9/B9wDp1r40UpZEemFYYWrFd83bydpI8NS6CQF9kLfsV EALOzV0hgffiCEyBfTRymdX+mpaVuWdYO6qhcPaf0yVl/wf3YrnWFqaaoMjYW4UTVh/XdkffJxb0 7d9F7gmmeHVDa0X9p4V5XZTQNJnIS9YK7wBhCw73kr5/1MCzUiZExY8GgnR1O8c8qGxJY1upKSl6 Q0ZnUuwbM3CwcZSR2RiiTlL/k8eJW+7B5IkDtG83ea8vtyVHiflKhWM2TzUzqowbGZzUj3Y1OfL9 pK+B2rX+/NIlSkSai8Rnzk9GUUK/byoLLAMMpOYN+pLd0gsIo+m4iK2utm/+N+ZzpPfqOCTD2wj7 DUWJlWos1Ftg5HxVIR2Mn6CwkY5Qa57fwp2RxVoUKfeeV0JnoMMqKziKU8+9olzzxuH5TJPYqmdi sHsWjgUChroit5OdqamOYFww1qJdWZhB96IUVALdS2wq9CbLLKEnUu0y3Wi17JBiqePvXqmz5qy7 JjJ+i3kIMky1S/0p5iN2c2IReG0F7s3t1jP4+lcZehf3A1X870WWERR5GZNUfP5sq23Ef1UQQq2M bX5VtIeN0gVwS9iPSBAOaDe/wN2GFAa/Qs4I+xOcgvun9USHv5AQHrVM82tk1uPCUuI9tfvhFWw6 LzL/f8JDJ7Cyojr/aNgwW9Iy/BQ+PiVohEHi/Hcc2BSRdtxu8j65rBiL5XeKUo9viyT7g/gHw6Fs R/T+iVlWpK6HyBZBAHKQYwuMwRN9sihAurEthqF4c7N4+ZoiWtfQhPIoXcD56ImrnaRakWTPsBOQ cIyHV8WVVFVpfCItBGvP+u7X4s26x+hBa1V1yK4/L/2L/H6DfL9e64osNU02bvvD5doNBG7xykU0 3P9+96yk+awZza2+Cu7b7xKInUuBDe3vn1xWUyhdyCJwNqpGSKikjvEb6Gp2a1N1rq9Yw3jpfgvt 2eHBUPRzvKzrzrGloWNe89URm57wcxhvz335lpSAnAX/zQCJre0q2GAOutAuM+865eux5j7mO9un 1HbI5qIVM/FakwRJiObB5cWEZv2kCGCOaa1DODtV/XcvgQA4nUr1bzDo99inXiZyDHgASEytuaT7 5pnDUKwhHOnMr7dxZiTDx4T9EHRmMwX+GOKYX/a3RsZa8EbqS+mYTq7gFyDnQz7YHYTZdDeQ29N3 z1x7dCBoSKkbgfgXiMqXq7zhTy0Hyz06hTrlFSB7BkORK4E/0YVHDCWxiurTDsd7l5g+JVRlKDNf lp7d0YLF/AzA8eZtpArxUItocgmXbf4SrGVcGIpq9iafxiWVz8oKLQxy/ZRmcVLLIKtyfnIwm7bN 9QbUG9OSp9l6JVWwMPWdW3pMxasTiQ7Sh28ymPW8sZys3P369pwjxNCJd8LIJUlwESwuNfGQ+e0n LaFnkipXT7+ck7Vfiui2Mm/VyVpI5cbw6x/s7+YaVxbMn/U3FFHR9rORN/Oh5ogcbDCUCXVOiVUg iw9H/OzfxW73N23HlR9uhPQgUMPBA5J8G7JArVv4ZjDl0v3jKLuRUh3VAJs6cFrtNxrKXK7GgQY1 ML9OSY1QeJ4lPGbWG67MRlRtdqCwT6Mrex+DyPgqOXq++stpNTOXRWXqx3URrKnppBaFjne1yXuY fZKCva/bBuHkryDXYqiZbSbE7/RcNJTFZObEPOWGciPz0lj0WkQ1WN5w+Z0i0zmJ+iJxsoxpaox3 RaYutr1LNSihMbAKf5Ut6y5iotyOILClD2VyJD6j37SBHpTGVqYZVtTE28IMNa8I78K72d5A57r6 JvOY/j+RV/vXcfMbgGfGGb7KvHhHoUc5wIzwED3RpM9LcChLVgioa1jX4Ai+fD6ATpOGpTfV4M4j Yu3J6ObiKab8alycQCiR87/dRDQOBcjKn3mZqEcrCLcCaCyqJ2vrxQadsvJvfvwiYIy4a1WDde67 EXtFtNvJNG5Lw4++O8H4RsEJD4ILByvu5qi7sQCBH9JwrDisHZ+jzjHZMXukg2FxeS/MpKLEBSwT TR/1cv4zkY+2xF0N9I6yIIp2CIO17Tuon4r3zsgHLMx/eL6qs+or97lZHHUb0Ekq3MJ+jGW2QEL5 Aimnq5zzLzzvyodKNFA+y/bHhh80hdKw94SHc3jMGEloTWv7TuyNBG8Br33lTpOe5GqzxFQwmhm2 WpcCqOqMNrJjFzZhpz374kKxEqmWSO8KOKd8WXaw7h0BGyusHddLLoEc8yJUDpmrjeR8oVHc0EsL sLxJ3jvKa/j8gqnS9pHETEPXU7rSeDMvsKfkVNXjfb+1CQBRs2MJBfkIOfqpSGCT47/ZqbjxzVSW BL55aMZDWXWiy0GF1ah+5EjETJDJ4raSr0pYkTipQRSn8NtRoTNf0LoHsAmUCXpTfQ+EzOkOD3rd OSIe9r09GYFO4C6UVtRFk1EtO4UCJMjTi69yCoCw+Fy4/gWN7NBKyhnjmzsweoXwiXSPHOMp2p+3 nD3ioyw84xyzKba+RutxNqdbYoLgaIpbLwJOhazVTsFxGGi/nRD9h/sfkX3lA0NjYMCakFc+71K3 Z0jgxVSBHGsnOJ8w4AcLEsbgW+SnZJBxHy6FNsntX6WNmk6JJ4JkI5zvB4FieHbs/bttBvbHaU2d aLd6z6Ui751aQA/eeU4ULY1jHlBvHAGP6uRVa0UwJcSdpgPpxw+w7V3o9xRoMLmtXBrkx7bgE3er f6qXS82aymzZ/1yMMxvaOBrW17L7YMr5W3Ir61RaDJ84xH4jZI8t/WjcifxV4KbZqrV3wjbfC1Oq TSN2H9ZF+kq/XdhHXClbQ92IYOmIqHjBdePpwOpVbjZucXP/2c26sNmhfdwH4/fcn7kgVR6rr711 xlnH7T7IMd9IT/0xuh92Eo9mZE5fYzJpxaZX19XJ26WNBdQ8QbwJyX8IEcPHU3smtRqiRagOMtYx UJKzZdBlKww9pypp2owucpT6bEAq+WlpZU+vMpfigOhQRp6nmKDU3JMzQpq8TB6lukyI2guOQhpY nVIyQJvn8DE4jQ/iiu+/EQbVHM5fHCVUll11zEEU/gk0uiAcGLIDlg7JkhBkMfMK9arh2Gbp1wpK SQiqHP+lNIvDDBvDphC6dHlv8CiETemAWss1ygwsAofhmhdACtfXMNsNj1T/uUfcgoBOrCkKSjaz XE5B9Xm7JTMcQlK1AOVbfujitoWsWMMb8OhAhFUzUq2XGeP71+qKc7swVa1dyo5aH1diwYxBnPoX mL8JFEV9EckzZG0YxMZgC6UVcnB6xWYhQEhnTWaue+mkWT/taiMzKbhvftk7x1OxmMKZohyJfyid 1eOF59ch4L2WwVm1+0AnRzplem/hPV2W7wy0EimrzhVC8tFTMyLndnlSYHG5PIw9qBbpd3kYeq8W 8iSG2Aek5DLWdPOJSeYDPtl+4rFVyPuLAK3kdhrfNzdASUViXzXZRY4TZsa1OXutrrgVQfIhFNTL nxwsPAdXgd3GW+hwpejzA20xLo453J/e3Q93xmgitMBXr+thhZYFtuIzN1tf62DSZ5BLESjkomWe 4bP/BkMEfO9imiebyk3LuitNmVK0n6bQ5CKf0voqY+SHbe3KCfzLug6eFOpG69iKrjxTqkiAv4nz eKvbBKlQQjPwwVKKNXytAnazt0lagjPdRQDvw/HxolPvpfnbrXlK2em0Xxh4c3jDlh9F4e2PB/Tz HFq2AgpquXYckGzA3U+QFaPhmd2Jf3hvCsTTqQ4M5HVfYKyJJkt9aAovqNhtyINeFCnagT6ZDoOS w656jDr9K7xoLe1pd277NYZ0iizqAYPfLe8QJSPiGz5tRq7bNzr9JqB+4CFxYripSistpCjw6EgC nEi9OMf/4kRIVqh0jpFr2ABsnNGj5BVa4SXMb4uQnZ5c6myLf/4F5fW5z178Je8GHfmURJKa1311 I+B1KwJmT5AZbSciqedfvcKH750gDpeKzyC2pKkT1/1dhAzYUWH5L2Xf9TPSRMO0bVPu47HNxafx vNtBsVnRh8CiyVfNA4r2iW9CDppPc+77c8EzBSK/TqlMB17nHf9dgjmSmpUBDPRNiwuAPmTpVyJd M3ESM9BGBSuKwVHMYfgitsStwepH+NhphWn7sluSixe6eExnYVmVKgIE0Ze1vPWF/yZxJUYIcx0K 3qN4ahDJiCn+vGbWTdjgBLin+38ESTrCoEcumHN4uN2kSUzk0tLfc1LnkHR9nnf3+8MU5ieGjL4j VPDJbBRF7FsG10yVpiCmRbyIEMWnMDYLNfMw/M2eJfHWrEBnXqMrq/YeuT88u4pPJCWNwqoh3gtV m4noTOEu6ZuBmDyw5s5ipOu1kkkrlzrnoGkZtwP5BlLq1pgx93z/EAqmdsfKHvpT2zRoSAsstwy2 nYKVVG7PJ0f2IegUfNMcq0x5qCNTnmBVkodE8NxOeKgoifXpWeBoKTaLYnuXC1D7bt01Pm9n3ASz KsXVLnAT5cdd9BO0Vp/rjNRnSlsaXKfrEq1kA2jrAUXV+Kjer2Xwwy2R1w0DJx3t8ddPsu8QgVfw YV2v4+bZfJJu7MUX89NbYWQvijurVexAWh1DWtaDHbFRSoyDPalbHVE2yJl62i5XzbFxwM4EkhTG /jmhbniLj5ls9xjh3/Nm9yS1E6Xo6/ORHUVrlBk3itnKsOoTwXk4lTqC50CNePrxA5jxWDLDT/Qp fZSCwkq5Gjd8sMjheYFd9aagHd0ITwyHJQxzMoPPI96w6sfQvXmUi9vM+Y4zEvEREwEgNLZ+wCy8 s3ZP3uyUNrK+9Azxf0I1Q6p1gm6l2ChIFjylXLkYcUh56Onxtulg3b04Zon3vQw0PFZe7IaPvKz+ WAk4btc0Dorm5yx7PUO9XyMmeOgHsrh2KudqqU4aZomMZzFjTp9UW2PELm8YehHxNLDc93iPgB1M KCFgUivUazTrzoUmuJ+I9zlUHaRyofh/1I5GzTXQdow8OFALZeDPeUc0fxdDIUr1n8flRLH11LCh frJ4P2GOQeR5xq2Wh6+ayF2+i2ru08HWgFLFdRDsXGsGMpnhU6NrtZ+b0YycVUn1wf4JckmwONsK YZpucp8wWm6ir0UGt9iuIBGic1C1oDJ+5dOw3jCEx/BnuHR0Q2AzsY2G+ZaaOAztiemJb//zLOdi GySVuRo43BckQEWmNAnrZtngy9FvKAIaZaSCUjO9y56296QsbF0NMDowZKy7zBky/xTKcF2/cFgP w5U2elrH6pVNkQb+ID5ZcniqYMjNUWPmPjsvUWMsP1Rd4GzwTbk0dMkhK68Zrgw4Go7a7ks21k08 8KLKJUVrPeu4Ym9Ei2eSRdepJh2rCa2Rvpbs5uENWN8IJYMolkh8aTK+2VJsCg4CWEp4xBbY51Ui c5eqvZhfkhmrHk0GFLYj++XXlc2ilry+AFjOqbZXzMDwY+ACkbpyXri8rHwgOPg7Afw1dnN7Y5eP 71SRxiXeXRGxPWBAWXhrgHL5zdpvtNpePqc7xjUvIAlYinYMyYDfKFy6bkGm1NRM9HUb6eZp84Fl KQyVPkQ3mSCzIWCZTx/Zyqx2qd7I7ik0JnjTcKyQ7T6HtoTnasOhKHCZHaL930J6hRggPVsriHCz bokISYRkuygN2P3NDv8GZiH3yDNR9SgBj2n+HtyGfRQHetxLHDbixPDklzxYXkBsaMjztkxDtcJb enNHVElTWVB0oh0Xks1aibGf+IUto68YbxKsMi2yW1HM1P8kgrfPwAm4GtUVA/T0daRTJuY/ONt4 imDHqCts0QSX9vDXSCO/4GtVYrWojZVEM7NUfNgw6dh4+lsX6OpQYMVsJsWVefDlCqXSxA/4F9SC ibmI+Y6iojA3VYOHv3QTI03ZB5WJWmztJagxo+/NiUiWI4fB4PJ8VrXatYcAmg/XiedIjNgI3ZmC nV0P6GoX3ZqulkfD44vbmZTy+S6bhpb8qs3VVUJ43DVgcdmBuGvAE6knv5NphxF2FLVws1yIxMyR 19gAeSCruDWUPuCD7143Wa4HDsVoG1ISa3gLJAzz+QUpX9LWwwsNQnRsP3w2qQpeaKBXmk+mSjZZ HlNG4+R4pmKrcuvkVYejfXZ6kjkD90G5fn1Ouu94sniZ6RfD/6j3pBCJA28eKsf2FVKjWhg8TUFb bXD+gJ3qqctL9AoTmBPnn9gYIrlNAZlWwJjQsJqY0xYLjld5E9JOSuU36onVDbtfXQE0SMx8EldM fw38Uh6hckp1rjczZs2EJB5zHvT+kM7MrPKOju7LQe9Bnl3jE1fDESeVhj1ROVaE6lj1aKfFA81N 4BY1Ko6/bA6pnIXIFwz19/PvRsVLNMgATqH1xgUifh7GbDdA4Cr+o0flbVVhXQZuoWbrTvt8LOza M6uyg/zEQaS6rmecHIRkHJdyi8l2XXcj09j3djygnFIN8GhVIV/rIHpLdJXek/dDVgZwmgcjFnzG YbjNc9CvnSiSdbuA0vXn9fNBxqENd1aTuHv7puBRWQsuUAQ6BQBTcl6JjlPY7NaqjLiE+9jDFC+C BMe0l5i210WP28qyNPrEHu0sQ3xZxKw0cduk5a+UOnICIPAgXBJqBVDW54A3R0LhjiKbEZ6vTrT0 mxyi8ZEJuPFnd+K2+6jRm0jM9y9MttuZ87w1f7unreZDZZd5By2VboXI0Msr47gb52qWMNS2fVzw RG8BbSHS95ImzaiKu4br6QVCE3P+SI6YdHi+i3rX53r9gDDiqnJYgT3MuHcRixOpTQSS28l6+XvW DdqPYuggkTlo64Q2o1jh1iCUM8kwyKIRP8BjNHwtQ1m+s2uZGfG8jzouufEe8nmdmcvTe+URm6lZ WLhzKNOAyvJA6lC4rdgqeGufGaL9+0aDADF8eaq/+tGh7BSAfDll9Hz+FMrzyfxWUbhjLkUAIAqL ZyFenKyrLZMjWVZbXTNvuQFz48agqgWgDCbIBPAMg70FID1hFCnow2sLbByk6OygeqCJ23/RcnZ9 sUHHNpcUVXB8vNo5upcFP5X2Z/eSeNv34LYGk4wo77vdjbnbbAW93p9Ub8xxCSwrwYCRVj5Yz93Z Eko6BoyufOfXh8jbrpAtWXYuHeQxYERtazYJcuvXrPMpYmrRFRlFNuWtOka1Peh5hDUm1J+AC2Pl gGxV+UPkGEgJA0R1npngxeBK1KT+95jbhrq0w5t2jJaLZI3OGCjWiNVMvS/dzH0Bh7W9NTX8DRQ9 K2J+qJ/ZQVo+AO9fL6NCDPcKddfaX9aBCBxabh0iRDLBuALUPjIGINrUPn4QQiXHHOJGxC0lqeGy SEKeYX79U9jePKr4Raz0bkysjtkYw4jZG/GRG3Kj6mbV1CbghSi4Nb+Q4iGdC7VaY/xkbUcGKk6m NCPHDNyzKjL57YwFcemEtoA2rq0mDlADOE8+XYZao486891CSPKiqNIjYd2MwzHmjBhcQ7PY2scM wIKVQosHiJJj7CIt5tcOfjJnSZzw7/nRnBSxD04TpGY03ZjVm3M06bYfzTNChs2Hs9GAK35SCdIo F1N/5GesEf/YjUI8RZmKo9oWRu+l9ab+O3pi5cSJUQlJdd6GrUjRv5sQKbJPymlUV1veymG59it1 e7jH2k5w3H416OXs5R7LGf8HyFGVhb6LFwyRlBkGjflPRjhGORHnidc0BUiVcnWTS+asbk/z7YVB JHJyeHIZRmqfUeiAwb5PBMMywlskLPrL6hbBPcdIJn7SNGSkvxsCXj3cqIys1/aX8nWDx+XyoG9O ayfT+4WOR8GRYP0ZOCf660nY68q7X+HtYKRR6JFpaZJ4fymMN4JE+9ArrHRAkoiNXl3QlV9BWaia hjG86ZByGESbpOntgXMBvjajVU3rXgy/lTUSBEVsOlhLBvIhAqGaIw52hTI9qGcgvd9jH6+ZG4mQ xDQkgL4n1n7D+QA0WnU0SQalVxEZRwA/SEDIxFhBOMHp9QQ4PVd4IX3kstqjYnKqBoQhFJa/cmyV A4GDtjB7yQJRmSed8IKm0ApaAukiClZnug8K5lNjZ7DstmREsPFeW6eOMBbDDea9qtjU7dplEe00 bYM00tbvqvExtFbPyCVFd0HnmluMRr//QwUXNDp8y8I1oPDmDxyyY5dCmSk/R68AW3ysT91TekJk tg0e38i3NzOe0y8MvUHKH7VtnqvXb7UWdMqgUskisgrZ0DCP9NVCHsosTmtbk/IyfwV4Cyt2GvDZ XGu9lNr4sesPqdnfTB1GComi8/U7H00nXBycbZtl/pw18sqUQODm2uGJR+CjYJAj2MFM8NqE7cqG tXBx4Fbek/x4XlozEMfAnu1/Xi1/kOXNV6nN9kzFG9ukfxk9qIn21JwXXKMIs+h6PR5nT6n3v79o e7kiLn1NJMsfFlJ3lvtNPUXH+ZNUOsGR0/jzYdG4oeWwvzSG+42I7kxX1wxYxQ+DAJNqjl4nuaMN /Utr37+j4sIaBB1ShsEkrshlTmmAr/LTCYbifUdYVqQV8a1fWMxDlASKiK55WqFYxhk1ZvA1hTWJ 41dY+qLQzfQ7C8r9YoAvKia8Or/LA0FiQo7WFs4+5HJvmcLzCP25FUUjtLhiTC9h25+Jzb8Fg/SA /u5SDC01DlREJ9+oq5FsUpHgjhAkTERoqo7SDTBOJmoB4uXjmxuAGiWw6cELl5WFH0s+z7ieo5Sz xcOfCFLD675pVnKHfhEElVilRCGzIdUC4FROFPMacKGWQERUFwfKlIZIURJWGC5EPChDKFUWRfSx 0SdWw/Fa1g//4FYb91DtKaGDz+BqmbaZM3K1Eq5SLbOKV14dT77/qYytaRzyed3QW5LnzP//QSXq 1HDoeAj+BboEKk/cGgOZbi8agqfFd9fHEdKJXf+CU8hpeo9KIPP+jFwuBMp035yH6DUjmbnrzoTR hgIJCwVJlI/VlFsr1j7P5kruJuxcAjeEkki8PDZ8KzNATf57V27UwpuxoTFlGyRNtnqqIPpbnq96 hjhbQY+KWih8AroG9CkMJQm/MRgCtoy2EYHJ9pVVBtjiEU2TLgnGJWlNwCMAdtU7HrKKPD3Pb5pV r7RsSd5Sok4I5NZkJK7kQ8l6CIzMRvENv6pKVGuRYRj6ZKyrvp0Ha7JGPTSAdj1iQNEUM7M6EPoC 8yLlio2KK2IX6wTZl82aN8tsJs0HCND7zOWG4A8qAA1Tv8sRHKqkRi4r1hgLK6tIBMttFn6RKxrm w+OzGGuBgRh14ZOmV8Zf7jVlRvz/diS8XmbRBV0ryeN2tyb8r1dUSekAjbZ2+WMrKCDjgyRowyOe sJt9xhWPRXCDVLAh6nueIgZ4MEJVPlJnCmHbr1QUgZMtTxC+o1PfSNYYsV3xoeAWkOe1+B55RPE2 DFhcVUBRrAtdqqAbu9C/FCnc7mxFmRY2yjfjmbYu4hMri5VIeOjCSMl/d9UvbxHr3mw2m3NU84PW /+P4rJMjcUojrLJyGcmelaaCz9gVERcA2//iETFIsZJ91REAdKUMuhB1h/f5AUWzBscUa6pVV/YT QmBeWvkOC/q218Bf7CXo4CY6cbiGvNLXyXg1FeezaoLXaNS0TIKoMxdadp7oxrGxpPd4klFYKNri cvzq5ZTE7iuzDOVPkEgAyu4S9Aly5DUFFO+PT/Zi1aZaa48L97WT4ZLzZYfI/gY+8TGQCaaj3+X2 bREzz7c9r/qnCsjhLK6Tb9S74pDGHesR7lQkNFFwWQVRAWZGwqEF4RH1SUu/ZQSyK8UCTQ1zHfLT cyZEhYZyAG5HpgkWg1xuSLc5oWPrJMls6lh7Lb1H32+Qyz21IzYstSVMk5UmME5Mj9bmb7dt5aJJ 5CblihQGHzcToZI2AyXJu95p6rQWlAFwXJ7SNftjzutP9cqKqkoJFlMUvt1NYmF65PWjIgv++6Zw hWdLtzUfVicmzmTDNIAFDYFurTnl8TfAX4Ps2C4rtTPuy3O3jz9eK5xl3osaIMMwtcrL1cTt7uLC XUU5c8gkr3TCh3+eMJmhPdAr+zyCQkyCbffh+5P+KNgZCsNPrIrwdJtrbTHV3MD8mAzx5ioWYvoB ClL58daV+azBtHWCJU/7BoAquBZxSzf256CB9NSWYe2F9JxPCIE67QGgxAppdAt+EE5IFNg5l/z5 6fQQs4bOQPTK3lJJHrLTefIwRY+wI+qA6mlZOEWzl1isgp6gjWH1mNv82HjBN3TMVulTmxHxyVIg D8sZLQolgGM4qL71cHYxDXOadt4vahIk+YsBUZfF5bu4Ra1jbvtC/qqv8hTX9cimDYr5sRzYMkUK SUVpaAdJt1oyu9EQH+uhUi4zUctCHDEz/ddVebC8lT/EoZWCUahWzxwwPzBwcl5eO/GAS3i4xlZ7 FXCdTGHNRKa3cYN9i9RQRIqtmf48wlzrTfSxPcJC6GtONVLezxCMufn2cXMzJnUvbR/MnVvnwOPI 0FYVS2Xw1IoiUYitK4ruEM7K2em92koQmPyy1+eRMxj7VyEIY/hewmqtayXBjXY1auCCsih4Ky+N sPq5ZDLmPPTkQtEUkdJCuJFTIuTmCkWBODaHZSSQ8IK5SJJaIIk42lseyGeU5kv0wmwK1msHetaY QS+KMIheFmAwShmF4/54oAau04LML06PTr/mApSoY6CWWGq3PdDEL4mtthJQKxVLceNhwFN5zRtQ sIGbKkQhlb1adB5I7nQfQ/tcceofyDSSmzCRbsqgJ+yCTWfnKlWmdJyWw+f6fh7zt9/shw8mofr7 qhJ1Fkcggi0GkIE+i9VAQ1vn3uRCprxekNgL7tyYDMZHIv0mS/2QWFWJxUFIx/6mQt0OXAs3WFP+ 9M8nUk5ZKUq4sW3qZenuoTA66GnwkhwtRy6VbW36ogi2DqDC5hF+WHntzTXUsf6D1GAIny9U7XMV fSqW/+ZgiJY66W3UHmB4rBrMhxkfrmVXDXpiSpGn+Zc209EPoL+hdHG4nEfxwMkuy4/+k3/vzzmR fWVy5EH7uwt6xvtkJoNznbN8Rzhma2yR1sBTxUfz9wDmOONboIOllLi2pHxZL79hAB7S012sB9jg uQVwDK2QMY8fg0pWk5ydYjq3b6dTJhb/0KMByW1tgpRjOStqW949LTMCNvRH8jQ3ANlDQV+QTm1Z 4w/bLy/6ci9TFlGemsKIYCJj6bp/2Gfqg0He9QSQuwa4OZYtzYkxKAybX8yFai2FYOgSkJkoVFXO iS9BxtA9W0hsEeDP30OTpa5cxah6xH76Qy2lmoWpPm2+KJKHK8GXebNEEsMjhqgiR1M+iOy+2BUr yXDYXCZeIvvZ46H59zAwyypeMVTNM+S2xY9Kw8pODr7T4yAecqKd/CxIrMYMDYniGgOUyqh41zzF cJzJ1tqgagp6P05Xt4Q8AXD9dvwHFzQXh6Y1RwhOpRPw0nRha84Zxd2uMFn8Fr44r5BofeYKD4Pr edojQ5tzSDRUfqPMNZWdVpht05CVkMXaB76Wn8hPEjINMMOCLvqK26pbTZXtaTr6CPF7tQNpJxnX DN1v17lQt/bbTkaQ3PMC4n8xbMdU5uIqsnXCXnjiAqWWHlnNqzvYW7FylvJiwhcHcCmkHI93nFRC eLkj2Ivlm+hIrHqXX2PQyGD9XxYqyVMSrm6za0EBJFE/vOoUu5GcUYqyn4s31Y6CE39Bd7DCodeW YLBG3Q6Acry7OEcJK5hdFajBdL0dsbU5CbgPmHWG2rnZ1jl8D1RQqRrwD6M4IgoyWGy/BDVivPMK PuEGwtbjBNFBaREUzFkXzD3PjuKpnYXeu9SrAFVzS68adx5hiG08xhHytHjlNY4KS9BiOp6MP+9c 8lry4cCoebaNxMyziE0YtWSFa7EGkp6NWbE8ihvhyealJXjXadVoNQBu2lgdRtM3jQpbRo7OGPpT QC/1apiEvSKkVq+Dh6a2fHRJzGjcdgEM4I4M4KOSLlqXOlmORfKOA4AnXo8/LKysRbYONfSn8igJ DTqxBM9WC3Gc9tKZPZvpLoNLvA3YNYVmCzBopKMA3bu1+eJKF4Jeg36/VVNFpvfFnd4G+BcGRYfH bVPizTvLvvDo45FAe0JmITf0aqtMIFjLxNwqsszQslZC3ebl4wEiN21xZA+8bzvgnr44BR4FG2Wb VpbXDVANPF+skL6sdV2YFfanUPzenkDyeVr2S+L8EdnSK1NtcjNw9q6dAAgyqtGRCOEiSAscTyHq PvmxruK4M+Sbs6if+Z19A3YxGVr8znDEixaSkmijO0gAdHVUW6MWQk6wegkEDVs8muPgpq7wq+CP +iNcTkKLQnMfCyH91kH3g0FR5qfTWf9/VamCrvEExmxrbbP2c6utwJVv4MVxDTsJc0LT782iXE74 4CS870peEj6nB7sGu6fpC3wNFj6ZhnDRV9OHEZ2BvtX/kR4/RvuYFQSPMwW8A9uiYQNperuspzTE uk/j18i1sMN4c0bZytK8v/GdRgp2IyZQA+BFF36AthWGr99sg8YvqPKJe/XkBjhOwC22fXjFG0Eo K9ZuHYzyF+KlWTqtMd4YGJSw43I/gDAtI5NbFRkQtnCsm9FA0U6Ioy968Bx/QOUrZO2MfJlKw0xN 7Fp2o+9wKQQCfmH0/tWzOm81tiJ9GMnOo6B633TRqkEFe8mpNJ2czvwFJxSzY41SBIpTpnvpbMP6 6FNkfparH+3xmgZlgsYFAJiS+9bCiGsteZsn5Mj38wTkuz7dWiHJyO5K7/V+EVXKKT7OxSx/5RS3 8Y8or0rrHhueGOkrU9wh77t6aihouvo72WBd7yusyHgMWd5SS/Yz/bw62qZmV4AxZGKp3UPssFU0 yoT4q/AfRgZo2AmVbGL1goTtrx8d9FreQXXasJDKKKQfknir8nIqJAziEUoT7SYtB/LSqglD3Z6l WhZsVMqkfQ1r/8RvjSkitDc3KcsShjKfDZOvIQdvJuUqyEHbfZxieLRaAMsVcrP/FViGtJ2qHRHy Eg2OCApHel52WrHzsr9h+5oxigKTJSs4hEbSI7r1nqYD8vySjWMcufh4o0v/ZYcR34i8I7/BwqSk yKsVHl8RSOOhqU9+5FEzEyt/OCfeC+m9Ahc3s1hd/4oPCpurFU+qQvo8eoBU2F5kxs1WqkowPkdZ VJlndGkvGtSn6Abi8Cw/xv31xIczYXi0aLCKDyyEpCxPKfr7qel3SHmsy2kqDHhBXLfEJ06UPcHp m8OfCsTtbNsTzS/uWasuHzH1227EkjsJ3nTqZPUgNjfT+zns4jpGfB1NwYYZmpb36lOHA5Jed2+W UE77zhuV+9J6hUwPAKeflOQPpGiOacxoObr0BSbQO1eOzu0cM3Rla4fXpdtui5HpYOSrvmNBed2P i7BiW2cWHWJsOKCLriPwNGwi6/ZM0F/fXdfwFd2YM8syf2S9CwB2ClWAePDTohaOuiqIS0XpN0Xq JPfsnnbKTvsGXyDZOm13x9XxGX2UvxUHA9gGhpwgdVsqz+Kk3Qgy6Nwdw+EokQe8AGzCAla9Kog2 E+DZ04QN1ToREB/UeT8eR+EZw6q7Szpv28Dy7pxmLcVzSxgvqvAJNTgOlmHPP4C+nv2tkLo41xvz 4n3W83rm1c+7OSRLJ/Mw23uF5fHd+Ab6PevlWhbcjl8U63USreeBgvp8kHrKY5sFYqxOofpfT/k2 Rwx9z+f+e3qEuvVAsmW7LVPJfmxxJXMXl9nnK7SZEZgc3TBluZfB7ddZZlUTd6LOE1KvVY+078Ln R1MTchkFm7GqOtps1j06Z1EQSzv4WDMHDKtHvWCSueGbDdlbDrYt6Zcmj0Wn4FeVEPwFY8GPqWWi xXhjc0s+zW8DHF/HenqvVfLi6hiLRcDlizblayhSG4AwpuXOfBgyuK4gn7rVmHJt26JdE0O2Crpp FT6mBvI+bM9gH1USXLZstB/0GlS3kqGshhDQxYJ7sotra+fb9vpQBlltFK98Kn+oVw/iDzP0P+ym wYMGPuA73XELZMFaNNKyMlVWL6deh0sBHybSHpUTP1RF0fUih6CFE6lk4x6nZtzsRmPoYyziAEiH aoYLM+t/UZ3IKcd4elHgHyEzubEoYE2zJezz+GYysvRYAepgFKwo9kk80nUmLfkdA+TIt7E+Zwul lA7ANHpe0MTBuBCoyfwSeUusLV9djJYX8iXINr6TYQ76lZUMbTnUQRj229WWTSEC9bnN6Ez1Z8lw JYnQeGOv4GVHAaFdOmf2ELtB+1aAVMLjHFPSBrIxav/mIavscUdZi8eE31mVDmepKmKenm8QKnVn +51xigA3SO4JExhyi2N+nKZ7Csam6fSX945v2VkrI934g/gxXWVKyFle6Pv0MKn/rgnabc+rkLI4 LSN6q3Wp7lUNztMLAyWqGKrFmqdR4vuKaUKA+4fMEoWgF1RGZbRg9YD1bBzwpoHOWbV5nVN6/AO4 794l7Bo/UkqgmeJ7ChhlfXO7X5xvXkPNfrh1Ji+vfSfwjg7MA48cksRBomdVA1j78i4ABFwI+6wt ahTcOWTsxlfUujS6CAry1BlsoKlKuAbFOHY07HZwPYFdDbJpr6tPExc/oEQCEl/38mUKV5Qa6CIt DfSKVPU+wX18DIiyWDeCtRlP4EttleWiCXxRrBToDiklwn9hZQogxd57hKlRYs1hMBDBluYGDP8p /+PR4cdrGiRdtQ5Up0mepmBTAhMDCsymu9RebMFqpYg1wdkHRAt31WtqmwSXP+o0K7A0uElW5nok 03p7x+Y30Pim+n9Rx+JCtzTB2ViOYK03PSpg9ujjHxvhkoaJ4VEbKfT9UzlFzaHZtb6ayIMbOn0S 1u4iWf5+/reHqOdslVibzu5uay7p5635uA4cTfIUBvexfXYymvIGQJWnY6EMiA2XHOkyn6da6n09 WHL+48Qbrk/MT+Nhk4dJiNPgqh6Ebfq/wI/kt9zIEow3AFFfvoqlmICddQFY3u+4ymaw98bGX9Wq XRkv4qFlc3b4caeH2r+7n6UyTeiIsy/uNwU0cvXJGpPXAetCgbzSoAVkquXjLZS8sbpId7iW+rQD MDVKBzkJhAkU7ELMuM8zAKy0CjjXOjPcQXynHKdrvGt1yuBJ0imftKklBVDN4sBdTo6oE4oUQgcs Kx1WLN5G6/1R7qampbPz3paB5IN41owzc5X5bg0pe+UOQoOpz8aR+oFAAFxFUEb5wvzsffk3YZJ2 +4nUDFS5kvFkYBd/p8QjzMdDzO+16VJS+zYQPeTqMiD5tmH4o3SVr0oWpqchoWqeR1HaOBkE8/L8 a8/HjH4AEy6h05AVTQwowMkJGSLaqiJpqZs00ssPiP47WuTtzJRkhVofqibPp8ZqdMl+vLFXzY0P v+7ZF56gCHj/0auHe7V5dkFiS6oVBvuQuOiPtYqrinQizaswzazKM8uMm95JaXjIQt5RtHGAe7Vn 2DHAStAgF6DyQcYrFxhIupp/0P+EBxmbajta2LZAZRSW6bqaGGGIUgbtxLdwSniJnzcJ2AwUeg5r POEEnsRBvIl5aD67wpgQIu9liv4CAYfPUDeFycvm5c8Q6Q0Z7j1Miz6UQvOiLAMaUPdCQQWDvRFf Ite6yKzjEXRRJkOzzb9xGgmPdQH70IJeW7rIr4NW3PLokoqWeru+0CoxGeTglU8lldeVZSB4gAsL BfiTU4TFLvptBs5F1t8PK066DO5DyzQwtSAsHJXAv/HuZdTG+gEruGb64oEyMYNuW3DQFnL0NCwT gEJ4Ihv05ho9UyxnRYbdlYX8t23JU7RV2SZjC9BCRlmrLawXIp1pVidMUSFNLauEY5DOEoJcAujZ WQzj+xBGS7uDUkxQBZJ9uPf/x3Sr5gvIC0XLAJinHo+sKJpbVBz61O0SJcrHsPrm7jYEfQ1DJZ3W xhNkshqtfao9z/GfU8Ww4+jNH/eu1B6E/o+IPleASBMzpNBCRl6CY/afo3PLazyvUjQhy0RqPBHr zvjjHxBcCKajMR0Ga/rHScqeBvTBE0Sg7P6tw2y3/opKqF7uqGWdKYPsplE/BNcGSXmJUrS9JBTb NX7PqomEN1RIRY6TCBVIeFh9sSUIdIF3iQd+5GhViUoDCuy/e6bwjAS3h0e8vJHXwVGjcI+kLdg8 zyTigrQN+B8CBgXOs+dQHoZWg4kqkEj0jqdIhRlyRBgFCuuJGaky1DnUU8y1mzE48qBXGekH0KuC fyvdMx+lZILYqI2VVdBxNTwcOke6bhlV+wSx+jP8rBUATKcVEpLGmeYyY36n2DBFWby4m85ASRsu fqezeNWyOhtakBcAtyeA+98oNzzgneazC/jfIsGb9bWVfzGVqdLiZ7Ui0aNgPBhDZD1/vgn+JYC5 viYwIJX+eGjVXyC3aqNe7jhxcyCKpLO0ulA8+ApxkOdqBFhTs8oa7VVe74H6fnKiriQjP90b7Zg/ wR0GGfi/MUtIryreMjSQHFfAZ2wRlQ5SK0w88OVpQe0+J2ij9r2Juol6fGYKqGteJzEEmhMInPI5 /5+M+NLTlUpGa4LpF0DqyBQb7wN6pv9ymfh7jmAR0GKfXiNgLC85xUWyl6jJ3NbyE9/nnxwMuCWw W3lPgDb0CSII3b1br6GVc3nyN3R6dNZk6pZeWdemKpcG7LgF2cTOCarHaZ6PKexS9I1JAPCU8Bc/ 3Ifd0uGG4h79+h4aFxkg1E3138HA8Zo7z1OhHOb9r5m3tV6twPnuolfrQxQN99ll9B3aqcNbbfmH 4tFYDVj3Wl3NSf8XIkO30oVww7F0Jcnyu14vr7Np+6RM0YTYJEEstw1bjZaV0aDoVxeu/rABByDj JCz6yjQJJhqK81HIl34iYOwNik9kFfgI5zUIQdnPIutkBTsyvvqnkaEI4jn39OBfHNo08NtjXhio IE+ZpsWzu8gKASlUJBrn21s2OZhgXmwCMyufLiyzUhkiqhu0vUTg4LsI3BzaTaH5ST04yQzebs8n Ae8Jj/QkkoxMhpvrMa181tulPY4HFFsDfwP5AddTk2EvD/bZF9V32ZMPV/jBpLP8j4ltSJNOHnYZ XFbSAxCkFdwum12mD8Sq+B3UL7/g5JNOy/EZahl0f1NOD5zQopbQbygiY+4sxbKAwlaQpF4jwTVp As1bejuAEhfe6RbvxUx5b4WEx7jquNvnoKX9yNy5JI2aP3u+mk9h3TD9Jw/spjf4OBtpebnGhiTp qxKFqIVPr+ad3vQMnSBZlksnQvV8C7VnLf+xS1Yx76yU7Pm4zO7VTQjggvVXCv5E3NmgBNCE4NP0 kLzLkX9y0TkXzNsHN32t18hUwA1QNTfAU7VWhsjIEorO9s0MEl7SzgY686dyS/RptIW2J4R5Gjbg dfpRPhIDpI/PV5SN0ywbIesGyJDV3o8lQP2X9bFhjjqWHlykodpQk5q8635i45VurvN7asIii4Sj vK4vyfPR1CD2K7v3DNqOm7wPndv8AiSCxFVX5VILpaS0/ecCTLDQV5ONUTcjsha1ywfv7YBvSI6F E6NM3NhG7e55m+qfvSBdLmIf88k+zI7pwW67TkrONj7chVzeE2ZW6Llkt79PBk5SALTrKfw0CNVa RRiQ/ydxUkMkF3z/A+8ygfTJza1PSuF1HTcTNbaHiMHh27KDR7BA8N70BLuUtWEGxf3MgheRn7DC R2SisYg2i1j9aJ0Wv0fEOlmEjs4NiSqMACW8Tydw1A7B9TMWqrCytjhyfHNYEkol0gsZdDLKhqh7 vjoAjGe9etQymGvImpxDgdTNUgM053wd8vctM6YpZ+hfg/7hIR36qxq1SaXd4f69SjShVQsUp1a1 GelRzzT6VNLpeurT9GdByOioWEPnLkyey7cHJv+vQDDwRljVHg4Z/YcSa9kdL7sB3mUa/hDji+x8 cigBT65N4MTRopCGoKqT6nGKFBgjJfl3BMwOkriTsxqM7qAkHiwd70bbdRDDYPLBzOxCYugK4pQj JRcdYTp/insnTg0Nw/ahBgDl/rjSnc2egVVY2gW7SkWUuEYVIcNGiheAIDdsv2ag+LXkNRPWFK0x nhZiWL2GDSkBEiVEwFqTrr91RAa8u5FP4QHYFcr4nOZsKe7/PCt6VQEmwwfAT85K8IaDxNm9BJSJ JBuNNTXy2ZElAs14O+Lp/bFQopdMhCqSEY19YA7G8yeyAtSrbpXEmn6CfCS8FB5IOHNW+WhGNpCg qDSsFMhhB1985D+Gh+VBidWvFhpUvVnLbWBQ/fBmVp6kLrQL39jSvJeL+K+5DEUGrIuhRo1W/VSy 0lhXb+UIoBDTkgklmBt57uAjGzXRPY4/LSQ/Jjy7V9PyFUxRAhWFmpKf/IAtjo3jv0pSDr9iqRr5 SsSf7i3aoObRP6k0oTGx4Jy2sUYRHEWGKLsuHsXs/R506As6RFsvq9CCYLQNX+ApK6JWzqvuxTTS WOUrmCqkJ4JcIeeWRKz1QUdfQm2uTwgTll0evda06LDah0Z8RDnsacWqxx+O2eglDTD3QpFxnD1r /TDIEVaA5XReKla63/AR30jAbx48k9dsAXcNBjOHu1+vM45GyDEb2YzBi2RUinHeI/mhp0s5MdvO T7xGuNsZNpB67lQApYY01CAJfFU1Hb7MuETxIRJfW529cFQQ1sZOV/dm34offpeW5uzi6U/qZNhx 1wVazZGjO13L3agF+8sF9Mw+tTN8NBo+ksmIdK3X9zJEBAT8M+3SiR7Esri0FmaqsCHhAKpRsRO3 X9beYXeutf71XZe29xgSGkyWagJkX1UgAGosAl2ib8euLLliq4H+0o+imwqbmI2vdiJHupbU20tN voBJ+nD2RkgEUrQqPv7cCNINhR2mOGXHi0MJsFt6lEDnwIYHdVcAKkf3NbdK6j102717MSgg9heH gdNh3ZqWLhRl0lwQfDKgiNRf/sytJtoiU8Zt35QuVMqUgkGt5ClwSUTEeNhNUGw8et3gqswzPZdp JHMGemh0mDtN/fQYHjvdI9ZSgcn8Dj5aM8b0wulD8fla6BNUV4Z9hwr2yx0trRe037y5VkV0I1Zh 2985YFOt+rwn/StyGl92fP3QxWePU/+bd5h4/WBw2pj4KEW8+Z/ka4DTrciB5ZbVFRB80DHQ12E+ bt+eCGrg/QYlFnqEhZWRFf0Dg1Za1X5ntlHAuO9DWbp49pSsFSgqoni16xh4J7kvm2vecQRkBnTb CPgB6uMgQzP4uTi//Sm3q/auOjtBBBvLNuLakj6bQEJMCEXiKlY8oVyRPrfkKEkHGxKE5LazDLOb L9mN0ZdwEUlhTIE83HQRwDVUyCY/3cb8G+oJ6Iyc1gPlHYLbdYcDtOR79XEP1JVr6ooi+WlHvGzv 8bMBrls/dQppPGMTpk8OJS328vIjMa7rjvA44pCfjEqGKmIo2PeuwjwUoP5Mu2BuKUYh/nCB6vH2 2E8deL5qvHamURN6FmGOZtFjO8LQQ8MWdqQiI0mJkTKOHUoi4qTtV1p6kLXqxmabe7v1VUpyjLOu uOjCqInBDhV3aWubCwYBn9gN11+p6rfkkIojn99SUgFc71LjNtc7JTmKoCK8WzMayJ0RGD+g99U5 7BzOPS8AiSRdfMygMyf9TkTKxoPoFHHAKAAMvrvXBSeHFihj87xDuUbmTPU0AwjkElDK6Wt3pcqk 0dhq5s0kNzpEF0gw0dU3hF46r/upnkfw1bEJX+UUd2z7d7zgexwPoYmv7Ps6O1gTT0ZxHwAlz5Mg 47E34EhHykWi8sk4S8VhirFCCkjxK10Pw41yMgaKmP6+N2dshKoi/7DnTY6hcHKst0z8D2YaT7QX N7619x9G4liMSz7e3BSAZujJQl3PgmWWhzZWtmIW3xzcawF6kUPZARcLKBU62oGNqMurczOvDpXz 1w6HubHeIl1aoHfS668wcNXGZUbqSgb4vtdw45mIaHLAreZVhseAMiCZtmbN3GVKOFU7+vC/cCKm O0QPATz+tQUV6uPhPOCUPmuSLg09/DjbiEBonHRgqpA/NQxk2xvkRC9SP6OECHF/fvedEdZ3+xTD VAfufXXo7Xpgi2pX8pNG/8zUC6SoHvPhoedHFGuBcLXJbyJGHEyDP+dCq6qSjMJh5aIWjQ3ZbeF1 vRSBoiAmm6qxmQAK8UzwgOdM/KROSAyVLuL9mrWWNyKChuWhyoZG+k00dsw/BLBe5FHB6NCi7KB/ sj6swEsYogNnybPWCXRzzMzmA0w+pSpjc5jdzYHmQOJM0MZzeP1gIE3gq26hrcV/UNeThiTw5+cF ZJjcZOSzfjC0uCZcMVUNHRnuNBtok/SpoVcpk1T600Bjmss5HTWzMjTMxG9h7Yg3dJwCANX3V2P5 9JAwXiBl9eOPFV7vwOSMmONIFBd6QYrVThmcER7LLJxBTlfbzwks2iHMFFRrqVITiCTCayI7wGjq VLQXXDFLwpqzmoke95l5jeXdtOgkp5r6XlcZiYwkArUvS7k9Wr5YeWg8DbKynliv4Nr5bJDUobO0 wIS0y6tNvkjZMj34dWwZrudvTq0H3jyk5TOaai0Per2vsRV8Bb7AR2JPy1R9KeH6vioaT4kg08Ow UsOhOsofp+1NBELGej8GSHqZVEd3NGWH7t5wdrDV3XpeJ3bsNdsTbYU3nvDgVM58UZqUxE1zeHXU jp5Jaqre03L8pCW+Hg46+tLhAXbf4tL5afaWFPzbN5MIju2crHrXhr377SaqUcEI3DaMMcQwMZhV twz+OmgRT0JkCBLXiB/Tv05jQE1v8QSGgWnXSt8+99ec7jrpjxB0lSdviEffWgaXk7M1YaGdcH/U eZk7DUI+ijsP6gD5gHBM1UtIhxEGE7MSoy1z46CFEb2nOC9qA/Co2wR7jTx2IJ2j8GIj3VpA/Zf3 HeQj5Cq/KFnzA53NhNSVTQ6glbELDw7nZhfiN6cxb+lWjrQtv0tR5xlgSwHa1/q6Z62GgXjxCd5y owADikrXXZ/WSM+lo0V7WR4340+jtXgQ+g56tPI5ZG0M74Q+AG+QqHe0lWjYn49ErL6/AvmUDjXe ZBdUsAWBHpEk2iw3EQfYZ7bTmJYCBj8SQfGtU3GnGZXHESQjbaxc10YYfOUEJee51Uj8qRxx9l5D LJT/J8uw94DPmV4zFcgqOuJLQmaPxv/HBPm0g3TzZMvJSk3B/zfjK+pdARAHsyxjla27XygpyFzp boQMh/YgqeC+A9BIca4GpqrmIA9JogpGTOiMn4oLBcAzYe13CJ8EfAX9ksW/NvbRd+VcWxEJ4f2e pgtum+aLcanPWJvEeRvwRjbY25KGnxYtCxa7+NmOgve3Q7v3DXvOX2KBCBdf6wwx1BcaRkLAEkH6 HHVPE/3rxr8Ud+fcy/yH+5rq9M2V/KCh9jdILq1y1bx0FEuYByePhIvLIsIKR4Qy291ajvqaUmG9 lDk2D6FsvmcP7hnDcpexzxHMyMm45iemiGAj/gZFVIoh8pgAdgoNBvAyCvCsu5SGHPcBURYJuNDS t+ZAKatSUkSGm5a24+0B9oyq5GLDdvTjW8HlEQ8o1hYo5QGm4o9rKOGviXqkeZJa8JxFefe0eSK1 k/snqWOz7XcgacgwxzZShRX0k3uLB5/AlFEB8LQHeI5IxVPaZH9Rg1JiVHPcDHbvxtjiFxSvXSFp rfsVbqo/4VKMIqTDiZofbQkydet5xe27/7L5cxVIEdWY6BFJkVlx8YfCha6yaeGmRm12wewofEBE 06H4UHcZPBkT5wW5jtcCbMZsdJlaMFUh9r1BMRQ7s3pW5lXsQ4mB/rnYTKXWru2KeOkjFkvKorO8 d6GqJh4PdAZRF091zkwIMT9dEMnHd2/gEUN+rr9VMA9SfzwWzW6T4DfLSRIEp1Lb5oNcy6twSdFW olYd+aG5jxUVtBoIcov6dzrdVFa/lqplTRsBjaxdL0SRF5Zxe5vtiXSRwrIHiEAWJdDCma8EtFW2 E8GiEaZOkRfJ2/Y9NAHcHDDCl6NrRMbwyrGv4FAo/EKau/MGCuXLdlGMYWfR6zKezlFKkYG2hvJb BwY//J78Ck5COkdQnfkfoHtHCr6knDQAz1ahKWixGqZQXBK8AhKC1VsfJXfP9qbuBBYYisPHOgA0 snwFKH9vEYyOH+uOr3C1tBiTI8q5PsZZJs/mJMR/ypqXttGvMUZ6ri4rszfKEeKE40MubNp88aAA Vqopn4o/5EyubeYU9WDXyBHZjPdk/kck3n39+tIx5VbIJ/vsCKtqeYtz6gUU0A+roMb28r59XKIx nWdOG3wxtHAPB3RDzXfZOq9HeKz1PRewdxcagU1Pg1Lfp6/abDLY8QNzP4sSJOOk55YW0My44qVA OhnSxsu0FnICG0NiI4UbK1ieViqeQJZl6rE5RPNqKjsj+QaupwKZWxNBzkn44ujmAGN011YJVbLv xLcgOhduWq4Z4sxsp9eWhyPVCxrDbJ9tsZBdsCbp7naJIrSDSk3pHBw8e06G1ys5sKyFUESuY+oH DWQVhG7RliSOw+KtM090g0XtyksU9Z42hY94LO6cxKS7zGwti5+6RuPuBU9wV5h7Z7+3j7Ee3k5N a3qP9yEtnwPqwpzCO+PLTLmlhnfJDSfr4kWGeXQ5fJiU94Xhb6V/V0g7idsS4bH9L8jAI2GWEPoV KmXSbVFqEqY/TcI6xDB3cz7QNdTbucfk4/0kapkwh7RCUqIbd0gCWHWo6G2LlRuC7HmIv1iZLb8k 2yPvWjiF+2ENYLqN+wncSPCuEYk/j/vmE8R9eZCr91gca7CcKkZHQYQ5hEhojKzSVzXJ8IlzMVff t1k+nMjDxYym+6JY1o8KKV0V/tGew+AVeGcXqmhWH9K4rFj3J+2Zo5NhbHeCDN01e7BmBukhC82y Cvjl5fkb7NBVG1rpfNmDvNLLyzNc/phA2PNq2mUyj01ruEUDLlJldEbarKJ21XNxNd2LY1oZ+Jlz Cvb0PxiDBmsK7wA7X9b2afoOzWnPQQStcMYl7xS3H79jJahxUMpqFGlnvgoHjGdxWP+8NtshoTXQ 6PA7tCQ5bZVuUuscNB6cD+0tO5lGEXf8zgzuM622Yi8y0x0DHY5IDutl5PbhfXoKZIXWepeslr3H fII3bmPx6pQhC1100wMgVCHsEfzmRAfoh5XKoEym9oIGmPzhkL1e99jviSmB8Xemv0daLNgE+EVb 975Ghx8dk/8bEOF1IyLuLqddGsP6CHoWZFKsgzP1cqg9mN1K5nlZ7lD7RBXMx977CJ8pcOPulztG hFqMdvDkRaYKl0GROE72EKsmfyEi7qm0CWWjHaOEOyc7pfZg14jhODWhv9mT9lIBsdOoitEtaRk0 u5koXh8EJmz4/Lr9cIPR8IBVWpqxKiOdB+23YTe/J4qrBmtNQdIKtpaWkH/Tq+jCs6o9zkF9pCEg Ptj5OtGNLLReoESwSW8yK+pLlLw7TsG6IuOS6NgglMcS/a3KJnRnWoUBIZGWobC5AIOJaC+d+yOA oft1o1tAKBW1LDKOqnp9eApBv4ZR0MpKHPiB8hxSwKFpTjc8/Ai/NSumZXEOc9oZkdWTzhTnYJrP yRnDwUxZAZVivnqDN97pUbwNafxGRd8VQXmd0ukk9iqL8ZdycwFD4YlluuLSZzDh4g8dbxq0lpoS +hRezBVfQQez4XVSc4NnpeFDWJ9qoT5VzzbwV7LmRKkzV7AveqPOUfZOkyh5vGffB9f5QHkRU6zf Q8BwOK43Dy/MRZhfD+j4oEOfWGRa0JV2zTXxRXUnqD508DM7hGFh7H14JZC+81SNvT7rxOSR+U22 JSmDEapOt6mdg4uPJ8zTpchE6CFsUf7BjxwsJ1HXfwrzJirCxJUrdiwXjswlMAJNX6rtUAT+cpW8 +G44aKDPidE2ZwxU+Wb6Y8mxMBq/QGZUD/52E9Ehe833sXPvj2AB6KtbR5IiUqeB4qHxvamcORsG B1Eh9/Vvc9tRZye1aLZ9bWOUzHEIQ3A1W9SUMS5eSwlim2CBjUg1etzmRM7TgcOiUMf1khGgZoaU f4t1suiJZ0vI+BNMAUBegQuUuSYCxDtbM3TF2sndTV5D02XaFOZil9gqqZ6f5ChfCz/fYa6dm/En toGnHVYQWSDnhXRxeQVeaUCALMY+fhaEbLrYC3vIURBObyVlOZwG1slXCekWtk73QraTl7lHmGqm KRtSCZyR+lx8hW7DNoPoVSPPWUivtHs0rEDbzMZgg3K8IyuRL5mdMUgthWXgGlq7moqzzGSP3wda NYOx1kgF+YzFwj5/PVePSl9YpCafUjgZbgJvBCWsNuZLXy5qRo7OnKz8J9jCu7W2svuoqSug5TSp k9QQ8gBzu1kxK8cmo42/CWywk135teLBifze8IeIxrySJ2wZYq88beNBRzp2A7zy8JPL/dEzGK9D RfP32ljGvHmAjTL/WiOSUbh1JW1AG2LB+RhUSHWNcNhpne4Qs56peBjQ+9tRMraqz24Skg+qxuR9 GGVWV2tMRvpnkXSocFTbC0rPwLCTKANTBhlNBcodhO/h40M1sKf+P9feL4Ndq79FqW9H1B6Npe9z px6mOpNXk2vrLvueVDs/UtvGQ/MhmoWPuw5wrF5mg/ZhmjA7HazMivWBW813UOpxs05U+TeYq2l4 4Q2N+NJ9L39dbPiLzWByjzvJ7z/969yfZ1gHkgkguR/V4YBVm3evUF865qf8I9/+x708hlagd9Ng RCt83V6EO+zmd8JB4lJ2cr8k+Yjl96pbD/gyLEHQgdFi/SdW40ROE8A4D8jgJUIUn5fIUf5Dtor3 U14bcJc5JVkqbmfAam7X7HxVrrkk5xK4hfxncnH2DpMmtaMcRKG2uorv8GfZf8VBQ7s9Aao6KPZ0 HBxoorzR+EVKY7zZliYOqIjufd4JhNBZjz6QWd65TRbboowvoFvRBtC0KXJF2NZk6bPSn+R+kAFy q6nUyDjkEZ6O5Wqb9HDAXL92Q0xUv7G2rSDxkvZfEDBL5XBsiAVoZYCUs0coVoT4S6n423x+d1U/ CrcFIcCqPylFK3h3OU/hjSiaDNQg72JUbOKBFUiPRuhrvob5aHwpLT6+dpWAkYce7j/9KHfamCVI 5w0ebOPaQhOFVE3lg7RwL9MUfM8RPVT+DUMkE3eWSfeIoLlxaFWJuy3v2IOSMxYb1pDFNVK4cpVa 5OMcci9jy57EjHVETdtCgYmM/Y0yAHc4IiyRWs0mYuwKVtXlxSDwrmPjGFnoBI3WeynmOgruaeC8 mL35a171yzfVO5VYulCnIxII9NCfGEsLS7x4Mo5c1ALu+ZtE2tbShBlXQKuie/99a7FIn9XeEv0j 5CoTYjwQJET//p0Zqg08p4NusEYoNWk0zMKSE84VkpMJhw+CbxVulOq1SzAA6/S5aTyobnWxjLLh k2bI+ePiIINgfsUdGLMhdrhwFtB2kcQJgM6AEOUF7ma/q+9CApCjLOPz+I/rbRCq7fECjUS/ksAN SgiX6nLl8NN7d3kmynEVpOT79PffFGsaS9vKvwwZaF8UQnL45VgBDCOlYbCwTZbZWgicu/CEqnAD rYs9oriLoc49PTELW/UKs7G8zjX5/G6NbqsVSgvD03jG4gREN/BqipEjZ5cve200KLAxUuLfQM0t uiv3J9dQ3+TpKO6NFuXAGLenRjjwQaq8MJxOKafBNs1LoqK74nb2wwm5xeyE8yAZd5E6ukzOa7Um bduILKiKGt7owCijdWAE4kr4gf1Fjq40gu5Y/3glQ2nsQgKSDSrWhd2DtXv/J5c1VGczBWeSM3EJ uRh5T434JL3ZaQGA4bCt14OYcJxcpszNGhPDyhnnmWzjcy5WCvqwHfulDEuTQiuNFYmfxzfyxKKh uC0mcebF4j2RqszHwT2IzMuERDEUjvbS7oP+KNrICo1CjcCaoMn5WOEbjHo3sbtrkDu40Yd8VlbA paZGOnqwBAao+4OytTYjENw76/wHB/xklTIEFVhmp1zG7pS7dEm/RZFWMgEw6c1n1aCiUnAlK6Zc mgNPZWCY184wxiinSNaVeaT8ua83RUbuQFysnMA9F4OjZiVD+TJeW3k4JDtvYtyL08Kf5mtPAKYD jHkpME+ZhAGcnoyC6qcUNwBkGLOOdRfqMXbuR1AXYZGFSypHx8KaCDcb2Tgz/+i9Qbw8ZGDuzjDi yNh4lAjNuvgimt0aTRqPr+xuylu+TmNKqcLFqdJ8F8FenU2DW1UqoBm1GnJ3dJAdDd7bXP9KAox3 tSQ8EXU+/IW8ozR3UeVlo9ttT4bVBsifPx9HANjvljEY/ZECZHJ2egzJYwp1ieeOFdr9i170Jfu1 uvFvVafaEi3Xs1oxlF6NsHblm+Ogk3WnevhDox+W+02KifHbdfmHIzMe/rRijyWGekBKql6alV3B EQ83cfc8p2Rg5NB2DHBnVcA3invfWLV7l8cWbG85wuZorhNFPa8lveiBkh0fYPtejmp38ur+1BHO aJ/+QL6MVB2jkb5Wp1NXWvWpVHtMm+Ia+L24uNEzEyH7iCLRRZz0m6zV022mkmmdQmdmYqlEElrK uImjcl2uaBjTLY0GNsq7dvHVa2BdYBEbED6ObuYU7kEaYeI+weXe7Q7iaZ7LoPq+4tqXLsNgvlYH hoJskqSh9a7GcvvWGTXtE22lpVu2Z0t5otLKI5eo2aRV0Cve9awQoExb0rpbUqOvnmnt1FpziEjr iomRgSG3bFh7Nyn9qVN2l8gS9Qw7omDfXP/CxTxSG2jUY2x7kApbetGLmH0nK24/CpXMk3eMfZwA vGPQ6IRI/PUDwIH4PjGUhYmTpZ4tiUmyFnFJ4hD8VeoAQ+gO+W6Cmu4oAcItMQRTyJ4OIkkm1291 hg8EN7BWcy0kqFdSQTvkLd1YavRJaOSXYXGKZRacO9fvofdpOf065mxopN12gjsqCpSBSO2G7BPO dBISnTfonP+Bv7hVM4PZJuWCGTRKFv/lP/KzSWAyw5EzL/q/+9U6FUbpZfoiedgLkrLjR/clMWSD vNy7aUWPzDBzwSZQsKtkTOwcVmIDmSRohpf4YoEFnGIawUgKYSKddYUm8DYj4EzjSrPM3PFa1cN4 9NEzNlMFcObjPsfbApH69FT65uRRSyMSDh1AZDauE/tzO5kpjHvs/fUwc4W5sOfhiHopeeS7sMhy BQXOUAfUb+JgRbXGebpuQ1ca4qarz6KpBXLaPO+NUpazJdxSNGaA64b+FlpxBpuxD6At3mFNH3f7 c1JsemcWsCKk7xOhILMAQ7c7gmtmbRl+sHpAiyjFTfudOSOiA7opSLp4EoBvT9+YRB94c5REOlIC YoXU8nqBQynC5kFlWx0MKlZ3gnZaY44VfggDGZvDjOdr+18dsmXgnvP03W61QDsn1mOeg3TLHEXT Q/GTazuhBN4MlpN7qXgSxBF0Oxkpa2pnIgnDFnZvpFLtZpegvmjOG+ysnlWLhFndOg0po5CXECNd Ne5H+6hALairx7Ahs10KBTAlDC9Uo///3L4zg48yBZhj/KbeawjWOKLSIK1AUozXRf38x6IKbCOb Os4sdlDvbKEOgRyxDpGwdfYiMEUO3fYuPvqCNAWuKzq3uHe5Nt2VFz4+SaU9mAAyHUKAUm+KzBkX Y99CrGMGC3GkwrV5rNRR2RpgB9dYuXWpcOhK4SdgAreGjIzg+PCZiGfsqjxcCxo6VFJ+PwoJaXEA 5WspOcNloLbNLF0fygdrVcZBlNBMmcF/qx6/WV/oUttBx1n4Yd1s/P1TXBvlTAKlv1/i3DnJ2UjI XLCNBemYNEzMTA5cUKtkbg6swQ0gugWEZH2i7+/ySTbe8SGYDh7XlYYYC7m6ThE68kWbjr8ns/Gm N5AevvDhSbqlLYOM966/+qfjkXlbavK2NJJtNqy9Z2dUZ5TOofYe0QJSnlZyamAcGFzuBc7pvIIq FitDKxzE/f3l2tQ8JhL4lKs7+MzEwqAwzQ/GlgLsFs4OaUZhUEzl3fJA9JK1wgsnvJ6zc6dkQs3A y8p+oUSliD7kkNrJu511LF6WA0ml4kuALUXCpAHNG3HLpwPk6vEBelZHrwjXDBzMzsdeq1b+dbV9 gTYcXU+VJBa+vf8PyC7d6P55K1nn2TcHyPYSK6B06TPSXdylzwMSgzrwpblIOtFL04oZg46XInmy x9oxLCD2fWDLFDLhuVTFFIeuxbaPFp9QKgdd3YKVVHN7zIBbHtrW2egNpze4ySOlDYQ3L6JCWksY yJBpIDYVGEuGO6d9GO/rKS/QWIcSLcsRGdYpw/muHfmsbmgJBUUnegh8PQcwZ/NqwCxyeyO9bEvJ ycHtuqvkl25TsZwm58LW7uiuRvKnJagvvQCq9QZEHxBgaEKOmaQI9mFt69keFF3F3BtQKop/i7h1 MFn39ynMNPYFhFr492F1Ub0AwAHH/xcQTU1ZWDCD1dANXKZQqtmMi/mFukLZELrS/GsPZgTvfr3r /Pu5Szbpqb5z5zO2uWOBFKqdAqLcbyK6ShMq4n09jlOJZhjL/86KmSoLTaJlwc7X484q24LLX+vT Bx23ZK00Fhm2MwkP5U8l8j1fYGV9h2Or+pRRpmskMWudo8sX3u/fCXC9mbNVexGFQmGwgnMislVH 4cja9p41H2Q7zSWjC6fP2EZLQzRWJoRSs6uSG/yCvRyh/01AN1DpmUOYpAypmRgufbHFm3Naqvb/ TPOWuGCcVFhNtYW77UK4rJXjUXwYTxMG+L6SqT6iQVeh8jMoVZGIHqmf19UaDL5s5vjutviqhgYB yYTJjoZvGBJJXeCAk+H03GqXi/Wix7cQeocEqst9ksOh82iNKqQNGag80EFwUAAko6t4nQEXKubq Qlcz0fg+S877tZWkMJlVtQJ+7E/oax2XjddntDVYiATzJ5nsqnf1/w8CnIZUjjMh+pgldJhclT9t K08Pr4wLFfB2Ou5dwtXZUemBynARh/Ny/shja5NfgSWpg5qbUP6OHopwITtcFuLd33KRvDcoDx67 jJJy8dBlZxRYtX6JcY5WU8ryjDs99WIGC36sKCIDR4uk/dnDWG8SUHOUoV+zOIPvX8BhAQ94QSno 9yhSAUUbem5fYz95Ski7HE/NVnCKFLaxw/mKh0B9dD5Fn1b0KyMFdRuZlMVuS8uoyWYCPyEKCv8C idwlcRIP78C31eYWrxgfVNCypvwMuwwsOUYnYSHNcvH9q6ynWV4ON4dHm5UT5oW03R03HFeAXJ+Q /Xo3t+Jfe+JttLJoPgBHZDDUMavDx6297oezhYlSZ0wbe9Z7KG43ptR6kCdFX4g0lLKsCu1Ht+am jdIJyIZ61egBwip5yuEOYNax8QLQkuPGLA6m/XJoaL1vQyvkZtDW26X86cxuEBx0QiA6QVcRjD15 Hcrpbip9u53XLa7DIe9MO0GimHPxL4gRm/k+mqXpGmBfV93+PiRGAbCDAYi+vz1Dz6sUKOuVHAOh eUeKzFtHYDtDub2QkyizPVuDFCSZA6FYDQXicS2e08tQY6shlLHi+KA4cD4shYSJFR/pinibHXo+ U3ifLqd/vlf8HoXMl9F2ntksA4Ffra1fTEp6mhvw39tY7ip6QfsatU4UbNRwKIab8v0AliPVvjC8 tIrpbDlMUEA+zSZ0gDSHSsZCOZsWn5IpfxkSZp8Jgm7IY98HDu8RxkA0wyH59C2NDmo9eLVBIxmc oCEnIu9nbnJU2ON96+gkEl79moTDPuHFUo2/ss/7X9nwV1MeTNKx70oge3NNVxh48S9A64phB/NC oaNWiM3JPez8x4B1a6BlCM2StP0DoJ1G89UKQky5QonBoQIlrDgqGTOZ6XKZSa0EQUu/v+vCHXzK nb1gXIjF7zU3qIJzDTdeUVCqdD5zOGyTFaGMZitsSv1IYo2YqiFLxEkDY3TcfaDOAc3lYVgU0l6e 6v44MKhFOx8yOMR9b93lnASaPYE56JuXJspBml6ERu+bpQZ6KcN+i4fQb355Tcrii7S+m4tgcRO+ X7Ct7ouKV2JhB5ajcgmFrYcDoWerJoEt+caGuif7G50wXyYhO1LRWUaV4gUdYYm3sGZqUQzEMpAd 4SSqPVnYsNgTlcvMXi/5ojrnXY5y6qy4XS553hwzhskuNF3gbLX5zD2qHdEAZ7BBlB3EyuF1IQ4l iRtAcoDGGsHkw6VcZZdkXplURyenMDxRdQHu+G4Pypgx4oOPUVJ/Bz6cux1Mhqv3FhqIWGdqHGbS ypxGvTeQPnORiv4wRQqgEYpIEhulp3MpU0JCjkwhpTgdohDp4TO7pLviTl8CscrJMWQbAfk0KBjW uMB0QAmh8KzFmUvt4D0fzmlWQR6lyjLosBQ2rH/zX2Z5z4It+1gEnWTL+4LXxa+cnUJvjGJIs+BO xiCi2dJIggKMpwAOsu0DTM6Srbflnn9CH0glKK7lZgI3/nVCYJWWatqFSfxom2Q/Ox98xXOA6BYN v4PIXGfQzZKn/QM4TT8iWMzO6Yc6WFiXSHnLiEGxTq2oIIkJDeTXONtStFFaz4eMvJYAwJcyzwHC yOUNMz7Hw5d7HKiS1rKhaF4WTQ1o6CKvO2x/hiZDPhFv2bsoHWzl3vyP+ipCoNfzRjaENvzILcj6 mK3BzmfbxBClgqSDRaxEP12UC+q0/zFxlcuQgO4kUKBow3OwEc9Sr37hTHvMJSiTRMNrSFS7HDWA Pf0S8GiPQsS8qYn/NqZti4cCeZUv+uX8uLIMY+NB+1oynCWauqaFC4A6iRAb5lPDBT7vxOeySAir 5m+/AOIYESft5e5pgWOSnNKZyGqEmlBJz0l+f9wfheFAjMetlXy2kO50k8D+Z6azUtgP+iMx9dhA AgIaVPrr4Y6fc7jmXDJ7pcs2TENX58QhNtNbSlz1x20Uu6kKUY9DhP8BzemdyllXsr4x7NmN37X9 InKOkPA7mCaLVGCRkmv/FNKZkq7g6obNrbCRJ0EFuWPCbcENizL0vPxvjO3GRBvq1ZfTlhspkp8F BVXozWdLIHk1CW8kBVZvH17o9V4Ft9a0dvxphQDqPGQj/bvgU0oBwkeElmnchj/rtMiChWUjua0p SSYVYOOwhEIgcLo6c2oDIaMR9qaEyq8UUgpF5REfPXn/2u0fqPN238rVHhtoKKaWjtCzvBrGq2ee llOHmekM3YZy1c+SdTIjsWKp9Vdk4xSPNkvv0zyb4unrFVo+0wb2WV4zVzCgho8otQgMt98nDo4i cMkEZqGwg9Igh4dmde7QSegmbzAgvABZJ457XtSlp5lmbXKpW7w6erNK60pJ7EcJt8cS7YDp6FgY nkr4HR+8kEMh+oucLwkRcigiQohKm5F45USGUPgxZx1QE04e7AGXPQVsr9gXRxGBIXc4mltFPNtz Oq5hbCiL7HIGf2Jmuyte5btOYPaJMvGE6wqYE4PpzakzVLndA51Vkh0naasrwJISqXeKx0/D2sfJ 8WqIELg3Ex7PXKERv8KuIddgx9T8UnXCXBAgi60qf0IE0wcq2ycSsA3rnEr0Pho64jWNWKAVpEBN MVcFKB9apOTKGR4FtIgdt6wx4cHk3PQscgtia/dz8RsE/1xs7z4IVUPJmpy7IWWcI85+E2gmjDxg CVeMNU0jo7DLnLy09+FTCAJWTGwrqyqsmsVNIytcmSQR9obawTum2enjubV7EVVS7CiJZSn4Dyja r4tXk9/qt/g7fIsO4h8SymotsBiGguvfnJTo6z2VlP5v2w+dOyGSW9RzLI5V58sBmeAPnBjjJOxo uOWPCnJ51uSiKk36YHL+7QChHhtSvyIxjIw6uucmJVQMjY0rVXw6eUbRiIPXeeai1dzvKHYGXpgQ tUjeBq3/8C/AmH5/B7sGd9urlL1ls0CA4CR7M8O5JH6+MkgvMGw/WDFSfhkzRLZxkUm84mc4bt/m DHJAsMVXazh53eSEqxpJBJWZNqrJbGsYRqZaVTdy5nIfQlJW018xPl7w44SUZgQSjxWkvyEfWfVV a5mwn8/vEnhTV3AgXoN4XL2BDI9j8Y5I1n+Ps4kljKXXv4iS0+G/nQ7gLG3xl1zemoNIRltr0CR4 WmB5K1FP3t5UUQKGM8cZMuaxYXqqlyPET6O46bIuY1PNeDd6LnFdtcB07hiN2pc/bEzD1umMSGor c1+ZeIrTUOEZiS5/4Gah6N1czX3ZhVuF0fHcTa+DU17NmCWZJxzWY6R0OkDe7u1lqWNcVIDQHZe+ 5b0in5edN3PLqtu1rda3pt/q4lOyCnrmfPYXMBX+uIrWyEktyhxmVWgEZBb9yQ/GPa/qFz48JIub KVIC5amHgRmuQ0RGiJ+632Ks5sNn1c1eHc/618ZEMu1W9K3zfzHtLm8vFzpV679wycJ77d1TTddE fAtMHlaAzEH/SE7D3CYVnYz06fj/9iD2tL3kbcb+sRhEP33UUJ5o53iiPKlYY1zxWrobw6TxDYUV NczMYU2Hfq8JZG26jfhxvcTCJK7GxIRDalB8ixefffzhJ/wQGDSuobmeIp5MXulXKzTZ4I1ExG78 csN9Tl0twnR3zXuqyZcCbuMygQ19KDGjK0k01SGYoz2/3rKVzsSEiro03UaNdH+Aj7ImITxpfQPh /9f9jdskOAKYX8ZXuBM+3WHoCHI591JhWvxrc7lbGLbvOKnxOx1Tf3Nnpdk9+gY3dyp4jchSRhEs q1aQTRvc5baKhYY6CNzDxW4DKbTg0wCSxXoxbNY860mB3Q80yFyba5B/tuikOwUp5FEkwrV/XtFg pLdv5I+1Bq37ZcO6VBBrljXqZGIrmhtXkDlfzLn5wGY28H4gKd8p8YpCIdmqX2FneyLfNdM33HpR cm4Z56jZeR5uPjoaMR1pFrkP53QVKmgzuh+sqp1o6xL4LnPxStKL6kgpxpeuJxsenJRbryHsODiD OnH2LibYvwsqE2eXzJo4q/bf8wwA6BR8AceRGPaJP1SSzFKXZAQd7uKV6TuHcq4wfQK2K6pv0aIM cckJcncIs+y+Hc1O1Aj5TbtD+VvXs0hTNiaSxKhZ59vm4dFW/jXBzcBzlruBhD2fkeUArElYJOCq vowzWLia2CNlqRaUTl17SldlJA1fLAnW5n2NlGg6lHGgszvCJw8sm7E8VLHnX8tlNLPLR0ROwf62 e9W5RrazNwZdT/QyKOv/U3iCIu6M2HaGWMsUr9HOHcah0FsO9ahWM50gxOl/9rtoxR6Hfoi4qC0i em2dLz5wrVWeAgKchzJfGSdacDjv6+nnW31cuUf6exJwYBRAsnDBMY3vnQCKcvwSzPQLfcWzJzb6 5fxd4NOGObA7xPjh9pB5DLo8L9Gd/j9AzJp+aRoeqGggKuuDX/+l1DufYmPr7FvBrmNTmBs/DX6N ql81yJ4EWTtMmN5qhfzLYeAhfie5NrIegwSx/8OOZ+M9PKlrm88X63pyZr3Z5UYDN9ksJ9ZsURTg YMWkwt66OBkTXy3Za+r1FAmQjHDFFI+peO26xCgpR1Bu2i7Kzax2tc0d6wCZJVK4QSZA7M73PGjU WRQ6ULtEi31DCRxAqJ7LtjyLcfYk2oglBGhhxTqJxoa5rNFlgHMBC8VwQ95884MzDkWZMRFnTBQW OESMxAn4nb2x2dWCpEOD5Una3mXyaHyYSIsUKhTUGmZIQpYoEfnUOEJ2cXbxR9PV8k6QLa2b5z0L fdeK488jTt5+4TG3HBribKiNcYKR1j9AumxNAR9rIN3EXVxuiHiqDVTN1ZamCJF3o5b+1eEiYiMk YCCySXIEJsQDnYKU0wqRGcBs2JwXIWoMhps2zCVR3Zbprr2vRIiiX6Z7n8z+mb6t9GUXYjnbw29q g2rD0Nvp4JmOblyoOuhEtvX8xV43MpBIACq30dEQUODxh2cVeTW0gmwK4nwmlBcWTw0lw3edDkwG JWsYV30UrIJRgmP76T8m4mrRzNOxPnKQGZMOQ2vSnQvxwbHFXRfLPZeS1mTYZs0EFrLDgbLsk41N JO9R+UYCGju0Y7tGZeKlQjkVFE5b+Zx0b+/3OP1pAC+mf7AgKU31KnYb+FNAKWmbLqZPHNLD3IV/ +585i97X7BnNExI9Ph9mv5criGWHa7ch5DnL7arFMXspWzZ2iMRtm3Rseo4Ekhid2DaDoyOGTLsA wiylymQyA5cqzbLVtoPi9f0IhASuygUCvLHmuSfsvw7he3f+2Pdko66lJlG0ylVNp1ZINCrGm+Ey w4cePw9G4fZRXDlRyCuhbGcKtG0MnQ5EFngC21f8mLFDFpJRFbizevsPxjicbZpg+RmInE+BHyMu SEmFl49awlXOul0k+a6l3UyAPWSaMI4E/bdiuLIBRgfYqly1v2+h655+QV2NTs8KXzxOaInhyehj i0MBq/sdEKvzlFecUgYoMrL7GQ797+10YPmbpAKu8li9G6F0nBVWag+YsZZ5ffins4yg0LP+pIx/ nGl2CjxgN1uaZEge4PUElWHw7hT08sMSQUhexsguyiz7DKHC8w7xajmwkCTOxElN7I27OvhK/Hej HcFd98d8bJymJEjvP4eJuI9S0TQ68wv0ucaBJ5pQNh+lZ8ZMmGMO/LFDf6YOwtyVKnXH79IG5mgR 7WLjsPsV79zp4tqlOW3+qOGLq06+Wd4SvmT4bXhrSmvx1hLml6RqPyNm+u52tAdAcXR9w0AOhH2o g3MM86y47j5IN+myKGxbIxCz8TTMHgDsSokgv27GLHxtU7JBVOx7WA+fnKPrpCNoyiEF1mGAU0vC uLFFmZ8IWH3vskpVFkkmGoL2AzblQvNWvUyJHONQbK/FPnoafm9PJOA4xB6bp/X3/hLXE3P4C9fP r8mpkA/Om0cwOFc+bYjTCmPWzavjToN7RkPnFxyNM2Y73ZuRqMUzhhcsztSDcV26cd/GmP8TKEba MNOwjSXgCvGi5p0CvR2mFObpfjgaF5hvzFzWpkDAql5JZVYMOegueJc6zqNaMeMgoLs0VXBYvxTf 4iqqRPPEwfygLVFqsGteUuORTfzTwd8k+5C7wwE643r+Ru43VwCAUTxC9l0Z4spSoG9umPGoScGr APHXCZatSb8JXd8zWFmukIoIMVPhwgN67IWCAffJqni7U/GIRM+mfO9HiP1K/FGLaNgNXZJPTOm3 Ckv4mW35R6usBGFAo9I7yK6DAzWV8feKn5QM/DCMgRm/UaDnrEgTv1PqAcJ/Yx05Lfp4D/pX8/8i sq5ZAdktHMAGQ7xomR11Z1adnr1k85Qt8zTtsUC09pmOzcnQY3DQOC9VhhX1U0AVCQCLXGB6WF7H Rc8AJwNPG8q2gtgAAFTvGLw4kn1/7y5wjg7UdGtDT70EMx6rhz933EbbjiB44r6CRuDyu2Wrrndy FDp574iiL6fq47wAFPpeiMJ3aBt8SX2DQmvlG7Kyky3Q/fAsD45ARsC4KYIkKd4e6IcXfOBRTX9L Ao58yRSfdvVzfLQAzDhBbUgX5AOMZxt3Q1EUoUmWxc+EJOPSMi1la7bceBk1JBtBKSw0x1g2gV99 uHMRI6+Z8Ldv9UWoPK6YG1CbPpDnSiA1ShLJX10Nq/2H/VGlVVjUWCmW+BZgU30g6k4RSwzfw7cA uT88k764vj7BdZkLeGqcyrpIIjxpH6QtW/d9vYQ0JPlTu/6d1M90vmBxlHp/Gl4ZhRKqpO3t4Fuw rpxv01PIOzekTq2WrGP4yHDsewbURUlGDgtfwLdTijkGT8WQTv4NjD62kDrPmUUFX7sWGq6HToxY mkE+HXOVlWRciErMOgjdHnplOu/k5XQVXvBH1j5n3EU4xGbc/WE0bSTjekfuhCM9Rfb9dT/5Dsuq tgVWahGSBsITnOSc440nZdhTfEPkIDEs+ZC6GT+kJds88yu5a8DcjgskydtGIwb3oD/F4XE2J5m7 elWOmVwBDYmjagTXU67jZA4GNo2VSev5ts79P3im3G/AqnHlLwvlSd3RqPmIu8dBJKKOUe4e3FxL 1FMZsG9bmoym26osdRpI00NwA9fInwl6i+ZE2mS4kSVCTDhEpYqUheoIebJbYtL6nrVak29IpW7J fWpRLj4ClKfz3ktcouX6NkTeiw/LTvL/VxGnIdF6qQTCqhOIBWi1hcrxEpT4Nsbc5BGTLlxh9DLg ralCMVUlkF2r46KJ1i+om/yN3fPrArPlIUe0l8QLLMHda/G3Uz4lDUWXTVRzQ/Imk5rSyi3ThWX5 0Nn10hX58aNRHjjixHE+C3FpVpCiZ+JdFJ9NUodv2jzfvtq3JgEvzf+y/ngDXRdP6qIvv4WFPUZS OKLuvIrBNNaIVOaO/hmO9akDob/O0b5kBLp1g79ARVeWBSNFPIWkJIA6ntZAgeKjxvMx9+X+rUhc FirC5fMeItXutWfMw6fnJttrQgeLmIVy5+T1LhQcNm6v95HsDlNcE/+iApQdjZCQqteJSVFzczF5 XQYlsbHSyKPVmCV8v5kDSDwMV3iDT8RQuYO/bR3w5l9vAPdFrM6VMeGtSZDPHDswSzUgEd05kIMW lu08w5NPJ+sXr6kG9EZOP8V7eZ7wCZT2geZIKfXkgKIVdLdpcWZtujc77sKtnvhV2jyP5wsHVg0a k9LTTaXs3Y9Ho/JY5hPtF0CbsoL5R0LEqR842aWveVElCA9xmB2lf2qWLnAfZ9DkfTHoIX6BwWb4 78WPk5ud5j81spOnN/k5GCWO2J3zvldCFjjDSPeYOcPH2KTU+M6XJE9D6QQl7r9LrbwBsbHpgJTv bBoSDQweH+tlMO505saYD9fhUCWppSyLh4j3ucM9ebBL94c+R2jcD38Uz9DXKUETNOvVWhtJ5bwj qrv62SEIuIETM+4ntd8g+AjXvecYQmTUK0LUluHtfjUF01hPcNet07K6ziEzYBbQgH+zkE9i0mRh //ufLxqF3+3HCfW+ysj6Nn0Ws43UTpXJOjhNEe4N8TeKU/SV/t3B7yjLqVAkPduDQdyXRqpvNkkE h8Z3WNciloRfVYPmnDQ7xb0GpKyn3iTjHiiSXt7h0sFCbEfpyCtTTvFYNNze4SST0VSjB2nSqqiv lZkgpG9xQ97WUVr2I8Zq0kZDJawB2VRPAOIuwnmRfG/q3b4KvnMlzWDWCIl1oGKOnbJNI/JLyFwt LkDJcpJUEZ7taSR5hMdN9GyagB/6XNFGKeTB2d5LQKsyF7WI7MwQ4iwtV90x09evbhA9Lp9V8bmn 8dKkOuBxLyznN9G92lFmUptVai1uVi4FIWyY0t1kvRLyj1whZF778UpA/DYiQ9PP7i813KoE8/j4 glCWVeo5/gsZe+8nmlTsNUD5SSkmIib0Z5DLg8/EoJuEFjaCZYbbuVhM8RY0gSMKY1NXS91jKuJX s2nGfOwIejyERXxLqgfkFgLx3CzJzdqlRxSbWJrJgNiR0IOF7849S60Mv562HgFC/ghNfO/5+9ov xYHcybnbAMLpFKvsBI84MN+kdU+Tp1H8HDiHQh/7C059/z4EYNxJU61K5BbnVyPrVtDyZnynJf14 5quFrUKj4Ix+rnhDmkPKFMgmZohzARoRHAZTiUXXK6xzK/237eASc0QTh9pR6cBdnO+0Lxkb+is+ XYFUrUe7Y/PGifusHXVXmYPMtbiSi2dFccfVlR7adeoxXaUOOIKtNsXnPY5QxDIKMEkXdpngJSHU cvRu6kbCdWFfl/XJPtNVLakwPJOnh0yh43Rwy8AvCM7M7INUGOdJteXYb7Mr+khMDttpVsxpIGw6 FA6derMBxUqXnDhm0JpzAJQDsXv4JhEJ6+xA4F002tc0qHR+cg94RKNcgU9pDM95drHKrO+4SpVP Um20YuGni/E2BEFL1j2FOn4Li4jALsQ7RDxktochuPd56FnMEfgIUsiwGleZVhR3SYxQioxJ1BDE 8qC7cFl/qCBg6eTcQ6JEYvwbPuYeX5pYV8KAyTQi4o5U5qzmdG+kyw8lXKmgeuN2s/7+rHhB/ejE GTT0xu6lQ9bzhfD4rEDVp5L/aqyeEYwLJrL0E8P9cuTYw9Nf1w/GG32ovKVY8AX3ZcfYrsSLpG23 xfz9b26wCivLGo+0FQ9FeNxy+ciCToIJkPaj11s0kp+ijTXf/uo1kp/WUAJmgrxz5vEymBspuKk9 jxdGKNmRIWsfXkoTWIyU2Wj3bpZPvJ6r7rCw8ZW2h7+71/IB7rFjsHVvHpbq9Hyeicpxz2S1H/2L v03BsWQ4tdoluXnj0aXy/i80+l3zcIcRlrjgF6sFzxKcLXy+PIdCTo33Vp8aYv0JF4kuVXSbTRl1 ih/LaVOva2sxjwXLflAos6uLYfqHjNH/D8oiJF6jQnWmV6qBfEMDbqmseSWCn/xuN0JSAzW9z07A i4qCNiA8ZdqcjCerbhVlMO3wOEe89b5UaJVikPpqKMcT377ezEHkYQIJZonHv9WbZkmrASSeaYVf Qi02eVNBqBR5mrI8/5+dY1RFBoQoIr1P+v6hn1X2TFbVxdjUTxPYrO6wZHwLf/uSRyZbT4mIZQ3I UQUjPIAeb8Tx9NaLblXsrJtUKciepZkaaqDD0cgd7HeuXHFjfvz9Xe48Fm3GFhz6qn4QFjJK/Oi5 sVxF2j3cG2kzgJ4opT/9CeLj8jgtAbKKAGKgKCL8X+wxFp2+JVgxXyW5p0kYefkgV0vm4loVnRVs cJkUspWRHwCYCFRz6DFo3/amsILyrPgjtUepkrDZ6YjFj0RYvZYqfppozbaZg3/unqD4LmVI9zkL ImJM9QPc2oyoU0MENZOLHsfNJV/W8PSjEaL9teyaU7f0j+5kWypkl6Jb9GNSOQSTAOKktF+wKGV/ BwOjvEuJUrHP4gJnz3Mz5loGc04n28f/NKgE5GcNG/eCf/pHIZ/AWx13m57ImiHn2PlIfgHzfn3U 8dchlpfhlBZGOg7bzeax0zxfY+yo5odGoTPrJ7WFDobxEMoGAasMPSpebG6NEyp7pphMtl5wxrNO hLucxEbQN0plRFKlL4d9xrA3Mk57Ukj1GTqzkecZwWsuBXlK0aHkZ8ryVXvnU+nkDTlHI6JHRimW xEUcik0D0vCZg1sYhR6h9F1gkXLamdysYWQQZ9XW9LDM6gQa8V0TnkUt+oHKIyrM1AJC1Ja3tLN0 no3OZdGjA6DXndzHHsl+wyNebZ4aNEiXZNQmZMaUjjrY2aYxRb05P+Ld4jkqphMUod33hjuzXewU h1drzESpBFyr46ej9BYmMsLwFpRbXoWe8lqyyotDmGGmWyACbUNP3vLT2nozpoLvkfHxwVxWv1Ob LiJICINZrrTcZNtCN1yaZrygPPbHxZ4cwJuafW2WpqNcWG9fvzPe1mEF2RDSdvrXHD014spRN55m EDtMkeLRywcTyF04evtj6y/AUgP7NWlNUE4/IdXsI7GrkdybrlOCDGn8XGuRxSz1Atlh6bldjJ38 MTpLrwqHXDOuA1S5IXvyCoenDcI7A9ZprKsctorB+hACwBKaM/0WkBXnGYsK3M5TtrRgfHBxsovn JCrDuuiX1XRM4xV0zlqT/7uDV1o0azYqDhVd7DPXMio5L+uk13ycE++7pxlGgkq60SHyNqDvrhuC 6oFFVB9LGXAz1U8AnsmWuYWfSmOkfMlQRf3K87sTXGN2ZSQIp0xBKQb6n7RUHX4ZG4ZBNAjyylb/ G1Jwwru5O9qsz9EyjNP8TQPsp8LnFWnFtBFYFqe0Dq9pi/ry0+vkV4a6B5+XEYfyXAHm4lMxCQGI 1Wv4J/I8z/BcTHfGOHOjlMagtMIFpUPj8MpCuPiH4Brotck2m7l3U0CICTs5trbJWWwndxdaGuHu wlohK87Vq+ha9sBXtK4nbHr2vFyzAMNQ8dofdsaphZra0/rzS7DW6wcxiqaND5E+sJxUNl6qcEOF E3x7ylRXfF603GPf2trCAzjnQVE9vvjMqeV61YlJuYNUnbgktRZlpMZvj9Xu7cCi9YKdzByTuz55 spKe346w8dtcF7rhRiV9b6DWo9jKPXmtJI0wd4KIoYXUS9bDNMK4YUB8ceC+woGpgyhgIapHo7S7 Sn37TId4Uwu8IKUd+oqHV1tskFm9Beh/9+YvQ0mksSeGNhrYASFU7/KyHHOPY3wIY2W2P/U+7QUY PvcomK0cDMlsJEz1/nMdUpOirYac0shWJw7lGesVMlpH3crzr6iLceY+OWLXoSh/iQI0vdyJFv0f ncZFvpmKh1cCw263kI6BCT96ZSHFFabwnPhQL79R71D9TiBk0PNGUoLgVW2kmUox3Ljk2tQyDPr3 5sXQjCbTUKKL7Oly9cNUje4NjkYmCog4yVKa3U0Lac3Bp8YQXRTtwwK2HJQrytnsdsa9CzAHJvUl OAYDQaldm1TkrYuTa4+9GIK/VbowcoXUuB91r06GBgBEkMXm2Tct5+AdqF77Rsbod3KqesG0xUzw Xp0shpuZ3+Xny2iELdQva/nZRZ9/Zs7x64gOO8YEJDNT1+OYXoWuvj+gpoFXYAs3jX7qIOH3BaH9 ZtsBPRVphUf6sjQZ5+idzni8oxjTr1V1todesXVEHG2zJiMSw0QNrDWzhuTqub+BbQuGiPx1jZ1s a+VHslOBiYNiLWbjkB/lLOTC5mi9/zUGpoBiaNhJiJamU/+n/kZXADc5LRylEq0CQjvZb0CluQaY amz0fhmfj8XIZv90u2IftYfgd4hKH9T9viJoFgfvFbszPQaI0dgqZdmVSTreCoq0kBNUhRCLcJIh N0wP4F0CnR53VrUaLbgw26c9KtluQTsiFh9as8ppWFcMl96f2D5ZpAhy/Uo/JOx9BkeQ9s6ozOsX 1Jzk0zrmzftcQIxAX+I8giGJMDd+i5Pe+PSAuCRMUu4zJiHIp9A52qcri7PZ7Jdk/+DQzIebBj09 Nci65U9fD1ulpfHybugRCemO7wNnVotBn8c64JSz20ssrO/3ujw00veT0txr+p9a/GfDWzXm7hAD blh0c6eOVXeQS86lT1Q8l+PYctoi3Ha5mpV16KODfUoyg7/q6cDzO8/xnDrVgsZ5k38r2z9PXVeT okY/2OcGeV5HTNrqDsQKd6TXg+q8kYdUjtV8IP9tN63HCYX9ZuuS3E+pVyGU8Sf1Uv59JjMIxa5B caTaUHgyogDPMKwHPVAKbKBysA2HgAayKX5xS+Ru7iNdxRdXbNSnBs9WhCB6/07IAx00Wvz7Q0ZS UzMZdBvRfJg7+tPzaqrcwWVKRpDn07jwyeyUKGXTcPll7MVWACFUTHBad7UrGA9gQCnFQA9ebP6V lwTY5833EO1SQqS4yO/1pTwniSkgh7vdDxwglCcZhoJo51ixMI9TWOXOykXtMn9uKSNgq0QOV0pq 48phq6t2bWIiQNCsDD7rTGHXpfi0ZJJeD5jIi9PXUGUvxXtw41smF1FDe29N91x15Cru2hGjf+15 OnWlk+0RZFnP/5AJFMX1rPkqYmRc2iyYQFEf8rXmDkRNirOPHcr8dLuxhkqXQt7WxIzYQDS6w8sK R/rGxBU2mBr42vkDKM3Wqg9XqX9T4Bt2IOQ/0igh5Fc+sSaL7SwxvlCdznG0t+lantYFingbmgK/ CPjjwp5oU3hgEwZ+/K1UXF6eTMvZN/pdS4p9snXE5vwAnW94qlBKDAw/k+koBhc/x6yjWRq5bn4R JbfdMm2Sb57vHePPuy3aJlQIa2V9WoP3NVY+UXEB1aB4yM/hKTbKZMDYPwGmIJ6BWzACGL+QH0Zo GcH933O8HFNvDlTRnSeo5PEEOjgQsTMrWzVuPu7FAwHxRbl3X6h5MFsLseBFgRr+txugdP5IxgT7 f31tTURE0aiwzvFOsde7nERz3Vd1Mhe/SnTXlE9Ae92YGCxh8k9w+I01wPa6myeowy8YD63G18fW 5DlIJcDzCETa7Tvkl8HTtizy7v4+wjFYiVFJYrq9Q+gZ0pWrcbQdLVLwLVM3Zt6F5hmCWU+A63Hl ZZn2+lHaTKn7FIqt5oXDNI0ONIktHLd2yo2+2HT+XWi3JkiVF227/OEfX9ql97I0ZkKIkCTfJGaY 6o2tml5F/aeYJdjxGBN4p16T71OaQO/D2eiuLLJ4W3fxMd5B7NMpNqS4PZVYt2qj9WrvZTVv2TZF jRjKz9BKcI/Ejy6DnB8uctzzQMXXhmaSFiLJN3OxzgBiTbuKHrrcOUNQCemGrgyncuq4Ne0Cvzaq 5qBzFLUWmXXbhvSoYkF3tGZoqHy9rPYMIoNAZ99hpSl5uDEm63QsJ4OBYQFM7FTkT3ad5Et7ac0+ +HoYugGWapLOkKgpoHAiTtERuq5XpgjLyB/JMA29+eLJjDXLl8kpQY3KfQrVz/DttlTnOOsKVRGG lSZMVsdVBXM2ml8yOo+lMKtKTAoKfDMlay8IhPaLcl/WRY2TyGQg3rqG5jeV/YdR3/zxNJxoXn/d C/N6Zz86Gwhtjx1gLZwD9yjnBtPqDgj27OQYxAWu1/DuKLN/jWQrMDDPI7T+TV23XYnzuf62drl8 oqxUACOZB/zVmzGBO5xr+3bTPKcSgA5YkGNEIlUP1uZgI6AD4pQHHgyZL8DADmUJROOKadURvvWC x4+8EsyylJ/gG2onx4kCwGUCmr6KLLPNJbHVz+gZcfIF6woILZf0JZ5r9yNzI59i/f6YxxIFgUUN TmFVL70bVXsdQ8ppbqHcXF9ISB/hJsS+hnbpodw1CC88dp0jPCKjHTbBzeSQ8GxD0slj0DmhaJGW wlUUBPtMZWy6B4IJTtQB7mk4NoK8xqiYT28DaoPhUZYgRJQvzMjSczhLa9nmTyPdNuNSipURhW9l NT3MmVI2Sf+Lfu65vuN0scvlEwsUHIPg0N7m2XqeZ7cAXOt+sJZ2RV7v8CweEfJCiaVJMtfvQHWw 3lgI3BktWKZGBgeMGzjlf/aaT4Y9sMIAcgIVaJF+mNsRvhJYy2tsvrJNxR1H4MLu5XOH8WAOxvPI 0bpp4NWoN6bDuU5wFpuExwwOXkJEgVpwagWcXfRVhhI/7g7Dm7oLVk1LW8YnZ/IlG3oqsaNAi1CV Wp8zBZY384KZSHyzelqJGfRn09Lbb+ZOwXdEmshCbdT84BVXME4rB8As08UJ5QKdWp780I2SjIo6 o6EtnKWu1TJXpMa0CEuYs0sQZRpz1tp8MKoPVXQ2X66GBO5qda5iKBGRngHCExSd6mrkPZub5EvL kIKv8zeI7fH06WUikHx5V7SIgjafakjJ6NjInCVgndrIVj6PEpxNVr35mgrRYDHmorqM9p0hOc6O iJTWcoYjD2SWSi1fGmPwee+89NqDQViFHeY/RdpG+j1FmycsX0L6y5wPZRahKm0DIfq2pJhL8o6r RTTKRrpQCv+/sRuQVswmswZurt/PB32fABuSGrT0Xvkgo+fNR9m7K2PPl28tsVGxk1pswiU5wN+b pfrxSAK6s+BvpoWe6KItT7tZ71XqD/xjcpCIqhNj2QjjhTo32uxHUE+xeNzBwdYhrdRO50bci82C +KdhbTVt5Ua2H/EmrIPXPOo3ddMxPgz2Zno9y5ZxFctTl4h0m71UczHydPDbgN/J6+8wWm4DH9UF IyY6kO0JXEfhSPnuvNq9NENVTpZ7aKSUxCO/LHbDwRgnFO+b6exOYgczi9aLk7qnx/Nwahk/KJi/ ICNWzAWNRaY2PinZfIMVqgHKth72cc52Ffz8dIY5U+Q1rMWwVhpv0GfFPX7VqZ8nD1Zg7D97+rO+ 0IBL11OwBzsn0DXbX4mAKSpPzktwlnENxKJyovetxDJjsoWvgIJtdFbcr00t7UxK89DxzicqgBX5 lwv1uK9tz2GLE11ZYVc0Z+lKdkINdanyfI+HWokBM09iQC640vrCPuOli6xtycwYZl7lfH4cqE5D rqEiVyR/FNUqtGjiuKUGuVIOy87qqB4Sj1AtOZgQPUHk6vspd62Z/Z/ie0qnL4c0OYL3STgl5ofU WLaL4ojZAZjzEh3S/ySmjqqNZc8CVtJ6Bi2E0a4XDxTXzVvcu//wxXr0BZHljY/Oka1K2nlt2dUS 6fnC2+Gb93VPrmPHmTmyN7FpFIU1RChha/lTWXa+6F+wVjvLC5oGJTI0B0/xjzrbuIjz16B82EJL OXK2ls0i+zQwGFDZsCV1uyeaJ+o0SktTtJSurXWN+1BHdqY06kT9lYMHY8aaS4uJOpTvnkBUATaX siSiGluhP9iJptDlfoRMS2uA7sDZtLmPwEiJhpIzILiCWg9TUzviDhaUSjEt1O4sKkmZvvJHTWmg jzxPIfjswNWrXbAfEUVllnur9KBpG0rv4oCAptTdKtyLKHexwGSBQ3iQ1icT21R9gUr8vsUfRGBh 9B5Qgtrt54ceAGtwBEiYmQUqDpdAL9S5BgsSMo7iQpn5BxHue0xbciEGskRrZwiD1iakykBgAzZ9 jOy8ImUn+MUqi4CSqtwaHqG12WViGmI+x2NdgWC0x1GJejrRVXut4rINTx2EQb7/kZvEAh4aTE6O MDvqVxjaD6HiV/Agxb0WuaLNMLSZZXId2FKcgf3OCJgyRglmlAiKdx2joMeg0cECIjMRSEztIyVN EigHpKB4v0SMKKUuBHq10fZZlL2EkLcodG0d6nqR+9osimlMgxRUdbvCggZXpuffmRMjP+bS3W5S D4lKJqXYAmR+qkpp1iaDXG7zD/OwuRLprOEDQwxqRJqIje5luoOe0GxPSUUSgo3oUEhXPMERHI0i o0+WQeOnVHIfX9kIxqWZ9F8XKImC8lo+n5Y2AdqzQJF7I+/wKtqTfrb7jV9zswIAgMLXrP1xSZXT CbeT+/e+VHdqwlqZgrFO4UuJum3g0PHUfD+lU9JCDD1hWrwbgHbL/0ZK/YZDb2hLA7F0pCtCy2UY nNRVDI2bDEAp2FQLxX2VrVOn4vLRD/cINtWv9jTP3yEtkwUwrnwBBIiZejhDBoBvzWO9y4HZt8Lp tjE34mbg0hKVuhzh5MEO2DsTLsJx/T/zvyBPY1GsyxJiKu48g8Iq3RVUiZ50stscUcSa3ZcoCzuW 5BAzpspsbMyzhVdSnlRc4E/eV6UBclKVIlMllzOIZ+mGVR2lWT5A0BSbg6oLbqNXnJXVpV0jXzQI gITQ402z0LSGe6/Hq8mEd+lxpegTzyWESsIdIyti6qCKj+H+BzWqD4+EzMN7Q1FX9uD0TyytdEOG s6UCt91if9i64pEXsDVsAZR7ViCcMZT0I3a6Ozhk6VmVcdNjOUREaQd/TTdtdnkx+lo7VY+idTJI h4Fdo8trUyhweZlvnz3U0zi9Zywdia/43DmrQfHN93exvJBFGWzU6SF3Wywlr8JRVmqYlQMS9YfJ Djhv59Pm9uVRoBfobNdJwhy3dJT6ukQG7atoshV3o0IYeWFFO9PEwRadhzVWDO4/te3sADE/ihmy MKaNZFkEm9AZ863hr3rLLOwru18rmgQi8m7qvlEJSHQ6SMQeP/1cjGXW/h2+HwJJsUpCiOwi0ELa MNYbRGkGfLmKw3qQKQnRMeiwqLYZ1DRchxhhghHIQS40wHhUwhpjsKGYlHz2IjcemP/Z2MRVA7Lb vDdPWuhY81kPUVyk/HOfvx3tqfleqvEsI5Q7catzZf4uK44MQt6I6FuDFCdNkyO3OJN0iH0zM3Nf cgWb2ZbKYFg3+1ZHj/OBcZUWFvFonMh2U7l1LxuePLJ01+65j1uo3HfTnCmXXLV3Z+fVvedHAkBP n0xbIQ+Y3Fr33rDOZtFeuDmMH0yRn1lGZKmUJ+bgfn8ZXioYBOtRHmDfm9wkGcW2fmZ5aCrlergx 6t6TwSE0bPr2NDXXuuFBmvy9klE8BMsxtX5S2uFCcfzrTEzHkb5eaQMe7ArBcZqqqq4TnPe+ZhO5 zNrUHHL5he/WtDNF2AtSBRiX44G27wCd5lBAnyj8raH8qd1Git2J/H6DhYeaPsEw4z825oKfCE2d 4VwcOstSxTfgtkdET2EZC81Qb8t1o2W+ojHKdah9aNKNaWkVuSlEIMv9iG7JnT0GPJ19k0uzy3wp gPpvXGlzMf7tXzIwEeMS55isIfzmNxTWNLFzkH1dKA8lHAdOMvzz9nBn4Xfxj3FVGjA90veljUXu Fum8DITiuvleEelB1lZ9rhB1jtZSwsr9TTgYF/n5YWtL1+QCu43BmWSycvLkEPHw44XOuv6yqRZn 2jd2FfSWSOalDeau3Q1pZjt6JPVmoz+hRqTZYgLghuBgnxYEDE5dnABqtuuRuoaOy8qe08XMCAM0 O5aMAeYPQCs9x2RJYJ4qArdKp+6+sOyXHvtO/6o2jt27dQUDK+Etzb8k7Ws5j5UIyMNHZMyFFp6A fuhhMbKVqIdUiqUBp7sJqz8GGEaaFk+pqxuB+ARWUfq2boZRU/wLxP9ktcZT0SDQ//WyKMgHkLHz VT07NvFhI5S37kLDSzUzVzEZWKTxWAC2qjuVlzEoFCQivPaRp2T/xTXABvH4ujVMR3E8PvWvkDM7 NMBxuL3IidZTF3jSHh28kSATkytImY4ir//lKzd6yOEox9aMsWIiqkEqK+BQG4NUpUhU5bmdvbtI +ZKXeaCjAhZY/6z7X424nWigbYm6KYqM5UHWg7wuyODvzrkL9speuxR4VCjZHMaZHJI0hExOCbVy ao9pjY9PQbPwSF/jHUyA8IOhEWTy3Od0pccyUCzJ+lfZck1Bl9wmF5K8YCJGidtXFIOcg/04ltgI H6CenV+BQ970hFfTWBlsHDirekx/KHg9OIY+zQOMJTtkuSrYNIiOVlmjZDcgb/o0KdAgEqdM7pwZ HcZuKDbpKq7S3xEfeuRTOhwv67k2sZ6y3DJB0ozNL2OUwm5uxn6AC0W/pvwnS77jJsy5otKI76Wf c3W5dmDBQLfEt2rGnr9Mp7XhL7rnQLn7Gr+9jR/0HoLggu1Ceed1942zJ4BhYgjSqF3Dhc+b0TNL ZS1g6wMmioefRI7IfEABR9bw+ggSSFc6lYTjqNvEV5MaFmeSKSfyqH2tp7X654juw01y1kWAkJDq OsQ79OhXc0GoBRo/Jlw9L243nmM0S95XBl02elL+eZLyou9WfsAFrFzzQLNpMDMLv+WVK4Y4SQmz nd+pl0NuUIeEZFuVsCzkCNXdlDgFIgzSko1zySqeRbeNGXLI06Xtu/TKdmE5dacrHnyk0GbhU4V4 dtgPxjdzsFfxoUyrqAx4LthGyd/1Q0QryF4Rz+RBYGcpzsXpGti7ApKHl0AnjPN/d8VmwstEwSuq rtMMBny46+4NcyYTR9E+YNs3EoMKgopFi7I/+w9/tUj0lFADQSJM2br2lBF/MhfmCzlq/CnFjk2m TKvUSOKOs6n4w5gLLKRmxUZPGq9K0o5V7k2HPl9t7Pv9HM9OKUBr8CHOMFW2PK6hopRN/gkZjQTN 7KVk/rCpJW6p3rPHICgsrRENRK5GkvUo4Gozcl/vkUQ6HngXuFoBdWKyVMuOgiDYoMmIuZQcuAvs d7fFebHvuPkHa43st1mD6/7L2B/KqU6wO0xfboe/l5S+ZtccF9JEX0668uDmwC3kOYxbpopn5NmG Csq4FV4iTEbFOKjtb6pRHso/j10veyRRbA4IZhU9NNDEtgszjljTghdV4HeVCg9rxtnVAaKCohat YBIb5bIISzFmkFEf/KrtsIFNwEGYhg887nJnYbu42G4apu1KGOm+trnuV6EpYxde6FZQdcbRXzS7 Nwb06xMKnijGhVrXPnCFEIlSlEgHEBbgVgk00Ax5ZYx2PYbhA2AO3J2SGxtO1AzEjn7vFAa9ZcbP gpzf8t06pDz3+PW5DyIByT/W0tppHORftBD4zZjBhuPINeb6Lv2A3DL1Mo2j4e014/X4M5cyEq4I 53WT2/XFAXvJHTYw6hK2wmB+fC+kFAldEnkTENjaa60QWCBWLkIgGI4H1z2hrovutyt0BDpuDfIT 1ttphlVXR6GWGxs4BJ10hBN1yKybZHP+1/IMH/f7esvjX538ADu8+Rf5/4hLx2DTo6F3E3VA8egt WN1SawqWEOmVg3ARaMCy51nAqrJSrnFluUHr6tKQ5cpPCF52wZS4D2MCfpEob8Hcn6Blt/5YRdpG ojHh2aKJGc1BS411PRSOXqQMoq65La5JcUD7lub3T8Y3n6FvJh82lPFfmFySfGOOXClkJAw6p1DG 6LVaJO10cWjdYr6kq7svl4b5DhcA09XibjCHSc2ZgwmwcJ18HBRUoMVUwkVfG4IR34wyOFX+Yjrm aU1LxopSnXEhk84bj5I5ldnd0N+zzwRnoOaMLZAkyadBPkVr/Kwi8mRQNpkcpMzCdBjNwqqtP6dB 3T/nzP21WMcG68zghAM8Qv/WUSWMPi2CGrBSIH2FALUjq2SllDq9vM4YcPaI404TmIn9ZJ+hPufK aF1rRkJSS5lvWOmrMej6S5C/lKv4oDyOt0gJaHC790PRo5MnTZbesO2tEfkwDW4fQsrnDZJ4NOws Mt26QSld2buBqBmwp0Q0qDWfbT5lxhLI4vZ/ya3HvRmoskPrqMVKzRgGNz4HNVLbhR0BFU7nqr02 dY8eRUlerCR0c8AP6vF9uQv4r4DX5ZbN7cTs4D7BQSVPPOFSisYy2PY9wQ9MEQROFXiWWzR3FqPy 7ORcyIakmP/YG99wdJaVKP0HNrI4/sY/HaxfQSbTHAgCgchJTyvJVneSRr18QXlSeCxEI1dd4rFr 7db1WSfVw4uPnxY+dG+3LdXX+dPeaDgaD1Atovyhzne6BzJxkpIQ/Uc45ZgSvHm0UwVxPUEjp2bL aOEymdpf1wMubqyfjbTonZT3l9v9UyjztumnGrx+wKklEoMC+2sq4X0OijB1z7D99F6TFj0Ng+qb tPkHcQ2iS7X+0I0vcTNnEnpRhqtNGQ55UrLC9Zz+ZM+AtRxmYBpHAt/fsAqAyFcjTB94BiB6MlRO 4z0Ls90HZzMabzcjawvgd+sMKe7DPLfNmUwdfxj6MAUW3Pa1yMC4dTKiUY3cCr4ulBhs3xH0yPKq IgeZmA/sn31yv9hHnfzinKytmN7dyIJ754f4/a3onmDMS+XXB1jG3R/J7FBlkvZiQOAIWBq5qAn/ hp0qT10WkGelc76P2pUsYjZQ0KOzJrW6l9kb2Ykhmqi2SomPr3TmM60+TcJdL6CJIVjYvFwB8yvL 5mDVQxWYSenQ4rz7B0eoRITR7yUw+2+XpzSulugJMXMEDt5K/UnsJxyfkxmr6Rd7qli3P7zPGk8A acnJAD+DVD88prUiPkJ1gv/G+nRmKFY2EkBSIscXoh13mqYR1n6NTxZn5yx9A9AUzBsfFSbELAwa DJAu2jOqONDv+GS+90nohmKazXoPRlW8tRehZoRna+TJbk/TIarztiR/Wk106B0RDWoQZ16D7vxm nLinW88jjdRjvgJd9E35FXnHr821CaUg34PVEvL0ITgQFusjxc6LzkxEmlSVgRAfqIgX59f1Lh+T gJ5CxZtmb/+2A/ssaCM1LtcaMAJatTD/CNgXVPB8ryl8vlpmoiQeAmbb3Tunwl+R5tVTdchjKcga g0DtevTs6j2P+GLyXTiJqk8rtx/gU9sgIIjY3SjEq/AyPr15vZgLwDlrgsDrAZtKFusc/uhKV6HZ NzaV42Bd96rsV7Jye1PjiDQhSFsLoZ6+WVEZj7KJ9OQfGU7gaO/eZ6OxZFWz+C9gdKdWrWwzAnxF IeE3c+JWupCki+yff1n1fHzqdox5lfSeqiJZ9bm8WZXiSghXJGtYYPBbweXB9xVLrTKZujy06C2E iamS3lC31kdeG/LVk+3deEkgIvY4BzkQhk40xav9Iy8vOXnSpL/wY40MNtKlXinRIYLeHj1r7NfI vlqywewocJx+oNBQ8iGRb16cSPV8sHOBaYZ5NQRcnztU6x0IZUBSYAip9zlRspOCnAfAPpxoZ0pR I2BOdyKh4m84mmnpvMs8rpcoRGiZz3J6J/4D00NYz4ba6g+wgWtoVa0cZ/XSsyjy0GoQnPnFXHaY 6F9eX3zOGTefBXqKmlzOPA2M/8JA9tYFpzECv/w+PukYe5T3Dcq99uWfxCmCZ38iMP6OOaWtvZtQ S1zkVt3Ov9GvOkazRIOEVbKUbXS/wW5N+/zgxVuisxzDje7RXw8S7rhlEhcyZtdaekZ7CUjJlaQi yCWOYvLCfeyzXN/aS7L3CeoxOeDCreArdJBpGtj2of5KfSoDU2QCRo5PZSR2psVxSrz2mHt05cxB mEoL8v3znrH25VgPsrxo9nYcynUkRNjQONeB4OdsCTWgtO/i8GVWmyI7Z7ySzdnuAZwGMwQFJal5 mT8DPJSl/Yj1b45MI20BAJ12ShdcvC54FT6tyBRx+tWTtbPpQs+Y1utPcVq2QmGTQSzDPw1Z+4GS 9Z/UzsXwFenYl1gi9moeSgZboXIc88Szt9mzEqy+GmEz5+9QAb7R+rKOT9MBKU4C8gDqXjuAaWs/ cRUZBkRuKn1oB8t/X3rmb1Xad6HNFKDy47ojyMcBNJ4iAa9eSn8JBeBe2qBRcx4OZ6ThcZKxOqBC rnAOrPK2Mm1xNlbt+ITtqumioK5PydLYFH5jtjVKgLTSZAdBFwz3D2kqcMOL/5rnxY1qxLKvmnOP q+lQFGtukhw2QZUzhqje3wDGTqZa2iTlTLxaC7EoTb4hxVWAicitYtBlDGdcbwYNgYqoct1ydMi6 jIZXIAqCC44k7TDpmw7uB7/OcTlNWJgHYmjKdtxASVd+64PwNTBIN3hpTlkjxBiFB5+AwpjfYzQv +6fyFeCUI4Ck/QrCOEAOXGzHc6PvjtstCH9Qpy3Jkg6ulr/lj0E5osxiL7idzOogZvCqJucIAzUs FgSHNH09zZ6IE8a4tnLzY6fv+/V4eIT4xenLrf/Te1jOhCbRV9YEEPtKKjkACXMgZgv+5NpCisvg uN6b2dMWAFNqorZgT0rNKmWssR950PQ8+yvbZlY6BeJMVVRcyZCVA9ljdUw/b3JvQdiGf3nM/DCo sWS2kuXP2duhHAfB2VifQgUrreQp1YFQrMLZkfLCGfAcYw1VuCCYgNkSug36eyNWcFArUveh+Kog r+buG1KfvE+/jUyMzvuRwlpdOe6k3ud18lpWqPm63JVJWfAsWYq1FJZrCdCvrTJnyc86SXMZFOpN Zu1B6/VxdwrzvPYtfTGpWCBS4oJSV6XJNGDaMjlPe24eiQ6bdmCfouYSJcT6BeUxCIjV3tcVrEtw B1qLUow1XHCBNKrfBXWHDB+owL1wp5o9rHAVvwReFkOfh5Ln6CxrzaZpodncHPmudMlGWPkV6RGI muNrL10L8sAiUfbkCu00HwxYJs/8LPcpRz9UcWCHS+LYevNA+1WFkRNRygriroI+B7Mq7GU7D+oC cXrxPctoPGKJ3F26wJ0xzEza0nrc2hs2XKDjk1Vhikz4zmhUaZxChKU6HgqoW+AqhdxZvw+S3TYQ LTta3iHzIghJZsPbc2/9kd9iGfBDbj9WLeVdg020Fo9rhT0Ib3ctSofkhrOx2oN2fXGBBYRH3Bi5 eekOOL0No3qod+cTLjbkCKwg6CQoCCFErFzdTpyyLOwxSt19Jf2w0wkX9EpoYOJcRE+1nAI/6AoE 8OkfXR89UAixDlc3GfSeH6hxLJwoNsdssu3h0TPAuKdhgbmUO4wtMLCCPQDEVS0rEdApSmrnc2vg YPlLiuMGMHR9k+rFczG03e/CAyak7eKGDcl8XjXNQ8plB1GWi64Xn8hzWYmj9lSF205nuq3/VT0R g7d1YpnymXvXKu1xmE6MXUevpr0nI0sJv+wjDZy1ephBMB3o38CPKWDg6Uhd7JgavWfuPASWzY3Q DEx2Krh0EX5tu4iC4ylWmNsqMnI6srnYs0IEI/OLZscr//ia27/H6DYI6czEkAjVb8BqJ8+Ooe8t YRg6PxuBJrkfw6lU0e4HloYOlNdih7rHCYpB8yjEjd3z+8M48cHJnxuoWa8d4E7Y0OE2cev2bRCN c+/NY5XU3pRm+ZePtqhL17BRJbK4frkuauQfKpA7rHq5/nY7PJPSed1FfO0iqoD7Eulzu0OKLTRM ctE9u+w5GR3w0/WajXfiOpq6aSN3uSBCASfFrLfShwzAwJciST84LnAyuRdKJScvOoxaGYTAezHo S8DMzU6ufi773vJCT4STPwG+CRqGVuP0gV4gjzIHJgQ9Q10yfqPhipHnrfx33N0SybVa/UUZVemG 8zSWb36xbVCH4YBMlOC3sThiBMAHPLw2wd26JgPdY7x7dBvxlQDjve9XyKRimzYvMhzmuB8TNYbu RjG4E7ZYXuHLWcut/kvAxvQGTE3izh0FfjD1qxryYnSVEMJhhTiws569/JMAKV23e+zbO5Q5Esdt WSoL+u+K4z+ZW7A/oVeygGebeWLK25Sp7y4/Lt9WZgwj+lka20xemmQtvRJupUO9CQSZxLwIzNVM kg76lM/u9OIJV8WXzjC2HLFKu+WURsTTXcDd9l67LYaBLw4B6HrogwBtKfZe13WOTIOELmD0ICzO EpXgPdshf1OEXqvH0XSQK3/UHjZyYtbye1IVW1aCtRs/HCDetGNrNSHuLTSRmu/XGEtSOLRz8MY3 iTBp9gVC1BP+pYXb8A/FuDPqgfaXhVWyxiAtrLPyIOhjPtAG/SIz8cKDT0cZIe8998mb7UCpPGgT 87zQMtcBBs0ihxbloITBWH+KCVaJ6B/jyPR4SHh9kvmHVbXNjWiooTCQtFbIVcoBPnlimNf2tYb/ 01C232q87xUQbrgEG34ejo+qYsQ0/ggoTsAqM25KbvO7L8v05ztfdRNirWk43UjDI3hWRzfluLY1 pSRGf/b8bQ4nq4Ku17vgTWo8sFyzfJxe1KG9MoOKVVw3EiHxajs3TDQDDnzRvW1GKeLSuyOSyrgI lDJP2xHyRUOd9Pcsnh8OwHgYatQs2DraLL5LduY22O8UavxXr4jj9HEdIgcFr+JWYX1ckcVIZToo r1AKk8QQN4+JcCMkPrYlynNWB3xFmQ4PIMhWzkS5gH9Tk6hY6tHBF6ZzPjh06Hut8hH5nWGt61x6 p9BN0RgVHqOzUnf2wVUUrZiN8kWd711GrYJj4HbqQyIKvkolflq3jG40dJo2q+LFRlzZtO3DyYBy lA67XHRV5Aw3b4CjF98ad8tPBGQ/ADT+h1X1W1OqtByGBUy/Ju7j9O6IVZ4ek9NsSaraHKweyG2S cEuqjjuNUx9GOLXfmIEDWI9aJMO4fE/ccEGvHIOKnINmOJQfMtN6aGeYR2GE4jr/ELrxcGBelcPH NgZObbDAAzICZDwXQ5Tz2Vq5u3kRToAMfG/1LZfydpHuMZU5c4o5427BagfKwd0L6mCvZnc/+qgp wOyNjaPQW/At74iStnk+XDoMvZhRTkEpj25ey4QX1vrLgq7il9Cn0cwW9FCLHtRJkoG1SUwxD2Pg 77Gucw9kIZfn3C2uvV9JLpLqND1FmjWDACfvCZ3qk8Yu6YjUIEj+c7YD5FIOuU42wkyINM1I3C2w B0yiv98CcfNnAFgojbYQ7HSkCU4stDx5tERMQ8LmCFvpc/HWyH0nmzrNrSRze/y9GQnE2aPAEsfc KEI+mEv7t+1ngovUz12o9AJ4M8nBJOZjr6Bp+XJPDTrszzwYYKPX2Qf1Lczlozy+GFozkBEOFBKP eC1TXNmM4rMsU4JdoeLqbf4+fP2/OLc+GF2fJFJCexqIy1AWr+BVreWwFOVqL5RYvKuhiIAM4JQR Zmp/cEE+HDeb0BYE8MDt2PDNpRbDTml2/DzBz0NDbAxCc5MNXIy1tcF79EygbJJb1m+4nsDJJ1ZP MmVchq7G3swIBtsD5O/D/VDPA9QAI1s4G5FaQuMdBfn94LSsgZU3SplB1jlAFB7UC8dO1IQuCDu1 Cw6HFQ+AfPhDGpj76WmLa5BIvq+negHK8TGyWWGEDJuo6mAS7wcW3Tg0RX4GKE4xwyL2KbQjndDt fBZfs04ydYGDjdTmf9O807M0JIdFB1slKjQPQFN0n2VKpygIoiAjSqkg7PzO1kI3E5JFNEkUb1QM 28WS+pYTqyt9c+sQTs77B/NPZm5DHCbM6E65yMUxuZldUHofWBfZ0sNhk8YXVWVEnLVEJrMC0uiu JIxm3pPcxFzQwZNUGen0mGdumo5Qrf4If/6qplxTWEvlACmqbu4bN3AibFqCynxmw+1Kge99Al2l bpwE981Qar6PoRdifxSjwzIIBMPwQ+zFuAbzyj2HPTZ3upR+zNJro+hVbxfMHGrvt3IheOGfLnhs IQ3gCxPqsSAS+y+eOza/OJYBJ7trRquTjywEvgwIgtUvOyd78a9FM5A9q1wYs6W2agbkb931onjs aDlFAYSIRJRr4twkBf1b/CFizJqZbhwozMWlab9zuFzA06QBx6ns9h5tfHRFhSdEaqURXDV8Beqm NWvugOcGjBQwWv54dUH2iNWVIl0aGZf8I4+YCJYkKKDrUpfpXX9D6RKI/12w4wva8kV9yLkqqnzj tMaDOQxUaX8VtOAb7tSeLUq+Gp3spprZrCU5MIXsX+fz8avuo2vHub66L5vDKpeRS2qZUlF3yJxE Tebtq/cxUC8eGhG/jQ5RTwRyVcDxJvBEfsEsJiSXsQ15wDAcc5NkXM43oIyhOX/qAie5CWaxq2zS 7+IklaJsxiXKNNx2CtkOPxwP5fcDNgiae4+NkS17sqacEsH51U2rjD3XfDdwFD+cL4mMbJYFpjWe v0L0/z0akoGNcueTaLaX7J+XBzEtgi9TeJmhdSAe54LEX6hNg9vC9PIbALC07Cg1/F8cTuiNY2lY nbI98OhGN1ax8J/6Jtv1mMCS7EXT2xfW1XgjamP3tEjpIEtDMRju/HbqcPE9SAR64N0t2WY2ZAFg Oy7amuTsKzGOk7QTMaukt0gOFSQjsCDYbHTPxbQM+rrO9WiGXfaoXDjrfVPk387YHYNdWYnOAtmb siSYvApj2n41zjz7IQY+ilOoIslXtt8zmJLAgl85nfiwdA2YeM1rBCV2gCpnaFdYWwiBP/XXzOQU cbYKVaKaArJ2ccoc/b0mPYEtXjmf4klZsu951urGNg2OYqg3ZXp3w44KzkCrsiah5BzXB4GhxbNP SDrU95kSn1e3s2SYJZSU1xP6ueG3YxE10jhNsVs7GCZmrr6ZGNuj9Sgn1h88L1EA6LrFo12Oi5u5 SDvzTodoAdLLSHSCNjGNOIJ/cHXn/dld8XmvSjldyOoNcMmNsVroLyrjIvTSsKKysQ13cVizQTOC W9QjeqSHIceLV+qreD652p0yICYemQdBKd5a4tXXxNQ91zKUsw2HK8NLqYE973AwrcUCrQ1EmJup feVhWZrGi4cZ3FZYteQkyvdNYEIoUzSRm46CQQmXkd3XmDII1t9kXJMC4dUCPOvxgxLO/ZyVTA9J jGtA5wER/vh4QNQ+IQzMIpSf5UKOjw4M88XCNk06LPWzSdCxn0JPHPDMCaU8sKUfUrD/6jkHV8A0 XA1Rpkm3g/+l6uECZviT5SkoiXzCC0YATrFEloLJROxMX+N4T2q295hrYkXNMHmpjY0JwgGSZMYa Fjv8ixk+VH+0xmUY4SCzcPepL3bf6bQv28dv931jlDnMIo3tRocWerX2TgWakTrGfmFWvPo7Tduc FkVLB4p8Lo8BJv6V5+WmijRfx1XvIqs5WsjL4xKu7c84lUCnaYrakqCyHS6M/UgdSY7o7TNpZPsB ojUW/671zI+gWnWXp/0HoY68ha29906kiet9ERA+p8BaZWoy9WHC5oX1PMgegsiAewtWzl4Ojdqh UjqxS01k6FJUKMUadE3J6LqdYNRBxIhVA5Bmby6oonn+VEsLYxoimTHKnT6KdU392Cw7UO6zvMlI 7dRwA9DuH1EJF7SfVCcNx1O5O4ZA8Wjfb02lU8PFgfOXSx3P4x5+FSy0O5s0YtoLmBMCnfpHD9Lj ArSvuvoHOT3qhZQFQIwsPzldJx//sxPuvBvNVqOGOVfkloFDw+KMp0J1NcqTqIBcxw+4jheCs/JR lfyY/23qIxlfWwld8lIVKZMvaAHQdl9Lm75jxSWnAA/OwOK+qNVYUvvV3yvaR8lfjkk3j9vf5k06 cW8PyVZDelS6M8+C1PwJc2GekfBzfXzlrYBDyOTnwqUVzAvKtRb1e8mpulALOcNZxupf7KqKa1qP PkgRMBLe3JvJN0bjGAlujcWJd8/j+8ZA94+ghPb25ndLSbgchqcPTCHbVBNxD48F8eAo3OMXczB+ D3x1i/wbgGQ/a/OGW39uryShexspIzrmH/WxPzERXWEIEDRKIHyVI9Ur1OxKh+MuPVI98y2JZDr2 nmSRCOrV3ePnkqa58Vk1BNMzz+5PwAVWwP0lPxhVg0TzTJIr2lMVlpSfPltLcCPBPympjjye0QP0 WYtQjvpTivqdPCrh5KTlHKOdEg0R7CHKmDeW02nXEogUwT6t7xBLDGFMyirBpVCUUarLWxA7VYuH v7NBS0O1uWZRX6h4K+/7/Ndyapd8UImqlbRvwGll7oJad+dPrDvkkp3s6YoRSGYP846N6+2dFPX3 ipAKPUh/PrIdcRHhDJSDkh6g1ZlDFhd0bosEWFXhSRevUMMOdLci2EeIPhz2xG3ukYfJWRzRExZp Axb1GGfJWyO/+GflN2EvVzynicHKiTTlyr9qmFBU9cOTUcivnHWVBrnHdqf7+P/YuHscJiunYiqz DHqKWaoCxf+wRdisatHPGYyFep/NytVpiEpEVMawkF1ngjL5nyJ1CcaATxY0VhohLUqWcbEVx6ly qsKgJ8YH6loPFVMZtyoyWCk8s07N7rcO6PiORe702qFBz9ho3xzhjZeHlNSNZW/vc8b6cje1XAPf 3CmKujdrx5QyWpkZxzx1p/BkVbt/F+vIPQ9CmpUf5SppY32upFapI56H/yelGVjYclfCZncf7L1L dqgJ0ead65qrBvZMUpmFUF9UNj67PCDHvEOgE2RoevZXboajA0JZE3m0PccY/bE85PGCySd7hJRt Zl/AzVC8wTlA4gmcutfXDAywG1+HImLRtiVG3EoTqEzotwbOaACySLpzmAOi0TuccRMPbOVMs3IL lnTIwbnZFPGxPksNtQ5unvoEz3sHVG/4VojToAmTaljxqOo2AYRO/1ibYX+LHZE3iuYzLFUXU6zD 2wz1LbBqu/G41J/n6d1Bx/sbFXhOSsCCVhP5QRK+25puwYc24pMrfam79GLnreeD2IhHL30rLeAA 5xcwG78hZUYbF/f8KGHfZ2gZ5X3GGYr0R6CiRSUHUVlJcaIqdbIa5sXqQc+KroTF+Af3q6FvVu0h p/DPS+frIvrUbVoH3cEsceCrAQL9R95MPm4r/f4xbdBzxveCe2+qUOKt5MUIvIepKX1uDZ1bBQQB J/ifwzalJXp0xIpBnj9jICDtnGNB7L8BdqKw67jJQeO2wpJdQzLcYhMGAGr4glrScrejC2cHVAaE uo5HRPE4zhmJ9wrpxVotW+3UST2RjEHHPPcabLswfEJU8pducKBorE1fsWPTK2Bgqfw6bU11tFO1 UuPegql4PciADIwld3vsbPgzqrJac1l2Fdyonc25Ul6iOMHdatPWx3KOAs9hY7QSQQljZQsOOQly jSO3OKIeAM/Lqvp09UmPrQVxwIJhBs05T9UZb/Iy0Om4IT1XULeUq++WHzolOIyrSpL58Xku2W9X 3h25okngiLma+WICxGOK8zKRYAlZ/xeLtJE7qwG0i7K0mfH3Mb0cuiTopqroNPAYI5muwjxoYtm1 7oHJ1CFtG3YDdmX0rhU+FzBpi8QnNpCc74cldA+0SO5ZVjhuw4PoliesRC0jl9+1diotbjPkzEsS 1QKtyLB4L5dK6vIfPMFz1MOM7d9NQOo2BST+7CTbaLhAIYUBYEgAAmUfDLFtzzC3oRZt4S2dr/VC LvwdXY+4r2tOtvHIv5EAu/nP6uOXU4SihmffxR5+P+rlQifjIly29d3qQl8c3uX6RLFI2hu72e/M RqRqggkgCZvMnrXvTznyS39A5IODzdznES9DXoTWvuLR0GlDzQH2fXe5uPEp+Lvgw9luxB10ZyWG PnkuY7Tacin3xbsLGWAIHweGrxKQJ893P3K+rsy56NieoP+OoXgpgQFuyq8Bwphy5irIEKSbxi0f ATBID0eZsW2lpNOrcUHxFsNG2Emm+VzGdP4JN7VMlxYmSiMmTAC0gU4lJjfbpJRPbTcpqkGudCVp jMd48bGAxHp5IQgBN0jhsrL4eIvbJ9Tk+j6HKDsjY1HaSNPkyyWDzCw9nJCJgX9bySkhNs1LgjGA iQ27mjgDY8LYVsbSl089v/7+ZHq6K1//cpDpYVrjl8MZ0saUEyJe/lZQwaC/P3p/WRwFXV7Tv0OP wkB4ee2Se6jeDsuTnsFgfY2Rh4nVU7WuT7LYIFz5yRtVCVXSiI9zwhjj8xsiN2uQOFsCDg1khw5Y wgvW+0C6rDkqmm8c82vFL0hfoVPMSebhwrjEsVDxypHC6ldXVYipBkuEB9z57RCxb3leQgtf4fws Y9RHss4PFwLgJToiD65ZouS8mBouqbyJS9+6bCMX1+lOY71qg2NDUmQS9YCpUFUTEgomlQl5gu5/ cmMlJq6K1NJ9Z7hx+gcFSaVT4KA30/LOo5ECoVWFeOFWdp7L/dnFDajE95ge1TXSIBUsbJ+vW+Dg CUyrX2dMWI3KH5wFwp5XXEQrH+F+yZunzaSvegDKNW+J5n5OTe6BFNbn/lESaxx6TknFdCiYSioQ mmQX2F3L69x0WLwDNf7i6Cmwzaqdbp5Gccv+ub/3A5XG0d+iBPOd7X+4lvfg6gBOSqS9blgjqGJD wgOGtKiN2qAF+/f7i4Jk1p6n4zqhOt1g379KY43etzUCuEzxRFhdggyUZMQ3DiwmxIcZZeyVu2+0 xh/px0YoHua/MJWHneIotQ7zOtkdl64Csk9Zpr6G2ZFpl0nK/SoSSpwBc7mQCs2EWBzFA+nVT6aC 6kNICcwUquSUkUjMmPHpoYQTo0qRLANuORz4//51tRqVEjfQEKr0UWZqdfqdbIWFLeLfKwOdH7oU NWLjbKCgPLCdQ/ak5YwBenEsdY3BnwEEa+hJ/6J0TSoKN9jsjZ8I0HkjvOVorcf/yid+Q7mJhjQT vHCUfVciwnLWK8Zcs502mkXastDMNctJL07UOrNCJcX58NgZPQGNrOTuQwkbMmHQ4FnskRwIILS2 oXB3MS1dM2uonmqVsAyaP9degoChprXb56jgsO9INJItU9nJEYb3CW4x0j0nSVHLB17jaBbK6uHU Q0vHIhuThEGOT+CI8Mq9yvAnC8JeROzqs+FBzbBZIq9RhGxO8sKvi+he7zxKl/tx89OKhyCCF9jy a7Bp7zOQezXahgN6pGZpi0E41JUwv6Wdf/n4j4WPh4++818TLt7DlXk8AHcpFZcf1DCv+bwEUZjd 8bTlV4NPV+CT0gpRpiA8Ky9QOt1nSgmhuSYDO0EJujiP81o+KE11pBbhDKe/ij1F8Fr0ePEr6VaD nL0UQXxaq73x6bcrYMp/gXvGNIWDBHRKOp37xlO924EeY3WPRYkSJInm9PuJ6dSxF/8Mbt5tMg6C d3Umu3vIxgV/CtYlWvCYQVuUad7rybRxOFnKUBRNdj6FzFXls+WNIq0FmVY322YbHGqp9thSaVT/ b7pH/tvAE21Oht84m3Dr+qTur+fs3AcS9fD6GA5W4YnnBMTB+sCQILYbVRBwW2jl8X8KtXIg5shI u8PuktwH7YJ3rRfCAxC6yq3uAkb9K2pvhdyNg6ZG3vpRLMPTUoXUVriXawrqTV/rJfhFuQPaSd3T G/WiFwN9RQfEeoUxb2e/ju98tH0+TNT+AN5X9anAMD45PXDyZAlkmzMyJhfVuubNhlbgI+cSsBnR jUW4MU7ZxVvx0wKw0mxzQlWoC9AXea9P0mDiBNCtNYTfKIuG7aS+vShtoIZmOVvotydb+X0lCJcm WyN5X6+H3yHvChMYs0rEqDlodXz5VWN0kuWOz902v5SP01PhuTDqSnOxL3KJ05d4u9rg6TU+PWaP EH2w9lce2/jSaGtJgXwbw6LSGA39sopf1jFeyxBCFuFnf1dccliDFtJV/tNujC+JyWq1A3Jebm9M 84zIhuwG+Ckkpu/0N+fLPaOM0MblgYtDZx5P4hI99MRCKWMN8cn2qE4Hip71bcc65q76Y/LOfcpu k4AsyI1vpYuv5s5frzH0jK/PNPcmIJMo5IijdiBclBVwBvKszn6wBpQ93vjdfAzorU/6uNEHwXUl 3aDFPeFsDZNNj5ZQWV1x1LI33+KxUnTfF48O5+T80tvJ4ULHHWkVXWSo2TdFkDyTBxZCFXlUPGab 6KjLRN+cfx1bgFblUI1GG62fzMe4Xi55D1cNYkUYcwt9wzhiUWfp5FpzkhqqVi6Ila6QRRy/xwdG YMQp2ZEIJHpSJcWJcon67h4Gxf6YJy8/Z1BP5t2tsRweZ8XgBGVEBpS+xjWw3XKzPzIymEOdQbv9 Ugtl8agjmP7lGW9BwwxDAA4hLTTHcw2WcMHkhynqiTjqZvMQJGOLyrLAprYCX4M9kL/wRWQWlOKD X6zxc1uKIleJ5u3MBkvB9P5ML4BbafNZYlY+WS5/iStZCFwwqTYgS0g+DGgRkD9zfBOz22bHwL1T gRPgxfyE2fbeLIqKE8MAystrDU2uzzMz8EdbUfcH8g6neGk58ukSzU7aUBzOxcRKl7CwnM/RwCkb 4xy4Ud4/3BtxHNHldqBgpfPHAwCZesGxb6f4Xh2yUXDH7aGINDanseC5LFWXnMIvKjFI5oy9FRjK e7yXaccn9HKjJaQ6LGi/rU6DQKTulcKCjOtw/2xZAGPSUm+fcz38BEPk9ns/kqw+rIX3mBRtQg0V DLfUR5kcLu72k62s1DhU6hX96vwc5573Ns3VWrsM5ZgOY9Es5aGwQYjeiHfsyTy7e1mEY2OfzCG0 Oz0AX2ln6RMxSzDwBJSaB/joCFVj+VofG4zuZAZ7BQZVSTDU83KNG8uneDp8TpqpBdUU0XrPiXi/ nSjsQWmNRLyMQkuaZV3+4e03EXLEjCQamjyQxshUhbzkRt/qFnoEyD2SCKi8OTuXdPLCPqPivb8T FgNIWFgLoDiP9R199zfBuaQV64ccHPvSNjTR/8GGN3MNk26wQc16mgCeQsn7bMVg96h1tPpKebO0 UdptH2YjfiiZNNrzhlG4GfjwZLKE5TrLICJ7WMRwlMTeDCey3whPFqIs6cYaHlHHT4ge7eGfUJxH xEqbkWk0Ec5+9KUjDfv42OrOidj4Wxt/AJANqrNoRbpnihzdzzVWvlkKSAn+kGCW/Iq1gGMTQQUV 2jwi55LaqYa+ugX7t1VXSJsUyoKsA6FUQzN4CJwROb2umkrxjcAuxUhlXUnd5MDV8ZmT84cjSzby qIKV80qcXLUq3WipdqZMtcIpO2LAlaO7xQHfHqgKpgJlv5QPvBhb8nsOW01F1/UuLw1gvIxqBlng 2UpBo2kRj8ht0TgHRr3vtZoBkau1ZYwMbOUrT9lxyqMcG1Bah59SqeancHUQKqz0HMQdgg5yWsm2 cEBfVt4fOGfuMJB6UYUg5iJswD4pKzEAC+UzWlRwF8DGaAJaVxHn7ENqO/wSJqbjkOQvxwQXqYqz lPj7Ft4ZP+9acWkQDxoDVybG9f1R3IQSTdNNFul+bND1PwU8R32zQOlPnoXfmzdTZfoe/liZkcs7 vmTMIBI+FpLHg9ESgRot8SyQlyvB6+DySovpae80J+CtdatTc+Jw9JZvYphC/EIHch2k+GNADXyD SBpifyk2i10eJa1CQHXiVOGZI/E4WZMPjS40N9qwiPk+pDCCLeGWGF9yafu8J48o8xmwgP3u7wMv S4NNe/70Ndyl4BGDgNea+AoHuIgop0x2Jmd+ZKDaZiEZugLCwAnOZ/4LxKNDNRDcucKtR+iqvybJ P7dWRRtAh3mAEIc2KLBdB4t+iSDKa/h2rzdPo6eR6pgliU35qalqeWpxCKBj/xb+KZoNJ+wNqOhq aM+UM8wQImpqvsK4ENJWKI/kGufXjqlx0UYTM3Q5xe2sD5K7yOmPjctSYGFw3pkPkBM77RK4SRrO Z0yUisLGABXfixUciwah3aF6efu+o4CpbvsuLCpsnKXCZtdMKxOqr6Tm2CVqb4XXSj0DO5U+d6xy Y0QjqYCHKp1vgX36FE7PR078NnVcNzoeAUBLd1r1xjLc7t+pgEWjuq97Ljjj732YuJ3SiWmsULKu bYU5665Giih6dEjBRcPwGwixMBGpSvaYD29I7d1MJYPOzr4aMMxbDcVl3tmjJgtj0j5rgTJoocX0 o/KuP3oBVU7zA3IRVPDtv0nycx3tApmvESJwmXj7r/FZijO/j62C/aEQxodi78ZZLiS6uiJjjvWm IEW8NIBHmx682tpda0ux7CXPajryKCm+Zja32QRuuo/ZoxaXBzanIxgV6ZBGIzVC+PYognMo/327 EGTqP7OI3V1egNEri30lK9mJuOymBBO9c8Jv8iVZLpCx5BNIA/5FUYXrsPkKhpDmJntIZzUyn9SW zsELpxIYogCmy8hcsmD9iAIQj5V6k8gnB9g7fPVH/A2PBdURJt2fzn217Ni2A2UtZl14aOByCNaR rJ4f3mvsF8nz47UQPdoKVjp5vzmJd99NTjJcSQj9tKuufp05olFaSAHxvRHkI4c4suKMhZ1EKSLo g8JhWM9ovzoOMh1PN5nG0Qx1Vs8V3nFQeP28aamSRYlgaJNKvZn4VOjBVn9bZEl9tQ8J5ttqphVe dcv7FRn4zwaYkibA+fKiyeFbu/hUL3K9vAlqrMDPKYY5atVraBEOpw9jjCplGgbAiCxFNX2E8sBv VVZ2iJtBDXyegu3ZfjAEQmxEICUlKojq57W20e5JZB4HZTelbXGhCo0gTHt5OV8i5p/B6skCczIP 6pInvE5VE5z35pe1k28i/z3G93MKmSFZEJniu0MSzDCqHMEBV8GYm8bLS9SlCpteenW/bOiO67zF pZHh+lzUZWVNbPIobi5q0dhmT1nTlbV0GuV9On3rr/8GQVd3VDM3DPeCJ5g4XrWlC7dT5CBxjPav 5vk/7Q+icN9frzytHh5irxebQqFExAC7kHlr375aZ/akF3GpxwCv6b579V5QIncfkVm37LZJ3o8R auUxtYq1BXCSIcmcvrDvYGd//wBXJksLXAERgCE5i1Th3Oi95EFioy3HxlyuBLJ5RkdjFZ3jg1sE yp8EiDDOR7woLK1fPmZy2wwUTIzUK21qCpyY+NHuB/rzaFHT0o07U9VUcQFNJmUrwC+hFAmuddd8 +Txwi0MxraK8zD4ZePr27UW/3kIfcOekvY2SBmqURVlAm3HzvyfmQxBw6hMPD+IVuiiUoGVLytPW VhqtcgYdGRmdeoxR0dMjaLVFCgF5cQK7eDsfQ9KTyi89uCuolgadLpxH4QgYzYLvjYfzAGyAvFql 7+eD2yNsnEe573CF1LRLpguxGTRjLgK5c8rNURDta6aJtnKsRcblfRo+GmAAoyAoupJKDsbcum50 KeOogdieu+w53Q5b0EvlXnnz1Yoz/TtFalV9llZ2BJDk6BZdKRpVprCWiG6QVgnSgHdKaWOY3StC gTSZMkw16eZPmS10xV74FoWddxs5tqgP2E3JVO8neyAw1BSaVyeIjeSQquLGQS8QV/mHzIgcJjFE 52jcKafPDlqEYFLB5cm5gNaaJJoZKq7ttXXzb1De1uM/ajmPRQGEB9PzVHLUWb+n3xqFIqh4ZXGB A4AHiJG/LWbHE1uR79DqvBI68ZTm1nOGjUNE/Ebb80uO51xm6OPIKbQ7FgMsLHgKWMVxYoO8yw3K k39dMXkMmgLXtiwlKRYvs7V1WRDb3CPFlFaXCBUdN73/hnrC+tLR18CmMXlFx8HKZj+21e3+E57M X2Cnrulnvw3OiG7SWScqRlNhFDyuGAIPXYMm5TRTTEEeQ5zoO9XvE5P9lNOcCf9rtLSDx0WGMU4E uk8Cnpj+T9TTFOx5v0PEul6PdDvFW1ta6Jdo5XxT4PNGRQSK1QhlBoJLV7h7MyDub7+KKFwlhOqK +5o2bDDkRh8ZDgB60mJuMLH1ajfusJFsTdx93fDhTTVav6RPoJSEjK0sn+FBnYhvZ2wBuSKf1mIy E1rPX4OIqdY7ovTiH679M98KzT+khsZc6wTv4KeZPAJNMMRDJiyBONjxkribpcKGKvvXcLRxa3k2 oWrg9sm+AFdD0233ILv7KvmRj+R/nZ4CFVO1EMeMSWaW9dGD09wM0ftJbQKsNSuk7ePcbCG2oki7 0OrQ8Bc5DI93dRGWXaeqpLYSUVOWKDl9oUAaABsaKa5OUtOR4lS2FI62H4/PPBIdjRs7eMKN3IbV z08jHb1hPzCU+xu91vxsehcwMDsR3ovKixHnRZI5EiNLwx6x+uo1QgJNE7/gQlFqFWG4JvAEW1k/ wAOIM0XrBQt0ojpSIYaFPqkc6bDqOj0I3Saf62Qvzwcv5wdQpWM+wRCMDQGPut+h+HL7zR9R6seJ jhbqx0VTDnBJETDeRs04gR4V2txezVwR9XoKDy0cDmftjz/BEN8ZYaxOnKgSeptTn34aqUkZ7vSp lTou3HDWzYq3zCx27Yc1dQayR/vrpAWXmvzbXv1GRm4/HGvmTh7WYM4WOOGI13rz1NtFQraxr4h3 v7cQBtwpTHSGi/0snfmxa65QnMkSwtNIVl/kaJfi4ZM88HFOLZlf2oa4JXYX1LRiuwFY/C0qTqcy xAPwHgmtBg90EvMCQHlDpS8BkLYWaPThRGb+xR1Ue1K8r6tmttCFKuYe9s6hFH827iIhZkLs9Vmx Kgs+g4sK9FyQhyTWoTKlKDVhuB9g2aqIXF+F5euETL0X5KRaUspQpHSESjqMdvgCJghamuh6LAE6 zkjZxdAb/K9wciZ/Yaoxg4mk4zwbZHJUlpnZIpat+1apZ0kJIQgtoab5+05q+mw9pTnK20EJpYMj a4OFySSC3U1B/5v2z4vvxw1gQclG+VrzQ2w5HTJklrxE2AsRC4r23Yq7eIokExV//se90fmuj8RP UJv1aFAVeWaP77CPDxi0htqbTPXaKzIUMH0q0B/0Xk65BWhSv/7DcgGTY/NzegCm5fHZFGm8R78O 1NfBKhy5rriNuOJ09N/yzKpto9qZYRinKS5ooNUR9QzJsZArQxIiLuoTJ5ZJ/oOI/zn6JIiGlxLy pWvd5lKuNdihm0ctPAR9VOArjDAb6UhZ+VZPcifg/e1VmWZ7/X0PAPPh13ZbA1rRBEPUpfqgWQAm o84BEb6j/QDmcO37EncLOcZSF3tGtcg0pumC7IICMgFra0r1l0+zVIW3cTpQZN0Y6B3ry881rvjO pk36xbzNOu1/Ej8xmyby8er7AKTZ28aCI9+A33LeNgjhb5vAPu8OBOyS4PXEvXOT5ZmVnsBNs6Gs CsysByKIVVBLqHXHkRc/po0ljbsyvwrjQU20p/ewmVQKFn/+mO+EH1nqKucMBn+gOtSezx9hWI6x Z+53oXiV3niYGmUMNyjOjfXTYcDm2n9Y08YD/ZrsFYYIYu3zmFxg/5DbsPUrkLUbUSSprRv5cbTH 3yMrBgD240RLmijRoVRriJN7mlNlZ5mJx8w3TRqXPbgg6s6uq8DAGmDiUsAhiqO4JpQ1uqcpoqmK fd6yL2hTkkyxWaehaww+qrR+FFiK2//g2JEKT/qF9v2Yi6i4GvI8TTddVe+mGNMwSwcnIgt2z6Bq v49Bgvp0JmMsGNhJ9UNIkAiwLYFIPThgUtchMRGbeqtz+bUaGLhCMw/KX/ymQdeChDK/JrKTIVDv 7CKSHnqQkU3fDG+wmmIYqV9w7du6mHknsw4/WUvm49Yqu3lbv9t0QXbhkruI2nEgOdkIlucl2sWJ tQ7sm+zXOHmdWJweVhupRMGNUzItGt6uBKO+V6XQGPC0loh8tjtjYHM1ftKzWcW87ahexPqXuFiN xzocODxisghy5vL8sVnBG4ilNxsYh518GjcBbPn5ftjvMmD9CVVWn5+VoeynUUcj8ocKwUs5aVkN agXcKUMIgTOn2s2JJTUsdoZqyglgBWk3+/Y5DrILnvl/EqUcP0Ppdr6d1ptX/yP3M77/OiDi/w0a eLbnIiJ/EQGyBCGwdw0OeeZLvEMn9o/Fwc94LLpYj3QireJirNzA1nLQYOtBozuQpSsHVVM/qIyj fwUTL4NIDuDca/uCFf9so89eGqGRLiSRk4etMhwIv0/msaPppzp3QgCGg0rkWhpHSNs7m2pJJ4pH I61APsfTuZZADC62AV+ImtYx3P/TBfFRaEX9HCkrakkb8tiCACHumxJ3WlJrK6tcc6dqOIT9Xjqa ofjDDTs0oTSgLf5p+SR2uhLy9qZagZWVm/LMhbaJB17AJEgafGtN3ZT46rnyT9FBtBP5/mwd2+9C 3NhzuuBJ2ejyVf7nA1/jmImAlo5dvCarxk/OGqBICBxI7R+8AHUjw8Wza7titYVO9s7DcRxPueOo 81zVLJF4HqDkluaoxqGCx3QTyspEs7rM04bJeng83HtLpMJrv+2qHbOjAn7tlJWxa3SdTa0d3Qwc Xntpa/Rr0XJlaWMR0aFR+I+2bercKI10f5EcpIFxcvkUtTSM61IgQ9SOjMv+Wp8/i8HzEBnSLhg/ 26KpSEss9BsyukZO2A+LaT5ZvJHOKPWzWNx3a8HZlRHiDTtW0VAZoD39kgGX80ab10ciSo/+/1Tg w2FDjllqU9wF/6rze0M6iYRx807cV3DwgagEaLjofJ+iwyvcs+il0p6D42zSI1TKMWoYsJbYqz8t Mj06OwRm0bpGYG0o0i0t2HARWzlTrZHVfEtb08M20QSuoxc6wh0XbiVSxlA5UQx1mrgKusLGnKGw 8ZhNaktA/3hOZnGV/owAU4EwssAr4gA5Ax9dUEMT7NHKNadoQJdxIRv+VYjlA/G3nRtHfDxT+QTy mSGstTZfq4GE/we7DkiypWYczo+ggdO2xA/k9SWXyO+5Kfl23gCl9yGHuHhhtfbdmQOYcwh80u79 c82ZTO3iehkVJa57XkCPGbFPkxnAmcfHSCdmEKlBlOWsFIMyCfs/cOKxo+DyQSA9i+aVBMkJXlvK r57t3XjK5hCQIABcvZcp5pRJYlL/NJ9sqxmJWsEHscUiOeruonuxJaIZvBGbi+WOV5I7Qx87DPUJ ZbrJuDRAxJPudBqYa15avqqO7ifPSj14CPGtkybzR9CsmUPBALQnTT6E1BEpwEIfHe0HuKt4rulc KY8XthyTN1thv1EIDtW8o5W9LD2PfVMGl/1HVH61mg73BJGLsBLtMus7OzxcsHmTjbefvXCffdM5 TwQLXC0lByW/zdcszpHErWjiizqW/lJ4tHqVq1MuxsmvtJyT87qYSuA3GyFG0KZr1YWLnGZ9nYyk RTbb2SpM+tbE8cKfwgul/Xt7258pTbqwT1UxHHE/rHYpFlkhnDF1ALB4Rl2YJ+ednG2D8IeR9ZyS 72ODl3Y8/3ehZPVuNFEhuOVdlFofclTumu12VJofNeYXkS8ONHFbXoYmxEyWbXzSJidZtl9BtjPL lkX/KcGWAcCfw/KK6aRCZ6Fx5iwLTDPsmFdL6h4n9G71wKLah6lNtSboNs/uCAtTOrHKqLzxKEun sRSr7MzQH8GHBXLpuleIorZQa9Lo8AN9Qb7BmhBl9n4cZ8tkh1nj4uRwMg82tO0s29xWh71UMnrC 33GZNRzQ1PRa35/QJ/L99tYDXfPguN47zHvGhZQdudcPSORAZx+QRhcqV9P0vat7xbCR0oya7ZEs fc0iTRMhd9JsGDWM1ihukjguDBIrHSbsIO2Vjhh0qGgy+pCz3MWpsk5cg1yYO35c1yrwBm5ESLsF Q0diJer4nMw9cp6BCptw8UMOFbsLWHZDzGRTfChg7o/E60UxRM8+Yc2KTHY5DOQ+Vj+pa9p/EX0l OVi7c0e/sjnyPI9k5jv3Izdx775P+RDO4W0OmaWRYX/wIsQSdPn1vSf8Wzq0hSZR6QIzieBgUw6I ylrZ63XhucMcmpCvhP54laxnyAcAKhFRimRSVuMP77JOkSdI4+tG61lemyK6qzioDFu6Z9o7Vfi1 gTebZuG3CT7KDnXfOER7DFyZ+34E+tVhAXTB2OK2Q6q82sXsajuVyc+ZV8L89tfhmZCxeMDt/1SC kwnJtbwMFFlhe7I8ipXL36f9yiOVMJdEGdpe9QGne6cWJF0MjWOtQpO4j/ivFHResGmat+zDG5PU SzQShbub3MQGBt+dvJoSHwsM1hgghdUg+FVpnqznrYK1MibHmXP7SQj0o+ruYPKZyffc7xRD6lOo mTnTpbH8pQp7nCHV6U3pTs2Vj/DkMyiqCuS1bSAHYm4COR5suwKn5eY2fMAagu6puXTlPacxhxca bE6i1CYzjA8qmTubNMc2Oop3vj9la0/sromumgXTByr7QZEg3GrVGgdq7F2GWr1AtQM5Oqr1OZum hEH+gmUaibs5JAH8z6tXFRllFp3URbR7CmmG+wrIV2zyHbCOmrt5K3pd7PLZhsvvuIsJWZ9KujSt 31Ra+0mOPs+NmL6pEgnMsSul+UTYju6bLjWr6NWmAvosiBSkLw3/773949AnWHrBP+1b+4OSttBW 7uBNEHcV3ZLA1UMbdGIGpTa8rTz3ruRhFrB0kNHM2njwf3/dGDAVRkKA52WzjFs/qKM1lSeU7nuZ ic/aOFYNuOWP0H/qX29aiTk0jXEnE0vc9rPzzrPaf6XpamCNoY/F3H5+ELyDhCjLSgmxFwfM/Nl/ 6vsYb1daM9+PFxkwRybbjyAutA5LDgYLu/+3fiEBmjdIWbnHCemlEB+30x1l+gJwnkPhqrURGf+e XeV5yvtqedFTwoq7V6KpWJ3NdPVlX251+8/qXVRZCE7Vt0iXCmIR40a7rD2gt+Cgd1+uLxok++VW nQjHXuW+mlk8wrqScJlvtu9tcMCDXDy2qDKHro46b1hRBYDsuFDflqyMu2sYdYF69LZpBTS/eHfB maF6NLdmivUd/2ZCGCSX+8kSnwO3i/4dIwpmFhAtE2G+JvSe3ZKM1RCwAKCNiHAPPiXdCntAkL46 NO+zdxQQhiYX4/oi68TYZuNUlKWglrIqxuc/9/Xnzdxhwes+Ws9piBHxUGYhnvDmIntFZ/1GXR0l saLiz4nGwsbuugwBSY17ng7sYTMZ/l+YEeIwBzZzRyhM5kIuxr1ihzaA5iLnzaxONBim7dJblJ1i IQB/KVQNK/bBX9UqkdQAVt6vGocgzCMG6LrF0aG4U5xptGqptIkRDQkjQohIXAhNTMBx8zt3nzp1 kWi8X4bAEn88AdvaF9lhHVtffboyqf+PoA12SadxWAv6VGMT41eKtJQfqrxoquTUw+wmg+0vXsH7 pDDGE4xn/p+jtaMQtH3EdQ5faGJnEEZItDYrcl/tKwO7OpgGjcIBHsKb9Y4H8Lcsof9O7IjiNjKN RTQTJ7Mzw7mmzZW4h6cV2MzNk2Hzyh31KN2/UmutZh+FKlUuCh467/IHBDmBMP+VXJDDVPNljuML 4pZznZCBZXgnBMyKV+hfshMl8ZZn9kKx4jffDit5GZ/0GxjepU89DDH7BnQxkV3vUPys5yNAe6nc jC9s48aLKafWNsZpl9xtps/Gu61HPwU4oYHc3QzTEmwiko8IuzoM6jPdjCFYGJqrplT0jOmFn/vE wPH5M+tECwtLpB1rkCGXf9g+l0jhzaRqrN7yxgp8P0KqU64HDl/0lR7BCJa78gwDrsQWvlWZm84m tJOcGG8OxDviAqD3GafyzVoaA47JutHnlmr0VZL+dbU7UO+KPsTJuXnYlV4f55MMQbIRMw4EiTQC Cyi9I071WCUvMHMfVNIxPnZoTUIOZQv8uxOEksNzAF3s++WDHunIeHbQOIXSyitR9PSN7C+nDBU1 PdrBzUKf+SMIl3MkzfZeAhGfeDznJRv6uTw3O50Hi4NXOmVDrJi05lqH/AM+ofmxgZ6NnS0vPvDZ 8VJLGcPZlODFCZ8Y+ZkUp8FkJ1s7JyC2OTCGumswbwr6xcDuaKQYLClEkaBHrvPeKRbru0PXWlH0 s4Wx2Al70RBJapBmJBp+eCpL0OZF1toksOgD0/Hi61fxpyYgtpDK4To/CrK4dHzhsUGCuhDgT6Y5 wmyHPA9cRNNYgGZ8lwc5DXUF67lH+s7S7OVoQHSsBYfUnN3B+skahxsIebXZxpqjMo9i+e8TyptV SuzP2f5C8Eft3C+A4uZkhiMMI29d8lBxcR2EMlRljN3vEftIm7umvuo7zqpNCW15tFMn3Wu6coQ1 peCmvMLlMy19n1sAt7BEN5sjxRyUYTEm71apwwXHDxjFNIFLT2qVfT7V5sZsedc9NSlmCqZp6387 Rmyo4Of64y3M+65qQc0uBn6OYAYZOdpD7s+0/crSshqKVCItk8UKb0rWZ/rtqA6I6mxLd0HaO6H+ CIksFccPNcLF6Y7L6aoBSdTN2wDl8PggfbGijL8pXmYebhoJf1F7Tv8J56S76dxns2bic4p6Ontp TOX0sGYLgs0V5Nhuli3unOLHXXgm/YmnSVRMpRQK+L+4oLTVXrQx13L6JEL4S0Ms5ACEI65c6Z82 ll+jybC+NJ5MisKRwKlrqqbFNEkCQH5YHCYnuxtDT2tnyC1x49CFpSinCv9ZcarJDBITbedpVfei F7SzmQ4c46hqJJDF3HDwQTZ3vCVP3Pm6Io6EXkUSZf3B8884sVwvDEqRYet0CB/XGtLHgzc+S4RR 09pVGwQW/Kuy7FGneib2bz0sIe8d+llJHHSf8LGOnil2eNK2yop7T1QE9pUqbGjuIgtGQeorWfQk JG6KW4IimEwvWdoG+ZDRRx6AU1yp9/rSXtpD/XXKy7NqMa0qtZwzy3ou6i986aTjRUhuvyao8M1k GjuxgIiPlsgxbv8pVH6IqP8QUiYIY4X5U7KvUfY3KYBxktmNoa3QElZ1kki+XbMGggNYnCBALwo7 Be8nrliR+6BmfpmwKSx+H/MwiIrc9p6/3dT1LyMcmvbcGw238yW6gTPsjZ/MdyWkxAqf4arF9U6e TJhxliSHlYH0xhR2h8n3HbdYzwJAqp/spWTwaZt2rgevrRccqoPqqNogzOOMxauRKGdqX30Mnp87 QRQ8xe1lRyECjuXc0zhUoiCWmwql8x17Sgvt6bqQmFMDZSEtJLAjFlni/uSpcOuR0KW2YizDeTVZ z3g61g/lZ/Y8j9JzSumjxBVjn78qPAr9A37UxL/sTSRD7wdZXODGO1Qrnua6jF/2A4PwTWk+4jiS iBZaqkkdjHFqhgLeEGg2oIgRv3Add5UOSL4SuwzFXRXqsIs/3eOlMl/o9IpuOkH+SUc6/KgMXvOX j/KQjPkE3KLR6TCOo68/gtCY/1AmLHkIxAuzNMt39DsGkMWVuDtJbyOyKwrKSaSF1l/u0U74xQfa OyY0HS5MmBdIIPIFIyrxR7yJzLd/HRitPTPoJYlxo7/wANX+XxV/2REpxMQKc9nl7rQG10x/Rh23 CNOp1x+bPFS80Dir4CuojKo21kkpQ85WSbv/eyPCdRib/0yIiQ6DW/OddfmKRonSwhLuGu15UsOt r4RDYXlQtuybxTMuDVoxOoN808jAOyuGK1Xx73B3NontUDH920kTi0m9+6FuYHutlqSETYknfau5 wZ/Svy2dtFTbQ/SKDk0YBJai8dX4kInnC7nHV8H4J7IqSWk5VZrJWIkLADjUiziHP3o2IoppEgMg 8TBYujo7hei67von43/KSa/eXk+zokAnoFyYYLBw0VMDrIKE1mUczsA4R8XrCghORHdyHxsnMs3K mzJSIdn8gBxgF3NhiS5LWWqQ6PxwE8gzwUriUkl92a3U5Ct3ZK1HJ4bv5RCJDQnZXvYduSjQqHLt RLNG5OuytVKGV6uRGKNuOngIZpObiYt2vhj3ViYJJ11SdPi2+4SvWPII52q2pSmEG3SumMEW4Io6 Y3hHydD4bGHFNr7+2D8f8/TYJITHK496/Zd1hjFoGEgjE1a6GtACmN6HAh/l+fRrpv0KYssTLNWL ddpY1v0kk8ngF4WxCB1XEik5RScqHh57Hzj+gaRf6Enxeb98xpFZtsAmOsprJhw7v2cGGxvm3SE1 7Z5PLT/XlITo7F9KL3jS6EQ/Pb1Z8+lYhvG13cr1R6QxfMkt51NOml+1MuYVaIiCsl0vDb7+oH+F symLaxl5bvEtq7+efwq5SgwJ/uaoEfLtrxg0KwqiWUt3lIYw+9burdmSq3Ub6Z9ZoBiDajcFgKQE kVzm9cypTCVgdL9GQIST6DhgUtRHUSHtRxkPvp2QdOZ84oIPh6/Jvo49ftbu0lwwXH0TYdUb5oLv MYZNTzOgVWlYg3P1Aes9s/hBJ+zYf3dcnqIFIeC4D4ckHkAHd9Ybf0dJxxPC1tsDe19cXMcCwKq0 ESWN8SzCVt5jcujHbkMxbZ/uzLsNjEQbicYg++Z4X+Xk1jSe3QSQW/u0qPs0a+yS2+zOtbUalNOM fQ822G2OSAAHUX/MqEQ22v8Tzx0RD9iop0INIOeu5uq0FyQlNvJl/LGQvsGx8MhBXw0qeR72yhgR SVd7/2hO9sv/AXiWgARGhGDydMS8g2IL/If18NdHnyd0K2RUbdBB9MF7QOzjaYSlLmyKbcI0y6Or +VpvFE1Jmr3areUKwUYtic05Aa5BU2vzIf9kMZuHey/wg5OmHSgseFRQznu7WZikXX8v1Qj/HzLh vtHQQNS//ExndV0PvOsqNZKssl6ngBkG3/6GeEpqEAMEqGdykjwTgcGlV6Cd9kTwS2xa//Gx5iUH 0pUaTXBkYWpH6d/KtaCoAeyFbVzc9o+Ubct4Hutik5zT6qdobuc+5qcl5B42cqMlzIeRFO8sjYw1 cdTWeiCVf1xJy2YeMiNIBfAvEEa1wi2wVkVlStHY/E9RYDLsSU/xNJJvUWkNjY4mZVQw/OqoqQuL tJKRPr37KrpiDB4J7J1NIvA82U4hw+6k1mG7vj3Mhghv6UehEZx+QlInNE7LdF/Ho1w5PZ2ZaUl+ pHKSRa7idyIvutFAU8aztyjvTlYvAznVjClyt4Q+6iVsw1yXvaFHlHgVNMdFOeLexqgJJOntQB9I UG8weV9LRMc5NbCg/FsN03cyjUrbAPhcI4jxHME7JBWtyUSMlPgfPMBGSUbspM3zBQGIWVugD4yP 18F6uxuF2KDqiZ4MLnFvel3165k6zuBerWyLNXFK8StXRV4v+xRfWlrOuqo23t/RgLIeE7QMOs6J Jl8CeNrfGyVXlA2H4W8nmjUa/lnJKqCs6UlASnaZJLQWk/w8NYMEQN8CoXUmylCnS26y+oDZ6U1h u6ULg6rkUDblUTjVzPNdH0QaZH6h9OoNNMn8mXYNpgBLk3SAs50SM0HR4vYAxVG436mWpFPsmwcp kjuO/hBe8Nfc98+loMU+3kESjg+eekocWniLCb016lZ9FAN8wJYGPu4HW9jyVNVkcjPn/dj0QimS 57Gq2cJQIfQsTI1Hg3A77sq7Rc9tx0pd5XmQ7XXSl/4FG/nNhgR0c7griB4NrFY6KLLA+t9pDNmt 5MuFFQDeSj95b4YKwdcPtCFpugInpCNAo89DBVwkBScbr95g1+oAm/KR92HIK39Fb6g5CeZ+a2sL Pat1eGUCqyDaMlvW//ffAy3lYmxLDoAr6YjJeK3Gr1DFdvHze2bPHDfBKrWWZEG3fzf3gvcjOGbq xHiCYeIWd1Z1bP6ZwAC4DMzvy0SjPbOGPw2+jWk3dW0iSAsenLMk8tUvX9bwEQVEVPS+kzHxty2r 5x2Er4XVS1/I4dcdx3f4vC3MQRDH5/ZsuS040mpCDYbjNOJqCkfBzbnQlG8fHccr0zNbhCLzNLUk 8Vt23PfK/kZTUDb+sEEkWi8MwhxuvM9d7EBU3W2bwMbLzXCnCOhWsHF1qoJVePdmu8q+LU5EvRlt 58txtP4IoMthz2dGI/aE6VhB1snCE6533LZi5GZZYr8S8/z6OB6QDK41zLfS6C1FL7sazelZKa+b lop+pcz/RuasBkUPeaMHKgC+PsEsCCch7i/a7a5nar3qnOQZNuUkZ4iJzSXemVZo79wpr1RzhhDJ sEfTkclPH2pTPTNrppTA6Ar5BRWYFGg07iht/HJADDSBiTjD2VKB/wLBxlMxmPORdP4Im0pc6LPx /P0+e2SnPeNSuMXu/SBgNG5Mrx/SBQ1Zn2YDN7W/K7n4EWr2rgAgT0ViYZwAkXKdZZ3eEQmTuygx GcJT2iDuWD8OE6r9x18q1m8h3hMtXqvOJgJhsCJ2zwDIzzxHvZmtXEAa6jOWCFlfybSFBPyo1SsZ kjw5zKpJ/mgHL79LNdt9FLwetk8n2gRGv/agu6enESsTl2wavNn8nTed/Q4KHafvB2TDRlgMkzti x5CoZJP4XZRWFT/uR256DYKgjn23Hjz9LqkqNkYRXZtsO3jsRBnHNGxGmTaucrSrK9MWvVqQkJz0 GouOExUj4uh66DxWsNr1/XzJQ7KUIEbzz3QRL9IUysME+XlzMgANzbLoeX+B5WQvJqXgl5yXW4Cr RTTchQO4C/1V0H2/Tzl4AAbTQFiHcZ7Y51+kLaYFnVgMTST9aNpkHtye11uyld2B6cwCV6S6Em5U OrauzPlE0GHIl/37wcDyE0yFmy+pL0F/1bfVDCircdrxxv8rdxrShOWm/sUyDCCkOeOrJG/9AX8O aGDTUZCCCbslcX+tX0gdWWOIzsWxOA7tAYSyrJ9LzH1DrKpILc5hVs8BD5EvU0ZdUqN38+R8XnEr 0ji2J8RT+GTp/w/yM5OH25R5Hhk10Mu1WU1eIDltbaCfbZngstGDfapDQtOm+Lh4nr4T2vnGRMa3 Ic4F2GMtt4uU6gvdvGwSY8aKHl9lqIACGfB/ilx2ZcgFYlNJoWpzxG2RQRH9r3lE+vjsAcoaDtd8 sTtR2wT9/9OuJO47ON8Bk7O48CZNQych92ytiBhgDbNMaE2NEmpBDu2HrzcgBlfuYs1qdDdIwP8i BqkRI1Zp7Hk9CueIEupC+5zA6H0z1sBt43R+rojPw4huW6WMuWkuNCK2iDxKMLXlPQHnlMARDWEP gRKpM5LpSCyMW4/sDElIaWSX0G9+u0kGZXbDC4GEUH/l2Kouh7NfPVeF5lLT5WkmPRXzh+YTVGCA TVZTHYaEBR5ovs6YMxL6t6d3whntDrZTIv/+hUenwY0290RE8JP8J8am1z9zlkZ5CFioMnd4B8Sv /tAUf6+975ZNgTyHz5JuWWYo0eX13jH4plg+bdzVNoQax9+swEVouZumRro9mVkI5e6NucbTrRi1 Ld2jXm3XMgQtT2oLP+KgtsuE7g3nNCXU1tLaE2SD0tfvcJFCur3WAPiI4P4cVJWVLPoy6kTzQxp2 wa0XShofByhp6gcs0vRxMkUTydQDERwGGCJEK7vLarZs3kF4sTHYmtDjksO3WDPyU2AgD34u7yfW EEKEaOTE6rd+8ukt1Wfwow+SijoqybSs9AcJ1sZZ9KXVm3PIFam/zRKeu38Nwrtb/NMn0uIKl8gm 5o/yuRq3czi9HzhXX4cPbnOWhOfyztfa5f5fWnMsWn5AHHxxbHgwrWUTYHq7yjaB0axhCxPLhmku HIYqT9/B80eqQMJ6EQrbxceEHhMCA6BV5bQiiViRepD6aPakf7o9R5fes+NhPntI0eeCRFoCxoU5 hNUdapDTuFZFfD70qiipNGNsm79VUF8CigMCNoCWpQXJqowTVkuRM+QwmD6G4ixDrDEMshdF1VMH WuUoj0RJmzp3Z616ib1/PjqCa9AntCOG+V51J6/uOsGe+sPyiEMTki/vazaz5f7maMqk1/5HDtMq f3cMZWS+gZpLESrZ5J3gwXkbfnfKCQqrJS4yJNnWP4kzje6BT3sf4bjNQr5XuhIUT/UtI7otkdpD 2HAMl36aZ+a7VOh0TMUT+FYFIwpsIrY7fjY0+hxCa0aeJwpZTA8PKzy0McxiShFMj1fDLNU0I4R7 F4w1bVwy0UF06gg53KGK1LKIISyFrBLsX/OGn065XWzN0JcoOPs3bDX6cZsy5OvEFfuCWnqi0xTX egemqZ6wfS65KRLj4RVxbhenPbP3U02HyBfglYF/PKUw7mDlyOGP6yz7kac3UQlxgJkRFEkGb/EC D+T8t3fD32n12h5ywlBbc+AsC+il6i1elAyrPqfR8b9bUbyqVgRwjYgcdjMsHCq3ktX4g0IirS6V nMxpkFHQ1Ja6cyIFxT7drhV1Jk60nnlhjdTZTkyjbpJlIWq8JOuxHGEvRP6olc/9Zv0ua/Z29U6R dbCv8k1dPGhxsEmnz3k42jcOUXt2XjmX9cgBsoZT7XGRZzNYOqZkjLjM3Glbhs+DgU1ohiuWc9+j +ouIVwD1cQwSeluQByDa+zZtieQA9wAVP93N7SOOmo+Dob9Z787QqB2mZiyAsPnFGJp9cCHlbpJx sbozIMYs5PSIUHWx5d7SVEenHXaLEsYpEdapAT4WFweMf6f+05N/18IBA4BnRF6A390XfZGl0/pZ z3w+ICeeOMFiW07RzDvEF0FV9TUlbolZBfw+0vpGHumpG7ozvJHikhgyaiCCehFMSOLwLwcuQIXb KA1u8/SKuM99szR3S7guF1H7Xo7+oJmwumLm3J5kc+J6lzJ+f6QaTrt2X24ijsBSc4gmRUeVYjOX DgLEsL6+kSzHanfqlJ+kCooaIPFHuXm9U+elmv+83Bw4NEVJWJA725Cpwx5w3dxrQW/0KZNRaXBt a3O/xIkCCP3oWB2OKD/4Vqjnd/QcrzLtdfMfb62jy/vmxmWpHcD4vroT/U1lNY4W8YwbeiIFhHMi /1pdkr3uJPPLKLoffAxlHXtD4iFOAvcnAK700xp9kzLimdy/VffCe8FDoo+NTklgxgPN6FdLUeFW O6ErWj9pnJFdDxSU26YBogoQbvzkExAPHWbmwwF9Ol+vN+udCiZLVKEgaXQRnPn1lAYaZA3WIxAq Pv81Oc3kQbfl316y57Q2LWhcfx9PDgtfkwXFUK/A0L1GPQj+YEUuEj/nuz7V5MNmP2EZeVCUjFcc JRv58RsKWNnJovgHMIpcZaWruB0HMBItdX+kCyhWkRDeNCK/oBcN888pRwuKWDkqIND9+qnRtbOT l5ngD2ImH75cqk4YbhGb++QCdv9Mku/Zwzn2GezTQqWDBaBnwPyUsvjOZO9L33tkCJ/WSvLhhKKw BVrb9D4Py5XlvCWWMWKePD5EifEkEFUJ5UZhPCeLVezmbQsjp9KFut9npvRvSLw4w/VllVa3pU/H eXRlHlnDjwtjIzIWgfXIsq1nU59QjI++wcrxV9Vz1wcfDBROYIRxWfnxB+nt0aY/EDZuxaWfXQKl ZJl1zMBymfVMwUm8rx1NBZumM8oTJ88zvLD3d4r1lWJ8e0btfyT0rllDKcAE5qj4Mbx7WohkNJZ+ BSaBL8R4hblSfsx0xd3FFnW6G2/Blv/aYzXwGTU49Rj+F2QPa7vQuPbBr1QXbQZG8NSsVxPPRJAT pE5HEg67c4zYhZ8uk6D0iv/hQGjmibU150fKTOH42UrYFE17iYoneyU0BKsA9PXTY8AZPlDZnsZv zmOTvC6qsv/kNf6y4OQWYyWwG3BnDbJ3MuMDlLWilM4x15Ixu+2EXXp5/mNqyQmpRA4gEQCoHc7G IE1BcJ2UTyWPptdUtVP0l/oE3eByW15M8pFARvoPPzCCZaGWDw/F4Jz+XYz7kUv/m/gaxcRPXvVa kG94vBV7LdR8tl8GwHAztUV3tcuK/mCDOVydqluuSB+e40lWZTATaa/pEXH6oLtw5mNc0OrNBUVT yCa6oZXlV9jeeiGXYhDxVAEiElTjhlgY88nqaSsaZNks/e1Sp6QZnYDifFH9kq3TIUEVI5e4mp5k RPYUK28CO7CmvRUAG6dMV07EOGdq96pbWKRLCtRpYMOl/D83xqXR/PmudgyhQewELDOZRYbyFKH1 Iaj2hl+VlnMtcymW7VFCqwiMRr64EjKsLybX6Z/tMI8WLm5JLj1JIy1rHFj7VVhn7hMWmt0ZddyB osMqLKY9KwntJyFNbGKu38B6pGXw8ASwlWf84hQlarGnN7nhQ2j30X64obijzYGdloPGruOtvW/U jfNvaMiPPxFfzzk8j2UI3wlUODC2SQoRPAPA2AtI94yDw+SAoSGzoIkBq0EWgSKjEInr4+FsIBpV FyITcqq5M01FUME/MpNOP2+2avK7FOirQICDjbQgnUuP8CuHynVXHL9A6bJ+g54eYTSbw22D5i3q uxXyEzXzM/2eQqAJl4khxAsuR58xgilF9+RITrawzvttFmSentYK/0H8VwirqBk5WVfbgHkBXFld 3Fx6OoNZJvojlczV3mZdAWxwN1dLdyZzPvjYc/Q7ltdw+sK037wbsgp1hjOhD1lMRTWHtVIZRtx3 kfAI4THMbvGEQU1zdwFC9gZVyQl3gGgGEqiX5iHjnWs9Vcnhb8pwQV8RtXoZVVp+I4r1yEaFPC4v ypQtOI0PbxEIO2zkiHXPJJVazCVbmP+vIFR3vj1zSRFlCNL6hHrsSpHFsDb+bPkL5fjvJvBwN32s AE7RLu50PGNwuxjfj0kDe7NHj4zkBx8vfc/Kpol1iASEKVMgFaKOWqZtxCrc2UmAqs3NVKrtDJ7u q1GaIGJfHG0wngecZcjc5lgBixWaKbiHQQb8FRwm4iZShxUBKI6CaZDeKtv7NXM5IqdxYSVTjmij jbirIBJpfbloIgV2Mw5q2pNMBUK4rV/f7Fvz3SCueA+aM6JUiSq3Xfv1PYLQLt2Y8p1GsPyvkjLq fycYRHnCmch2WtZ/WhCX950YboXkUxQPHwY6lMuXPyVbspciWJEqDtrb+BGtnDv/QTIi37a/U1WQ 2Wd838C+SLAZr51Gw28LGuhaia0daWSWIHAd+pUWay3ell+rr88gdWpXCIfcuoS1c7ktFyHjm4+c sNUAcr5/PedtF2Y5ZbQ10kgf6L3oKEc1sPZsK+8vqoPTLwKkFK22jBfTeVup/JuoP8Gzw87zgfyF lnYUgPFsDF4i1Jz2vJcYtAPEAJChz2f/YpMjtj01Fj7rm947h4xtRPP+VJY2ylr0a27SHQMtGE7Q l5kNcqqK7WpwEy7tVlxQh/Xizt/nB7mGokUlnrVsm7vjQA4DDQMsmkTFsRUyEqnA1jH29dcZ58Cs oA4qA28uK06SqlA6a+aB/jlvDveBbfU7BdVpuwDT1MmsNkewqWw8ZlEnKQfCAQ+WzipQjVbFdgiO vbCGRnkpAdnxuhijfgCMElauu0FgU2GPH5N7KL2XJRlUHO3aS4rvgfcDB/O9/wpmvWrzTDQAeHJb 5vagCxYVDy6BIxc5+0Ll3Y3p0zFk5wL22/NfGAs2DF0m5H0atwDWG3A/n69Y16HfrTi4fb+KS/xn xP4bjVf3u2aIu+Jnk199NMUjIXu+MWaCDR97i8rbVCBnLroxFVhnje/Zo7hJUWpJaGklgvBy8i7c dqAbnxeJzIWdRycZkjEEl+Db1A3AqPU0Z1AkyFLRVHpg0JF4IzQHeHoqPJOiEqBplGpMxROMtHy0 /CSA+LH8+2kA2AzLzObQ6Y6703TVllnm3taTg54pX5g1bpL0EENY+be8T/Necb6+GtP2LxeFu1eW uo4ZnSb4kbZaQb5vNljnVT+c2AoeRPPqDZyzzxb5MtliIAnDpd+7pZpBjiMfAmnoDDNmc4On82bX beb5BFPxSlieEQwGh+GdcVQ98/FtdbWYNKKYTO2zVZdeRdNM8F9wL8TL2GfQY7K/CRVxb2d1eX/p nP9BxBS2+nzk0B4v+lH6uc/IOSaqN3pNfLfDNTZpWVnPKtB8RHR2ZLWPaINpL8ShfR/TaJ51vgyJ 19lyd2BQA2gLMeDwesM7X9dZ/3USAwruJXz/RKyIyFd+jex1KbMSNbxl4LPkmMhu6LtE8U2gFkoa ztUprJEcLHFloarLNsoSSRj1ugumoxL8xI76u/vVCKVB+UqVybUvvWAGh9VLpRZ/iYd0jrv2KRd8 FH/8D0VNkC++dmMUfbMRe4R7wrUBwe7v+Br8Kdwz2HbARrwOsprel2Oum0BiGajM/WN4toD0IFNA rAsiPg7zKNtvru+U6nqQ3enG3bgocVXqPi45L+pAmasC/FfhIqzQiERq92Ei0EIG7WAp6X0+CG3F jkpoIwrSHagojJYkbZO22V5kWEozeJn6zvcB+QGI8xSZLSuzDpKhtcgqXE70bPV0Ot9g0myCJLmz WD01VZp0kMutV6ZRNdRknL3TtpAdm1yTDkwLwMcN2YruukOSn82hMlZYWdBWFN+c/gm64kZYEVds Dj2SRcZ7DNgqQt9pk07H9Aw70qyMwOuLnN5A9pVYJ+NAwNeF8U7wHEpov8fs6Zq1gQ672hHE77ba pBK0szpFU5W+wJFHFzBr6dbo/leRwQ68hOrGKF7I23vc1ZBnu20lkHs16dE5VxfyzprIGYar/591 XAICo0RYP8jwlu+rA5dRrJZeqlGsiWylZrrkBS1cs3oWcCN9xodVKogAMdHJIZni9h1Wdq/Tq4ot eqiyOx/39KTmXsB9qT6a8JnlTORKG0FJTQ3SYMKvp99HTQRuBaBOVnPWRIN4fSXkRkiKEC/AvGye GawPdWIIdM8zHxIsZ2S49Lmd+DQPBmx5HRLQE0xc79+37/e7gfQNc2yMT/6S/P29OfUe3q4embdd BPHSGP5yTlV8SIibQBYnYT0S90HuiIB26go+NV5hibVKmlPexoqoAmcgg3aHpnFEPJik81SzX1tM MtKVNgEGgsQSWQYwfyUsdzvVcU92/HqWxtoz2hsJhpVFJr2L8Ju2PyeH+PZzAUp3nlKeyUJX8iw1 MhtP/SdI21r9tBwmaBDMV5UOGigJUDuKECqFTQ/ffEMggFWGxu5aRmrDsNeguFp1DOyP+p6a+86a MXF+zomWkqqp1rADCxfDiNB8cmFvzxUDhtcZWisTscWb24j+IbVPpBor09JYnODzhdO7I1c9+Y3J 2Uwwwnn1MkBGEpns6wyd5jPwXMmCnmYDfQlypBO4gUozISDJXLeacNcbdaium8QWhTwSaeMVxH8V xh2qNb4Mz2ohKgiX+3ePqqt8mm0yX/Ryh8ij9AgPKu+pDWCwiXTVoHolkTAH3bkni282R5hzmVta EGzI9m1o1PV/++vLOI4P7d69JfQhOfDda0LXgdrZOpV6FdxudwiXsXh35we5OUY3qcDFPkdKLAQf JDnvq1CdGrBr6djom9FX3lXh3WHfNTyeoePG/M+TvoBUNY+vUPj/EqT/r005nYr1Zac4Etm71Gva RC+Vzs6j43HG8r9EapbIt4BXfXrZu1pXQbuDAAWSwehUyRMaF0O8+FuaSKl2ehZWXEHzWcxgDfnk Y6W/uHJDLwtnqod7ifcZcaoWpjxe1O0//AMAFUV3yiHi/GhjWn3mrUVl4uByqu1BziaTRUnc169l x09oKKHgzdPScJHJ56t8HzcKwPJSgf87cvMukKM50lBToAp7slHl16SAt96y31DJQLFiLYwgc62r 32H1EC0f4BbvtgAe5xh8KtF9+kL8B1LPrqo1dEXKNlaAdtGFxuF+DAeF17YiqIf8t+0Ce9ORLtWS Z1uaOnBCRkukjgRFvVlxYtiZRB/p75f9J/OSbJ5tdBvX7Illj9HB6Q9/ezzk0vYGivzf5haZ/FDu Eb4mg6zlcKv2zFUWk0BURoR5tsaJb1ketvl2p/LQcMBnrS/QC1lhPupbWHTHiJ8hFfrDh912K91U gT6Jiy/lexKx7LT67zNe0ImPwtXkeJ4M6IVwuexYDOINN0/ULqXG0xAuET1AnjuTahv/Hyu0OK0c uIWy2L3wT1KCj1gUxEIGEPC2FZzkZVMEAU9nDnXdvtaZfnd8rz0sEAAHYcxcAjEQGTw7mwVqoQHK NpBG10g3j8Yo5cHgD0tD1fB2XnnasXQhHR5rg/CSOfwNQQTCLVh26r81QXvigqbeTbe+Jqcb5oSN mcE9dEBzATls83/mHm0IrUkG29cCvnsUijCrTSuybtwILgY5LeB+LfxNtBjiYY6zUMkosDjWNLNj /3SyGwisHMh87IqOkrHekluizEdZYV6cemC+lgrR0NXpUYl5o8fZNNOo//RVv/8/ZzSQeq90c07A CZl60B68hfA/LWI2XtWmYT3hyFIkufHCNAvlfYQHPiY8oe6BGIEw5eoaK2VAFoaqMl00zII6COub V+tC48v3dKo/oP0nrx0l+8uvFrukjjH7k2X8JoFjUwzpS246Q5Dsc6LMpH5VibQ6Zaegtf41YXDu 6z3Z57vhiK1hRJb9Kme1VhVBuFFbZP4lCyALcoasSjYr5HZECUy5lypklgtcQVY4vETq6eRt3ytD Bk8YGagf7uSC3qX6WrEK2Fh8tzckDOIlwiJCCP9jZVRje3N6eAdofi5d3nknOHjLs2MI8fkiwWjM 42jrrGCBu66BJ8thInUXCnSXcG1+SlfCoWCZFnYyyuXx8fJaOquCaJDzbbUQGSzsCIR554YxXPIb mg9xA3nJ+bjrzdKFDMhyQ5SBhc1dVn4mKM+Ko5i+wWFs8rGrXGxoighDnyht2bCehSUeQQ5i6jmi 6SiO4jdiGg7NE4nKLszAUmQWaU8JK+IgJ/jev4oHHP4zVP0NDya31IJBpb5VBg9iSotf74AZ9RqY D5MRDkmFLPOsnLkGwGrtYEdq1A2L9HF3IuX4U+GDrySkeebGH+5NxugDVy9f8eYrIl5UK5hP28sI 5eEyi2Hv6WQ/nENdBksvgXuboNE3ZPAMZfeeyGx1/a0ZeiroLb0ugLSMonAgUm2HHE05tV39Sen+ wpFdWBEdFu6C15Y3x5BBf/132JIpC7rrkcdVY4cksh7CwNF4VVpuJ9h9LW5xQ5JIhnGlyLLYhRip wDHz0U4Y5Bucch8oGDZ4ZQO94VYYfp9X5lT5WOdajmedbVHs+reeU8tOSTCrd29XzQ1nv7a6F9sy EOF1jSn/ZlJ6uTVSTbrBVKtx4wWTSaNnAtPZGOTJQIa0f0/4ByTxaQ4n+5E4QNbonqtyr0RpBNhf gt6N6wnaftUKEXVvXsCDyQR5egIe2Pycbac+u7CIm5yKqNGwxqdMUXsdSLx9uJVa5yVewALoMI1f SrFAUMIKeR258khWp8HQN95LToetCy4Bq+fEHpncALAolUzSuu97GfBvO5XjnofMXo59ohfoL/5O W7xp8+pBHzfWD4rZbw+Po4F2c+Qw/L1d7kFI5TpC/hPrzYMWgw6anEHhMo7qoNKKbmo0xaF5Z/bw 7D6qvLlbd7mp/2My1WWE6B7eplNI/XwZZCbO44aE3H0IaurOU1bDkoXyLpBhiccpeZy7gSaE/x6o vMQ48muTzbOcTQ2ljyIEq3+87W5zeOVwnAvON0SZrX7mcABWSa63aZz2LkHBjNwws/J/RMyy5S3L B1XYuQYLXqO+N+aBY0n0yOmyzorPyQrhb7SQMNv3YHCPIoJirLyPH0oWRWlV82MfZ/lfLZMREGYe UbQc3y9op201jjzMftmRD+krpWY8cCMhQ+rYG12agyGAHtszvJeivqi0jjNH4aD0W6fH/HhGjnuc R2GBzKieIiCju0OMzOGWYHO4F+KD19hB22UtAE6+o2VY1Ei3yQigzIFkV6IAoMCiCpiU4F2JAgLB kdSmQE9UvGMompSJPLa48OjJpBEw0r0KTLQUo2lXM1GLPouBYRRCmkCZtch5RNxABJueDPKXoIee rxobSmQC8TvHA5Wu+GnZ34MHof0lUbUPSuZSqlykeNRBtE2XVx6PRpXiBRgkU1QGIY47KLFnmGO1 pi2NPUTj6ZJ3XIHxQaiYdnX8V/y6YGvTFFR+bmXLYbGmKwlSzqXBNV6ZpCjxhOTBxUaiJGojY218 KBTJyXrZAH0h51M0Ja7FqJ7X3jUqkbt9FmgdNSx7wbc2c9b+MyPTLddu9uVr7rwh6+DEjqTinME+ ztq/lmCldaZPUUDzf+RYuT/wccy5S5ca9q5uMOdvVh4XlZ1j1+IrPYCqi5TtbAUYsctnKbB45eju HmmU4IIYwNvDNKPTlYLIExmN8n4x4kvovW/IU04pzTh5oA8CoeDHOnnTJxCpRU56rvV0shRKPkKc B886ueQxmYsld+rhcUMo6iNQAMqWfwf4IN/CCLMPN47ux3d7ecTfviZEO8UybivQ+Qtdiew00dRf im9cgMrQMkWMB+nP9iMoydVNx64AXVFk41o52K7DqfWzmq0t3s9Td/QNYeUaio+WtVbDHAmVSqWU hS+cLCB5Ok8ixL10ieWRVvW041hD5htye863rAGsj3ngDuMv8tQ3QO73JJsfGjmSsg53z2hpvRwb AbxoQDKz9UFdkawz0O/6x0OuvPU6dq7EYIt72629E0tTw5UAe4Au09I1awqbqen7KBMx4wDvZsoe pU6sD+UnS9DEA+VOhIsxqttAjq+iLaCsnKGBaV6vOOXS8GE7PZxHRu/2uXxQTRh33RYjV/ppqW97 f9OqoG9ycoFqVG3nVDaVDZ7witYW1AJ5wCMiMTbUxsGrGQWsgzYnZkHUSlGQB26897d64JcIXbuO R33nzWh/MNaFhCQwpbEtn3Ab+YZsEdG1CgC5FSN3lK1D1I2xcXbUZZFqUgA9OsxMqy5PJQEt/AjX qJGxcD1aZaMTf/g2fbmUFmO206afLV3rNopndqVq7ncXp/AM9h4OtDZCbQ/NP2IqRaclJPLEegUu 4HLH+xT4R0Ion1WNCA68aHfjLovKReFks3XC1m/yI9kqjstu60pP2S7mG7hJIarCG7R1hftLyJfH lz8Lh0RR7b5GyFtOKZUrLaAx9l4E+KLVEEmVElNEc4sD90axSL+LqhKq6Yy5L1SeDM0Bj7H0bp3E zJI4TckeWksCmWRtaIbCOUYzcD6l5ihfe6vCNbZWxWG3PwTLH9exC8pH9dwgFpp5A3UNqSNZ+iwE ExA/1i/Qj6PB84j+nK1yN+llWRMM7Je69TsjBesLVm8pzZUPOd6CsvJiU1dZ+fvnBX3kD9G22Bag 4LN1+mH6j/Q5T1ryoNaibtsYriomY6gL7AO718aZS5/VOO/dKTHElV1xYjWkAq187IdDGOHAT3Gz 6fwqZaIZfouI8IPcabrvs/1kaMbLJl/V7lzlHr5+xmvnWjBve+vrv730mcMRkpWW18Q0Lj+Q3Ted lXq+Ja8RIKjwzz1fhhF9+H/3p2mzgQyqztjVx0ln+b1XxU++8Mbz68wQaPzvpQR8KBN3aX19fGbg uFR0YvHMYqyRbIpVFbX0qFdS7dyAoUHyJmMHnrURVfV5Dpv25V+baOLtjOeknfzt005sG6UY8mpi P3XfG5bVQXs5yM2CLQAh2swRL46YV3zV7UXt0VUwSw7b0w7tCCPvjFwVUt4SsSJ4sqDpqlMSEu9T v5M06jtTVOT6hIE7cvcQE++AEDkyTzXo2avvfid7TuB39D2je44oiFFtQTCRuZ5peBZpFQ1ZEkCh g2g1kZRrcgScfQbZ5fgY/GOB6zVZX2oNZ6kymRAXBbcLBfUrrbQSg0NjQfv3mPYkHACFtoyAUXIT uqQ3Wuk4E0y5J+AghENA2wpPYXa1o++kfTQGkxjrFGHNNn3jRMTuDVhq8nMDOO1UI1BB1X/YMUjT c5kq5HxOKVYbg1xpfj7tT+6/F47l/SPuwnZv7VTbLq31PqVMNw9yUqXjNV17xK3I0W5Pf9Rljtjg p+3uhcWcu0BlcQaNgm6imzlYPxyMdfCMUF8phweWENq6ZiGRk9bgH72wmW4S0QMfUD9ogGkV682S T9zB4ebjblBg32LyjP5539CRSosSf1jsIZ8S29ufLjcBDegBkOITmfIi5texai6RsD4qjp7qRTte 6ghJmuv2gs6zHoP3Qh2oaV1XW2N2bjws1aprnqmCU2C491muOYg07A3LA1DBkPvdtddUq5OsHwcQ C2lTfGC9+QZZu6lR25G5IF/dLj2Mbvywd2X9kwcyJKfwkNOzNiw2w1BdXTuiRWKnzcOdoqK/B5Ck 7Qy7xfULUcgVk+w4sEo78OmPAScDCWwVVvqvM0OVwAZI+W1SKbWIxsJ6WdZAqluubXg/kydnSOvp Mca4MhaBthmCnOANoOkp2uy5GHzF+180cvdgXkcBHp62I6eU6Hg07bZjXLU1L1UG8Ip/T4n4TpgF qB/mBLELGb7noYOGQLXbl0cB3Wje1fpyUvUvSIe64yRscHSnIaNOOpy1FHshV47vb4lEJiU9ubCT C+RwOP4IajcMOjOXrftqbP6mqmNSEI4hpkFpXqE92majI5LpQRKMJCk+LVXHm0qiGUk6S7L9rY1f +xnNrqXnbRssg+29h/GxAbME8eJJv+IOrTYXWq5jGNpigeI1CCFipHIkVQHptdoQfrlaF/vBoIIO lSfQhwX3ssA+oI7LHm3kO3M5OiOJ/Xu/4pokpapylDwlQHvcb0BVkUXhwS8DOltiE5xaFzgRJmQ5 OsXVTyxJJEfLwve2ezNSXeR3UfiUpTd9gXiNlFiTvWs07YxfDPzoOt0avOwjjmp6lVo3Z/b2uny5 824uXYtMHCZyOYXhcSC2XhEJP957NxNJEAgLkNEc/XXCzcsg1i8BFVDE5x9rBJzA0Y1zZl2Vc4ni P2RpdOw7/+AxZ+rHtE+BujnYNG+1eB0cPMgub9pMyhau5mIUi9ohTl6udBxZA6hR5JZOJxDlHgU0 DX1yuPCw1llt1GsrlyHs+2maC4+x5y2j/Qs0XUFEHe+cO5ciLC8YOcDWRA4plNVhsbvs4a4NysDi GvxhREwNCFPP28AT/684ax8+ppaKJMPMfxbXuD975n/DWuUPycMpRuw4Lfc1WJY1cNSpFeIBqwcn /ZxMMwi0dUTLSCFEO+EFgSncghvKRSUzGWnXxG6JieLNvhOFdMX6VFDK/43pmEQtpDYYDeUNkrLB d+bY/0v3yv+Bos0FZM6bpo3XbbXqLyUFO57Lem68iIw9Wy8X33WtQfavfPK31JIKNWBB5Bs2VYmZ KTplwHNPy1HnQmZXao76hc/LWAqAMsZFfGgH2lQgPWElWLcgFjFVOTdL3t5bAgAxZhpP83DQ/RC0 SH2bkFQKqudql/VGuC8a+VlKLYyTpk4P3IGizYVzBYA0pEZnJ5Q6XSbWFJ7zpWXs/aY4BMdhu3kd WaSPwrXpu6QD3KlJk9SJMVMqsCYw3/9wxGvgOUE3gaw4VFM6yl/NPYwMoyfcZaHhDParLOTtrmEX y/KLWcyLRAoGhS9X7gzG5yZWlBabYUadW9oRjHlfiaBGYCCHLf5CnoKfrBiT1y16jSIsUl4iBypT H2XvK3Rmjsfv1pNB1f/nN7hy1rLJovK9KPDfoIBNqglTpxV/aABgtGrv9GfL8HAf+9bwY2PeEnWK S6obJw3ouKlIWSox91LMLvmm1LNMWzOEw3HzXhsPHHifODlDQqxXCN6EKHDOnoVSMHBJc/eaEd3g 4HWUMJg7NoIKz23WafghG7ceQqS0A4/WTRXXa4nIYAdN7m0aOJgwHHtlGvmcum0iEfxSWfIcLy1P XnGvyCha+mB9OTJqui9Wrqxra3yQDnAjjAZi5onOqaQzIk7dcfviRCfIFTvLcMC6Lz73r+ENn8pd Dn2qM0GvrOwbAYhg9UB4bi19MR2TZGoDeZ/zlfNE3dKdDF5h1HXXh4I+2tsh6fVstFND00NV0Lkg CCknQOJYEewto5IDLTlVr+3NsWvrtoDcPvFG+Wi0vU40ITGSP2vrx6WJyJYnkKNU4egrSL7PdN8x BbwNHWYV3kdw76gP/Kuu05gY4RP2pXvpKBQCaxHRGv5KaHjP3a/IuhjcFEhu+ihl3L0Fsqaraehk SyA2v45jp+QBA2nSfYtEO0but2/OM8uKWSvzeO2fKQjMgS9Bzxy56rIV0PQgcLjxDCZdBWgGytNO PQk15P46D7Iaff8YpKJcQ20sLTwugLoIE2lJt8fWqwQTBEQUiHPdjKNMABV5DcuKRdlzxizfwUUu NHKS4s+NYr0lO5OwmfovTtIk2sFtSUWIAQrCk5oYuGM3XMS89vla/VAxEetN4KQ55cqa72NNG9oE FC2aOZEZgbrHV7F18613I3c12zooZXhHoPEeIU8BTBUUn5TE5k+fhq5xaEB+u7a2j6N0HCgZvKFK z669aL0dNtsTsfIgYmZB+xtlbYxvsZ+26KE4iT0IxaU5PJ9/6f3GIDHxNuiBuPh52wEBF2nX5syk /iVNfOV9EkjW93acUvimq5zniH4TX11kiB4Bit5L264+nhpKOiMAkQRW69O80h+bywyaj9CQ44m9 Cn3YlWOhJhYOTnCrDcNzRM03QBkGFEkCsAxK9LO/qLKv83iyyFYwIM1RrOW2Yr4yagxylZW0kRiJ v47kb6LTotcl/jMrICNZi3Npc4ICtYoydMi7sZAASQyQGWoGH1vLSb8OgTvPLObM/VsanvCWAa1m nw7VDipS504urfHflzB2bIe1Ez4UD6L5PNcOuktIV3l+M/yQErIDEmRkBhRMC4Ai5PGdRGPRz2X5 3V/1u0wcRTfvJxvRmxOFfDdPncEbwWBn41xboxtYTMPv3WDn8Vas2YCPTLrhBvJr6vskDQYKBYhX aejT5S0WdYF2dLFWY4lzaRvCK3CbtQ5dXeOHJ9cao+Ff7UwzGxEJIs/gkple6mkG5yR+1R0AavCQ QeOsQz2W7tesjr1GYKrzzHtHlKkEbBYVGRtTajw9ufTH3+OQJwz56cUuAgc2l4kp0LlNmR0w83XZ WTnJYCVr094uj7wnQg84ouLOA1ZkcIOS9gBEbmtkfKwuqhTz9MP1BzZb3PgHmnx2Oiw5Jt0DNVE6 Qmz9VhjutjilQNr5KeeR+2luV1cP/Wk9IJmdlvqWwf05Q16aF4IW/qNO8EPB+hFoktsahocjieFi 04GQyG9MkOdQ3Dv0b6zdb898ph4nE8M+fFkLsO7UD3VINRnqSQSmEzaUEc8kGHwArNpbJfGMFT7O iCfFej34I6blgEbJW2EFxuuaos0/PdxMXkHnUONWAAMsDUU8s0aFOIfaG195G+uCyqsG1801Hqzx ypbZNI8nf+LsKAv1zyWpH3kMo7+EQANWJQevUl/X2N2Ave9jmLDjiiwM9QHXVF39tcDGQE3o1xA7 1lzTHJuQ6jUba3GerQCgz/ti+YMevqszokXY2ZRySi4iNBACItxoW30NTr9En6hqfIpLYZ2XPiDG ZW02xFEzrHzUch1SJloLb5cESTKv4gndiexVyZ7XNSVaVpM/+JsW7HCkbKvR2OVWmY1hF3yq8r4C fBP3XUOWFZL8i9hHLHn8satUU5pKR/lZgNn8eMFccuWYCTqsU1b676tsKifASBCog+xDU3J103S/ I8eLOHP+wXKP1pCY28eH38H6rK9S53fmi+OYy9b/MNd1nGh7iispfu7yNdJRJJINNrbIMNjoEbSc 49zka6D1voOdAdmdB2pOAnYPNmwFuWWs3Xzr+SGA1vAqeqzAVN/DLZPxOdiGDFbsSwUCg5e5do+P 4Gw5n7CH7CYZg3wLCECFBFipaRYEOKze4WysrZzJLfGiYWRwFGX0HV/VGAM22nWeX8fiubVEAipF 52gOKETu1ylEd95iOKLQc9UFCvTJx3et0CEdQXRtA0XE48i9dwmCLkC45Ik8kZi2iLM/NcWEMk+C Vw3s6yrqbwCEmA082tkk34pkJc36Y9D4al2f0h1/BqgY6OQEWDZNCJrzi4UcUsE+rtTh0NCKTbAz pSBs5ZHev9RY4KRDOO0xngZhsSGYSL+CKHyMBb4cO0jDFHO0a8xcinRAoYwwOLgF8cdZl8+cFFhF eIatYvB1Ls77P3uBngNOxG00FEw8jQLImruyjEd8pNoXLgVTBg0cLH6oxeDisUmtEydo5DXivDYr naIb88kX1gPT5G+GYW0YGchiFQu87thEtn+dBI4wOx/A59VJL+G7CdRR7HmADNcshaL8upAu8MTY uPhBRDmtKBsrHRf3Y8r0UOv35i+6tY/HKvR5KQEWsI238xyludNM2vbKOG9WPBsUra4SIoorMQtR XrVUDau2m8XqPXlqa4BNkatPVRXTAsvBwxVXWDkZ2WwuPS+BydLdvfhzb3fpE0YjWN4Myv6b0ZA1 kKdvozcaZQr+oQiznIc8DhVfbITH6hlzKb/4Uq/ZRXizTCsixwo9KAPEkXYguhFSuHPFvZKPVHiZ cqaNbFWJiEFeh2yQUbEiWj3cr5/2bYGz3kfc2WdHELRlrJ9oT0f4wBNso/TvWcHqSKhJ37Ryw3Q+ EnO2zrPoCdspAvvks3JAIC4dGVodSSyBO5JOXJr8jVbTifPRyXM9vBHyR5d4+qyU22BrOWsgGshS u6viRajjoHeYatTblHfGr3ms64cVhdpEy1Vg0j3ckQrQrBX68axEmw1aZYt0L6wpMoWVNRxPgOn/ 0zIGg/CZtz56/l65rdK+1OuQkQT3qIeSBCCu5jqTgucGwNlVOXsU4DL5ahUt5p4PHY0bsoL43r4M N/1dw3nonVn8kqkXjjpQsiDt+p1Y5LDj5B96yzVDbJ4bRYpqWcsfIN04UScP9XbwHo4z8zAFu62g eUk3AhQv0HZ4K2CNz5IeGEy5+WNXKlyuT6D30coO0jK2jvzN9fNE5Zeh3Gm27YbwxmKQ6l23ma2W +/CpiQ0/RzfOX+g9ZyCmNzqZD+Cb0g6pFPxtRzbquYdNhA3wish2dJAC2LW8H6ut5lNxcP0Zn/UC 8s8kR6iZ2L7+bpz+CEES4TwiAU3v8FdT9Aww9n7n2v3dKKZYXJupO6UyaUTkLQftqusSoRpEL2Ji nBaCmZypPraBxBDekr49Iy58f+mG7R4XLeAokVrVU/+aknhAmX8WQwW3dScI4ddj0/PjEaTaOJ8V Jd0NWVu84xuEW+wPe6KXBAeU3ZvXGJYXVxb6pb6Af6qKnMZGXCG96yEcn3pO8moml2xzdq8M+S+o 2P6cLOWkb4wnE5JptXA7oUOOz0+X88jKyBVHSiGoUAyQBRVOy8K9uNuS42j6V/T6J5tXrgFhiBU4 wWvvbivFRsbi3H2apWNVpFNTWeNqUrAmtZy/l1cTUeJV8ukGEsINO3WTgBtcbRaFRKaP7ZlKUrgm JXFnZw+S6Ht5jiq0iyeqMHBcSGD3XPlQhG/zeLHnNQ8yXXvLgQs0/LyIqkZTKispv/pMxiPFIuVA BMF6w3rSoCmvHWT9C4UrEr4OMWPixGTWaNA03soNzgrDyU1Kc7RTHQcfstublSQJvIXHqQss5y54 byCokeWidBwqKMqsRSCFdgwfx6VkYe7xqe4nGL+QMJ0TbqOHZJAzC5rqDFwfyhbVWdWz4cb09KJj qy5L7aFh6Lk+wzH7CPsWDbbOyEsrsxYZvKEe/wjNFvw2EkL0rQEQPTns28G+ilK0u0HrFgT8alfE O5SLZH3H0wr0kEvvv9X9kPQL3i47a3sYJAZTRPsF+GHOszN6nsCcP444rvgvcZFv9lSB3HdQZfsb EP52AgRkLc03Jm87EaDmxBlm5OzndociFM5DIfjr5q2o0ttHEu9K+9/Q7g0QwmSpahGJRLIk1wmD TkQwoxw9HVixE71Iri7gCZ8yX1GwnnHLT+sl4GhdM/JeMO+Ieh6M8pQs+Ryeh1cgRg3Ww9jAcxHj RDxlYqMWnoNVVwwMFvd51972QaJv7L3qlHc2JX2jxN95jRZ54E5hSyYe4a3C7Y5LIAW/harUA3i0 Cki5JEAN0yMXM44PRvrMFvATerDq4x06UzsaBvSvH/v4i33vKyFs/jjcjC6rABcaYF1aAGs+kVJv ofd6bo0bUF1sr5/yyT76C/S6mCj5fD3cMKZDz7i/O2cCeMBugolmHxhqI9jG9Eaytn+ykdXK3pnE AIFrucBK5S1cL+S/vSDhdt1FukJD/wsKcm21GNuwTfabB1fBCHV1eFbs3w8SS73u/FFP9cfIpwOQ hBSxSnKevt4INvQdOCzPmOx63/XpK24xAdWyI4+/jpkWLV+/T/a4my0+zqCqsWupRWW1zU3ToEQM 82lVwW8ytV+J5fxHd4smza7ZwE+c5hJPBZnhfVZ80yOjJgLtmJ30Poub3IRefPt2cT3ftkUBFR0Z 1tni+ZVaKi2NdD1IURcflUl1gZi6L820JDJVrJ3bbl7KLTWa8VV3qWkGhe33xlR0d+t7DkXgDKA9 xdM8ZiChaZVLOM6+Pz6hZsDIxPsLy0gMxJNeI0thaasFCbVrjTTdeDWNFhUg9WbFYIMlPBCpL063 qtYcggk8E5d/5zhBSQxhxSm78vSpMouj8R7Ijff6RMDdzbNYa4P10Lz22LftMevX4DfSDetLfD5v bMSwtMk07ff1yrqRu2C8LCIbrPefiQXBBESihXKDHZrxXFnvG1/zMQgT/rrkES/0Ku96Ntrn6UQR XOf96BENzxpdtnmJjJVSUyoRgj+v/wC7h7I18Vl8Eiqant772IIOhSARfXd1y8s6mTaGipf0vxTu sbdjcwwVm9G9WKAhzvXuAT53kEHo6ysc4PnsgJlYOnueKHmAew6nxq+1BuGVKxRzfHhnurONhXG+ yNn9kwEr+bEFNu6l09u2Gm3am5d1+pF0sAPRTrBuFkStu9zRBG914/vCzEQT/LOcpbA+wHNATH6o iRAKmOGnAS/pXMBOvuHqXN052L2WdKTJ5CPhS0jEPPtXyPaUlmbPMHZoVSzvnR0IAN4JgoXdKPqP jO1CuahjaOjzUu7j31QemwvEg7mvZaKzpj0j+SKPSPPKHQvwAnbXAdo4dljeyyGzXyuC+3+VScV4 cpoFAzIwQZY2vsHieXJXkW5WBA+uRv9h+btOqKvCG+zJhXF2Ypm3gChXSdVxqm7Xqp5INYy+gr5b EwXLWsgOg7ebBgQ2Tu+FlyAWpxOKeohMt/45AoVcTugzROwa8Vu/j3JkAWQue2WMOLkJSPSWlF55 p7STq1rAaSrfFGB01tGGW49rJoHt5Hag+QheSwxax450+oITwoklyHuy+fO0sDnDQ2GWpIvCTb9N 9OzfYmikGUkXBJIMz/MbcBdGqKxg9X2WFpHqQCn22U2geyR1tMDxJ9iPeF5dsT3WNtI+ThjlyC/P UdNnL8WWKfGI0nz8hunaa4tbcOLK60ztgPjlNvH2vzXPtUXGid8JAXTKFGZnTWvBFuOxyeF50k+D 84A/vFmnAo/lfcQLjea3gRciMor9XnYLvOfO5oTW80ZnAv6MIFcihjOwBkBUd7/+VLgoQXlyw1z6 e463Y5HuiGaGa1oaAmXquu6+0oJMNDbC0S/gw7rgKXshj/9VCyHmkxA8s8xBMgfvl2KsJnZHBH0C mBALSAoYBQYAYgQU+p2dFZwkW+q6d6s6IrqdTz+LLf+uVzQM0BuJg8IuowINIEFMwFdXNooZPeUi LOe3l6clHr9gz32XitCU5su9R1nlZ6ECnVH9JqDiWHwPDspNQM3lsGTvUfhy5E9XLS8Xvnt2Wczf SrtJ4WweCP29vmcAvOMWR5GPenbEX+Z63VpUc9VmTNm3FMN8CRa432hu1DH2CJA+5wBRvbbteeXW NZszb3kHdsea+QXPCRlXRtKjOl+ikgfaxOBBXQKc4Tnb2FWWjvzEiWK5kxhF+8DpVDJPe0g4M39e tn58tkDoEVMxgpYDwfIhqp/FvHQq957saQ0V8yf1hMhqp0j0GG0Exso70e19iQWjlCq3SdurL3Eo WBXZseZBZbv1fqOwP9RhabIUixH1JYSQMZxOPYsiEEANaJOyeKrimfqYD9N0Byl2+WhDQOZrKbQj QfCzf3l4EnXzWLmuoetRQ23pXtDyBi7kO76JJHZGS1IlOruC+GbHBZzhTLf9toT9rxNpps0WqXXU CM4yEK4F7+4XUT+kZdhC97Gyhc8es9UDeY3MvLWG79rIDl1owCHjzSnHDxal17LZ4QxiM/x44SAC Jae4SZqbQvqa2+U1mxem8+c0f4yTJENKWuHPpV4YNYnaszaU9E8kYCS0pnd7caRLah3G7xl48S7w uExgqFMwajiBI9xHpYjGH0Iu2KHZ+KaLUP/WXQ4bCYpBmSQlQT/yXQ64txLNtPbf98ryH7DDiQqy +4ea37ULLEMekBlUwe+6ilvuMKOyzJkYg8cobwREFNIvV+evQRILyLK/5UwjEwDgXKit3jOSGEnn ijUVBnkGUkJJrTh4oy53LQa4I4g3MyB1QmU8KZagjPMStadz5rCLjI4zrwktF3xEpPlPMAuLYjE4 dHbE7/9ZVkt6G+m3eYgKtoVPGxqdSFu+g8TvVNwukKGXLeXGXsyCcmA8QR19CxjUgCc1Y+B+Wa/6 IIYT3QV3Ws3PHO4iqsgN7RJtDpwcnWAPMnrSZ5agE3xewIFGH7yECh3f5ssAkOuqAOx01ZGriStS oCIzsjpgw354B5HUJYCLgogoMWiFrHqDIp3kreE43MZ0nxnCVYQvDv10lJJx21suS6U0+PixacNt IQ2qy2uFnI7J8YZaq0bp+Zz8HTnJcrwmj+j/OiR2j2qeDOWkBEyVObj7Q/DBX827rF+zQImqZ9Sz QlyP571xCELk/7GULiFExstlReg1BZVkTtl7x5bd+vNLoOsj8YDdWJSSI9S+7PLe8YfaxXBSgJoX OTluqHiq6ajX3vfilxQosj5p6qeXE/qKt56ZS8OHqTGhUhC+vQkeesFj0g6bpmdIof/Q0tHjcMjZ Brac4nLSx6TeQhRC2ONorQoobWZSq+RBxD5yTAZZ3Eb/qyKbAbB9/ezwL+4A/ZziAoVY3aZPxxtI qg9KVk6heQl3IQb/2Y2LCazrnjgG3xmBTxGrJLFdOgtSJk+9MkZ75zfbCHwtJgPV48HUd6Mw+VM6 07c8Z51bzpdgMid6/shXSpUFOR688F8c6tc0h44AwzhEDq0HsswnNnrQCpyjYwZ7JgonKmqvDMHg dYCCm4J6Kz2dJvNSaDL/VJya2cbppZs5aQl8GfZxfR1pYiqUSDt1JeLhF1lXBp1wfb6FdyHLpkbP DxiPu1pmuVaByk61gGq8RXU6e/vVBwNfS2nQzeF9XDN8jvptyZbWArzodYUz7iwkV0qdetQkKOxi YA+M21E1GWGWcleODop1gKEyjyOA0NcpXwD/m4ajSY77Hk/3K2DHfDyY71419/IfCTlF74OHp6XF 1ALk0jhHKQKqjlqrqJOBWR0TiJ4puwjlzxd+HR1NrBeprtpEXNCr+zyDSvpdaKyxR5ik1x/oBiq7 g/OFeCGhMEAn2c7gzu1nhkWzduRX7y9lRL9U33JtXTHqaNEl0aGW+wSXM0PUL1v3iPe5nXMVoMrd urlosesoMnBb4QMS5fQdCaOe2PTm+JF5wCS8A+37tmY+P9LPNkoRK/mxSSKs2es5s1MXyhgUvCit u/qgBtP5wmtkAuVo9N9CsHTI8g0ftmC9e//LsoES2rGo85U7BfYCgAbwAhKm6FJb9mH7MR7W+vY3 KdKfMsiH8/Sk4KLxaEmOl6khnPAyMfd6ivkFyIIxbeKAVsSmKYnsm7TR5ggRdaVVs7BX8pjLePbN y9odkP6msUD97beoANjlX/5dIWK38Uydm5K5v7xIfTThyqlElasiua2g6CDhoHdOq7Ie2/o8b9UT dvLY5fXLHmBRw4Na4n2YQ3CF0aCT1fmbkC20t7I1y4vMz3XPepI4HGqZD1Re0N9uEFNbnwgrufyA tCBRLKR69ah7zxoervLON5LyYh+HW/cmQpVWLED8WKpE8l8GBbqMA74DbEtXUrggs0lBS2AAdZkH 2YkBhRyvIx5dHdqHQMNMut3QN760MDMLJT8KI0mbKpg8vm2j7zuJe2V8g0CFZ8NjZYdOTHiLmB1k wS7gTuxIAMYDJo9wmTPlstgRTUDKS2OrcvRAE7qEZqcc6C/5ypil0CuPspryODaV80nZu+bj9J86 RPMGZokK4AktGIsIa0gJWMfY7UrEYAlTRy+qwfvmGRJMAeHlj4nP0efRmuYrhORe0EkLYBi6UB1R daOZPuVW0IKQRRtKw8HXnAVYl+u7d9wNTh50n1whbhShUPaLS+m9/X67wyoh/AOLzHoqb6HKwPXU WrZbCEzrXl7zZhnLT0eGaSls+v94/A/MBhBlpehpfQCGYGSmsENesI3ENmmGHUYDeUAwxo708ovc aMasmzQVQMEsPWhjNRQSCWRht0VIzDTVuDHCozmmfo9bpzrk9VdNCmI3EU+towvhCLO1jpdom4Oh /r4j9g2dPsvnkiXWjPfnGaW56dtng0eH9pi7yltdyc5UzWOcNlmaDjy1bpAi6kgbdwQKpYUuvtwX s6j2JPC1QfT8EAKnuIwUxpBwA6eYi4N6Nq1PCin4FfhG1XML1h9SfosSEgxp1D6FoJ1c5i6W+RhU McHSEBXxErBAOmofBIzhl9LTghAuyWotOH7qw4aBlOFyEY9lo7EkbO5pngBeTPqW7CmaKN9bxClF Wo5Fkn2WGIbQqq8ex/9t4glQIdJ0fYU8zV/ko8iYw8HunvDp0a36wJODdBuxr/jKl0ESdvE0CtC7 cjvNrPIUdOobXFO85NNhH5yIjOkTbkzhqrWxWBPwQwdBAOhrGyRAZa9gw7S+QP0kEbZcF60nQI65 AZMXBD1TrIlR3orwFy9NTN7VLf5+0twXhfurToIVMegrofh9AnbINoKva3aVKHQzoKJOl5yAskVd NuljZsC0aWtqbgchW/MGpylCbfHWskEMFczb/5nHHQ3Y2Cy0c0LWtJiWAS3/mKhVBcftK/KwKSsZ cRns0kwsJYvPr9ofzJhdxZEp0MOeavAuUhSZzGa9mMg51TsOV63rqI2P1D1cpsC+E65v9IffNQI2 uL//04OSbZUzJtE8k6I47mhteplB2P7ijNMmsV/LRzuVbAbjZS6aLztnABjjx/jIg8ylJlkZ0oQG u/pPSJdF6HTQBuauER7QU4CCcYCnoBneik9s1XcKBMAhFndtL7AZJNx1shDDYEyYgkHUwv9FgPRQ LoBaJNscn9PX6linB4BPikeXpyNCosOSf8dfWjdM6W1A7jxFi1uXN5BEfLROpgWkUKYzpYB0gtd9 MKFGeCoJ9MJGqMF6vKfgvrETHQEw5aZ52SewsCENBtIdboScMqnYikz/f0vQNNoCNdu5OQ7OwzuB ycc9hDsx9fwCPzpHKfinJXbdxHU7/a/8yJqXPYRfZdSQ96yK6bAoVKBTQQ/igitnLcs6deIHpbqP vGd59/19rgZDdpo4aK5Os/jU9OGVCU1ni5s88MKp7fLUzcPhcRzU4DTU5BPuj8HLOOQPiseO5N0V rlirbUIMEQt8wBpjHZRKuFk8CeTAlYfH5RIP1tTn0GcHsxdT8WAGYjqT/unzxNX5Mb4OLo7bd1lU 57ESkUzfgQWYSnt88sh1fuOFjsv1ghm28srCEn3iW+M2OtQnpupIKnAkfg/W+NEC/gYgp5mw2Qbw LbCTIGg3pQrbTM1nwgdrWAXqkOxpYkq5pd2Hd5/Timo9JWtz8ma60reHu0WIryP4VJcxMFhd3RB7 OSChsiv7+6zZ0aCirkwKihaxM725t/RFmZr6eVvzZ4wyWe0RC4WI3hMft/+qHMksMqVaIV5/H9GQ iGqM9ZEjaMbWZUenSSwcv0/KJHgY/amf7u5Zo/N7V1PO0IujDtQfGRl6yjYoF3Vo7umpRTwtv3wc gQN9QtoUKYweeDWiRxGvkt3esKu9kY8HUyABhJiCCDvdB2G6jrFb9SQIdMQd0ej0ecZEAoAd93Yw NFSOB2XCUarewuSREdEM/OyU4u5JjNYZzPdRvTq3oKon302PaJYBBG7ThzV9JfBwrXPUW05mJD2C qa7tJ3SiMjM5eFcfQC1Eoh0YkwCJmd8Y+Ot1Sgvtb1I8Yjfs7GyTMa+pIgHhr10vKDd/PyhdaFGN Lj4+tgzdEthO9i+E/XleOgXqP08XN/jWUe4+qw/HkGNvckrJHun2WQteJGzSqp8rPzYd95AcYF6d P0Kq/hOWM/DE+uOlV2paHAsNTpCsfz4EuT+vHxdD3CjJ/ME+ZX/UwDwPB7SPQef2buA7rYjCn2n1 1g1EAITlnXPk3oX9ylTi1g/AzLRbk2qZMwEMC+vKh3o4Bc6x71n3dd1vzkr7vgjc4bB6Ry7OCoyx GSBG63TQWy2zWPzsiMCzjFTTL5fYgTqFfE3pHLqkF8KGfNykpd6FgTQQox/HPFyDF4cBlfgsMU2t MHH5YEx9UjZs6k/b827GnoY7bWLEQApdopZHkm0ygnWDmIGXv17iQ3zL6nfZBG6/C+T2spkxK2RT SOFBnS11ExI0aOITOXxRdOFzvuWpU4dQpWDQp+6oAlbTRbUfKSG7/lr7gbKLc3pvszYjID0A8SUt et4rnkbJL8BBeRELUG+SfnFJVxfi/XlhVfs+mFTxqswxVJu2iX9uvtQ8NHsa2t5m3QdWoR0guPzK R7KDBbYASgxvzkiynxBT0V4bQTKlGJ2Sdc54txXcfvz6rUZPIyzDB9oAKeE/TFMA/P+hoY08HYWF XA5XY19c/QaJBbslp/OETk87CUZl0u2AjjhsLHh+QcE6G8K9mEHM9n29Yq/ZyqP2AmTRHEmK9gYn YN5MpyIc3N0rUMlEl2Q5cN60fqU1U1yakJRv+Z8dLw+TkWjcRE7hWaUCzlFd3J2nOFxQTyQX7R/D 80jvQ2T99X+BBQGEcxE4gc2qeHfsogHOcVw8eE1UcoyPiubaMhi8HnxHS82ecguqxnlH8QPItUSv 46r1S7vD45yUrUrYNvlxEqw16SWmP92Gv+NAc4XCAWG2cKiko+nOBtPRhjhY4lx/ELq0gqLzW7sX v/2+/M/HjP8eCdvvLx0DiTbxXKyUT6A0Qkq6etLeAEu7BgSdQ8ZlF70eXf6Dyfs8Z+JHx5+FJYh1 ufxWCxAtj9gKDMB2O9TDroEbxXNqwOvMvUmZg8KZTdhN0nKKrwZHq608DKD6ZXmanXpLbQ+NGyF5 MwtOIPPj+YmPREgTzKb0nX7JyOwjcyXVc/cD1nnXqhw7fGJjictU25y3DcFBZLLf/Z+Q77QmhWXL v3pT2XVXCRJ9wzHtTRF1ubhVqu7KCnuEHWBJLr8KS0bIJgTIawvk6GHzSm2rdfQu1myRmtEK06fk LGvY9iuWANNLcTPBp8wi/CkIPfnKIpIDzEKBO6tjjXdkac0SoxCoJeT4zYmI+r3LborxsgNbpFfM rmGqmZn+3aXKJO/mxwK6xECNGFXn3NQxDxK66k9zviKnIhw6f/+lm0KCCw0C1x7P3iXziOksXjxT 8l52O6jNH4XxUJT8vB7Q3piHnqXa6s94Exkhhs5W3PafDwTzs7Oi7sQ73S/eGmeIeI0lkKQyDW+O BNsdl6PrgISE0Gl98KimazxTx4Ce3RiLQPDwynpx4/nAitmjaolhv9HPMr6K+Pvhj5A8eZ8TEMwX U1AH0d4d+IL965nNaL0nGXk8PKLE2v2n9baLxZguwpENniCbHG8wTNCCu/7YX2hCbBTvJ1I0OqPB D3KBPijJ5KfbcL564IE9xz0q1i9og1zvpKCA6EfsOO+dAsKbxHt58AixsRXQfFs2xS4PErP3+ywp R9Qwgv0Xo/EWi2HEd7znz9TIvmQy5VcWzJVXx+Vn2Q/ToPsBShAAuCRku0P7ov+HHT7FcvkC4e7O gvu1VTrQLSeKGE9geiG1UWT+mw3o5LZjK0GHQHLFOHREL1P24Oca9F3STW+32XVWlBq9YTzONxiQ 0jhdBo4wBjXVOAcDDue5zqENl1ZD5JLhm23c1WoQwTLZ65xnUj+iVxYlQUteFmY4ZOc3QkLWhNEs /z7J1zUQChv1aZ3M99Ds59sFc9rDyzDClaEFatH2Kvknl0tvE7iG6XDqcVtcBEvw6214gmaa9Oj7 dNywNRR8UtPTwA4p7qOeTP0Knnug5B00gfwHwvCar5Gk/5Z8yNnnoXI8KejpVI9uavmxgvzljQJ+ csV9bdbTZ0bkdUoajDFofz3mwZslrOUWChM/kj5O+avvrc8Jv7ywCD2TfPTnBR4btQhWUxdg46+w r7Kdzwn3/wh3UO/0nhek2vZQS0myQ1irRDZDizE7BEoU9ffIwj+SLADrIgDFmS9xFCaLJ1GkhVru PI/jjyBce/wfnbYjGa6sjuyM/LBhPpEVUAXPPH+x6u+c9PbQsi5j8BaxDWYToKO1u5TPmmnBzSyZ CHyLXnIhB33tNps4vnSreG3qCd1O2+lcZ2pzdei3L84bJnVVmRdKlfatbbvzqrpwPcNlqPc9uDjl DbyqJq3/d7OUYHNoj9h6UvazkWHroVkyhDvHyCDaxx+Uko+ZQIIJSaXAoEpqzEszC2fHtOE8jCjN roLfNbCmwDl/x1+uhiOPTnNSq/rVslPAf4ru/3/WQfTWeW64ay5od4sNqnkjDbXWb8CDm6/T3NcJ WZSt4U1fMuuwFZexfAUQL3TgsFSauWrrerMReEMCwhHR7I3/qnou1RpYBWQ/OZYcotJjXiLc4Orh qqRnOMfQ9IIwrppsO2kI/PhLAjnynWk6ey/M9/TLjoVlCojT6j6pDDQArIO/+sC/L1ulHNnzstOd t4Yhozbv9S6kVlTgZvHjgxGnpEeMSibPnil0jpz069Fxysm043X7xgKo+hjzxK/1IV2UaOARoBAi Tyjz/sHCvYS4asKUpyLVw9LejG9iowRt15WIZ5JbHxYKXA/qZ9oOuMlu4zWsTam9qyB2oDgLIK+R A7fN5mmX40njIjb05zh1/9Ut9UjZD7jEPZI9mIngOsTg3rXXmSxQSviYWqVnV4NfQtWxTXgVz1Rb p3H2kkx0uMJONC5QFlw0GPlclmY8BoaWNSyCa2i0jQfelOZ3xfFAmGvI5hlD0pGjvqy3TPwc6AG6 Sd8E8bRfv2J9kKZxKgVUtzmBliJ8lmcu6JWxFo36OQeXvxs3hZNoeRXZMDCxtFH7oTSRsJ7SEz9c Ks5pvJFBUI00AHGYaVjzSTm/NWK9ceIJG+J/3eJI2/cB9BGggrAsG682pL2zebXDRO23NAxSYYwj +l4rp7cliFqFhZjqHOLoruNWIpfQf61ot5OY3g1zAOq9k4moo65a5JJpDQUotP0s8xOski6IbIVU MWV/HXhkoEtHfcXhTxcphgtBxJ5IqpEKw6b2Nic57InUzF/qSTOby0z+haDyfFp82jxhkIv+nhZK U/I3dDj/OQenhR0lZ3XRNMdx/3mLZLsLqGf7EdXVyEZXUDjjqlTkdp1sSirh/IqJpys8VzjrBNJe wbOv/6JJKXUAbQvMUDAqfz44ZcInaMlnoQVg/evWKIQ/sBXxCju7MmZT1Q4824bbC2dJauFoiIVt SSw9xbiktG5zoBhfHlmcv6+9disWKebpMUeaD7ebFkqP3zU7jsG3BamkXSt5QV9GuINYoaAAooDK ceESb0tbgBKPRI+dBSgrd9zH6JvI866Ad51A74AlbbnWZaH6M/RnTQWLkdEpFpCW6mOWO86l6whE h2t8ujwskw14ujRaPAeqP7gbVo/zOR1hzlkc0lXkuz8xHzkegUZBxo7y2re3YtGUeOXD4MFbZPjJ SPPUn0FiRerxkRZrpEYwDcLuVWpCjxUZp593C6OKzpmfQkv51j1RLbE4U62Gsokbvi1uQFmek6kb /rGF1hBCuRTHW6JpRoVo4o+kdch+larPSPx0J0arx98NcWFUgahuyNJSXiB1Xq5iLN+s2eAcZIUN jnw1SNNqCTkQbuLlQRznOaWmztG3q16NBNa9LQflLzot/aO9L/wCnBIaYgJMN5gTuOSu6ESDRVbF 9dTbj2sv8Y93ppYVUT8tYzl34mUbNoNHRUYorfnMJoKw/FNsRV/EHCWGkHC3HEjqawn7DOpvMQ7a 84617zrQ9/SfJpgKZLicHRKX0If/6plD6D+48+f0HEyqgUdrmZsQhTWkGET8d28+gb1HJSZWqDAr uGfOmGiIhKtm3c8qkTcWr05WysdoP0hPnU8yvjH950rTjYuCJvCM17rIg9/br3tSRJP6XPbmsOKJ VVng6paIHNvZkecbdXwx9/UvjEkPqOdiTdtc1gBn+ldGHM1khw3Pb2TDsYltJtt0Z/16nMUMYDKX GGliXI2HaJzoJu8uo6MLH7mzL2hJJD3++eRRMxkyWt+R44kqgXqTIiLWRB/lhilgBcaEyWx6m18F Bl2phGuxJoSvKkCEQqFKJHVtZWOGzZDsZiu+vqbKJ82r+9tp6606H2IWxns94xkYdg/cVTztSDB9 8e9golvwRa3JKBfff+eGXJhmk0Ol6yHj5dg/I2V2Au6DEf1bocI3eWBvUDci3HXyDFLpSO1ycN5A DXADvq0w6F55POeAQfljjnZIFVht0LAdOuCh7YUU31hkp6saOBaNQQ7DyWs1H8zwkEQ6iQoz3aa3 N6VnoMf/bBAcS/SmnkpeF6LhtMkaCLiU2hrUdQuVG3RQkk87Mj5+5G+CbfE+C6m/1VwzzJHkETfc k/Kbhh/G/T7o/KmLS2OYdwFoaqNmc6AxkCVzeEFgg+D/4i3UnLZFL83iyQ7kX5ExK7q2aptGipFM i4N1raqg/BqtQGA1nu00GMLuoJ4v4g/ZEMpLJFIROjaUlUHHxiiOHTGoFdSDybIl0LKrAD7/gt/d yHmrZzlggn4t/iCDKRe9uHg4j6DptuiJcAN7YEY0Akoc/+upzTHL43UdU2ylGa3Rj0FfNSRDiTrx Hhr4ESqRyJRtn088e82I/0yW7BYqjCRm6Gv4EP5Nk46pAVhGqy3FJhLlJZa8NdmAGoknJQ0weUM0 lwiN74Yk9+yZt/f5zCWO2/W31m4rSqgmCOD7W6fTlFo1bMdBvzpZZGDBh/EWZ+ocLPEhR/PcB8wk n6rA/TaFY7I7w4TbPz+ozBQYU3RDC/z7KJd7viNvDNMugtdTo3b9aU6BWEyw+3n5SzcxlEmqs2+y ydEM2x0xYyKtBni1irsnRwmiPBIBPiExmovHBI3Fwb5DsR70mpjOLqFt4XMdAj/zlt3fArmABBm2 B+0utV5Jf1JN63YqPEkhgOayVMAHsPySxTsdudTvHGW/PjDlsmkYzAZLfi8At35hpF3zKQhk5uPP /J0SW2ZBEm93iKBOP1SnTkgNiCan3k/sJq1qlW2SqoQ0PwqC0SugpkM6N7SeUMrhrcbKSJEOG0yM 0//BRMghgAW9ePdUda9p+wLwV+LKMh3DRtwZKpw/l/2TQTTEF01zTSK0GhsoM9qek3tCETLbnPNy /uMOEMEjKJehS9akVulcXgNJafMdvPuTWY4cydj7LpuFjR3VTGt/YSBmgPIc0DkdRsumG4b6NBbd hx2RomIsX3E5GZs46741YNGSxJBnQ5xDlrzxPHgkE9DD+5RywQSRBMQJ8yEJdoFKW1Nw9NpcAJNI RnHZ3/b9be8ZQHqH3agXs3q13a+3nnEpwU7acUL1oVUxkdgynsJEy0Bj+HeOFQsXYA0uZ8i5BmSt ovYcU27Tw2iDWqCqzrW3qkBejvQHrs/9rUzoJhNkwilmG9bj8CFU+yACgz9cwmyByN/jDzy+yV+a Pd6MptLwKGjx/NHmktkidwEqNqMcGMLP+E6s7pU9rldhnaKxtFtN9xP8RnrWaLhLeZMycyqh7GnF YvVI80VV9gvmrk+DZCVbqqoRcQh5o40ASNFBQNBKCiN3XmvFdi5QHPgYr5sOqmSMcbSj4PxNSG32 kDHtPq9irCwKsANC2P6SQMpuoCrqt3DbrFEt7+o+1C3i9BktmLvtZdCVExW+UAyMuyp6te3NXW7v JLqVKN+EtH3hrHfY+EpcvplWgszbO6y5ljjq4uaGUSu0BI5bnz9Gl6bIHN1ffjObK3G8YrDA+6Po GYz1P+5gHKlaa15+DygWtsHkX/YuVuJDicobyWyxn3k58c0/tr154S6Tzxfo66VIxDNDK6DMibhJ m6j3Twr8YJlXfnU3iOs5cWBVAFEbGG/tHWgyoviDBL/9H/rHLx7S4HwzCKu5HdCF/qh3+Pqtnzma YpSoBAF3j8J2UHGiR+Uio9ivUw9ZQcnxqGODi96fVHUS6pkxdVZdTCqFW+oxcW6V9LmFqCVvUkO9 kOtor3MPhoji6+mcTOx92ROPAVPvRVKyrVzvgGi4o16fcos9cZb3VyGOqT5IIg90NIlpi8zHyygq j+m0P0wiGU1HZtM+3MY/htVIaRkC4tj63HZXkM18vMDp6FXzsca3KtJ33bkU8wcrX0BoHeQmg9y5 srvsFDapSqjabKUBBRtyGyAfITpqhKE6dexhuAQ+rKLrbEc73ZBNtN4SuxY1Ot/oxrr3vLz/nY2V 3RKb9JCnbZk7l83cK49qv6OWvUfqn2eCbKweqBKd2mG3HMVIBpBDIexKKDK5j28t/7jICR1t4yX2 mtN6yeClj4SthtPY2huOLdVBNxx+4WaQpwXAwc0oDzzvctAokuBtFD6xpt2K6mqVcprGMwwpEH+5 bECe9BJHhSq7pL/UVFcaqROCPHHvRN7HNpNxvGjKjMMzz3F6HCudfYWRFdHpN75EFfDSWReptwpy EwYsUgJYQSzYZDY51gifttAz5L2IGeG/ICehjGDqE9zzkv+GbP7R6OitfWni5oekbJWjEpUzupmW iItc0fQiXj2htp5FvEFcsV2FCoruLx9URTeYR4iuq+SrJb55mFG/4JM0n7xLEkBG0CafW+G2Cd2P klppRvnta2scRMFj67Qamdy8GNW8tqqMueELZKgBRyikSwx6eUO85cQWATAAXc/p33yJ0QAdmsnI uHzCgDy2YFZdeJlfpffGo8jYKCXLrb6MkTS/scfALcR0IJWsVyBEFpcVPblJnFDGPyzcybTOlmyR go4wTQfpRjeMGtzI3q+KQnpw9oWJYzzd6qwTX1OuIDpM0nqjwkOMYJYJ0KhrUSbiXSLNZGEKKjTH tWkLs2CEDtBxY+XlIg4UFZvMUtQwodXZWQSv8Jcm5X0Dc0I/kR1LMUESWLFqi2j2jXA5HcwX4eig obJZUJ+B7d7A6wOGKDufnxEk20t5QVLbK5bcd1ZxMVcie50F5AxtU0lMss55UDTbve7TZoZt+QI1 GSlT6/zFFPHnbQ/9EJgBjJ7bgNizx02zqd8HjjZ3kj7SLidmGbeknCOMemH3k90q0D04t528n7eb R3KnN41phyRspOup2FLMGJnBalm0Liiy49kwCML4HlRCygxxgg0k2QbsflKYAoM+qZfmJVGvt/Ex nutViIx4rmThrH7gDOdnHN5pz03hTuJk1QoBDdcM9aFj1IOPcap8vbV777F/ySio1F5eda5Ic91u YhV0+57L63gG86P9ejx8laRMFjMEEBIENBvpgplWhvnZD3BjGjujj22J8083zdwdgoLw92HhmnL0 I/f0CnLRCRF4OX8ZnkaMUJXUeQRRHG/r0BbNlYXuTY15Mj5vuGu8iUrBxIFfHySDt0WGY9hYGgqA 7LLEhnd1HttXUF0l3tCxuRYXTXDALlcGpfFa3Aqef8KEs3hyFRxwgvlm51Xyl4YgwhxucgUXgQpR 0dJOZGur0T/pBTAtm2O9NPN4vnj3VxED7xqmuJkYf85uOrCqfvwHCG9DExKxt/DMSlPnLsPmS1gk A9kWhHh4ACMZvoWcX1kXnXiCCIHbLXQgDpjQGRuoyy8fgdzBXeZHgr+n8VgRVzQ4qi+Fwuv0ywoN 12f60JxS/zvXvT2TsyyglvQ+ENP/z/z/VkyPVUdUyb10o+La+aF6TaMWqIamkQWscOPwHqIFU4mQ mvlhDNRW0CIM0dUSXSYvddgZmzVuO1zk/xwK9jfU62rwgZXgrYUqOVpdDVOTOiGrajgJ/jVB8KI+ kPvVkGiz82b5YJODQv5B6MwgzsODyOsb220H71N1DtynxBoGbnM8XxMBO+SMrPvwTwwlpnKQU9qw jWl3TUEH5vJEgsGzC2wdoXkbRxeRxBeJnsvyUMCiLYTmdnCr44oO7M2N4KuTZxlmH/DKQy0XZ6/E wUXT76Q8XghOimrUBu5wF/RVjiGQ1kO0LFkicaESlkuwtcjVQDkjgcfTQ5ku4Izbwf9wxbZpc39G R7K+mG+5W/F7qtrUh8Z0DWyN3CRqXxhvRDccjD4S2EmawgOJzMEG8MTsjq4tbd62cbXw8a7xBKw8 nVayHo5fMSH9qMYkaFc6jqYOHTnS1Nu2/OSJuYOjyStH+qd4abLFiQjpX0PW8h6RNlRyE8dfccKg yhG3EYmJil1KHRHMEeQQA3kmWo5hPAP7M6+hOjMD8TGSb/ybNCITg1NbCzllzvNxyAqqNyVeTcMo EEHLJBuj6DNru1+svcCONrhz+tL3Kgw5Cjz7qfkJ4YKoRlgGUhwndWihL9/W1UWiTOoIci7L5hlU yyI9O4TMSaPAlgeEZLWEcUGWcOEYJey+EWKDeVW774RDWzsAEQ+cbRcPRvYdqEy3H4G3XT0nHWSK B4nH/q4E70Zi0B4HZNCoxulbh4KJFZkcr3BghEF0wfOXawzs0DTP47o377KEYNJVF0gu9GzrXgH9 gevlunHF/Cbk+q1IoH334Z5hiZcYdS4kq6D5c3NJwADsAjTpwAZF+6MB9Hdvaic5U/fB00k9+JP+ 593TrMNdM79q1fIU8TTRNDQH2j1ZWZjlagp0GgNAK50CgC9wV4WJZiAQqHY8iw4MUllCwdc50rKJ XHaAR9G+2IwXuceaRfy2ACG2dbQ4qQYZsMGi9eq+hYJk8QmRLiKZJ/UKS1O2gUkx0L6f8jSQTb9B NCt4F2DhA1yIixIJOQeU1GZrbiQeBr2/3fdxQ0XQdXgiLfBSALrhvdihA9am+aAF+wikwpj+wIdZ lphykXK/2JOqKaIYwZoxp/0hIobynVhcgpEZslAxmJy0CcRGmJt6zKrGwgL0vLb4vVHcNvCSvYTa dH/eonRcPo8RaJi+tzdmUhwyoeLfHDosIKChX2xWRKjDX6Wjqrc7lu+rnwochBJK7jHWBB9xyf+l m6nHhkr2SWmsPr9pXfEXFKg3R+NHaeQfORir4Z3sJbTHfo4Ov3DVAv4sZVbfuRHlE0KK7lep+Y8o +iwpvnzvuDmjpyn6X+5LgtbUWvR4UIJd5zD3yxaCiU4todQNwextrOdwmBBsvrOFp/VIW4l5tyMw O+tnc11gjM3LzQrHeJHUVx+2CeYxP1jgr4LwIQ8uCrll9mY+ZaFDyZYNVo7NcjB6XUxBdeR30KSD cv3Lz8lXp/C+y8wqZTc9F0oyA+3fbG1CgI1uixPkwfRKmVoiY4Q2m0T8L2lI8e5hyA+cROPmKOOx fnbNlAMfQMvtStvngSIUrISGXgPQj0qeJN7wvs2+Bhwi1r+51g2wtCQ1vQT9RFbg4QtocWKlWj/f FAZJm5as0krX5Fb2bijDJo3eT1Hr4HGoRa+TMlJ3fkNGCGN4tEXeoluB2mbuLdlb3t7YUQHmgxCU Kn/gea38Zth5PhM9GDyKaPs3skCdUPbxFGTyQnESRktrUuLiEFtdEjzZXNEhN0uWtOe/A7GaPWTG vzTAuSVwiurNDXDPWvslqDR4ImePe5vg9liCGdVO1682Zp+CaKsYi3P9V0SXggPUG/NqaBPGvIFR OfCfgr6sqN+8f/Tv5g5tg6i7IPHTQIQQgXFExX2j7YuuhEar7zt4dTGJJpOJnhEs6rE1PqVqWeNX ziLXLivWItOWVBlsfQIemV1eUTq52ucM4awfmxbYeIQ0aT/0wTLPKMcit2WkYx40+8/6VTE1+DoD Ubs/CSAvLkvrsiPjq534+SyW15KsA1ctvg/ySpfODOjdcTf4RJdGeiQVrqhAqEfWgRbxw+e+3pmW 49wl9SF34rkqXAKut7T5gwjbtd6R4rwmXpdnXDxAkHyaPVzsEHn25bn87G0srw19Sval4U78iOVp a19BuR69TP2n+nvFHXMORaEOGALuox73dOqJ8M2k7GarcjzYZC8JGgJ/w2yOvqBkO/33uqEG8yhf YiQzd68hd7/ohw+EuWTtV0GyXxOFrcMCuefBC5nhZmL9/Z4Dl7BbqHLu73v4uhKAxmH8dVvMOVDt A9dncDB7/mUJ1jmdxRs6UPB7GgLG3REdVSIZwRN5uBYunSvvSjo4m42nTHYp4LVaXcY9w0F8gw6E hogO6n/tYYToLcDwjlBzXonepdaw06AEiCzNLgnBacKwppj29tqmUSI7591Y4JRJ3puwxVtijSLT 4BebMc/5LuOGaRqgYiisGSiFlEwwil1xNjvhVRhYtausnUeGLkSBfls/HVPTWKmbSwp+PK81vUMH dBVSF6vhdf4V4bCpWSOr9sJu2MAYeCXAqM9V+lJj+LiRzsAXsbpkStGqgh+2+3TtDRTH++5hfJ3L oYZ1hBvNwCTx0LqDJm/LV7WYgq/nCN0j9xdQi0wd6ooy7Oh8t2CXoc4KQB2xCcExaeyobAWsr6ur 4qMFpvwrfztVlmABOebu02WAoyL95r8IG1hzoPWn+9mUHjxx3cqzsoFmsXAQg9vgexdcD97UBizD ICOuBgXeIhOFsXoijv2ri1OY58ahJ1m2cgXNhYEqZRgussHYTmu8XdJ2O2MaEaUG26WTv/NsaPoZ 7xMC9wR6Rzn55AL+VKEkKMdQuFBSCrQjjF0OsfN+Ynz9rPIBa+OEf+Kf2NoDZ1LHA5qQTGntb/a5 DgxBpa37ngFrG1wLC776ZWJ+nyt2lqmN7LfOD7znwEFg8Kn6pOe4rI8XEYiWgQRzwv4KXTHlz5+R syiqN871uTMTv8jAnSHoib/8MBh24/1D4NJWH51haz4UvBjhJSyJ+jatAHvHYWZ30xoCfdKuBZ1F 8QHd40+ir5Uc3vw9O9amWlFYPuVSJhCZGt4en2uhVmu0VJEKlQnj2GImNLrN5bj6rUmSFKEMDZeB qkXDhOiE2dh8W+q5rkUPLT17tRWFwvdJdhxFUc7MyiPE9FMG/JQiGMkL8VF7vEV1jZRgUmCNArSP inSpOqvOs2bkFSz94yKg5555Ekm8Gk4OYvQNJ5FUPaM7+28uzVOArrS0cxaUYhSpK8HGVo7sWSr+ o9xgb8iBnrD7tK9VrMF8ZxMjgQ6mP0Pk32MBe1wXKkV+O2lapxt9pP2Y1E9knKc9qf0mfQDH4Wzm jVBOFczPOXYqPKkgVarYxKmHFUAcW+5nCYn8USUp6AT5FDdP1UK1+218fn5iHG+wNwRvboFpMObu Vt0Al+kyHlkoS2QmTYlJIPZn+DoM57BEYH992CU064nhSnjo0kIw8bCeocIfHMYpPAUCuhxjtTxz NEfILhsKd920uNYA+PZouOG0mEiKKxHI2ylHG3ygzrgIVT5i5yB+aTloREvFzdygFXxd6CP2JvRE XnvNJL097/vPhntmAIzde1TKtyQpP1W1Z5RmEbSAJiO/nXJ2QVk8zu3LeydgjyaSnMpeEwGn0ORA i1HvFiaUJQFJ8AJfkZUU2QK8Vy+U/V3e3ownYE8vjsLQ4l48ynkiEvdFZVsz43yxTFOgaCPap/G0 I8RPDRYcIcfLAHXfyolUcPOtz5XNpz14XacXSDtR4faAFNjTJ4IB6dn5QSUyqCMMddgmteu6W7F+ 7RJY5qFy+kR5DsRmDSe+H4DzDvQIHahdLPKrC+aKC8m7OVyqCB9pwa4qvRmm5KgOBSvZJKGZYxSS knshztbTUlrCo410pU+sckZ2tfuEUk/eZjvvIUYl1WMF0sqxwzC7qPoEvC8wWOf5a6/11npXDSrZ xntnAthbdiHIJ0b6iCvrKvJqc7225LN8YoU5esp3YhF6sEibvd5YmgB5RlG71vbK0R4U58y1UFNl 7N6ebYqhB1tkh3cz3e4UKy5L5Gor5i4d4OMagpkBVt5A1lky3U+EDGyDjaatcZyxslXRLc06Fzgy QtmqnqKliAbhYk9FjpEXSbgR6FNAXO5Di7PcfgIJvtP20433ySvrkvP6kAw2FHqKp+aHf6e7NWQY D8P+crjKgGvBZRO6sKwW8Rb9sSvXaKwADGLWexjicDURbfTM6U2oMaAM0RMoYD9592hG01zbdCwQ scvCrmGxpPZJGDjoRg+ScXHfAIeV4EOK7j2bR4dVjhtPLUM++s4se7pB0DxLuANfNQgx4ZMWUtIN SiEzASI4z4blGITvWHJDa8FrQN/3/taeL8w5yjrtssfYN4N7W4QHkYuz6Kfyo0IxMZ1IUWuLrqk/ VBr4xdiCEjUMaA55TcvV8Lvu/aj2wwREqDqj6M8v+b/a7t5sjqhNTRXRpau9oOEbSw6qfycg7T3X ibzeCLPIxLoGLiFQhAhXU0u0orEeOcX+gLvGzL6xEuSuNK2731VKwJa3m11d06ByXRGayE2Z+TEd 6gqoywRcOzKrajnyQ7Ac9v2PzSxhovkMYYAqGbfot5R/0N8M+iEsD0veW1b+VC2UEl367gL4eFDQ lRF/3+sToVCzXYfyPvnddHE46/apD1RIkxy9iNVhyhdK3ND0giQEYhXG9FdE8MDClf3DMgdySRUe KOHt1hVKLhbJOFbVmIeOugfs2z8PeR3kEH272YJuYVf54rCavcgD67X/irAHHZW8JDfJOGyl2CvM JUPJDDVzUwmRRDtiw0KsLq4jVASaMGg2iHz53RvFBY+XrzdbqVyWVTST9xxqfmUHN9gtptV/q3RL SXTKK008YM9XKTG+9Jq91sfRmw2QRo2V1x7rP9FC+GPve3yUEK5GesOrkU76S2KhIH9awoynrNkf meIlqwAU4zzGYSyTaqSzkXaXBTKPjitTBeeedHo3nFyJ/NH+LuJ2xcOZ6Vj9j5lwI3VUsZs34vo0 FJvtzldvHlgv/kRgA5zAclzgCMfIigENBeA9BQVXBK29OK3laol0WZY67hz3wkfEKmU4NME+9P14 MVnCgM14Qnqd6t1PLQZAFcYOGCQyqwtwDsdRocNI4NeMumV45Sh6dsuuVu8XfDWM8GDjRuX5IWjs EhmyhM3eThx8+HNAE90wijsEo8qXJcp00i67l5Z/AQxA7Qw5Uz/yY57GGKULeerAJCBmxJ3rw6A2 fWWNWJqJDVHAK8kErea/kYbGf65CtvGSWKhVsTQQCBr1oQDb0L89oTNfYKYaKtyb9xjyIfulDD1N iBhRMcn8WuTlp1Qcl4KFfpwrOfqc0DX2JOt5YxDgrOPcWfzk+lKy1w8PC+xwpqHvx6FrWivlAyeL lgRDnsqkNtZGu4cBs0heb2V9FTabTu6sksLLX+qgikdcNh+XnRkFjDOg+cAm0RBgQJl5HjUZCrp8 8fuSSPrOdXGh6u+AmvGdOLtKZ+GIJ0RSeYPX6u/MkoWWPnHLLoMsiA2zxi/YR+v/y0AVX1fHlcH7 YdoTyRxehZMWnLqaSG63hq4Di0RyYTQA8G2doiU61evlY0LlJvxQytAMo93/tcborghBxlvBK1lW ensN6JFuy9rqLDrU8QgqAXaxBoKEGQVUIor9FOq3Ij3kvU3t2jAsvYxSsBp/Ab2knKrmvxbJARAf sh7dJIn3x3OvXqtgapiwU0lLUG0qSC2KUyuUYvLVK9Gd/8bnrniqpcm7P7e6ZY2U0pxkgVZubF6t rMLKG3trMU+3Np0K1bNwK7OzpOi8+uNs2KRi0fGwVzS8lm82oOaisrief9jhSMEx5YK57LI4DDNr eXi99GfeKhwoetDOd0OmZYlpRur7dwx/LYPzHgoIuSTqyMbKCUYKKP0atWYjKR5VeFaELNIlfE5Z JgOBRzTM8cDP2xFsvS6iggLEcDMqgvKvVS9OQdanPfX3vRIqqpn7+q5syCs1oQPXz5tjU9VogYxV NiTBl+0lXRbea3uB8Efzjvm6WPqNFFuFE/Uhz9X9mTOuLHaz3idVuAiPS5hWPHjRQ3ofgmQ8WoPs WFggdvpeSnPBRnftpBsplhCecHayPomWHxdnzluxRa5lz+bUEwDfZKyVlZRkm7q2oORAeCvPDTKm yUpUWyKzwtdjSyM3vAViCSx7/jt17TVfjRn50e2THBuEDtNBsfGreVqx0NSj7BGmqV//Qdl0nO6q NeKQa34qxfVywLhy1hF4F277O8PSMiSvxZc/JTfSeFweVfRwSIZL+IQo2SnUDe0MvN6fictJXtyZ z8HGctdffW0vUR53/1OyYioaHvCRGVauxAym5QowRzRqMu7jlRWEPRZa7kjqqPxPZ2IWHmjCSRmE hH4Bf+leHgjzMoeftvLqj+8G1WcxE1zPO67oIOm5yjtMXxs5xUQUP5d0lzfeDIYvG3V4faiZ4O+I fMDWUAGBWQJSfbvWfcrRVEBah5aTB7mnr7COdDDkyvZ8TSDY04mnG1Wsyc3Gbhwz3L5GVPJ6fFNe rvCBCpefIoNELhGzQ7+uWjLOBOr2EKIldDfVcNE1WzrF9wlUI1Yrj2qEldc+6gdj9aBWdl+4bLb8 renPhFRoUnjpwXsq2+J5o/QoZfK6jZPTyYABlZDvXPongZLTenEOP7ceFQEU5tr/heRJZXeDItVi 9EYxzRnqNDvZSfdM7AO10E4Riz9RQKKgfNjutGTLMl9rUwDZA/HFRb1r1hzkv/UljJtA+YHfv7K6 YOegyqKx8BpKGDNQyXAuEjIcqztm8CInQ4xkxZ4NcTutxoOYpjLpM/O42Xz/aLsdC+QxT3N7xViJ X9jXwouwsrAsujcqYeNLMbX9lHgd0MMIdFMZQ01vmAArwviphouGAbwRjjjrhndwnpTzrOHTcMgF 1kK8CVPKDrlmW/bnN0DfLEprqes/81mfBS2Ts5iQiXF9UjhPFtPNwhZ49xBXdw65WpvqmZ3173Ia 0OQ4aBn2JvMSFHnfJrqvwC7zgYb4Ns92+GvSoVKqT0PPVKxjYvuWBVVQlY3Np5ONO0TktIgZWIYM MvHs1aZk4W7wMdh5iDCkNt98CgyqN7XEsccmf4IrL6md2jCBzwnm3ZNsqnPKWSNpS58rfFCRrhdK OC7F9FjIHhE0lrQ628+Y6rHysGIcMbI+Czfo3jPMLm1OsNyIQbahjFhfE03rgY7OlNMPfChJchY9 zIbQwbqlSzorI5YnZzqDTv4lCtypXZh+CxTNCAnWmGUyAjOR/eEHKX9LHbC+8i651AUHmvLwwq56 jAU7iL3GKd86wkaKbgE5pRKKnJc5pe9PUovo5dCoxuVfenVd5m8IpRSweiYjc4je8DLVeZRO/Ekd AoXaJATz54sT+gdIp2qGB+U9/CBjwIjcIvNAj09yqnMaTr1BO6dz7fN7wxaC4jhysMW/turPFQ2c A4MHpvW+c8F7fcabxIp/ZvYgTC3u1U5phxPAyySAY9lQ2CVDFJfTVkDOv54p25jXrgLgiqr3L+PU ZcB6q5BLqL/P+jMUCBQueALMzNSD6cX+wDJV6Vp+KfmZTqpxf7TRJiW13pSz/WQTgvBCPqinlYWg RrzbjHUv3hj1qurCXQqvs3z1aPu71wB7DxiAEX7ZN8VGP1otUCFVBDwyRrZsGzgJL2NX47Juq8fa cG7tE00aAGChLi/oDVEjQNa6ZPAGvA9eVa3NlraIeGZ9BTeDo1HB08To7X/TPZ9n5yUd/by1T/CO cUypn9xD6k+DvPQRVxyDzgjdURTWDoQfgEM70YSYr7sQ16pTQWfy9Lix6FWVa00F+TN/hb+fUTKb eGE5pVglE7W774T+ls6yaDz7Ur8R0PhAzbR21pYE/uVF/SscfKQCnrY6qeBxnWOqSpj72f46GjWh l7ATFS2/3TpU5xzX/bHL8E27TxBOcC3U+xzRAhekXkVVL48jUJ7aRnD+QIp5G/5B0ikdFucnIJpq z+vGY8WdkJ99KRGAkn0Q/JcUNVZZJgf5w32BnGuDjbAIP5AF6gdDNQJTlsZCTMVCY7Bw99yVHjn8 rG8LqVQ21qiHmBVf/XB+stKEQgSjM3GKAhBTRLPTtISO4jsY3+NawWKZ/jchsVBVyFDwMv1xlFac eVi6qI5nQPv7jvuZ8G1XqmUOnIeVneVgwLdwD7pz+vOSrfyP+0Klxasec4wOmpASEm7c22/mNhwn ay7M0iOIMlmusuoxDA2ylJTvQUWp8tCaQw79TsIY5ukoN53WAvNI3mzvAe29F7r4nAPNHeUK5ajG qqu4xmF6BQo9xAsvXnAK+JPj8WtN8gkCSjfSdO+nmNrZjjKNuSAPBwIk7W9u49X+CTJFDOQWhaa+ WqJrhbXyUc6sS1/WTf7AdViTEkKvVv10VruSKGJeuf9wChwNaSfdAx2HDUKcYkHJE1bRmzC0zZwl P4dim2lhAPSynOmFm9ZhjHE2OC/0gZEY5ZQaMZ9S1tZyqQivpp6yxB42wQ+IAkzJ0l47SSpsAn8P 09rftilPoKGdrGEquuq1oBbtMSLwvJ/l+fej8VR747AtPLEHlIEJPFXK6bmFEO7uB13yXrxB/eJu v8r6H/eRwDN64cvkzXUeq7eGV1BA4Rcr1iu+jF1cLhLwBwjsq1QVxVxDj2yV7aeNfBkyMNGXWmnv e7+0In0VynYbBTWlifHiC2J/e/N+5g6HGFg2SaEXrAKbMQCuOl8cHHW0Ubqh3KtdJmrtlgUZzdlF acksef3TjPph0/AIXAfeOICVKrW8hfMxT4AIdZwtoTBJv8wT0Tr2BP0P8cJTxgYigBtMx5zXp7Z9 XClav6XEMbPkJLiGN1xvCpA1Fw+SBV2FXsaJlrLRvHs7sjlxI66ftlgFDRgFgPetKiJWYRBc532w pekaykue7DqN/ZCqo7pXmseqsbNE7Ycm17NxGPpu9kAALt6dGbrrUnKyCfQNzIBmLsN3NRTozyzq VaqZci5nIerP2Dg7Yw414QBRA5IKUZNIvTFrSfUoX39nqZPVpW2GlmSRW6736GIhjX4FjLwxd9ti B/6R3BCqtd5443LCR7ot/kLRrUwxlX2yeqr17J7y19IX8aU2LAKBcL1k5yXR0ZMSxj+74u8NdgJI lj5LeYUEsP2DP7qq4qXVhccU6mV9q2u0F3UqjyMKZZU/VBAekZaM37qgbuKN2GutjL1bKlJajUCf bgi9J2n3xAU194g4F7TjTQfbNz3K55OODPNQYW1Wwb9kOQ7OGfImm3tyRnLRI9P6sDkKXYCn0X/a chI/+nOBK4SFIqWnFHfV33d7mTlroZNmTSqmO37WRu+svC1qg4/oiKbql8+Rs97eIhYcqgGqyB3K iR6vXsMirrzvEeS7QTRN1l8nCTHphi0BIfdrKSu5yxh5KlJEbbGnLkZqxEkWvMqiH1fiJMpKNiYY cXG1MnUaGTb4xVqLu9WvYuOnBN9IMEAwp46By57pFgQJN6bUuIEqKWBzVZzxbNJAa7vQZVPORkvQ 3noGWJ2y8pBhjbev9w+3ioiX98CM9WWkhVja0f6guqe31CX2wicJzn6GB8T5OgOYuyOV4gxKTdjC c0TrHkN8rhojb7g1JQjpT1urFkQjFZBLgt3IchxYpMxGU8cY+iyROHR+1v03zGSVQrir4kA9B2sb yAnqFk8OUCUbXRvyTrg47QNaKuLyhq7S/SBPSXzd8mxRcTPSdXFsrZgle6M56+Z/oO0ImbtJVfdO N9QMZw70dY9RvBJFSEX8ciyH21qQcRowquKTI2i6rTNH1x8/PxFnfTix2tboSE4gxNFpTNupayuQ vZU3KOthBprlwvU5drZBLRyxAmR99lAVUwByJHatFd1tVXKyXuL+jniMnqZZv71KCZWw0h6TF/DS nNkHt1eoLqFZUWHglZ8NlvHwkYoFQkpGtIHWI9mhGniPUTjVpIr77e+cTE/AH5nHCnmgHuZrgZ07 /eVUBlonewz5SryzOlb1p0vkXzDDnVdoxVrBaSI1jAUiCojF58VyOirjqx6yF6EOrcUO9Rw3XTzV Ej6cOxdW3blDkl2jQLZGnPcI0F71MoLCd75D4jn7Q7ltbPMEgb7ZTcellUaOQ3fHv0ZPKxmBOhO1 wrvWBC8HdRxJem4k4KuWWhGC1pgHJhXcNiX1wJCF7rEw1XIPURPHJxDDsTAYzt3NA2mMUsapHLih 9mrOUg5+B3rQNsxy89X9BUlBDB68vOeRyBRphzAmfW+usCVgDJUGGW1UQUmSAzq2+WhvXGmpn9T1 U1DbQPeelpY8wzueuxE+Y00OMcO+wn0G3BknomOMJSphEOMlvxGLgUsFQH1fWZzadoh+1glpZJ11 7IqJ/cDBdwxUiSlBwcCDfryNyxEypZTU6yvVFwYtNbYJuouk8IfgWgklE+6NjReJ12UzoCvj9SNR s/LvuduQvEXvFtqjPo1V/EZqsDj813HAPt6YgBsHODRY/i9xul4RAYpZmqx8iJuxVJHFjvCVFxoZ aQylDmIPHD5xzpjuCG3DtA6NGmGkK+KnVeJGFY5mlzP/BAHDkTiW4Ri3HjN1u7QbLgTB7D5OhbiU gmhXJPRm1fSqHzL7aLVGF/A0CGyRCDTvxmQeuPTl/WCkSkCq+9X6u0wRelgmDdjR2rt/L7QdarAB JK+ny+JkqAMkmuByTlooLmCMWo9dkfRQCqN5Dt/FYpfomzZOEfYR+lAA3SzF614rQFeyWI4w9eih 1v2UxE9W/MsDl1XVNI1vqEeONVrGyXp6n5BmzPbrdVTvIJh/zYUQEw8H4AfkLIXnRCoWnMf9eDTT cKcOWBAuvYUCc0P4RE8qBw7Io0erTRRovvxc+Z9YCmxr4ZcKeaw0/Fj/oSn8FfcrJtJDM1dPZXRP 3X4283NTgUn0ZgdkmcOkROkcaXZWhJVmXIP80YOVxO/6m+jxO6K9dT64FPX4PbywryAYiNgEhgEx QWiQbU45RMmFcvWwmmUkS8HC1lcbzrSlY8MWkZPBgyCF30kWP3scSgZt6oBeRSl00aUddGsMQvt+ CJ35j/XVKZODVl4v1+Es/LOC1q2Q124MPE2g3GO9kB/kjCgSejFZgfyu5F3MBgr5gqdu6No6+Qxz RBaPz1FPGw0QKuZsmcj0/DVLPIlHzroSx6gNq5HuEXzgUrVCRD9EzW2+k26F5yG/I9ExgVYaJf7m xOiA1NVXjJrZtqQtZ0HfhYNXN+2C2KcYGd3EHydV9TYzLG2jXLMvf9UueowgET/Q3Sd3v8tGcGyj AXWku2A33Xvu0Se6Or5PDuQ4OIYXS9w865h5TehOevXb4aKEZRYFbWVKhvHLdXkWmrSFQxva+aYj Q2Z0mF5eeJb4V0iNGBGH9IGMrDq2y+XEP9DmXG1kKvWff5y0RPMInp8LFZUHM/WeNtZmjCRhuM0b hWIJvGAIDHiO7KrjaOLtjb7AuX9M/E1TvJ95C6KURJ75nxRzHn8L18oDxIqPDie5E4QNviCgOHVC SZjJNTUR6xDgJChnV1Umj9Oy3GoiEdgaw1C+/1rNSQzCoRS+zZFtUw6VJpZ4eg31k6rjro+Tby6J 1nG7TPhb3JuHBywnH2XZY3SkHZh+AN9ll7bqe+Tya9a7UXhjId04+rxY+Gp4gzWbyB7+0F8O/MyI OyhoMb5/oMdDkJjtP7mt+smovFql1S/H0nIZtAvKXBx1FhLCqlKpDfoq5+XO8bcxkIviBXxC/fTA OpsU3W1b07pt3gBZs0qGs48lTqfFZzVrUZ3zHIvodgcANCoE+idgFLe/kUDX9SaF3XGR85GO6QXz od+nnnWUTaVll1y3pKpmNzVDmmcZWzq/hTwwewGAKfG0wb6F2DtFhrBBFxDHlC/Wp+CqQC2rRubg M8oHoAlDN+ia1oIqtarWjuVZnbkfRv59m/0LwVN+TJshIOA/CrFoZ6Ld5GHlb6JYBT/ZeWCi55mi qXvgHjnIf6xXnKWNCRHAt3ojOcYzY6YNLXBn/3QG5BVF7LdHyeXxtkzf4aXJN6IyjjXyaQJxmv0q gw02F4hLto0CREdisIcc5MMbNDSnvFonij3fT3MuPBWwxvfBbaeuEScrXjE8LS+lTetljcyVmNNm 6BoYPVbJ8TtfUo2bKgbFv0nrXwB2Rn6Us/f2LfB7Mi2NPnGj/rogpX8rrU+UXcOhCE7dxPkHCqIx faPjFMqz9g83sRpbOOR//FTuZDN1PlQbRD4wbjddP2ZcRgVTD1GqYOopaRBBlEYPdFGyhWbjQfow Cma9HxOZTctnCfcWEFZb/j25w/qzVmu18DuAXxclobkyAWLbRbdQhdG0tW/zjg2vurdYtGXcBsxP 6Nj3/bcwxxT7j49U/iw08Y10KC0UPMx2vlWa+bXx/sZO4Zc7H6W4iLPpEO7mbh03NbVs+74+cUM/ MCASR25b9G2ke5lCSeiANtV2i/QQ9jMe2PvZaNXexo8m7SyQc4DN3WmBz3HbDeBl/PVzjimSudN2 mohmOONiqhlA6Kq2r03lYGSNIi6/Vw2jQZLmi7V+trhxpryrml/BP8p/tJINps1M6/H4CEyTXasd VfJ3kV3ekUIDBfg8arKydI49IdwN0gBz8QTe89BqX2NJD34Z5tkOBhGtM+Qu/S75spXPa/YBUKWd k5Qu+bGkqb5d2T27N/2HD4fT90WJcWjBBhAPi4cUydPq/L9/PCr6Sn/C98UeMcfuyKgRll/i1fBF i3sT+OkHdZLT3wYbDJ5bifVDh4KUBUp7Twi+U6a7/ZV7x+IlZAtDF18WSAlG9Xr/zOjmWmHmQ5oN KIajnsd6YLOKNGk8MEYo3PIQnI5P6iw34ClXL51GXKlONdotuHp3H9gTsHcCql7hn8OqXClTpm9A uGMe5Hjns81z+YyJPnVVCwL4IZs0fcxoHW9BLiHJMNIMz15DCilOXSdFFUnp3i5ruLjVgMJAZFkm 6kU2A4j3ShSRJW4WqIS/LM52j7VKQsVLHkN0BhRyXh5Eak4Eh2sL6WmS2eQq88rIjUHUSZojgd/1 Wib6z7PsD/0pvWCoC1/Jim5JTegFBBCTG2sHObsn7yJJCTS9gbLAiO2m5fNPhRwkHiGtjyQ8aF1K UZ9FagBOtYahqdiR//6PwBIaw7yQGKHLzq6s8vFqv6KmQmFWj5tMCwpVYYRMIRY9Pgv1mwxG4HnB lAkYeau/1V/L+pSbzqIpViT1Y/nl+xEBBY20x1QcF9l9P2Mw2RCPh2zuhS2en3A1FMZcyRJ6lpiM lnW1nExlq48S/2BoWV0UsXi1AlaNsNitRQnHp4QLTBTlrf4CC17v/pxibOJ8hpq7/2A2PTbpAc4Y 4A8iHXDm+sU5NKnBQUkF19jeSTbjci/3RrkylUIqA2K1gUIWHSnfaX1wDFpWONHQSE8VgiNB3BpP y5iRmpQM4I9hXVz89OB1tHgo5foQ4MJO4O0XGmNrmpM/mSY1KV6sbgeKRpNEw+HObexDXhZ91qfI tli1ZXzZ3LgeF9HHS/SJFKSOR+s/K0qvq0ApMMgfMmWGwwAriEoGyc9swM9kj8wiBM5T4vw0kcFL qznoVWNB9tFrolve076o80rUXfGAnIRUJUoH3LR4ydo2A1XyF1jhppvNiqZUeJodA7+cpFNWRlhr Np6dVXXci+bahc8r6jQ+OUEXUJPlM1z9bzK/etMQJpn+W7D1nX7V7tqnWeLljJatyfuTS/YMWcdT D+W7oB/FmsDv4HLYo6ze5zKiQg65rJB7cm/1edNW1gCgnoklVQCQoTUk+meGMBUsmthvu+5twO3I UqChMYw1/zzDqyuHiGy3S8JHOK28TuhPe+Ik2JetNszvkMy0nWd4rz2ZkWC75cgah+vspSRqwVex 6d8m2Eh5enMmQEKLR/QcsQkGmBxvp9IQodc8eiW3onBvNuuxgC1tzT50nym6dxd3D7X+bn42qrk4 wUWNcGVukDrxJdeiZ8KFT4n+yGnyfLAaaQuKW/QRE87eFQMzuB2HjWx1SlFjuKO0BjRpwt8fx2q3 LtPtbBZYBlVIvHtljQs+QgPDcDWFjO6fQ+B2nt3bZakxevJhODP4rTQ2tBpF0V+Us9gKbW2wmx0G M3Sciumd/IIm5Ry7Kce6NHfwen/XPl6eGSOLIi80MQ6hWrtm+wvlFGLShgtf7kvqsmSVOl6cOhop c2zyyk61WZ63rRYvPW3ff6iW7tku6PJZiYHgsbjLP4PA7C0lblwOQcDTZmrQ8IHD7BVaThE3N3mU tY2Ztk+kc4/rD1RHE5WIihHhpPA5YrPh1fp65rgShUzxa9fADCUNQeXMcOHkUaLTM8IHUIBdP0MS kH0Is9MzSIzuxXKORK5+amI0qZypFA9RkJ3VhYY4RrO/mfIa0PA1YjQy9FigllP4EFxloQ73Lj6p NAQ4B7GFcUT6euq9Bnm97XmTIByiKBgyEepAZI1fxV1l/frisNM/eoGPLU+E/HgzF5aIsHWHF4Rr 2+XfqUjM4fDLFSyqWLIE393q+env5Wc5Vy27Uf28HeJKaQRDhSxpeDi8uDBZQ1Zsqt/yTjsf1xIW daxMn68xEfl43PzK7sUR3GQ0FtKcwP7KXssiZpzuTrHhZcdxgfFr7hb6nTmY9ikjDUMm/FvLS3CD d6A3dvyKw6RP8sVaSfl0Ge0wtUBH23osYY7plbiqBqzn8JKe3wANSD3uykKsqy7KZzywcqWUlHD9 odzCULHX0CcGFm4tgsgpxzagnqIkrKVHcO84NGEr5xAJMl8TpmzV1XGvT4Tm9pAoQnQd8/bH7VOb LDFyJvYjW3L1ZkZ8t92BGYn6FnvEawCLN0m56nIyacszJ7CDQMS3UFpmx/yIKn4n9MNXxPaA9jFu 1xrqrA9hGVL0NpvAVFeKL/wrw13m3/PfUObKMLsDbUckZApU0cWF1rgqxKwYHQ3Zd57N4iSICNQd OIXPWjdFCO7MkOEOWyhnfsWxdzFdf3LjIpLnK5z1eAaHDqJEpu+/+Z4uLMe6ZBSy9kQQZdnATAlW ncO8YSjJiSzUIJ4o+hM5qNbZ/m9iK9gaRQYbl3aR7UsglrDLTLWo9ZcW5PIbSe3A7cF4thjLcZYi 7Z5+LTDYOFfGcUJyW89FeMIwBNoCEEVckOIjtoLpdANjo4hTmvs5oTHXY6eHvXjXyAbD/9uEwpCM QA2NXgXmUGnRHAIWBW/Ke8w34C3pXVrazTu8ts4KJHAb0pAcGYE97Y50HyjmareV/c/MInehimCn co+1GRFalovXPKKGq+OXXKOsS2rLY9AM0vCYyNWy+0/6Hak1E8OI9QOw4mUgmrfW+2E3INwr8gOY oHyP8v1lwC0ANp7N4IPEoU5ZQajJAp4au09viBDTeEW7Rn76pp3gIPy7PxrdteuX3j+0DeOCMr/G pp52FASc7UUQ2fuSbeOMu4C5kl+McvHsuhuWKPos1Gwed49QRdTeFCwJWUogiYRmSRWO8v/gsqDk K4ztiVYuw6QcXa4DjqtS+cbmb13c24DMr3OB6W6UOe9GwJMxccuP6F2TK6vNer3MS+aXagHphYms mk7LSqYvGajROzf+RmbhmcN611W2SYueYiHfwlS2FIOi0P6T0VZ8Zl+CrvsxvIM0cClllyguwuSt xMaA9wRe707zyKn16zVeHH2hQbBqNH4xPjMtb1OYxZTEDuB5mbx3e6PV6OquAGDTe/pmD4ZUa6qn FJf7b0ReTrlPnTLX9bPCPY2yyhbCzV40WlLsdRfLYwNMkVWnK0BTquijFeAGuervnBXcPLB60Ca9 MuZR7vqXC4gCR1W+0zEdbfA3fcnUql+fw1Xx42LCx6wdVhzEM52WRDE7Aiug1VxqjjE08DdVpyu9 Pqq2V4/8fLc/KJ4srkqmzgAXKd0MLu1wcC+3fpcnuXFG+iK7+/XXOntjkgfSH3F+aqgydPO4RFuc IovbyNXWBUSwWIUcNMQfPGnqcqZpX3/mNlGCld9cE5YcMnlnmilrmSW9Gwj/Dz9K5d7nqHyr+0Cu nLA9VYFoOOIKxgzJjkYDBZM/cCkzGSlVMr+B9b+8y/tmajsnVcMcQrfRgQybJslr+xJF3rQgeUXC FJQ5r62f6O7PB/fAWaSdA1e9S/kiQKv/spZPtU+yFq0KJDfiNGKRt6//l85hFnrLxfD9oLYY1J7L WstSjfD4JLaRIqb27C4Amc4YsvK5pNvXXFF0vq8mGo74ZPpqVck2n+acFU+W1146Coj4N/bclZGs g/00r5HewCpdgxb6MyGLkh8OE5IiLzv/j7MojhPoLecdKaGidvYAFpQzYGzyiHO34+L4v1rETbKN kJhhDPvFosjt+RzVBkWnylvuxa7IqvBCZmNpgQRJXSk6J6DhWddPE0vz4zWrq3neeWazTN3p0QPY Nz8fulKi5N8FqKUWzINjW0HTUF3R+8W7qTQMsJ4SGwobcZtS53dPKy3+t8FHZX5Jt6oRHCJk2xIQ yCCnbM8cwyhTmaeBvUNXpPuXgPjIR7gILwuT5dL3Sih16qVH2L/U1MzkOq0inSC9SPsBzQkSg6s7 Wk6ZNk6EAkIC5iZbY2UH0slHM88KqElaERbIP1DKP1FZa4pYamPgPSa3BWVz1DROl0DJznVrvxuG FZ9nZK4B5z6zht9znBIrFsE+m5E3kBc04Ed/Y+1hEHooOvBNx7had9J07+IfkttCGMZ9EeC3388y NVfmEk2iFt6vUW49jiBTujPxSGoGBvMAHmC5lXhj31qXxoxnuHEaPMWN2cnh5ZT6io857Xi/X9ni do9ULjkLKokKc1BMDHpcqKV1lpOQ4UAJjbZAfvy6ZcRmZv7hL5WAKkOvwEzXZYmZLseAv1cSVfsI 3255wUipw/Kz+B0lY/hSVVDX5+8dyzfrJ8K6ldnnRlvdclVMXs1N+yTu0CYiLOKB3XpeRMiI9j+L bnLPvlGhMcyeTOr+btZkqI29M+37ZS+RxPbVzt7O0jOqFXnWSjqN+06wm2jIwshYAeJ1Yf8x/3iH 0yyYFQ+DVLjN1esSvMfh6/Avv8Ys9K/eVsB2P8Z0SIv3ZpDRjICdDd7EU+lOuxQUdtR1IkKoIDIA NW7y/2DbWxi+GvZMF+cw2E+KQOezlDA+AY2WZ2lafOun4QsLtaEAF2BkoXtMeW/++LmLpUl+ot6K F+XXy/yS+QKYU7rtfMB9bushE5rnW2XweYqiz7iQaM4Co1MpSpgJf464qw7BdqYoqr2H8kRWRkZK iNQk+3fQ6vkcYD4713+06+hTIyTFP6cDo/JqIr0q1f0neLV0uD2qow3ajhMdwOtCM60bj1m45rFc WdVyiITR5/b8AobBTL4wBZASScn1ftL8mrYlUYWaiTpixKAtuKSavy9j4M8ElfgClxaBZZjHp/CU CWaCy9HnfCXND7o8U4QcPYAn5bXqu7QS0NFAU6QNi5V9/a7kIe46+zfEVEQNu3c2tgHje6alUXfd zQqPJhXqVle+ucYeWw1mWH9rOpe4JSVH7zLkq9wdi8g/5ErUD8Bsb2Mc6LX3T9bLSlXhpQx0M6ly 4HKnnbCQX5fr6Q/ojVyN9qe9vOVa59zRcx/HY8bIuLnj5ub+cXP1Pl0OLiVtqLX0l3e6vHJyKSBU X5sRoxJXWfDhzTF/5x2Zgl4IoaSTW2qm5Ve4WxNlEv1CasKeuObvtfCl30C2rwpeNwW6CG3K0IXT cWMs9XG8ppeV14Uh0wpqqu6HCeuPzv1IVCVOQQ7tt/5OP3olv0ApDFiZsf0SZcgNK+lJBmgq0EgS xW9CZL7sHmom0D6/ZV2X9Y8OUpaBw9g3n7ZbOOa976ARuQKkS+nwpdxquw9+2oPQWN0m1mQxo4MH xEDw1dTlUTBA/GXHLbpwDczohpUEqa+TJMUqG/862QqkWfT2P1b6M38/ZUnf9wSLfi7/VXqOZV0P YweP4/ztbl8gVKqEmo3FKRpk+F9r4DY9MTb3vG6/YODySJqvYgIEeF8IyaQg48lUmrYOviFMz2ex gJQAmtN+o2NEkPEmWmvmJUjofJMTCC7aWVMCRZBKd0wbvhoUFdrqqDVIhQTAt6MuDaAVYqdjPO93 Dg//jz6IJIlwa0Bv3hHzGJVJiJ7T8gSqNxgOjxDS22UfXbSc6W7LgIb6KWqFi5nt9LN66LlTjUqo aVjKXgSVJYnTIphHPw2eHwBe6eyI5/bquP9jwQieBWwNckGD/DNIPfpyhiYjiNbCfpX8CI5k7OSC hmJFQEBUh8o3HdzIPFDZ4nHNkgRhfdubYsQbGVOpaWk9LCuSXklHHW1eHVecNrnLTVy0vvsXAcra m3+C18FWcmS0539SX4sWdik30dMOKf0FsNqPUeZ3hkP/vQC2tYuJ7+q3MLR2wTgEDJ/y1ono1xEQ 1IlLQxrCSqjIQgmk/6Qk4tuMNd+LWyOi/ZtHVL2sRLRZqDCcIChim+ukYtT8PWNf96AINFwLOvo7 Ym+9veJESdNycVyhWHmhKAzrZZU1lzfQzbioylIYwOha/NPuONgOaaVle0po8TWR1W0+r7+dAhcY wTSt7zea11+QuhxyXLJrdIB77qIhMCFA4cC6flnntGBN36BBJpvKB9PIiO84/LMKXuXIq8KXaCZW rKeZisbuDbmyVWjhcdow7Ad2kzu68dMoi7L0NX64F9YHuzyuulEDS68x8XqFaVQBDDKdqzIoRdoX UFF3pm5AuwhOkBrbfk6M52SveTIsbzj9kZJSu4kPoS8C0pi1zMzKqdzF9yTW9gDW6qIu6lbLB0n+ bJdsa//g86aE53PB14FNCxdtdf6sizPbGLeLlAAVfroraFDSfpoxGXbu61lQjDlzSdOGdlEH+H+y TB2vQtEN7pCen2qvGTIwTWW56LOi3yE2ph3FhNwn+hukHcAlFFGBEnygucWiGvNLYbhyaeTSf7YA /wK7DE54RNp0J83DWXglKEEbw4JtAYtgsIG4Vkm/zyHB0MdHI6zHYi+Svl2d9tR0ZX1o43TMassv io1/tdENOYGIY3Fr7NFhQj27huzVsCroWtZUBBmmWBdKdKg8mJxwl8q4rmW7CB9ebKAKH2YyAVFc IVZzSi/6w4iw8AuhrBQzw3VftoH9vnFz09tyRU+pzWkaf0zUMaggVqqorCqK0DekXh1DU6MPsl+S w5G62sAfwQWrrXy8lFo2K1KrC6EHUx3Sx4RTfQNluS72lajwJHZgKbTYkDedB/I0LvQzP5VtbeLc Cy4Vo3NjueUmuWJ0I++ftIltNYOxKNQEhhi2xrCTJkfUKKZQHsTp/rRMWUcxFLoojgIGkqCEz/Qs ndjLXMhElFekIPj22LB6fhp2qlqjO2ELJsUxe5TbbapbvolgcTVaXVDVhPBQ8eb+3BwasilLF0Wj bfN7YxvZtQDNKtGIRff1GMyHHR3fiHJJkavThxVGP3gnM1ybqGF3CBiIw6Db/B3nnFh7kTEMGHIp rwhBGS2JJYuew6leuG9Z4qf1lAA0htMAcw3iqX5gNOROCSWULJWivceBIZsto9ZwvFV/cq3cd6cX UfKMzvc5XWo99kRYMAcDdhZgMi1QNzNIXBUzFNClleACVMj5k3jiHmLC0/+tKhHLwGxeFBX0uEU2 fhMz1u06r65zjIbFun6g+IG2fUB57Z+S5THWYFCreBledbefPE1vkcH4cpx+j4YX89RajiGFz30V NMr0Boj0XDRSswGccR3vTD6NIFhEXO83ZCT4gVgPE7vA+sVF1/Gh5w8cvkDw4gsu1lFA/bQR7dp7 B2A7j+5BMOoRKCz2uEZqIyeV8E/H0fijwbtb23RMrWt8uN/W8W3DPZHPlpmj7AjHMHkJonWIsCRV Kdr6xU59WIjj/DWKsgk1O/7bOEUAeUu5CHOHC3t0BLzgs2Ateraxh/LyewXjwgN1/wvI9mOCkAz+ R8g+aoFXpUYOj80+ZQrykAvWNNASgModsNvY+SX9albgv8OHhx8XKRq0lxfQRU+uw+6y5kcphCq8 JRfugDW4nIUy8bx9Mh8TdGoMX1P+T7+gS7gmMadubA/3DQAYrc737OVI70aVi9c2EgLlbh01IkkQ 1DXKuC6H3LjV3nDUpjNpIY5xsoLBhhKPr6ZYBSXsm98CUA4TNb7GqLyqQKTqxP2uu9CEhLPGdEw1 +yRMZjprEZnbiCHfaycOIygQy3gY4KXfpRMfnRCKvBOWak7YUbCdInMK/bGSNyz2bU96D84mAtyk R7oGGzCXkryyuR7V4H8ZAwPpnQT/p/pvFiJcZzJuMft5cgSdHowmh5KJYoEiRt+Vh64ruYihhj1t NlkyyudoBs8VUPFy/6rujIsSuPQuDdDgkqCevWteAjldl4Ce5rJBq6wSv9W7RmXksvUwudWlmAzX mt36FhPf0qjPoVaj3XZEOIm38oJGLlceZfhboES+ISYo/rTf7CsxtQvfxSmgQUkCBxTtezNRzXrq m7PmmCuw2s3sExKeSX0rWfXo4ES/vai3SmzwaokbLDnEKWF6a/y2WoH+d3zk/D9GjxzRXe0ceW4s Qangz2Ukxq2Jmt2A1ROdXF9OQrxqY9fto8Gd+MOvScqd17q0NN9zQtrNORB0N3lku1uBofSWgQ9L schKjYYulKZBbqh9SihsG5bHAIR428vbTdTSEiTrKEmzfyaaEtAOhzXQtmBw4ORqsG6TzimQIcjN unNJGw0+Gcj8XT0lgpwJRp6QjQuM/19/m7AM7jJ/TLsjIT6TuwRam0RYeEgR/Y9ycOPc44Yz0rk+ EpGIR4VK062K1/0J962k6g5V1E7MB452A16lTFikxmp7yfhtUjCUmsOuDe06n18rPYSBBLKFVTPo w6ZV9fB2M+DuCxiF9INalI7ls5eBIkfYhqGFnqsXXodt7nGDLZNpG8dZlJcB6xArzDkZ4IWvaC7v 8Nn9aQnMPUMewf4a4xT7g0v7dQ4AL3ORPPTpeOBRROThsxe53Uts0dFz6y0Z9aGT/iH3QwrCfho8 fEs/FclNUeFvGmG5GATzpIDikaoPmByUgJt4lHPC7CqkjOrAUEfKqLvnGDc6RNe8eb5UapMbbKTY Q8AH5UhYtm+DUUfEyhIr4C7SYf/RvSiyFOrhqkrdPg8OqHBqgOUTWHhdm4mUYjbC8qLpX2JW1gFe zJOr0nThGSwNe78btmC2x2YNNg808Y7FV86nnXx3GT1WYg1kCTtqO5mrOCMu5BzKYgxxZrRUbqMw FlcgS4+W3t3o4764YEBFNzdVrrysDOK4XDnZpdqtHwTGBodkFgc9Ujid+HaqtxRC/D51Yxf3vLqw SbIXiul6nRRhaLCGzXd5uOq16FnqHMERejbYn0ALiWTNH9jIxUozhu6ujqddsmb/p5mPtVNyIjtY h0jVtRjWYWpap5/Rn6Z7BfsS8wytZifnMU0ghqxIcRgn42I3JYTr0TAXnW5FWLLT29SVmmQNbWYe MA7XFXAk8XIdiz2GQjCCWpjcsLFMy/JDhwNj5u+/w60eEWT6bcY3PUVlYlcorJM7QVyOCbP/x7aV ne/whlORIEc4OR9mLP4e+FrRA2i7HMNYe9J02IoZFc+5VsqXeXLTNMBXWv2vnaSBmYiBPzmhkqL0 rNXhyacA6eykBXLIaFoNkhEh/gD2h7SD0/udHBwwklMbtfKRAwbh0VRM+5GRdK0hIvd7/6dwSaaF x+mGJPM9Fax1vL/a87ehI6P1ysSP/qA+zVyddhG4xCzS1FPpn9YQeSkC3tKkhWOh6FxqMXt4aZFE 8wFcSw5Gk2V+T5qzVNpUOcUtYiEm71bD9ECZ010CcMexD/SRA8yukFeTTNIvb6ppbN/J9CAw6FSO woLg0WbO6naSknGM3OAR46g2M+H9H4+ERDAjuXIMyX7dDzThwlN9oZL/bRe7NR9gzfwzEKW+HNLc ZCjm57tXtR75maadtwBcMQjmMoqDZUBQhEhw6eXBiEmkHr6efD7dyBrm30kJ9U3gDXL7oPF5oklA nDc+HuDCN50xuCMUAg2nPigjwxwrryKImw/2qjgnlKUmNJVPR3VkLHsnVH/7oac0o6FOcBhnNZHP RzOvFL5fOyhGxUp+n0hz5gqsV63AFDxAi8ULoOwPA0o6ILlD/FYGdwqlt67lXqqJsqRuYaZqyOcX k3r9a8TQo4YeVDU8m8CCnT+Meb1LUglSFjTq3ehVvlQbMgi44yZEJOl/t/jQjxZ8CQticL0RFMNF 3fgtEK0C804ZaYENecxgcVw/zK1O3ZjtuUCte2QKSEixqNW+CMzuj1/8bJivOmkzVQw+xHbGtDfR vre4lav85WfIZ7fqp09EG5GDCvUM+RzJMRCyuvTWNrZ7IDC2nVby3x4zlGAPb6/KsVm0CjgRcYKk kB1fq/8AoquhAnWnonWA0Lbj2wZ5kGiOF0WFpk3NCrstiWmyiQYMyR/JGXAeXpVE0rbSBmcWuzcS qFdA5N1Q1FfW9JngorPf6ymv6nldEfnxDh+NJ9YlzDhrLBKx1vFj50YasRDJBmM3bcDyIrU6CAgE QI6F4IRu/2Mc4Al9u++ntWGJh0dX+4wd9vuCv3kthR83faOhgXf3yEZ/oJ1yiOrnSh3/F+y1pyUM kbKAeBnkgQ/NdB9SsL2Q9ZRzKiQe9357Aijwbpe3CJ54KWnoC/bFa0dwiKstbxYDjff9r1Icy2XM Ht2axzAa7jfQqQG6/rQ4zuHp7biSXvO9Lc+9hR3GSSwU2jZ1xM6EdCxYyVow2FtD9eXk2MLTEkhi rRKZ5F6CixYDrUts8AIAE+o1xLxiM8he1L04i7U3H3VngfYMb8mOuawYnoJeDYCqxHRylwz5c9x0 h601bNfcMd5A+XSVxNztg80ROKQBzIM7mvpqUN5uA9NklRbvwGW+bchmHcWJdyfXeIjZyGsleor3 1RUVoa1Hw9ctisoWjumS26At+wbD03skuCPHkxz4mFP8uEDpJWsGl7BzMyvmFoltkxl8ooege+PT qRKSiDj1FgX4kN4OQLiMRxAcmwY2XOrzBcNBfnyiwDtUCi6Pn4Ep5GRM4V4A6a8cwJQ6DV3DtSbT MTCB5n4e+qq9Ug2U9S9H+KQYz5/OdfsCDg7AZLe6xMKMQQ5bOOlMa2/4BOqOKX/QsnpFyiqv8XDE TjFNw4z/wAuScr7uAgfhTjTdJrU+/crbGO6EVBJzPL7D9MYSqyX+CbwNDD6aEbX5OMt3j9nYInBy oV5GtUghL8QyH0tMzuqwd+UwDTp5Q3c3krrzV9jV2ZLT17aIHGpONy3TlSD+EOKnp9/rFjTBgIZA a88sVXFse8+wR7zaFQIeNG+rSUmnfCOISZw5/s8Czhx0TyEVozwo6/PRDZFyLqcS1JY5VJ+6Dl6e Xbtm/UJjs9wULmC1cTlvkPq5cvAK2rigX6DLzPOXZwX1HdtBBTXnMnWTl3mCcd0MRFRs8cCnxO6c llwZh2Cgy2F4SKjnScWbRHi3KkkPjD3K9ODER2Bg5Ur5b6o/+EGnSYerOioB0i8WXfgYRVpyC7wc lsf9nGp3KloPLZfLg01fK5tKOGiJjw8qmXjlhO1zt2pGaH8O2/kF0avkzAH33iaG7b2o7lHb+Ezp /M+PvkB9PD6Pvnkovx+tRMMXV05cUOCGuUpw2Q3nEI0dw9MFDLJV8kYryotJtq9ZfweU1LJDiomn WHg9FAO1N4z/nKaUZSHKuNLPNAA9T+7y4RVyyvHGXWZ3ulHscBH1G6lZW/Ys20uX0b72YSV0Q3Dg dfnf8l60KIpUb3C6Lcdiwl1BifyxQT591erRQpSvIcr89j7FtP7y6CyWtIkjBaNnNF6Ers3Xnfyq SVBiN8sExZwuQsb36CBGm0KRz8xDA19WJCCDTFP0hOYyn5TBKocD5XQmJoozTR6YgEURFO30Oi7w c97Y4C71rG7cluzWy6FXri4Catkw85pLbvQ2OMDWVpdPt0RdH4Rdza1YJVQ0C0/H0mBcxQq8Q/6E UgX2fWStCSek+nwaZwv7/ABjiHjqKYMj/YVZzFMIEv75h3wRGeakF4T5hhY+VMc0uKZV8EBdDYHA a5/DWHDSr+ffUsmtPWGgzK+1sSPjfFXAkYVwJTnwE+ceJWy2T1FF+4hR/7ijwHExXpMqrxMa8p8I S46qgUqCbfxVr+c5pyHAXzWaIwhvIM5VeDxzJwdQSX8ceFaZrNTsZsLfeGlbNVA5iQezCrfH2P9X elA1fNSBvx85inaNlB6uGQ7yfCo+T044EJg+ClUVHlAsj1uLNJZsUbPLMVqc0Nms4LlzJu4vgcZF d9kGCSS+Rhyf5gifnbtCXuxr8dau+T+sRpCKi0vTW78pU/AWWawGQwDpG0p2w2UFSY5SNWHEJbrK B89Utb/gLvJOp6/E/8sRUI2ML7FJRrUNhqVxHeaIdNiLHhMvw18S+dTx29GidKMyN9uawRiMTZqi RWALZrRs1hOzLcw791QaswY5Iq/fweVo2aCHLCR7jZbInnlIgA41b5Vyg5Ldqp/ESmU3hJBYEqbQ Ru5LeB+CcCRKV4I3TJPb/QvxK9emw4STIrTBtzTCkjWGSgR2AA1epKAq3upW2yctOMG6K4c96OHB sl74WQiGSsMjKJ8HOB41dpCjF81E1PJNKY5c1sP8M5JpNdUyerLwkQW6BReB5bGq78pcIKCqRj8f 4NrkFKQv7YbP0abrzzKCel/fKpjbu/+B7ClWBqxBZdvFS91O99ozJtDUcV0KEx/1YJX7wI/NZDak TOdEuFjEApZZela72oL49ErMd5umpAhTrDZElDeYkSWr4fEJi7sF+1hgqZdOyb3coCBNa8P9O4DP F+FUVPkmIrT+jRlmYnldJj1Cz8SB5pT1dR33ef/5Li4sVvohm9qUQznHC/uOU6i3jPirq4z47jdF 8w7Vefb3eLFtmr4YF/BPrrIMaLos33vB7WP2bc0L6qMTuwPi314sQapYIQDmQh9Xh8lXiSZEScv4 ptbwnAj5mCAyTbz4MchGaanhZRFFUo4sYS5KwPZ4kqslts93kGdmqDdx5HPUgkOFAezYbpSWE3sG MKtd9ILW32AocL9ehinrEiV6JZoVtmLoI+qU3jX30qDb4vN+IBGmV7HHbNWiHoJwpOu4EnGms7RD ItqllJkkGQo/CEbw1ClvtGzGlsH/ZbyT21mpZMEnbgavjlRguBsbF/OjSjqBtbOeAMtyM1BzX8Gi qJUVRUuZwD/nenww5gRE1P0f7niY9hWlB+27xFiTpn+K4XxGMBzKsPnT0uizvQCj4csf4yzeSbwa S4hvVtUniKI41ugjxwxWA50RRnHljGMBrlfYRxedlch6EKsnsavjndnEtumDkKJQJ9IxusaMmoN9 dQYq7QJm0k+5YQaejn3tWW8AgIK20hhS89a+JFzq3SHUN4trsoYp4/49fhkAHNcpAGvL2WVjt8Vl MiWx/1BBvZ95NuxC6BTrIYMGFRXiuqbAVDmwI8C/pAF3XtDpHFaHjO37ADjKz371vsLBV2FjlWZx OUmQ5fCUWgoQ1NOdeoBDtbSCJbZp1k39V3ISNm8f03vtTt5BiaIMbCT5Es2rd43nt8R4WVVQcs35 v6iy9GHYP6aCmPV7x3RRDsSfkzopPOLSdrXxwFz2ulvX2B4XzQhjBT/sif4w4AgShGL8oyRrRGY6 QmOP6/fy3x1zTa+uDuGHNBjT412jdsNSBDXld/O+Qb0SQBm5Nu7ois7Vi6VBkMbbOqxDdcGdZKrh J5zUMccLXFdjOU30S4/Oab9wNCNG59JEV29/iLDjBd4H3KxW+gENueNa3PD750qtwH0R3DU/YM6a b5GZG8Obdb+rg9NijxA7amQ8e0sjKy8yiU6zUBcNXBDzb2J0QZ3JztI3/0+cgcyxu0a3IO7HCFp+ 5UwX2rF8AVQGwnQU6hZ+0jwPnfQa6kYapVWuSWoZ4cSNciMmk7X63nUw7av9u1kqAc3fVgaE9ugD 3dt0ws2/9ZsCC1pq9iNs4q2/N9n7mBaG+YXhNUKZVE5+wKABDfPhrWuIWLHW8Psl2S6qRF1GEAqv n5M/vj7xUbAwqxsxsVaI47rZdNjIKg4OixWGbuq6emxVkjub7AKnGQf2bNL/9Qy+GXh1VzKx+teh Pc9L01yWqmRPsJi+9EkTF5UfCcJiM9TnqKgik4wGU4S/N7UBkvjOH2m9XrS+jp4uR+JkCyqdV7zD CC1LKyfnhpZr71LKwSig/jvsE/y4Tqi8c1zRL60bWTEAhSXJSoLIVIWVRN5vj43DN0zvhgTCuOy0 8cxaOucXsGe34A8IhwYlgbLTNOWFt+Ian+jbwzyvzKotpYOwOs5nUhJscK3o5fi8UNuRYnqmBYLy Ssblef/koNp+CgsNXrzO7BfSyc+NNLBBsNkJQQbCACRNf7kHFC6TYE8D0zi3IKfyN4fisfIwTelj 4TEGymvT7TNLrPkA0GJvHUlv5X/3rxg4aaAEc4hTM9DZbAXuJ58QfJ3TBzOkipD2kBuX7M8xrjS9 vxsDA7w0yQaN3We1IL/8GQN7GS2mDog5UnADz/LVqZ8AE9sVLYt3fA+N4Yj8LoYUpdpWbKAHAq4W bXdXVxIJUL+Kr78nq8q5Nf8+SsRKljTDu3bDEG/ZAG/n+uiE6LLvLNMNRpc1n1K0HxgEZYMABwNZ QCQ3b5c3/Ve9/+e3hvcYRQtXpZVvIlqVzvv1LKt7QdoCKW9DM9GeFzKdMUOU/DQZabi+qoP/5dtG +fDPFFgAu1B3gGbzd09S/xEtBASFx1ZXH7sG48ILytHnXv/zoc83QfhjyP33hfms2bo7PXhtkl8X c+vbJHGgkTHl+xQ5L26eTwawEceJDb4AMXqhP4j7Yce1FtDhe9aq+X19LKnd+h3CoZOc8wudJewu +1WGnw5qMLTfGgHj22z2Dcp/Y5HCoaoRnMR9ZoDQJJVGOvv953LUkml8qvlgPlRZeev29u7zkcft X0/k7DFD/v3juT7Nx9lq9cwVuNhk8IxmOE/gUuQVTpO7T8tf4T+BpFkStRs67s/EKKpCl0rq5TYc ie5COQA6jqx8BuPIi+KZAXj8pAtgGb0y1rpeZNTB4PgoEQ0R0in7gor/Gk+KgHM8e/sBmc6lX3Rf H9Tu0ihrowgSa0FvNffIDlk+fPp05dDmJfeIDM4ldS8yDUTfwsrv0YcnWZGp3m8pcmaCpm4x2SZv NtNcevMlyq38ddt0/H/qRYpoDue1/P8qniNd5eNAeEp+4qTikybelSuwJbwLApsxUqAuz8G3qdR4 byZ067hFM6l0KVYU61eeMgd2ZQFOR9EmPZKjFK9QYpL96/16eISoMOzVFA4kOkRhPQ2m0KEBnXn+ CWFmGwA8bLkdaTvBdL+eYFpR23QPosKePo0DsYrJxIyg1540xy52NTnBqOG8k5ioMdMHbZ+BUO0P 5mYTFYKghjvpVCwg1eo5lRl/izbmlrGiTDiiN1a6NHWgbseDjBZzRI8h5TiiFehDgvDr11Us2tLk irQGtxnRkotBL/av4E6O7J9nII24u7irOa9hVyM7i5Nn1IVwRy5b4VqTqKMhaglUTWxj55TqcIj2 PgAX7CR49FYZBTVEM+hh95ug6GWhoYEOxTw/WXLoe+XJPggmrHBv9l3glDZz7T53aJXXsUpl3Jc8 1lggdJZ5lkKwa0dA6wHOY/tDKQ4QJ8IvZt6zPOVEflQtxJSrdxUKRF2vsfBhgquik9mK2QMUmD4L koglKulH45l/2FPZ997IqRAjU/Bnpih19O9ccjj6FX+O3K+D5VxARo4iwtexVi6zhi+p9NT1/iVb GRznaB8puGWa3woFqN5XqIUtpoRavFvnScG2u0UatzwMx7raFtfp8tuaGq0lNtQpCVPPWTYxL6IR 1Aa7zt/+UFnqj5Wbr8kFrB9qWAc1UgXdVfGYN9Mu+yQD0zZb5QZBxwCMqclrBGATh7s86cgHA7Sw NWgYT1lEu76jmJYqo13nY7oFn2b9YbtQmfW1nv/PpenFn7IsDfCTUD7bvlAgiVC4AgFSMGaHA8Q2 WJmU8yESYvgFJad0N4ALix21Nq56u4VFDxwpaHubFuicpyX8vAy7IRNQeG6EYUb8I1juinzKA9oP 3cA3jrif5IWQpkH4Rrmvq5r2JAW5Lf25lN2iOsnaGOoIpvcStIGMMoxY/q6TSvpLLakfUajOsXGa 6IPkm6BGKotdynJoI0/f2cxU7upg8jL5aK0KWPeoABmWUkBnxivIPN6oTWt56clEQgK02MQaAQ+v W6dwlX960bCqBUGPdy46kSkmws53WwF34jErr4GNK3FzZF1xNjtXMKjfdEMnsSPQWaIre5J79XCs 1O32fCfjKdzj0Fq1zYFXgWhENe1Sip0bpL86+IshGyB9Px2gMYoUuGIbzyTL7Q50ZEtUw9Qp/gTc 6BtCkQ8VTvDtEp4rytWUzDonhJJ9nWW4czYbKOQOC6UMqWLKESieKkWfmETWN2svxoIx96+JLERS GqqNydnNDkTDfSsS9GVovHGR/qgiqsqkY9Hahr5SirBgWPgQ0lpm0VajrrLH+e6ARG6YXptI4It8 HKc+w99VedjM6Cjc5Q+bkO27rfP8CjJ66BUoY1MHdee+jS4Ubk1rIxoO+ZVQcQqovp7tXDQ9OCCN NUtYQXaHntC0Yb/cWYyr1G9ziPjIxWldVVRnOL/qlD7BD2K1rmzaleFtojAflurAx9yMDndAt9Vi zPNJKBFVeX08g58evz3w9aATUNSdWTlahei7SfVbr6I5x25KBSJn9HV5PpAKg/gztUOeFebDgCIn Xr4dH5bXZzfIR9aIiv4loGTDe+Ou3bDgNg3g04xJoDqalOn5Efhzh120QbUEGdLU0HU89bSnQCtQ w3pITohEwIEx25aXnYye8Hl+zM1/63e0xoG7Y41ynJ74o1xlo0epmcKVSbg/WIRpCWPyBTq+rbkc YNTOMJD23ECc2u+TiWNmiw1Zb8HLtMwUXn12Yz01eJlOxM/s/Qe1HSU2ejSnIzb/CanK6Qt5rC+N LcSIx0N4P30xO7XT0123ZwWpH+1weWy26qQ94tBr8+xrnzafMOflsx7T2GooBLHphDbOCeXfo3jS A+CShnFLvpMIUOmBIdhktaRqdvW4AJxSjiSzUEIDN4BWb/uLAjdmAY2oqEMdRDyYX1JymoG4KRQb IET0iI4iRSA3kvpUoDxhEP1pcYsyjqvoM82eWfSCV4i1uzUGJSqlHrDNtdYxpVvOVUCXlHoEUwDl fQxCv23TDgYJA1tVaHktetWQHlR3LsDqa2qPD2WHUcf/23lqnjnZisLhJm+yzcd5Gz6wHPmGBcRv yQjG+7643K2pvy1gsKIVNBYE9jv3KXEfniARRvHk+Jcltb+64sdIN0htXRX2WR1Otd0bl8g8gSmW l/OkAVKLKPcvC26LSy2HsxySWWAvn52JJJNz7IaSreJugSRJOg9YX9UBgIcM/AHAhe6Ek+CEB7gR FeYCkwRJmKY2ggovbHwtpvflhWcu8srq7Fp/hFAN4y5ig+z7gtXa52pelPFPiYMCSItLwDIVS9/c quOYPak6xMceYzUcPjQkweaq/j3pB1Ts+LTSXBXAPjmSwiflr1q8DVbVTar/aH3zqN+ND5vGtlu8 v+owaj709DmdReyeSeN9yH5VLqfLWdpkzDqZciuCY64thkZvQi34cPsf8JZmHetspHKI33Ml6Anz SJYx10GU3WHrLSiUZuhNhrhJ00hNF+Opye0spVQQ2GIilOeGMeQeDvhcFkkNi/hetGXuwSHTVBlm vGN5A3VZiP5nFHRBMiMJwSKjb/x2gXzU0gB473WmufEvbUdNOmZttv8px24BeRfGNtE1WAn8gpRY z5IOSB2wUKgFGroWH427wvR7yVMIAJkHArW3ibK9g7Ojnt5CueVW69qSfGuDyv/Z3bunD0nrDRwL TN2zcUQYjAMmwdhpnl5RY5BXvXm5CJp+ayplHhH+KAaOAYadywnh+8SaMzPXvupIFpi71K2z9hEd PcwWebK7DbZeGX3jhrMBFp/o1nXuH9DR2BSSrADw/ufDMIOumV62iW1Gf7bUFUqvZJ48vWvPknY5 ROyR6Hp3EQEa/AUHbBb7K16TmohvzMkNr0EXH/KRPM9G1CI4lFzjdzb17ehjxfEWOY4Zb2DZ2Ujf K75xDjQDL1B9y3OfqVfU1oPDwiS3iOoKUty+Gce0yjSwYJznVt4sCfVVdSzKiN5FbG5i0Z5yyQiJ GaEZysrFcIwp6/qLocb7xmeZkm4IXyjspUS8tUKJ93WjIp8vZBLIdzCNgkABU/nUQcc246T/dQvt PMx72U8fAew6F4TVsiS4h4iI0RY3JHHdPNwCtq8MtKCngGP+dGjF5paGGfbd4jbWINQOUHphUR9/ iY1u7M9BVziJxjQfd9e37BnYqgAFtE3kGn/m75ZRfi88ANMifvCLcjppxeSe+YXWEiZ5X7tTIxN2 01BsOKXcYMwTy3OaGGiKhfkuHtgNFh149BqDNDwzXyNSzWkMCgoB3253D+3JCaaB6uRRjq+cw/KQ c9VKdkMqlZfqYXMN5cqRxmnaPf6EusNGOFpm+SK20CoDFuFiJPxdjkxWNKkqL5aRhv94xCXMObhN pWvQmWOZAC/Bfq68OPMnmNKrxBv5GEl11vDpToih1TwEnsg42vRlyOdkqDz7eq9f8huPVkWtoRtF kKFsJj/WhG6EFUsd90NInBSNFYiL0ogV737xHOVeJSbRP91wGgZPr3+RyLOAbLtGwXVA7dJKyb/Y ukEOShELHJFpwwwR3ViPKHU2Rto+XFAp2rQ1S8GI09dOFnm9deFt/jlkFPJlH+SGDsd37LpTcaZs 0dzUMdsBTeLRX5UxehMUQIApf7hAy3QiMYGEvd+vOVW2B9HKjvtZLXUosN44k8RDcdcPuroeO8hV WxbdxoqD7Jc6YC+RSghhFAfiImzjGaKkJ7Y0lUkuFbzaMRGq7kiCSv9rn7vFfl/IPGiq/DDOrWLQ b6iQZ8V/SA2F9WoduTHS8bRpsOpOkYpetM1OaWN6hcSyFE8xoOgxDV56JJPb5QvkLQQxeG7ncdLt S+5ZSuDIWV0OCPHSjzrXYSi9gyFtAnPkNuoGZFbdwdsXd2Uv0MAHOpo3NfLAi/0ZnSY3EL/JOjHh dSuBGcOd4jgija7F9WgwyGrAnsVhvBrY/rTSBzzuSHvbMKj2b2uxL+cNSBdfuce/fB9FoHpJgonF tN0YLUIyVLR51xa4zqxynsgyaseOeduqwkCfKAav3iZ/Y9MggKAoW+EHISwHW5JZUCvxJtGVg/GB fgplpom8sBFqOUOSqpYIUsipv6oB4OXwzNR+pdvFsXJgS8ICMu+ipwvESC5G0coHVrkS7FR8m2ZG SVk72q0cWNDxu8G/TVfUMUcrYZhwozqHawMIplTofN82Qgq9sKhEHzqMnc1ba5vSUw9MJyuYafGu vZWGMhlJXqoqD2kUh6JaSRIh+VYrnkhel9KnWeKrkHb0Akthu/s1SfoNUQyNMgyk5UTd3ezaQZUW 8uuErlJmewTx4d0RZBc49ZPsV0+i3HM9yMjO783YDpeyX1Xt13Qr5jOO6aEVIjr5126Fvy7bUrUk 7Be7MWqlPlymUsSgc9vxrNR6H8pDzwYE3GRcuJf3MAe28UtGNslZT1lTKfdUIIcsHtzgT4FdHgNK oHQn/hTCWzUYg/Mt74/gGGZG1ZW83kIarWQqkgsQHI00Qb9KI3MCkvTI0O57B8mLPvDo94LsMcRV fRgyYR5RR4eEO0BcAixnjoP6KgUMpaNk6z8kroK2+ih+bp+2G4AF6jeNRV7Vx8etoUWFkUHqiZnd Mzeh32ofa6AJz/o+5sH6EP23PAGIc0C5balv/Q66vS6okcKf572iy14xmPyE0gMj3KYLDcwgOovy qfg5epHibQxWuNgu8NbhJxS+Rygrh+9cJeAv8hUDXRWijye2XqGKa/n5MvRmaefYZ/S+E3/zkrnn bqfjoWC9QFA3917sSlJV17a1b6lRt7cPIfs7J5cwUwzxZypy4CoXdlKm7miahd2LheQbiQhqDF4X iiqr72uPpB1FmWjyZCrI3+o4LHln1uT3xfSIq8jOHZxdVxuNkI8dUR5/0L6S0Gp56q6zEV1vp3l0 1ESaaDU0DCtkrBIyeZcsxXTwtvYzRwX6aMhc0jKFmR0vw3bzFQmZasqTOiJXKqfJla+ZjWBuCoOc rXF59eVFS9X5gCaDM+a7kmMn+WObiS5oO/NPhPa5XLVBFtUXn7Z/dPVrhrJfNa5AkmowaquF1KEO id7n9dlxiLvbuegBsnRra83SkqvtGlqvUfW2kzwUxbjhHLpl81ccJZ0U1d0P7EJ58wo1lfiRRzFW xiPSgyTSjC67uGQaLnFz3/I7AjvToinJC4Ov0mdNZR0FLIllrNtxDMDF+L4jPJxhLggwaaodF6so /7PNvTW4yEnLKOwNz8TU884TV+Xe3TeIG+T0Ta7Hb1vEudPSptZ+VH9dzfQd05jiUsmuui7gQe0u ImnNI28WmtdJQTW7s8EzgxNNKq8tV5fyr6YJeKSYFPZxJ5xF+mUNEJ/BOQQ2e6j7oVTgJ51NpH0b ogufv+yYlH+Sd543NGzfaS93XzT11Omm+rf2BqCeVKFwE486NmXBqnaJagdCtJBbR+InvUmOWWul 2VdMF07Y8ysDDhotXBj11zGz3Up46BR+PhA7M33hzmXSNY9LDsKjeIPvRsLhXH352dOS7j8UI6Hl mJVKIQTLD5MK66zMI8kPCmxXQx1wr/375/aetAsNyk3pSHwswLseLq3edUt8hRpJdlerGrJLpEoj TKMvPn8qOVqmtXWF1eiZR6UCn1b3i6XQ6WgrOnH7xHQP6iokFaSMHv6sERbGrKs57hglsx/tmja7 p3TE13GmSwWUgSpHXSIxR/D5G/rQNCyISKtDUP99RK3zc1q3FnJvGzAb7iMucnRqTKNcx5nynB63 cZ9Puxi+aKuw/UuIhzD3kVeasSBhFYISzRAYbXBA6jrsdUBmVoV2Em/oEjxvHKX0+ZmDocyiEt3H 9xrFbEQ2H55bIWUUVyyKXB+BzAZcAaSGmqSCCruexEv0jTQI9SYgJV+cDx8hfvL54Sqbm1j/gW9m cEOO6glLfvUWBl7qbjpQNpKKu79keQWwvn3/866V9o8bKl/CBSoaKh932I8uBD0PC+9pcNcO7IiN v+tUpbQGjXQzZmfd1abD5knK4NU+azjpoXVi2d8mej2uDPQCkS0y5RhhmzWu614HH3xR9nAjcciC m4+g8L/HMrVaeHF+Aaf2GTsHD1g2F79sJ0bReaOD7sVW+5LxN4qYhjo5ZqFf+Q6p9WdznhhBBT8K WrtpvhlEsjXf7wlAup7u7fxBTaXa57si5cQJzHYsNmyLlzEaz21I1TTOh4/CK30CronTB9x+hmQs 8/AnqQzso9gGLJjgOzOC5+AGKJHIRsAtYlh6//UZkK+U9imSQmpq++u3taSaciD+D8gh13a4T/S+ BMfF3ySWl7ey3h15SO8EI0o/GS9mqjO6NMhcYo9FO0Z9zUr+Wf9N6E4U86JYAIKXd45IkIVzCirA 7f3pqw96ZUaBzuxARPh6X9pQ3CgR6GcLm9Kn4s/TEPHq2cPuBHKHtuuIFlb8dmvGmywVj2G0i24s fSnSJJL7GmIq9u+olY4YU5fgcTk61jmywOOeA2DZQhXz1f0exgDHgfurvhlXv75uN9AlaoTX8uPf /go+KPcWXz07/LKSwqEW1SyzCl4bDZBH5L0CJ4+IZS1vDenymRUCivzsbPi5bj/FaiZLqesZ4M45 ucB4W7uWSyjVJjPfjRGyNFvw+PaHDHzvBQQV8CZpLEH7zJplmyalkqCL8/ixES4SOYhLREjUTF+8 d/kdnizH8ZRWpr8Z/zP7bkbd6q4WGMuFmpLcmmE9oQYLJp2yUFBqYjqfiuBdfK0GM14gT2O9GP21 q1YK9mAvy44hu9au8jz8owHUDSy/ffu+ljKhC6lM62MH2AaofvQTqAKTZB6U2+K608b4Y0NcHIGq Mz9aXr8Oa+QckunBIstcCg1KduKx3z2GqcKhzpxMR9Gp6JUxZa+edUE3zXvHiSI+iRGhd3a7C4Yg OIGFMOPlz3tEXfW5XITsdpPVYL5koTohsaNIN0pHISPI+nniqggoxgsNG3y2CrbGM/pd22WzcggZ CzLP8wHpj6xbrqFgPv+hdpkKUDfLI3LCqZfuhXHhx3lU6JXLdaNtIsreUW1BW/u6XRcOrLbvCaC3 wfkAJdtTdQFZjiFvSMMHlPMhoKxt+/Ds/tGvnq//wbA+jECfbmQUu77j3OSQbrRzVqYgZ+HDc9w6 oGIoL2BlpZePcq99lNgpTZkEuM9OisUT0gpxAOK975HztIKkzpc88yKSDeXOm51diEiKDoFBDnET dzt57alD4ifAQhsQegfzpXF99GYOeDWqPAjN2qG8usq8jLYRYKe2YbjyKythIH2leciI+W5esSzo W685BiTY3dBjTkLJnmVlwa7ahokUeGyPXcPIEsJAA7lJqy94Oo2dUV0jO8hJTln9BiDjeyzDgX9t UAARYxjL6tczuzbWj2mf0n2vZ9fLP44Gp5L49TEa81mf0IkXEjSZLmMeB1Cw9U+7aQluce8dCvSa Uv5yiEybTbeUz7+wDd4DB/7b5FjD5QmYliPjqDjGTJmfPcXWPy8TBmL6NrvK6ESwcVivzJyR1ZVJ 6A7j7B4AgQqFRYML91uSnUzHx/dimDFNyYvaHAjPcVnn3/vmRWAT21zjvHnUrBmoK3NiaQCEHwnT O/D25V9ddyW0XFx8mSqWnYt+4Nqd0Vf52CFwRaHWHspxIUg8vtRx0Tm8Hn0B4xl4hMpRy7uFPvQF vSoxbDJevhORlmDIf3Pmh9jy5/yZl+D5yTppumQ77iLnnuGpu3N5KCiBaDfr8wF9f39cjR+1Xhvz 0DwuzJ9yBLSc31hTFGXA8nUr1/LdDB0E0z2lUB48HhG9UOED/tpZrjiTmPrhidwiif4L5uTU5fxV ZHoDG1jNC205JWUI2IUtDchFv/ev+Z1Uu9CL7W8iovVPbWKpB/SgIQbWqLTqwavlg571/2xG2sG+ 1/ytJrqacgJTyxGtoWwYOOKwzlH4a03DpvoVsFahfBskCJSxlsPsnoGZ1KqplTMIHDf+JkWg8imS yAq9ztkiE2ZQo4HUNeMwQ5SwUxB5EteM/FEz7JYyvawfyOiYc6DnecrcwSYThBBXs7JQ5fIkCmot iU6nVjvune+XgYaH1Cf9ecosB6jI8K+UB+QH3G4rWdGQL788v9TxoAjQnyoaQZ9ZVI8CZDtO/Xjx Wpo0upow2OzJ4nkscOhMhnIdaX6BTIwZgWLEct6Z2nQay17oOxd0R93UZAbZvHJmpHOj3aH9DMZH Vz4JDC5ywDTLVM+Cwf9TdM8yiJRzWWVg23qmnbm6/Lujve8ZdPuUnNnwfUfOPMAAatBxHkNmImzb MZ5pjg64EvnRRKxR680Xq6nHQfbFCfl4At/+/gB7fW1950ccez8Rhb04jM/By6M39xQ5AdNikNGy EOlR1YUw5pgqpviV6yqR/fKVTOUpMA3xHPW6bnTWZqWQELbCMZ0weuv/HtSZmPcpZ9RyJUbGjOQx 5f9GrUwLfZxR38aV5Gp8aLk5x0g4dO7924IUViMevrI/rr/WowWi3oQCJNh2GeN9JJ3OELngj7ng nJKXb20eEb7Y93rHbbD4PWzGbuPP9puGuRbsxQnh8O5SzPab2uS+9PvtYu8GI3M5d0PucNPaDuXB yb27xmWkG6TqCz67JoCED6/ZcOzS8NZVoJnRAmZ9SEXuwzPkwI2FVkygaf86VdojS3fNoyi5MVP1 l+ZN1VKBAg1MBc2upXp64ARaUuBB0V49pfRqJ+GJBjmkCZWZfW1Ocm1X8qPqFz7/gJC8TARrw6Rz y+6tGr2X6KSbHNCWeMPTyVsy67z5/MRUkaiOUctQkvvG6IqO5YGPChxdL20xU7AoDon+SOGOkUyI ntZmnzPp8nPiN0/jiADVJd8LSsAKM3dsrTeaYWKJI1gs3kxXMnggIwRS+pqFPDoXhD3AilaYCWez r2UJ5m8TGoDJWEAMS8moFtOu4lGRIkk10BMpiuAPlUj2mCYpjmLRrhnMkhNROIhC9+FpltGbKJiD +h8CHvcnYqThB21RJlVDaPQqijUELAiABudX626VKyJi4t0bA1AvPnqVUuvqUKCek7UmwI8gq+Px wmRdozF/fK+ypDgZirY12Dtf9DFdHm4GheU25YbUW/NheCKCQIzQ2o8qq/VD4ar/gMpBWMDOC2qf kA4nMHNPP/43Is6dp9G1r+hWyZal4FUuzyExlptb1Y4nao+l8x/AO14wnZKSloASM27iOaaHq/XX v2IEY3iyZXQgcLebQYCfGfu2bX7ElBj/lqm9aDVWVqYCE7l5hnIBrht2Jq82gjkuG/r3Dp5Kj2xZ lAXmWHrCbfWA987gGpVYW0qODLR8LfWCmyr6OmlOYFYYugsWlK9AsxaEOfP8NNQTQh+Ps+CFuJyX otGwqZBpGk9g2IbLeFi2aKlJZnb4WWT03QblHqQJh/ZwmjBPPIygOULhbYzP5gjsamRJt/Qk1CVh S32/l9ARGdaiY6oq6xQD2bPQZDzgsMHK2EETC0FnrGTW+7Bup9fGyWZD4XMhJAQb/FxhxmBQB5Ea YVn36T8hzTLMBfh0UEYX5uNl/YLwW8/6ak5RD6nRLLpN8f+zQGD23UsS/jUxFi7dkOLBdMIpv2MO 8Q/7hTjDzP+kiMUjN4yvjh0TxzSsj8yt+shN1p3hY89c3VKqhHSc8hIo1pG82N6FXzCfJf/UUf1D u3PmyViafCAGL7iZ84MKVtTgQBKSSDx7YgsFG5lnJix7MYvTNIHvBp82+qC8VoLz7GOC3keGUxYT rhWQB1KPl9tKx6kJdWrvI4L71TI9zQVmhH7tKJyj1uGQPhJ4wXMQX27KkcRsXILTxq4zPkrNHjJl mPs44dAULQQl6peDMMztyEOHs8Q993PR43pKEz44t5J0g2IVMOT5v7Xhj3o1LG3yR0dnSqIb8n3I C8OX7Ff7PbM2Aemg2PMrtW24WV/SXhhvpc4b+wXISgp+uJPscFuMLC4gctY+mYL/ZEwJNoDTgsWF x10tO7aAnmJQnyB/fZCv1usaEiGu1bb+x8gtWcZCFoG00Pp6XErckhJl+L3WcVEtYo0014ps0ltb wuUoMJOtXgxUbxa9EJCc9lt8SCMWEyoetqhveJTlXZNI5Gz2jJk4ZXhyVtHnC4zx2z567NP+gwDm smfsmBrs8lX+EzDHZ+pePvTgmNqQC3LsZrAVOlKdas2wCd75aZ3xvXVhRq0eloijSIFhb1Iyvth9 fkKhSSiUgiDxehYNbfveadvxvMfWab+GHmcpQG5kreQkKStHCw3Ms1SMOR4EFy6zlIzoiNshwVyM +5oktsVSxmk2SAeMaR1CjUfl1qwCAqNp3zJ3AZPxqsUXG0pJYBkpX2LC1V7rhrhgHQqjOl/4I8pq D6s7lG9TvN4/bcFO+MqvcZV3ySjONxZGHkNE1W1uq2luHs35r+l0Z5kjhEK1gtKmodYPHxutTAPm x+EDuA8WZoygrtsyq36pBlSlO8XbGW+28Woy1aqxJUVQdpwOJkvbqnK79M9ce7bQNSbEMhpJuaB1 gd7kGcQJJ8of3jpJrcCfnWHZQpMGT2BLFfQIVv/VWU+FQFGPfTuRPZvh4UP5YMhDnk1x+5EIDosE 646Pkj+026BdOhzKsMnmVEEt/kNYU7lfyjkIDTZlLwc/Oe7B07j3YnphqyRETuEI+xzenujh2vdO NvGYjataTs2QNC9MmSxI+TH/f8wT2at6qJRadBOmZlhcmhTU+JmfXtP3+oNxyXx+XPVyAzEB+wZa E5Ey//zc+kwm41xcmOgSaaiEn2/Bo7H8yaVI6lkbo1BRulN32lKe3Nl/wuwKo9Aheo73X2TuajJy wxR+eAGgsWKLP3j8hcmaCefxSPgwgvL4Jqdff9SeUH6bgmbYLCOhiuiR9RhSjjimsEnPGVwcTydy AmyBflQtQvJQxtSjqqqJdIzP6GAlXJw6h46yxjVqKVu2oEJiavkQvexr+tiOkwwgahIR2HD2MShw dMqoXEkGwLaqUc5nn22v5zK/kmVg5RFWO+CWX9tRJshckD+dPh5hM8GKje2NJIDEcOtY0WWhBy8f IIoxBNSX0t5v/TCg60vc7cvtZX3bBV0A+TFgGFBi5dQvmR1P8XUp7ceHtRrjPNryBVsZa4QsVn2f 7tUywieNe4RA/uduWUXo17KKSREPNg/6pX3ONCavE3rqbxbLTFvI8XshaQ+uXofAMUYFNnBk+WUp IYTXYbTuwzyHIhge4E0bf27+8Z6rmKhbTaZGppV1ZYhNL5tsqTjPTSgJ5JNCT5OuuAGh96K2hrs0 /I/3OiCopPJtd1swZUUwCIadIjjNeweeXT5JA6F4pqzP1t/qIfOqPciHSSw9kOlCWxT9YvLMKnn9 wj/gM7CKFZDCa9y7kyV8wGQWBJtZDVeK32YLuLjQamI+8GgtNH3pGJU5zQjvBnh9ROHJyWEMPSd+ X2v2wXOMkkeHqv1zvipD82EZ9fU2+orPCVqm5EoLjke43AAX5+9cgXCiiSFPt3OQOL5vRlJ4PhFO qXBPUUYYaTNeQlXM8HxunO62LOmA401hGtPCn7jL35g9Dogg8Ol8LN8U2pXpVcsAwhFLVSUhq9x9 n7df3+qdK3LP6PBGuCrlTKjyXW7i9+0PRHmM3Rx2zLtQfUuSz9JYlaUa3hqlWe4ZYKYfInCZuf0S WAO6wx/WPmwJUZP0PFV/xvVDy6/zEsqB5rs1J08Ab6+MOrIKV7vcDOquRFOtOpJDu2z+SLnuKfq2 5lKg5vX63XXVWCA55XuplxDufO7aJHBg0ZsVTAE1P0b5n3cT6tXkkcYklcAVFFntDlf/vEkG3Ksh ALXD8Mmc1Sju2Aaws2zsBW5TS/kE2XZivxMEo3NEns+bhJV/mayqEh1Qw7Pk+k2XW7/F5H06tRtc kIvqIeLURFxUpoKJFNgNObT/MC5HMMnptLsV+9aUjCVG/F5NcDzVqTDzFsYLjFQmnA1iiwwf0I9P T2dEk+comAHwjs8JZC6ntHFAQcOxmG2Q4xW/TYc5sFanZb0MRc8wIavSS8cmimZj4QZ4oSdOPUfN EZgcSQ4NX73MY4mOkl7a/337hJiNYrCjAXqsefj5Sc05LQkcc8SwlBXHtXZ1j+gQm/MQiP4bLRfh XMNdZqjc9gkuCJy1ktrVGx7iPB7J2hiMXOKlYJBHaG6qe3ke4nLTZB/t2uK9rDKIT1BqP+BoUu4r QxFphakMoctDfcFEJF+Ob4wMzrcmL61FiYcttua2MV0KJfL21HSdBwjwEij7FkmkHVyJySqZftPX yrCbDUd1Aj/QX7Hb2+ke/U7TYoo0l30AUUwEsGopEwhWc0+xAP6Kku3JRS5wHh0enKG2l5YKwNic FutnIDMOitnc/xaJMJf5Txv3uGsrP88fTUX2GikVECBT7LqZ7XSVOhLt3UMJUlUvMAegt3TlmH7n z6KnT3ExAVh/hGj9VrVNznoIXv19FvDCNncH0ITKqpTgeCjO+v3nbUutqy77vlRxP7TXlZK+uzwl FklXRCfOljo3AtNddAB68lftbv/Hqa7EHrBjCcHPneH1Uj7mPkkqqrw8FxXgkDV6iJ/lR0RQgfaT sX6BylB7gzm+3cz/D7Ou9PE+N5LpqtLNTXOfnKzQNPjo556EwUtrKRfPXg2851g5EaY0kwTcz6El HNOHg6T96r4gwlVFHLMYfptzWyB46FJpZecuqBeudjZhfY93t5QCjLiDht59O5e35cIiNCT72S9Z QOfdoK+TrJM9Ayi7qpl9MBhA7LasTl1RX+09n7WyhVQjOVtA/TEk8MZNWWHeDOmOVS4Mg4YPHeNP VEKp6nZVOz2RI6pVx94QWR6RJIiEtBTKQXkTVcmhmb3Z144wR7o9XUZE1y5YGSo+LQtue1y2hazp UUERikCzuJ9q1mBaRyx27d04NJ4BTIDhYwSiLXUP6yNr1p5SuSQG5GUZJpAKFJSbZYQrZ94Tw9Em KhG91gHhpW56NUJIZE3squ7WmM3ksVdEnPnvJC04dQtauebaYZmrPrU7ULfpP0+vBSBoXlSNeNNR 6oUM5gDPTf//ZtT6BR2q+1+TgCw8WWWgmNEEKgl/tNnq29FDHwUoHh0lnk3ZjUHkH5Lyy6DG9G6L fAObW2pa8lCR/5fAGIbl6hYlIDVMd69aqiy6TTlyw+x3NgGDwjJvUSgVz2NLIHMOZMoC5wZgmIL5 f5gfHy9Frc4w0zoQvqGv3b1u3IZdyvTUJS4z5Zid0hdjmdwA5jU+W4x3Dw0cTh+dEq6Xvu7BD+ou 23ZDtrQasWuaxS7GN5OdFK7ynP20H/1sQ9dpcdxDpzLclc9ySqrrHS3+HXvctZBQKcWeO6tvZqnH L+R6jyd3UEyIgEyo1DjLPmYJwR22yUSCa69zp8eB+Pg0QeauT9ntNOq59+qDsG/80LDbFK/q4gxn 4enDu0UeI3VU9jwv9VtPr6LktzrSZolQHtUtSFjLpgjkOkRunm0gxxQLva5SOakcUQ9BDgUogeh8 /Kgn3M2xLV1fGBbuXVlr2xRhvHTJGNx2/fu6gtbox4sncbfW2+/uI5ABOku1AalTg11wS3LMCfSx y7ZdRdoGBDzR8Xs3yRgAZPHujpMVeXRcI/t6KlLhMEfsS/FPf1fD2mEKmuQK1gvBWvR3K4Rq5bKP ECW/iR1cZqaGqtn1ZjvvaEYZv4dGoyFX96oDH0fhBehd6lJnx7WK/jrJKb0C4HwJLc//npzkKL09 coo8Fd6dNR5Yv6b/ryoZRZAfwJw4PBv5D6fAfiM0H8Fwo+tXpa8lUynQQdt7vh/4jajQey3vALI+ zt9W6FVjS9VugM+AvqsDXjzJg7AxiEwRAx4i+3ZtKn9NkBk790tDteVlHG6wIOObfDLOFB+Rftim 9uMbzzBxY0lK3Tw+Rhd1UWyos9RxW5v11pofecIG+6FBydARoMVck51wFdgofw3IUoAnS65t+HXD UaPktXZk/7TOAPwDcfuGfwtAkD8GFHTkKTrG5VeZIZp/fgay1cj7nBa2K1rAVmV2rsfux6Xkz3uQ n0meGhVzZirEmAG14fcVs3TA+dLuiAGbQ8pqk8WtpYIGZI3ZX8vGnrHrFPIu6BH9wkXafFetIH1l xr8tj3NmmYow81Tne2eDVNVRAwAwyVNMcDQlGTZlLfkuB06Q3CwM+Hrl5LYm4xl/v8XVNz+t+Zoh nz4PVxD84NDfj1viK0BPpLgIrPeH4F7OSDLC+sDWS6/nrPVyQtLJi6se4DsGhxfYPyy9hLVwXl4K VFKm6thwmW5jf/TA7aYO1Jr3QITlNuolYhesiwRC9saN0OohqSZzkpateLlrE8xbLSOkxnPd7Kmx 3a8KodOvTpLn2wVegy9ckW3wISBdgo0lQSrabjdAvwqik+Zjt0fNM7Fzj/xB5o/KYKRL9C5u/wpC 3zfyRB5HWyMVENC8EloAHd8dZe+RnjBrzsBB9TEtwle74BV8Czn0BZbuig9vj6hz1xuo5TdbCoOo fZVCreHzkfSYFqDRuaIIP4uRh93VKu7mEo15dPUi3T/rXufITDeaWUR2BqhhwupywRZH4zbzjLhN EafuPxs3463PqDHCtHX38yZ97IupLOmHSCkLyXZZ2P9+P3Gc98z92JBmnsZgSkaW83w0+PLZPmCT VLZNgRmo6LZ09YEQQLUfpXuJbY9QHpRSNPdNp4asGVzOfM6REOZ9ipryHkOR9V6MjWw3HPCYFEhN 9BdxGha5/xi3jzPLY56GcOchcswBR4rza4BBoeeWqSdcqbG7fiZCvY0hxkbjycH5DmUaLxqbxG8l kSTdcXRE8DT/4oHTODhnvF/hrNJUWOe4UANwDI8P8iFi3NYvn2tNq6aD4yC+2y1SN0Q+ZusWXeS5 AwJ2TdVBetNL0yIf46myY5fl9VbkvhTQpPz2cHbhNr0F3wVvRGzKv6FJ4z9QAsHc5ojUp3oU6gYn 8DdzEhXtmDyx6ZZmtf5y8GdLx6+WL2H6//xBsV5zGAkY46k6bpJg0qqh2N1Rk5Ns3cSRrrJ1KJ3G k1VxuYf8s64s2eN1uGpJCvprzIMH4IGst7Op+rzsrg3E1S5h1V/748vWnDSeQPRreO36G/fDrBBE j6B24EkUAmPrFyCQPAtHXvt8mhotZTBpYChMC5Gz9CYV5sqiFRjeu40VIV9MMpiiBP6qbU/Rp6Nk IwG9f7VA4GTbDVTpvyqgrCEW9LwEpv66+4Moj672p1iNDPadGh/IgbkGfjGuumrXNbtKsDPOHc02 pS1aBcu4ax0C4Ufw1npUiWwuatG79FdMBXQKnmlZLgRKOceHI8gDK2HrRftSMjkwH1oeBXz8fVFa mFZlGF0KE0voppCEibbmz6I2HLplqs4daO4zL5L7qm6PftF6pOAegkonT8QcdZhKPEiMjyeRGdDl 95czyf9WSL54OPdsd5c5Vmo/R3MsxZ/uLO+Ig0AI1JDYNqhhf9g9ZbGLdXntrvRXy1Dn+Y5RfNr+ j+NZDlIH2FYvsmD0T7W4H1KJc3PTsd6OXHua/QXtz3YQoVX9e5LTo3CTyI9Vd7kPt6o7GHSFvfgH H5bffCCMYd8V16275+dkph9VJLuv+h7Ja+cpR5jq994yX+SOcd3zwnbghO/xlwCMbsamaidNwcvM d7Ly2rwplxIhRna3Xw6GIBSt/PD+rFf/elOJ6OVP1HTvCE9Myio29iQXNWDczp/rRPDjM+g8d/vl 3D2ikqnrARBM5b77cep5JFBmGs0OxoXxOx/Kb0r6C8vpSzXz3yHCcZgnstK73MKP4i1rgmz9uqnV 0Hr8spWRicVO4ZrRCCNmBViv+AVtY1ABGRfWK8iuk0vdQfemEDOP8sUT8ivTwn5nfw06tGTrl9q1 vwvG5Vc7gakZv1MDtJDp/ffeEjU9jNdPme9jqDhfzLKislwuTAYyteFC0Jebh9DigGffkQJYgBQR f8QYbNeUB6fsbz37sFLE4J7DH2XyC9yF6XvHuG2SHE7rSAU/V9pApAPYWIs88U6XX2Wwb2Jo5xrV Xcyt6k0ymo4j+VtaAQcLVzRfj7CJveLYsqrKoJpM7L41PQHUS65qNxDy6pslUk8sXwEiPkH0BSvc 6Hqn700kTDQ8urOSobhPBAHd7I3qldKWYoBgOG7oNrQWH7TMEGZxVgxOFKTnz0UM8gTYrN4Xfw8+ 8Rvq7v5CfJhGjSGtRMbL0amvXRgKLdtx+bplQ7ESp24hvtn1fiv/245TIHbRguEN66FlXvIpggIi b0eE35HBzJ21gck/yYGmSyrc/HoFUrgP6zOXBpbKebYY0A7h/o9HGbufN8fgFeYRdxiXK95ObYRa 2e2kQb7YcFILdufLgULovtmYWfZGaxu0BneokLXY4thwwvqp7wM+soGM74ExuUigCULjkkzF038T ykYq03JoYfzwXRKPWoU6F7+1Wicqa7f5V2Cd0grb8v3Jw4FtouFCWXzbH3h4EUfExnTXx8/fslJK 9qq/zOGEn/11mDYXM71kmzc5PAcuxBw/xy1LYwkdtyc7qxm3L69ZQfIwra3Tz4W372mlyMuWfZf8 MXoMFV43VA/CbW9F1s/sVieomi3VB9dY/Nunz58LZdUCPhXBgQucz4WDCK5z9UeFoVk4FMET5kfG lcCAbvPfYqpWnnl39Wc82+UD4BZETlzy7aq/cEQCB3cUk9DssGzw4+9jdzY9LDCqnI1wyLzUIxBg WTCy5dLAMOEQbQPaWo88JBEqX5Z2OsY9CBY5u2IaoqMJ5inEXm0/LzuVtUKgdd8bBzAxGUE78zSQ crGDZPxINRnRBCCAmcxEP/xa/hRkbVuJOj5uGpG3DqNAxJ3EMFWLh/acFgojF3da/FYY3nJ/+vfY eqqq2tZuRhaw5A//lElJLNkMqeo9e7S2weqNk42AF3zq8fgCEUNWPhtwLXpMDPQUm2fDNTTmWfwf SzG6H4Esn9fWANCVqsD2+BPCSyRe+DQnnI4niAlU10REOZMMHpbNwku+d7ealHA+vppsjWb3k35A KIVYW4LL6QrNm7vUpbbo6+wg4n//6T1pbvsxdhaIVN/A60ovti4pZ6Zx/bHIjYRJqQ3qR6R1OpeS q5BWCzv8tlnlgIEWAmqg8UfrNCIBuIL4+CFJ931PpVpv7PmN+ddAMy7RhZ4GqFFSrl3vGyfYMKaL KlMf2/B4n5xVhjv9aB1x72IesxmquSxo+tBfpOH0D6QkKCUkcxm909I7UcOPzlJBXFmMJ9BkqNqI bBAr6kz+qql02P2aii/8Ua/NPLIjfLnLxYiN74bPW0DHey9B54uFNI+zcrEo6YmDtCE6ST+zI9SP +vdDVihzgknu37miapKjFU0Vos8lWiRq3NpPerrMf8eudGMjkEQ5AFa9psZ47CEB5hPHk6QwWujQ Sguo8HRoUKm6+PpwoN8guJE6S7RB6nZTIcrDJaf3zIwXGLELPWGzngs3mFyFyyMx9IBoO/A6YWDJ b7lZFpXcLbMgq84M3nbvtR+GC/wPkawdA4iT9xEIB5HIQXa0d2SCNMrPAF2pS9PjQu4TvCZC7nYW oYyDyrVGjBRg+AR/5wCaRQR889k1OKYU5WMSzdXpBJfv6mOJifGYuGruGzhEWp/geNa0yJiWTh34 il4/3s7y4OGLjo4Zi97q9ONEgWon+op3Zozck7B8C6aGadwjWv1xp2ql4tJSEX3qW1iOsDjj6wY7 mM2xp+pswDTIAPEoHRazwrWEOb68MYc7rkstdiJvLG8xnvLIDCMjm5kaEOC9k84pTad+68JsruHN /hYnT/dykfKEGuL/Wx3wjiH8y8j8wmaOne0x8Bvue1rf26tOspAwCWPGUcthTBv8QghqkuD3F+Ev d/P792w1r0F4qTGROGRy2L2954gBNq+RRCN/jOytk+K7YE4IhCP7jMePexXeIlPAwAbKqpfrZu3o 08dOMv7VUZQOZSS/iESVBNA98L+s/g+KDMQpMQCdpWxu8KAsN/QOj85tVf0+C+yfM0Ri+VzlwtRc GOBi8AeSsai/heBwaIkhAHhu48hNa+hoMMZuH1YcwaaRE8lJAbP9V7D3ku1Px68beSVBVpiFTPN1 oONGG1bRpEEzg0sdRoGXIoSbQS+70dyKFp8GAXBFz7UXmyJlVr+mGayfQFbyaqa0TWtTmqWAu2JB E1e2atLVnfeTXsGNXfl1T3KLEfZBs7D3j7SuBVDVHRXvGSzJ92dWMm6OMpqJfjbXFui/sTXZF5t8 HaeJS88z/JESMgU/fhte7C4GjMwH18kfN7PyKGCybZz+m0KghzULwSSlZA2HSjE5kMXkx/HqkCpG GYMupVZW0eO5FFjTqVx97gjxOTVOA89Kx75E+biWQby2ACd7Vk0r9a6puJmdTLIBxQ62Z6auMdCH D/s/PZSfYKZgmguSluFDghsTSPqGsIPGgLuDyhlP852xtOxSpDnmJLdKqcg/dCp23EEZidPEtA8j 8THm+w/SURrEM3lSnMMhOnL5dVlgBptxr0t9kBWd+p7uOmQ2mIbhPaLlu0yiqGj3u5DjyTYdNeey DjLnrmIwC1EO0MiWTGSQNucDilxCI3tih8WNaQAAcJt1UtTg14kk4qbFgpz+EBWEA++7MBpsy2Ox Q0beZDgPbhMfupCBb+GSLLaaxowwGwkFF3oogAfm7Uva6GJ3ro5P0ztHlr+TrcYNg4jbQdcCQJY8 eTBSV4iceHpSiGr6Rhx1knCphQRNnsKRRxbtJwGahnsmXNGmu1OVtUgzqbYDC8DJlC/o56QSSWhX 0Yd85GumnEtuqmFjYF3ew7IlwEErhJRw8r4yxjjYOaQvcrI9dIAii+NmwaCSp8JhVIROy5hbZ4Ih 1Z7MmNpRGRaUMe3JWPyeR4Ns08XFBxR2MyPz6VEcRw5dA2u8Rnnlxvg22pRLafpFIvdTILb8gfc+ 2lViFNxrh3CRsGv7kL5sli8G7F1eZBSTgR56HkvAwsOyjNqSphi8pMn82KLXZww5xb7OBZCwClc9 8HYGJ5V0ISs/dfCUCgXLaVlBvYvY7+iZUjR4+8QItjSqZan4XzMvTNYw0Uvl1gm3OgmibUWz/3I9 iUIUtNvTNwHarJHz5IZ8M09CuypLLpGDQqFee+qpwQzHXEHjHtrcK20sV+12TbZn+REzvOPLkEwR Pdg56YIlvu27NlydYzaamlkWGQI79ctLbIq0dB7MPyUf6wQRCE87hADOg+FHxxE1D26TakkbBKIY hfNlKIEQivWKMTHQjoISQzm2TI3JMWmpLmRMpB/sYrHlkjw0ZtVrPQoEgg+bPWNuG00cL8ESuTvO 3CP5PkdE5YD+nKe4fu6iyieMnByjczW24+vaRp4PyPd9pQ/qzEY8Li2zk64OToRGWxowCSB6mH/+ m+RBc0oofxTcCfRLOTI2Tf+kqf6cz8LCnaayCp2ZIJ2Ui5ffcNG6lZaqJUxEDKbJcrvxXfbEDq+J wWVIW4au/L3fS4sXfE6PFtFifS63tZKva5fbc0jBaeXeGkjK/vzrBgXRQ9/xJsaYNE6Ro40ZfzK5 rjIajWyevof1k3N9bTwWG4d9s0jkf/CHv8BL4bEpgPyaOgTqEHimuJKjAlf2x4Tp+CD6/oorJvOB 6TkrLFpAkRTX/m9DrbbIgJMyAMxvezYFXlTzkBTaipSXYRjakbvh9tW05m1MXwp+EglZYQwMSM02 4tpbguDivk94hR86HrYJGO8fnF4LR3f0QzrTo0n2I0N1cKvJe6elBglK2lhkfA2pY9q7DrHh47D4 46qwfBbxkGnlhrkD95FbKOqzXHtKcnmJrBu6ekbCdflZ4bRPhhG/7OoedlXKhlsWRLI3JNspCTvN zU0r7Vkv8EU8F3Q99HLtsQDEFST7ytu1MzQz3E350hCVYRag15bp5jN7XBBpaqwCsSTJSz5tcqdl 82Gwwe5sthkIRlyPIybM5D9aJFz2SPgpX1t08z3wmZ4Cd/p0tKChJl86uyQDZdDENrCrqLoYPeGV bq8vZndh2V16DNsIB/vTBDIFIQAT2WAXPYMkEx46MRqnwF78Rzg7qntC4Iobd3HhZQKLiOBnNh9M I1Q8bYoqHkndNLEOdocNT8NmIgDD0a95zVD+cjBOuSaKbMM75tMILdLSAqmGs7r2xjCl7RCaEpxd WXSA1T8AaEp9AdyVXfAeZj90Gt9b0d0Q2B16x8v/gN1Nl7Xg9AnsfNWhxfQDLiZdpBO0fv8iAJz4 5ncTU21J8aF5wbOtAWO85zFJH6K2Nb8FuUbx1SRN3+9I+RHY4u+SyPTvYjS6p8GktEG8XPnlxsha 2qq57lENEjmW3MHP/i0GaQ5BoI+LPa1aKJVDEYDy5wglM+uJqj+WVRgXVpPkkebZ6R3Wvocx9G5t ZYvoffU0domWYIkwKtbAp7KO+dIgLM3S8BRASoksYgkHop8kLhgQmFrxTtt4YNCs11RgKkUiQv4o 6/9xwA8TuCPieyV4uWchfYB9nltEscMpmHzMph3Lc6sFrj5Ld9hUTnlcbZmpBo7Qb3QkElx/4lmm Pm9wAvBHv/s13AMHNGb/M6BBrfp1N2AQOpwgSDPtPK9g9seYIOxY40zG1yWXjBWpdlfgo1ADExYL OYM+3FpvUlMcZiyucsr1msfMZpDkBD7wUisr8ClajC22yZXoZFAm+AtBqS0g161hdaVJyg+4kXlf ziry71iP04V58a/pAv7cYn7WFIhKxAyzUwqpd6HHrURRKKzebTAHvKAjab/sL3c7Jl72dVnWHtvo pI4lG7e1ZqPG30IqyxoJsnFLRbbND3CRlV2nXoWebgDlvtCsUKf9kgcb7CkdHLz2MuhZ4ZdkiAQ3 7hSbzdzGLltrMFqEgMEW28CTpW8UZGqxC3t/0mFJa9PoEsV+7cRHtsZodwZW+g0uRg+WZPIyLSSG TnwXL6qFui/19NpXVychAXR/eEFbJfIEOZ0BBo11alRIe2DubZzZcYd6j6vnMa4HSEx1gUixdDvw ZWvJpYxD+sbv2LJERke7dZePWzIsP7lV6T7MgEjQVjglbDp8QIhM9jcyhyr2bf98r4FYbe7rezrc hpLDlUaymfJCTmQGUavVTgxY8gUD39QjqEMmvZzwY7urio8nYRhwSUVxJ/NORo1RGjyss98cd4C9 JnJhRJPCU89ZTqXJuOnJgqm6NXckomByh9687VQ+rIzubagSY/J6Rp8USv841jUNk2GBWjkzyeIC Oyc8v3FV2EwlxVswG9XfI/t9IWtqz74/7ZCiExUvnvY90K/P/kLFXomyS0yUa4y3w9/oALH+zIU1 Kc/TFv1ZVrqK5a6f+KCon3CzByJYlhUBddLJWeYY2qDLywsVdfdqgVuAwcd6zs5gFJWvg2trv//W fjYNWNodX1r7Or4df5KVrlnpdBZXZPaLf51PzaNceBNxsJzp5yXQlo5ymJmlnpeCo/IwZTNnk/uB qzmyixL232JlO4AkpdoJIGmyQ1w6uSu1YdieKx9G5xtUMVITsNtGzBRuv3To23u43Ba5FCWpu9CZ UO6qFNEHkqU91JOjrx7GiyHH9vcbvSlbNATElR+9XKu6ldK8+bmIrVgO4rynC4LbsGYxkJOu04Cz lnXqOgtHUZ7L1in/3WlP004VetnU84Oyqx1mWyk4jJr/BlGPnkJ96jh+9XG7w24hXs5yp12xbAAz cAnpVNKz3b0RcrTFeP582sCA64HGS+GcWaxP4W7zOFqk09rlx/9YSQAR6fVw+5rfgRXET41zwCrT VgTCJAujugvncl5jr4tFH4R7+ZxFuKAAGcnn96H+V6sWcTeXu/oW4jcfuqhDtwvaUx5iZ1oH1AQD tB57l6tzyuSiEvjcPfFQGvomNoyyQkaJMbLvLeD5P9dpsgOn/i/ajM6W+KbiZMDGwQvPARKoj3Fy h1gXetQI2xYgGiw7Wama2ir9g3N03CyGiUxhTWhPPEnXLMDHvkFrwpSWZT7UI9BDutRZyV5chNcd IN7YMlyqTfrgTnRAwJ2C5LB9XSSzybXZmYLVN9trcFmurJdPvwjUFROkwvOd5EkHziNBT/7eC6VF 8uD/fD6FFCmevI+4E28ENWtizZ4xo+6v9KxFMwTHOiUDO4v+XSYBSQWNzvaVW8jn5+FLp/tg0DNS igNbp35eL7PGHTqB9GYBZyQOM1FjX3BGkFmUFu4GADd417Y8hy3VhR3dn/URzEOy+5wfSA3S2QGd oFW3ipfE1QkB+k8fBmsY73MtZYz9n1NsGVhpDkRwHpx0OpaPZidqvK1rSMv9lD08AKawT4+n7JYq SWJ1c6m5kNND9H3RQDlpkU4siXCCJIC41lqUTTJz59M8dFIRz/XYCIQ2NtMJjXWo9C6su6xLPj1S Waa+FrxUM5u17KCASMuMTss6yolLkGWV6pjk1uU8q0glRsP3D1SHNV12ltIryw4x9fuV5nCmGAZZ +2z8nECFocSyGFrZm3/uQyspzyLRevJwlwT2bj0/HGnbrWqUED9URaP4bJMVaW6pL2/jXgnbRGN2 X4mQ6daGUNqoHv/aiueFuuA7ny+UxG4/KbkU8ABqwKkmiQ+ftnv+06nxmF1Ia2AWAfhmiscJPwVa aqFq3ueXAItMmG2XI9+ByDf6AXodQpMXVTm0Rbh2ZOVEki57aUChppQ7TjRLwpjTPesAmnTk+4b1 MUTmJ6K3FJXDdRVPMTjR1GKQxfg496CDUHQ0Xr6aQyoQzRakFbcgqRe/prKMAlbIbK9iqefpjUKb MQBShkwRZ4RNNQoE7uj7hIZmREh23O4b+LpBsIDV7N1mDpL3LYHtDOO644YJb4FF4l5J0nElH4x/ MDu4O/R1zoRf4HWWYMxZeRvYO3Y+oFeWeABqFcC+tV6Heync1fp4j1CQt+xcufqCSTafg3dC0+DE Rt8VEq0QocgPC6QC3yZFiQxce+5e1Xr9kVqAHMvVLIK97Rle9PfwK70JCbYlnA9Dn/HPmikjqwv0 i1zcQVLUC+n9ET2nF53GBfP+NA6ffPhcu4SgE+LZ19xoryy6LiNH/qN2PK90J+VhMDJWjdg/0YJ5 yk7tw7y3evSjOlYccD1pzNr/LHlrloGncPhUxJEYH3lgO14eFX9AR53xzJxO54PWdQbJhxnuotY/ hi6TxfODh668yX1E/6CDDwusOPMBTk+ObuCf2aq/PITBmwuEUlh5/wZHOL47Hs7CgP6JDK8ahI82 /bjVl5RPm3SH+To2M2/RMWMZoi9eXzJ3HAMFMqwT9t9TOe0cx6C5b45o/BqlkCCx0rL06Xqoaj6O Ikj3ZQrB6He7uWrvD1CM9jsMxzhs9a3VARDRPdgSEGuNZTUyBXRsTE2n+C14S3EOsA9jo5Piq0/l 16PoIatz77d93ANCA2hTFxKw9tJrbw3fahigS+l6iUYJ3TJ+dkIOT0clVXeoXQJeY06+X3JGx7Xf YnlhU5uwYbiBIKCqBX/ncms+xuf0LS0x7+kL9icZ9SosImqPPRJT28M0TB5x4b1cKyTGie4C1WBt eL3ERz+0TiAeDMRFeYDKvv6K5sjHlHvDEm7Nt2VONGVzvi2u+diF6We1O27jNdTIkb0zU83o6A85 YM2QnkV2sYxwr9f6e/DGaxyc69wo6RtPq2edhXkS31f3Xb6vmHrIsJe51bz4j1rzJCmgc+hOLYu6 ysIWTXT+uaC+k2QvkXhT0prDeBEjlcU0inN13T0LIeU6GSB+UnaUMJ7Rdp6s1pCZziwyGeoVXqUi QPKWzU3vmEqwMDeDIACAh0i+WfwCoHZ8ANS3oNW/ieDCGdiBxlO/YsBTvsE7dSdMLy/huEhrZJkC FU0vZn1p8cc/qmbqJY153u/67ayV4oYxcIIhKmefcrTrOWmw3KAR7sN63Ew9a4GS9vl8bCm+A9qu y4dKKnYhWNG4yeVupX9T6tIjkAYkexr9y+oOSHETCmVZnzJ+T/xMhYac/oj6JMVWdycH0pDBpWlT a4wPkus4bnZ0K8YDsVyP6rMdRg8sZW93eOXsw0XSWOC+f0DmoQUbzv6VfBwRu3WxWA0etHpCiyy8 9iGrgx0cRDoM9+BYSGVY8+E/sUucqcwkPvuJJyXeeyaWEAMn6xJ7jzZTa4+d/gx9FSXKiGYmxfng ydfMfh/vbKgfMP0sUasgpnPdA61Tm0vZJJzt6KJ9y0rdXBgpEhzKI4OGueveAlhARWMR+rIBR54a f2ro7PPqEvuppELAmqLQKUW3JgkWx2wjeeBlXjZKArKyxC+7zQdBqMYSdJRLdR55Hp4INTfiP/wd GCmih6BQiRLstR2F+rbPbfNTqEo0xBIGItmO5KyRRXw6mxyTtl07jBBqYKy0wcQVUAIUogFtoWkQ Q7MraezK0kclcZodMJsdxpAa1GhjbbzzX0UOWowGRRFFzFp0a2m2IXnOh14Onw0IGrKWFSECaF8N 7WWXeV0vrE8vzAyXnXLHcDzE2+elB0hQaVPVHhvJEDDeFhTJy0NOtPtxG2q6ubnwz8kbHxosY9Nw EgsMK2EMjp4y95xGlfd8SLOdIuTrma4N3p7z10i1Ygq4paypxryvBIGzxuNmp2mVD7l3EbEgQdOh afm4GZF4IqZzKbbSGjpFWt28wY1xH5Kf6qAQs9dzX53oEeQOP8xCrfu9/j/55ctiTNRjbL/jjB9N qZtAQCQ13MR1IK7NtolsLtEQW0KsrE0HT2lzvwtjrBQbIF8LoHBm85MoKbtZl20ovlJBj7Q1I72y RZMtCIQwJDBnm/EEHMatP9FdMdurNOCFqhPPwaB77nUK+RPvXjCRqLUPKRICNuLylnCdB1dnwyq1 KtzAbuwexKcb5V53WdweNlBl1XaLRFBdM+YhLfI/vjij3TBayqrd5xVS9TnJeQN4OvlLva5mOsmE gt4Waypb/yzBMo7QuNUg4I4Yiw0ga26rGs7QYszLNEzf3AEh+SCgNrSkD61bz6sT0657xaAgmK8S vWXUzwPrrNFVzFFC9XdlJPmWC4VXL18nRTIstze6ui13b9SyFWQ1soava4RW9W6gSIwJGSSO7ded IcSOeO4BA+jyDSMmIqYwI13G+too9FXOLhVYuYnNBoym2rOSPMrG+M/74E6o+rwYoI2yVRmIF393 +aFgRohE7MK6e3URNQA4wtIEnxn5qRU7zusbsct1+1uRN884W5koG7kcVh1i51ffOw5RAXmvUcdF 0NO+CNDf5pGlnnAcmlQZcWZ+W8+w11OWXRaR9CPUkF7BmH1JzswqlEJckIsiVdTs/3GXIRumRp1C n2oHGLMzdAaD1UHW+5Y/LVPYMj0Bl5vSJT+EPAGaguWwYqszpResQerwcDCsjXrCdnjm2p482iEi YFofpUWbVEB/x0ZuEfPK7LjGHYsvHub0lb4Use5IPY5QtKujFRYQWBpFZwEDNufY/TERsPZQPpfH 9OYmFoZcR4/zs74lDI5E2qIECER4Fqjn4SXPDJ0ifpje7ljGErMW5xWnqfClL6HIWeVBFOLvrN8A K0jfY1x6mG6KlcheR2ESjG1kteYxWZUoVafDtnMIVAHALLWgPIOOFQw+NFgWaau0lswKFQfoa5um v0xAT2VmlBc+MBIQ7gKD29oL69+vTiCMZBxU8bEX4hz40VlFrEnvA4t9pVawSVUGg+hE+Wx/7k0j ptKVnHFZeKctZIll4CXS6u3glm6EZe8QjRvTa1zZL+24RkZeUTD/76wLN4hZK/WPbqDlmdYif/O0 ncqBNxB8LPZ83qVM5n9J+00pWCGlxzYDH4SJp53rYMuGl233ZgdyppJpTa4+qD6hmXXFDEjXw4Fm A5X800zgd/tN85haikgcZu1g2JqJQyLKnXbF8zNsMWrm1pr/708INBG7xfnKDPl0nDaPCOxJsRVb RGU3JzxatN4pXJ1ntR6yvUrMRH8fhKc6BJH1DmR1IAHhzHZ2UHurf0o6wk+gs/v3KDDd7grqWJTq AtsE+hNtji6BbqRa2BbFxjjgVn3N9I+5BgG8kfU2/tXaOrLpOZxEyLo+agY1AuFjvN+jhaf62zPG pOI4lbxvX6wIEjvRlX/8arOG8XxIvvTQbzxc3EL7vFzoP9wdkCTxRdUE+cpdB8+wMAiky0eNzfC2 3X3bP3TAEM7pV9BGx8JHdsFTByxVq9ycdG0o/AtfqLS2Ccy8A11zTe/ApTpzsowgYdY5ZFo6vl3e iKK6JK0A6CakjpNREk21yZlvD8qmO6V9c50YBqNQF7YIlXmLzX7onn8DwWpr6sDKtPLx1fiRX10R mUE4/uAVcPND71NeajojVxkGeUGPlzr/J0kK6/RPchSSXFdXaW5tZqePMhuLZU2mF/9dUptvq+ZN ZQWqhUsa+Kq31w+9cPTugnorwQFD+AZSKwMRagwtKL6ImqvpjvsVtTXULXM8tGhmvqiOT1JzZL48 4YMEfmqR+PT8WSDS9R/hSOGe1c0jqvgn72QS8hqL0bfIWObjNIBaAIHlkVmUFvoNRKXLnDAMLfxl /MxFrgOMthotatYd5FT45lBA3+ybuRRfROu9IUBr/nv9RHiIWqUchT4zKpw0u0xQNLp8aIb1O7AF YvDhnF1Y+6WIoQlBptsViCTgUAY1FWRW3SiRz2WnPsL65xDa15rfAt4t/9oZ4DhM8KmvbNmU5Uko xZsiQRxofWRM2S0Ztl2242aQLVV3BUNzsPIH6lEkRRJbM/RoujTFmnfcgphgdHDPxNA0WBb8K6P5 kPl2+ZtAMCulkOdPKymAmmcjN+AbbLdbEbztxC2bn6usiChgjGjdOujpz9oPEc6lsdGkfmBzmFnv 8PjIfSvA31ClXRz6DkMKaG0mgthkH4yeEgjzEBa334Eczbo9dhs5CE6qcPKrHNFrSxscXMZhIDOv 6BSYnCOZXEueUkmOzhgn3VMxPVjl0ehflqYWQs2DkKPCpVZaY2ZrNRfWqoDedBHjldnTRxuoqwn1 rWhPW62AqOwbUFNe01F4kfuW8PMl4umQop5cBOu6+LAvMd8HLRgNmVSxuTYuVu+tx8qMfZQNSAPC oKV0xe9lnY1QjEvkebKe9G2KngTtQVYPv4hccMKWiGnX9jltfFkpol7Yi4lBvDaIf/L6tp4I/Ktj D5iaxnD58aJv3GOqfXjoIg3Jze0Z8zfBFn2PPrpmCoAgvU2vszzRHIRvOcxNkc72Rg0mf/03uvyB iSiKqezqDfjpuZuFXW71KO/1s7iaDIJKcYfty9Jp+2Ck8jI0hgOaK0gNiL9mFQXuVx+AW8UozGJQ wIZb5KhhqDMeQjsRqqt5y3z+KeiiADUMBe811E575P1l22sUmki9BhPJFP/QNBocICvCAyRv01S2 WGa9VcPifPdP4ymCzw+817kIK4U/vaT1i/NwztJeet5d79bGgoRRa1zkxD66lIrtf7m28o6tQbpa GgUs9AQmhmbo07+eU0g4Ygq8+mDOMbuNMrNZuPir85/DxypfxJmeaotSrb4WoFsgtKD3qIWad9MN JJj8d9WuIrZPcd/wWOvAFYoH+q8nwL5bCpU9CNB+HQjaemyyAWDyNo6i1293iMGk0NU1kBJGI25Y Fc/IbBOSoNNve+7Q0A5YDmf+wjT7mU27Hp/Q29Q70RkdZK2zJoWK/2QCD3SxL4tJkRq7EqyxZbjf Di+oMNisYDbPGjpJ7BK+gtfN8vDYddvrohucyz+CZoCJSdcauYkfG4rmJoisLfYgEOEsEyfBGo7g 7qxa6l7gqbCMpJcQ3CqnP+4YWEcs+UwitdVjeTgPMvdxnm+vm+3AbM9kBh3Gok39dqET/W2zdQs0 O55P350CtDeyvQjQPKI/OugXnpCXH9OEH0Woxe8LnR21p4IgXpI/b2gEsIx7hxoRZhTdoNbTNFvq OWNHA20vyKmF+brtEZKjkIICvft5RuiAEJUAAZCM1/beyLatWDE/5J65VLD5B8uLsHSmhFbngylB e26AXucyO3PLuyhjlcQUnQudONOokY0kydASpGCVnCsN8cQ08bpZbX8jnTDC721uI8I6CtXdlFhS NHdZBOXGF3x7Mmh+zaWuKB/OJv+S8jkBNRkVKqtEw+da2gEGd71CVVW8lgZaXaHDsSYoY2i0cxlA i7bZYCKfiZH2jGimuXr/OzoFUSOcNfuWy+ve+f46oPBuhxshAuWRfPKtGLyRjbvvhWrIuE350J/Q VofmLOVn58ez2ItALF6WAunDgBJutVSx2vsLEwoVgdVQwvH3TFUqC2lZ813d+Zq1WUrbmbz8sggl 8N6mrjPZrSUGP4Xaib1HdKCH/9QI4EVyCrhpiKwDCWMgLTiJs0rZkgDArVV7LW6R5GfRPf+A8z7g T2O0crNnOkCm6GCUlIn9PWqVMulHdoszlAfh4RZBp0EUhWum2XqO+Tt/rUYdiwwoLVNGQysh6lJR dxtKmDiAbVqqi+FwFetnECKKNcU24Sb9ge+7jd+P6IZ9dAZQRRIHMaAk688uRUQED7VqsFbRgJjF fvUmYeVtoDkH8PcxOEt40w0UxhQ6NguyBaid5o5CsVwWEzPZI8sej51MIqyhB6GXA4GUdTAiee5i m/YpV4gQmv4sUFP+PPMHP91gWawNisTbKzoKip+Ri2fA/YW9G20G/bosbCqERaaZ9hx6bTwr9Hvr GAWcXGX+vByQQObZnAknqmTeaxFph8GR/H92SXWUK5FWqKibVzmXYkL1DsqQPBZo9JTtnVjU6MyY u84iJLoFtSy1erCd/m0DHgVLoG8DBaAoEptr3EQobvWA7sMzyUB0G5H+cgnaQ/7EAG8Kq7jLo7HT VS1r5cNzYRhQi+pQDCteIhqDvr5qF30/tIHXBA9OhXiC2fDBoPNfLnF/GsQGeCIww8uph4CQNful he4Lbk1Bi/YEV8wQ8T4timR8zKxdEyE/7EHzRGdxSQ8sgwFs6rMwssCX8eWKj9CHZ5EY2WF5uN/W 9moIU99WR4a0sNvdGIJh8+7hxBgzgXRXaimh2s1yFH5lOfmhvMri9OXLU5hTP26mxrWLBlqN0QK4 AOfO1uIgBBHoE9SPliE3XefBLbBmxoA1+Yl6jft4UfZtn0FTehkrrEiQrxyYPsn4LAAWYIqXz2Kv yfmd9wIH/cMRLQB+2fljEIAZVhi79ORsHj95rsLRH8XPQU1zgjY1QZAG0P0/Y8LpFzaOQxzZgYZa NJ3fl9yY4rG3DImage9p/XtR0jh48l0CtxgQBNNVqn7UPg7rvUp+dBqMEg57U+vgPU+dWbRySHTh vPtPpd7prf9C5mfDvbqROdnsbAzJoKGVyeXSMY3x0aPB3YX41JZedUOwdYTFzdACfxSZJaE4c9Pu qn2yS1soavGKE1XEwXKH55UEJ5h4sVIanz8+wD+PLOjjRUEsY+IYuaZosZlSZxtCzPKmRUPYKPd1 l3KYpL46RuvJgql78nFcGuX/JDdiSgwxVIOcHcwcp8DDcoWU04xM9zRXZlzrLH3LXRVjhKGFn/yW tmr6kqcDRBSUAzy1w5/E5/YtspVqqfBR5jO4weUE5dAFpnFhJWpYHCPhi63D/98Q0Fp2VZ1GZHyn 63lygoVw/A65x7DRo+rd/KM7kNPz42cWP30Nbsd07El59FfuE+FHOJYrqvRo13x+SZ0Xoj/eKdjy hl4htrmLZXEVh7q4anTyFyUsKub+1yrPmXaFDglv8JhbtT82iEgChNOg5dJVropLVJvg8ZUPX88C hoIsV+zsZhWxZCqbe8geQC3ieHWghFfRJEFC5roge0kJip3E9H/dEjDe5THh5c8DB6BDmL0YoxCA B1z6kCYHjlKOxKClriBTeEag1i0oPVdlCKnm/ObpgDsJYCoVlYGAdtCf98EmcfGFxZ/tUZpRpOZS OPPq2jq782m/BbxYcauAsdp/q0qpgzH2W0rFLcuXEocJ+qomm8ocheHh6enpaySCreriddXnPZGM rBkjrpDz+VMJEae8IICYCyLkAAZXiNjUWiaTNu3Kv3Ur8vsWYi3J2pFCIEygmpKsDipm8gt0wV6r bT83ajXbzS45fmOkgmZXg0bfwHiff1ajghlhB+LMZEYw00P8+3fjihC2dB/cCnXzj83GMblgkRzE KfCiXlOOKkeJYLuFZRoOJecA5rhhOx1miouDsxQpqdp5n2bE/R9rTQexeKI27nJsXW0mcgLooJSh io7qMCyWambUKUu639apK6LpPKjahXkRi02YqUnu1jQ0m1Q3W6++u9qD0OBp3gaNrVvDbSuzN2M8 rvWK9o46p+TmNxwulKGwqt4BnGS9yd0wjs5XR+7EdV3Hrfdbrtb3JhguPjvvQUSl4cQDTmvm0aBX hMo0FTtT3C+FMZHwJXxRYsHCSNnU046Wmiak92b0exdGMz6FApSTvqMTTmNE/+6H09MARZzeFmcf sMKCjkCJqxdGCyx1xwyhODdk4tTjyNQbfhEwHSu2U0IIpqX9BlvuGkcbLCqfycy5RqFkX2+oIV+g XwmTWsagxezaXJ31/SDrsRGy0EXhp8d7uS9K5Zi5bKPruyONkkgtaWsNP5srAX+dsg6y/k9ryobM F5ZXUW/jJu9CrPw9aIYzhbUr04BuNfviNkOq+Yr13uDoDG6zmIkYrQR/TP5iE8ItyLwcLZfSbwui aR3yZPfFd3YxjR19wUE4AzWDMlIuf3vk/MFbI9BPDIJAHtXIHRKZCOKFc4v2CbThEuI80UGAIyhD 1keEZswAHOJGblyyhaX26jdaZsYpZI3Rd3oHfx5t0x7ajkL0VXBOjU0woCXqnKoAwGTTrXVS7b+5 k1eCdbQ7qTDfC3xiYuR30rn5zR6bmnxOe62R2F2Rs99c2erejTNginCs3PhRX7CoT1xM2FWJ2ty2 Qfu/JW9ghkW4TTvqcEMEZQHDCfOCM5ERpr8nZW7mQu4WpYiqRVwNgMjXYpTjcGczBO5lP8uDwZKO O+mjkGypXfNIsOQNoCSFfBjmukOYQig4BhVbLxMtk3S7kDA2X4y2z67mOMphyfc70xdsQgnyZ0/a H5/uZrHrTIq97uH5phTdOfOGX9HY6PiXhZhkUXZ10OJIyXib3Px1M6Rc/OrYGwLMNfeloa2JFrxa 8qpYDNXE6ScwSgp6wcqk5WwITB38IUJXvdTwRwovJClUPPiRyw+I17h55d/s8cZw8mM35Ey82DKT p8CHe3Srwgznrm8N0RojYtzBm14AeazHn2sz9bOs16Ra8KehSrkjI43pzmxS+ekMLcjJzubJmdJO DzKOdamg1DqfNfQQmb2R7Bn0HeS9TNCf+MGLYt519EiJMzZFn6XTICYjL8PShD1ogDsb32fIkw4N tBkhcs8cSAxk/Xd2gn1p7+qnBOvDSBBVWfodBRW9TAAowQRFkU2oXZxc0sNNKxxcad8t7nEadf49 hITBSCpAo4gW5qW7WvWYdUpvNxbx2dPXsvTMAIGOPm9j1DUwxoqkA8usaz2oUilIFNOAk07G39oS neYXkF3gR/2/SKCCKocKTFAEwsRt7MOzPqjF9eH6amVtxYnfLZQuvHFBwPBNjEWKsfLuMQZ7VXPV /ue3pjysEnrinmG1TqY9TEnov1nOqGe76VXu+v+u6Cjxvh8xg9fjdwJ5KVEWfxYfoUSBLKoQblcF ErKNK5CLM8WakUdE7mF3+K/Qm2noP5pLu8M4lRQQ9F8UZebv3txcFrsG6xwPnz7RKcHuLn/icjuB 325Wr2gS+RrPL2vSA0Nfw6mFpZewuDeFrIvmz37WwHGaykJGEfdZu3L1qmVIi1SIvfQ1iTe6G9LE FG73dTy64M0TBqScZ+faSiZl00ye1wZigeWaMHVzUfUKXCv3QvbiDGkEDE4UcUz5PnRRZuguqrqy XUXtME81XsTqL5RKFbPnIoDYsb6Q/2PclXMEFqsffOM1DGNP1RjCsrZVbFFqeHtpY/4du1UIwA8i UGA2qhH1EQc6zkBW/JmgT4n0IoeCZyRRX4UpYdrmK88ptIOWGhR2B5DV9uOyOmLwHHlKPvmca0l9 6lat2Y4RHwxh6bGeg8/iZ1I+fSf6tqN85C2EFanJ2F5XTiw6CnTyw0dV89zhZjKKVgLwwFnUVGi4 OxTxPUP2ig+gNee1qDDbdd9wekZDUb1C9cESiIM75Wmj2orq64FnXeSf3xiZMrbzMpnHuhpm6OK4 R440G0ycUZUM64coiG9+jhNHzPUMdeArPBdV/bwoKW6I5E1mJ8ngApwny4q6Kig6Di2jxKrV9C5p TE4rkZCV4d7/Z0OUwuDzcoV82uL7lzvD1sInaNksnprQ4dFfkNIWmtY/8dATzNcrS1bUg6dgBYxo AdqrN7fYE94RVAY2bRGFjz8LObgXjfBMYDSBVqklQ+na/lIxcGch1M/5pwIrGebOEL6sLQWLK/N1 E/ZK0BhQt8a9gTkQvnFD1jdGKmRxsrfA8tMNqaLnpgH7ZleO6gTLDxGfwzzFA+g4U1qYp8LfBUz3 ZAyKOVZzs+LEqm2a8ABMzKKZTj3sDyLs/FbLUXlwjGsotXw9huo1LLjas9otONPM4bnaJjx8CM86 rw3qzw0ySTUGvA3noBmLMDKqKo7TcSAUTpM1Cxl1ZqGvCHAmKiKwx4ZToHefVT8au9WyPgxFJvTb ijCnkWqpl1yof5zo5XWVNiSEi2ERINvHu5yQ9IvitAeN9wWN1hWqO/3IG9tf0N3rldz8fOouTIrB kF+lxjlTZ1hNkDm5oK4sE2ALy4Dhh3AZfoXIE/0NLcfuzQNGpiptwGa2id88bBSQXl88bGwHsJ1O iNWtyKiyZh/zGfDbvSmC3WVcSLXZ/3+qr81MZVGA9rghllYMlKePCgWoBHBiVlhDhvS2mIZxTOqk uWTIXrWnDnxRd3X1EC8VWa/8dqzDA450W8y2/W/meIpkO0Z4EVU9zei+KyEFdfXdGaPVbFJ8rd83 nG1fcnumR8InB2PcyCOy2LaE2iSsyKapYhn0cw27Vp6YM/ZJtiUc7JcCMSwRkZN/C2gnGCnS26iK 4OS7u6ZNJyKgYmvyPdMr7FQI7Ty5SU8cslVGN2/UJ1cICWkeuLmSUCWGUKH22KmvrnHuWXYYVDpf wGhwgPzVBl7643wSYupe/NG5aNeuXNiffheMUfhKBTVssVavpYFIU60DWb8a39ezobr9nBMnR8Kz mNbi3EQeju9T4Dp3ejRXEfvJhJH7KefXEsneuDAUQKMgAua+DNr77xIHZQqdQY+TgbPc9TJXjxGP 5OZBQkBPBP/WVZ/89zBBlSi9kaFvGUpVwkE3J18AfQcyyrjbync032RQR1x2j4bowS4+nxgZMFSq ZunavGulzb+yhEa1Rk7wIckacxmYUZbx8q+3q+M/HSjEH8PxvZL2okP3L+zixJevgx2cwywkUoQJ RqoT0HdZyu7bn6lpXuOt6e3vfHGXz7Kjo7vYsovAGFE7ysoHHMNF/9Ucypj2PC65KmfPrE5rFfx0 Vco4FcZL3zYOOO2PJLiyIFWRrNMeqPXhv+j6ltjoM7IrOfOoU0xx0yxRByuN8Ck41mXnfKqbtlaQ l8o/N0PAle4pyukzHWXDNmeChZocU5CsoIlVSc7zyk8SDySgwAkHgMWAJU0R+geqUyVQOeFh4Vbc K5eTeaJs8o7+m0B3PKzAMT8GSOUZqi0BaIpF/vDy3lhPpoa9KYeMWaZQXr5XetzB0ABHkdlx6abb DxXmNkSJDDI5V5XyyWwWV3NMeWbuO2srqUu2im7DuWN78KVB2N+TVoZfrsj10iUC7t9CwW4oqwGq Et1c2Pavf4TnmSeIKqBEKSWsLdT5P1DxA82FWw/4Ptr3LS2z1jexjB2xZHG+/GNuRa2gW5o8fJ1B WdIeAuk0sQk+sDdjnmsUAMj8tV89wCcXYr/oe/QslM+oq6eMlQ1EhUTSmX1vM77JpLRZwnBBllRv 21urU0PiFXxHgv58Q81OI7Lm4wjG0le+QGj/QPiMaaU/k3UDSf+pWCWqPgFbqF2o353glypCgIuc ktwwfboJCBKLtkXjDQoDWN2ouFIZrZszmhfUVgdt3lvBbDjuq1u+ul0Tetus8HeSPX7qh0Fqq0eL 8Eh1fHoYFeIuFdWt+ocm5/lEylEtVg/qiXZTwZF7ohdrcN2XOoyuvkA1qvan3ORUJNxiIdORb+Dh ghpie6I1CTssEkuwSwSgLnTV2NOaT0V3C7ezZ0d7f/u0XLxewk5e6tJYyJy51vtovI47MuG08ujx 3V1XlVZivD9uYXp0GzuhPEzZ5sICw1Dp3csrdp1+DUtDcxj9fZ9zsuN9oGzuR/dMPfwOb898+4Nn qUubGYXDzXO8ome/iJOPz1BUR/qCPNbc6g3eBExy4ZTkK/QMvPG2CHRcHsAkR/wWnERXaSP78g1C A7kxut3O6dYdntraNLsEULdfFXueuFV6rd5Cl1JKFVgv7yeboaHNOXtZSqmP5GDQYwuw/Vq1r2qJ SYSsoz2YNcy+L5oIro9BFXE8Ayf1colkCFFf0pV/zQnszgVjrqBCLxIaenSmddu/vZt/ywmnGM08 tvYSE/tqCcTyg634hqjIStoY1xT3gYdfhwRbcs6HmoYeg+Jz6j3yaVOnj2RNOoNAtds9F4qvvqGd qnbEV+WthWAnh3sqzIcxY/7x8cSWG7+Tv1XFUtIaEPCAkt1vMJW2O3CrkSKu5yhah70FeCRhpYh+ z04XbRBvrkMjxnC4Ngay4+FqzvhLfYtT01ekn04/3s1hiPJHcihfmUJJ9m33pejM3ip2SwtxDZms Je4Po/Y8LtEv/wAxSv2VEG5Um+Isv+7W0ei3LxVBO8/zp7F/NQPsX+Hwuc52kKgiPGP2o2T+6rNj aazeduV43dyOgO3lPArj89emXdbFQiNnCZO7mkaSdDwh5xfYTfDSPgDU8EUL/Va0mrcaZCkqnMR5 ZZvQEmjq5MN4QLUbcrav+vfhBhasAsiz/ZQUdgqVPl8R6366kxd6NjjDyURllpio19Y+Q8OPKnz6 LIsHl8FG35KRL9QuzYIP8IyWBpAmTebuCfA/Gl+S2XUioX9V6yy9d4MdfTeyvFr/19JalVBRcpHH TzMJowIrCY/E3X+dTBo8Da/z2NfPWNYnvACEROSjDuUsBtaCTrvlRJegDC9QYwNeO1H7tE3rfLxM JXGR1Jg01cByBpcVs8YROFp0Itybr+HnnP9QxEQf9tj1wkAUQ6ZJesRM7SQhlr325s3xSUnpLZAC 0dSwsQ99907ZAQ3KdHtm46wlsVRRK0AdUT4HWWlCMxqkia78EoXnhHLAmEaluBujM14Ldu2qar9Z qCRTp+z9iuvGni+F8Dq4bi9IEyncsE1cettnWTWtzvRS1CGKMEUYMyh1a8m+N7lj/cbOeLZBjag9 7O+LhLtAc/qJ3EyEO1gZeBHMQg1osvFhfYi9sO9MTA+PwewhMbfQJiSrML04WzTjjWlJR2y8HKUM vAlrGudah72gCUw2AIK8zMwrU2giAH6rkkiqF/xMqEi/yRqzqblNjsTs4tx84ddPTfjWMjoQZeqy EMGXVpPYJzpxVatJuYuiA3NVLvKVvuyaNZtpKgCPE1g9et6Q6VHrMFMuAq816iN33cLY3T4ZGwLB z15T2b3lG78CrUsZ8hznngoTGm6fVpoR40zEJg4JYcvGWazzWjZp5BrP8zDrSKxNEjymjHFkiwfY y9BTSyE7iqJQ/ekR+FbcDFw3Ga4xzQgN6mUXUH1Dboxuu+kYRgub87LjFbPYZkrMqnHkkuJLb+aF 5/jMjar5SzrbK/g94ubUnpWl9lybS4q5YNW+S/Ao1G1UhVcWmGWCKi74/A5Jw7DpXVTp/8MWWLcl Mrck9OB2xzCxlV6QxZd4uL6yY+Fb82ZPncet5Hq/Tp95DfR48tXE/pxYF8JXNUkU6FdLdqqIjiv3 LzBCg3aAEBoz+Eowris56wCqPjXJf3Nk0KktATC/FTyuH09/xE1jNeTVv0jSL1z+mjpSPiF10sNe xF4yrVOtm3RuI3/vWYI8i/rjDPPhfUpRodPenz8HijM/rV8uiIfzmHyS5gMGiILiD6mW6Wnnp6sz Ok9eTdodLMFjIOewdRgAoFfRqukshKDgYCsppkshiWGqwXwW6eeriwXpCJwuC18dQ4NwrYjKoOo7 bihGGEBok/5ijh8ZK17g4/IapUJ5QR6N8tfoUhy5Duj2XXYnJ8DC/a7OFmx4x1KRN6Kpl8Pbxroc HNFjZAupwAFcyMcnEl12VBiMxo3E818Z7dWlHHMQxjusQ82V/jsi2iFuRFOkHXyUFIkB9ECqU4SN hP1jB+ESwAeePgq9CbpCEV498zAOyAhHpWN0oFgBZzPGKPtPt/kovrPmA09d/pRknztvs8NWFlz7 FQtf8gcNy+sCjHr/6Nay6txBT5EbyX6TjUz+Uf1Ul39SkWDlRX7Diitvoh7Xmix9W8Cqw6r8AOMZ oVps32oBYlfZN5wjcevvqPFYrYDYOHZf+dDorYLWLeyl/wMQ1Zxfvt+P4aakQoTEuO8G5MLqJ+OP e9k9JrxDbdk1+HHDn86UAcfQuMe5/HbMwyaPtF5IXjtfgVEHVHEAbcaQyxPfkPq7VpVbgSCwqPXa DTs3gNNhwRAxnCkr64HL5U1QKhaGCOIoiRZzWWbDEAloprTrlMJH0QZ/WvY3JKRNZ+5vLgUPN1Ed IgN14EtCfI7NdUEWkGOScl0Eoxs2HVSInvlahXIW+uhbIo45eDdUo18XGWb42rvzM55PF7j15aJ+ LPxQNCtGCszJ6BBZw1JmgeSi+paGQ5gx8VcHJtxugLW3qgtds2WP1lt8z7PcZ95gWkySQrME61ag N41khKEYwO3sAOikqsK3XAPpr689Ls5bsEOgkHoxoEll74oi3HMD9L536jdpoFr/1VBX/XE3qTpV jN1zlSge41BnEkQTJonofT81qsalifLETXFYCx9XHLHOKFfUz67EQ+ZJpQATJknmsD/fh7Wb9wgO NrhOvCzHnTtoJ2DBQsBN64QSBVL5cJReDn7Jh0IWxXp+rQ1akTa4zxvqVYUCpo4YaSBENQs71JWl FSIcYqxWO3XwTCja7LLmHmqneXw+aW9rWyhGXMqbG7+8p1pCm0jKG8XHUpr1FYXbpyVdWBL3sNpt is6juOvYbKFm0V4C8KopGCxBC/vRZtG196HL5QIg31BSbOoXpdd8dMeEl4UXeJuT1cU+kp+lkHDH l6Omyzmh8/dAAf87/VDbiIpm2O/54PaYSq1M406Wi1s2BOhvF4p5ue47KH+8ChJNdYRI2NdEly7Q UwgFlmS3QZKaOUgMhIyF39o6yAxlIpmsTixncap16+jkSJeYTSpMFBa/fsCW9tjMcEgw0wVOa3FP zkH6JCw7XWQW0kbyKWAc0foux6YZFq190/Mtzv0xCii1Kg5kTKMdtFAaB6L9HFsxG8PX9CO0Dw+Z lwMyNFRYCgiOTYXS6QIBa+D/u2uyFdWkUTVaEjD0ZP1sIaJv6kYGDTTG4DgG8ygpIyMuCMI7mTB1 cqYx6UUcZJMuQ7oeHeHXmgoD/pT7aJJCA0TjvGuq6U6mxjSaKBQuW9OtDfKSlhg+JA9NavO7H2fv sLcUq2YgI0+dh9/oa2Pqu2Uj3SoHBFqG/CVXD/EI0H1C5qP6j69pMzNspc3nI1HK8r+pr/FrBCSG uHn4XIf5bDTuic5TuAjp9ntJ8BBiIpWs9bAJPiuJivHyI/vQHsNcnsMKETTy6Lu1+qDDX6i6Rj7y 3ejD8/ZUDNSH2A7Cycoj8nqxwIF5m1gMG3i+Yh5ysJ+vIN+/Hk9YvCJh1Dt01C/67bimfqd7nh5G u+RNc8oP/pNII+ZvKSUo2cgGWeiS6H/iCWaG/v3HURSVXv3wsaXiK0TOwV3IFa7u55Ss10nqm4Ig t6/aWJ4mBJOlPGQO2FBHhxn83/UJtzrmLAk6Y5qsu20RrcAol8CigVTgPeyVlwI8TagE86hEB/Mx NFaqS2RDYI2M0DoOsQmR3ubUu8SpblGajbYX33sxAzcaMV2EcmgPaDSCK06WnoIVjr9yWdU4VYIM sytSlEwhl2ln/u9X/4YEeMl69eBmZKHcAJbxEcW3dmj0aU6iS0aN7k912kBypQu3VBVAxBsf6qpa Z7TRJcSgFvnQByTDTV2YE7RJF1hc3HSTBuvnVkAIzC8tUiDDSVi4Ki/BhM0oHgN9uJ1fmh3C5Qzl HuIirzAC8ZRAJT4zvEndJBKCPPjucpKw+8GRZ+3ICnIzQjSlzuVDIG185+r5304iDXPaggLvMPte 10FotWKWVCAqDTLHgeLtYDrGXX+tf/q3XmzFAVZB5AH1NXxvarKwbFYGgF2F93O+6rsHjujtW+6S Vpp6xuj8n4KGUA6PXWaXo9MRQp2zLQuOeXriAx8JC3lZ5bQWLrziJCLWPzcDIuKllFvRJCr2p6+M YVnJ3GAtcOZHJw90Q9IWGU6WkLn41CTv38gy/8fVOJSBJ8kaIgkSUHBPYvhGk+rPrQAzB1DNrvIQ /sUIFKbQDJkDQoeaHbOSPTFiHOFZlZmlbm8QneHSUhoNEsXWCNxOhLHyc422ZEJXFQIKmNX+i8BT P6a0q4In0iWEnXOEbl50GxMlAwbnkQA0vFHD70/G2cw4XouROSe7Qx5Z1zflh4cGwbONR9WKuPbv 2axPafqCHIx9Pv2apcRUP5KDe9tURx2AzB3Hwvtj2E8fQQZubJzYp3ORqqOONJ7ySVg9BjvHfDcb SLiGScHUlr8dIndKQh1LxEZZ6vfhcMmBTPSp8z3ln/3NIvVIKAZYemh/T7NwDRsQzOEIwRRPkUXy VT0KM33Jjbt8DWWJVlcUnnOBu1PnkrHwKXHSO21yHCMWO4qGJftRi1HRVWVPVh1Mbwc1TLbQN9jy bamcrqSupstUFJj7qe4Tr8IgpZ+U44dFCWooOG6tRdmfB2gRCmoV9mfDP7AzrQqwrcUTb4otvGwv 4BaJuP860msYlcnFtyoiQacNa4IKryhyn12SdfkTzz9IBSYYTtgVjRTU8bShlL752zFY9fNgiWSl g1aH8LP3Gi44G21ggbHsWREBv3+90hzAnfpBZ8e0sUEgODSMzVJtEeOXlI0C9bUgl53xSW2dfHO/ JJrcEN7pH0PUaLY1Nr32CJ6s5hu2Ki6j8QB9nbKJC5X7lUUOm5ajYaXmzmap7gxEPJ4X7gsZR6Ow 0ZjfMYQosDanuYagVoXsQfga13z7gbXFP1YHDb/rBj2l7XHoYk1fE5Z8wy0Brk8rrf0V9aizcT6r FfmJZXD9q97WDLmZT11hoNqGP3WmBO74EDa+KNhxfKPZSYyYesC6snrYwxcuftU8456lkuyCOxhg hYpAGRsF8mWJGMC3aLrj/gmzFo+EyWDhel/Kk8tTvOLqnj1CR+nYhwZ83gjUpiiWV7oIlGA5dDeF Ul8FgFCJ30Aowc2Wv1GiJembIedOcmVFij627kdFMx9usX8IflOq+IYwkBBS8dFFWhGJRvMdSVHV yQQzm/P++kqzMS3EKHhVIeYqsB40cbTJVPe1PyXvaGRf8l6cdQ4WoLDNhSlIBPiiQnnUTltva6Ap TCEMYXz1bMY8QW34lJ8c2DIKznYuW3Y6JI/7Yq7iWxWiQ4zmKFxm46BPtwRBXYh8lX8uJ69RqIQO FEgKaYLpRfWEUYR6daDPHtuchcJ8HSD0O0FRbMzy69S2wLgfnMB1BLrb8IVRqJFOO0iSjK2ia8Qp AskRxLCbU15v7II15lPhr0/hSHKxbvNOu4czxKubO/TOwHcOPCZI+Q7QNqJnN6O0T9bSHUN8Gckr i6GkE39g4rN1EQWkb0pbmwbpPnzD9D7lAgnpGJwJPc165UFmxDxROvaISfmN2WVkmKuUyEeqHl77 KYD4gsT/KFoMutuLcSXZPDTIMfI5B2ki1OufXJdvnx210w2NdLedQyiX9Jhtd97WCnucuoG7td9r rzfxaiUvhcRyDGa3t5Lb4NPGBHRCBcu/XpGc3t7j9GKyXUwIIuEdNZMAoqgLCSrHzSmub3yAbglc VdJDVGgz4S9FebeYYqXmoSczvv8YUbCKiQHrAHCqzaqv+ERbHSTVAPdNQHeoM49hnVOy/r4yQuYv V2IPPHYKLqp/0HecHskzCeZ5R3YrGNFkwT83GE8lz6rMvgBwirZ+Ggf/5NJnGBW6vEi5atiRHQ2y vYdVttfgKOc6CFcA8fRD+ICdhXjnZuC3WGL0CLXyps0nVYCyxsL55jYsN7V7k17CIHgbdncYEgB0 5jpKqmq589EaUogTHf6xWYPeZKpBptfcc1DQfLEdt8QBdosrA2w71zlwBrEbnvIEexpTCUQTpTif t/up2ns9fEj9dMbp9LPkqDYBxnVHxi44cWwCi3Z4z9zftBmLDLcKxmRXaJbDKETPtRD1okxPI6A8 OFkX3jfOinAzTTWrkKWNBKQHFvS0SDk0D4Ej+FZIYiBF/HjnzAN9e45KdMxl9+MIHupWMRnvwU4L jLwyC28E+F8T0M2uH9c6sjtERIYzPqS9yHtWnB1cA2IDWOTMPw4uxMO2M3OcaF9aBp6X1BmGfhP6 YOOEHgca6KgAXVpSE7tIgj/DeyqFj9GNsjSGPhwPGaXL7Skj5NSCV3Ilr/AxihyhTL20RG3qW/Qa QB4A6FVRNH3F8li3QAFMvNdzcmw6VQm0HVCuhDg+SG4Z7jhJ8L6MMlJq+ROT5Ds8nsANiJs5km3c It7zEPC6+WfC/DbJoopoX0wv1htqSbuvkHumHt6/Irq0JRO91oBz7xvmA1Im/66aaczcLVcoVjb6 35LWSJFP9/bTAEz+tcyFoeQyu8ePmexSVdme7t5P06BvS6S8uufeB54/6vTtNvjaTVN5zRCzEMFM RZdEf1l8ndyqwL6gO9vLOxtQgYZrn7UrA1EG68kp1vXluNWJTkyHZWmu51Mg5JLlTzK3K1APIdrX p1aNBIPJp66gMClqwpKFPxlmZuzVtMMo7i+LHPMQya8/Gk5FZ05Aih/gKZwlkqb0+YGb+o9A8j/d ZbIXAHocImRhBBgBmBgF0V4xcOdaikCD2yAZOYq4vQBOgAc3ozb2kb5HZ6QGa/AtMCRqWatm9eQG noa3GXQRtKJpCyzGlN9vv/eAW8jM/WpX0/dYksXeYQPn1aFmgYPQe7/11YNgtPg9Ds2PBmppba7W OzaNRAXSPKyLvtmS+EkBBML8pdWdSK6IrFOj9McDFQ4viYpO//gKnQ8QAcvNeANeFDTfjmfZ5Ie6 ix4nXNJexTcSqz3dNI91BmKQO4BAhMSFBHWRwR2wcYcxPvVRn00zOX0JLemjk4Kqf/WDSyEcaRuH f2LgwqDYdcCXPnE1ZCnfvEXzgljS6N65gmb7iSpTgoOmVT3uO3r3VFUp9qhCBadTEW//dOyJnX2E WM83HEJk3XPM3Q3t9J1w5BjgaPWVtE/3jSentt7I9PDcRqelsr2vj6GflP/O6At4Pj3Vagc9B4nc 7Wcj0vnV02TyyYskMJP7+NoUXDCxWMgIjJkrejaRAdyihQLGgA8mL865N897jm6ayaEOVSg/Pua6 oegBOdwWjYOjam3vPlM/3SMD3V28VgqJizQIN0T185jjcHKeyUzGnK0RhCSu7wnDHkvNHO5OiEiV NBOLHBVoOHpkBNOqpf512sZrYRHwqXCAIDJWc2XrUSKWnxvDaX3uPpBd3CPhFYDJgvRAQXOOLGMK LeCrM4jFHsVkkXUt+jmq4SlEXzFmi1sh8ThCWDsOXw1bbmJqjRD8rLX3ZX2TtTz0QMxtCeMhc8Sg +7WUjf41s2Df9asxYZbi+jdFiyGEw7aRX/+Y4IV2chRRQWLcLeWbUPdlLlWJhGPf+ZhCzPDNGy07 H0LQX6f8aF8Vc7qgwLuy4d3utJbn/sDT7nsNIv+GmzvXAhIqWqBbnJo4LvjJHbIvSJ5DTAED3EvT RAJ7Jc73ntlApjeUQhooxF6KX0QlTF0cd473yRdHVPUYYXpvCFggTz4dRQ4p7PfggJ0nk949uU3K 5SLAQ8KOqSsPrrrIV5CJMl5XXefZoZs7/UxwXaZUcSVPIiJRoRyc82+9qZa1L+MjODBURfk2nGL+ ASL5jpV8GEqL4wBGi2ulhuMeRxaGX1++zpdtjJ00JTrN9FPzr8Pc9t7J/Z5IqRjkvYJhU1YeH6x/ 4cll/8kBXYX9y5L/s+heJ2N4Kn9y3zEoOkvIiyehni60W8502lc9QpREybPALr5vzAPZ/0kvH0rW yT6OWI+DuFz2mM6oF87SMKaiwuClA327rAGz3f4a9FJSWLBVMuBJ6eGG1ltOEgrwgUIrvyPOBdLC 0Ppx0pe2vJ8z8mIKPu0BJLmT26IAGgfEbLhLKdNvB0u+rscYHmxgV/vSNLhPXSzlt+csGN/FTtN5 X1PV74P5RLG6wT7u1XVHTy8Fw7HsYJcLi3pkJl4tr3wjThGVib900CTVqygk/KiMcDlANZHfEwRr KW/XceiGbDAmj4uS61D3RC1wrBBHPk10ly0/bN9EBQJd2wsExVpfRbX1zMygKvcPyXSxpTB0Bfed 8QPjrS1ksPf2MyvU6CgyDVcAxZR32+6fWlSJAXk/erC/xxXwM3UtTc0/89NT0ErE15+Urg4glVEb QmDByB+7YJfeMg5NGAqAJZ62iPJ4e4oQYfTxTY1GHGCUqnFFbgNKu5V1f14nWT49rYgJ1ySyk0Bf Gxd1UKl4DAqkP7VA5UDpsR+zhgE9JEagxbcElYM7BURsOq13bhlKP+SEJMb0uLObjwzF0nl7KSK8 InFSu98/tcYWc+kGVuknVsA6l+OlP/fTHBWrdNvgDRpSCgHr9hFPqfhqel9zwbh62t/qBcTQIiLo pPAfcX65NLKOeQURDo6cWlw3VlEBmmNRiiRqf1y4y3t6a5102BJlxPrYb83SWWZgvWyhLMOfW2RH O7HpcFgWisVfFeZ/en2fkUheou5I8ZcKX3u9oVjOOglx1X+SrIJ6Nn3MpUVpTfDKMCU963FnXzN1 pJuYdKA8UOdQzfXHFUZEW2TKzFHxBqq0ILdP78qfA0E0sRuz2f4m1FHkxTpjoiquDlkRu8f2TFTI 4VTMx4aGan2HYdTQdEPecDXuMYSlJWkxqfv0thvLbYXSZC1IkfCaXsCJG0Xc1yX3OpYisS+7PRGM 6WMsPojDNXFCQNujV/5/YwBVIslobErr7mAsFNjPwX23z7XAoAIuDiV+SZKzd8y65nnnXXAdVhhe FEY2e5ZIKM2Yo+Kj/7QD0ti9VH1h0n4qSzBSXzbfMGXie/JYbvRfYOvdCHWLsi/EdodtgbJCEHZF 6i0umcCYXGTc/NQ05MZ45JnpiLqHlH8gAOTkssL9Sci22cDhOdtfW8y6vDIRHwTYKBppYY7hvZ0d zp9dAG3MZh1qsnWmDDFbU+IKNM5K3W9JVyV3MlDF0TdHSkck2CjBWo3yufhvIjGFw3FX4ioSPLeq N6zhkz/MO5uS/NdRQA6TXMc3iPbOKYm+Gfpb6y80Lif4bIbUHB079nVijMYbcDFq6hG//F1fr1qu oDA7xSQv8nu7jDL5Wx5gedEsqfu0spoNavEV9MR2dnPOjg5ZYS3u+x3iFL9vCiZHdp0/2SDOHmpL 5SbG+cnpHs1It5UyKUA4UItY6Dgn987z//9/8De2JnLVrR/sbEghgAgLlDfWWL/TEnhWblY5wxL3 qoo0lt6qNGdAJWgbzTtiGJaSE5SV5T7psPyO1WN7SpcC3W70/4a3FIjK96sSkVdGE2CYAR6E6W0s MneVKVEk4ud/R0ULwlEBMzBZojbYYj70LMwtBnnEFJB3OCNZZfqB4TueeXcgLSMcjjXLhEz9vLIJ eQsgBhrUB6saATFCmR8hikXuCK6AFKGsGnxAaPalr5SmaZx1cVAWGAf2ww0/I8/dbyWbU4JPGZV2 vTYz287/adLV6/MuF85ZCf7QTwxr3yKjQ0kM86JCNPunpAAsuviihf8cmUjh/ecUJbKdvTr7COdL ykU6Sl72Mg1foSJZcP8ffaJAOPbPgARsevWjew2Q8hhvL+wSfKVMhKvMw/VckIxQvoE8DYt4rCwr /wV8DB5A9dytMZvRhHkurpCfArRkEotyY/PwTEpu72lN/nRVej5VwZ6Yx657pAbKbIGr2UWxYNqg xI3B6nCEn84710LNYIt2QMnSiWtPhnZ1et9uC5bDwrCJAy6htE4gJXuSSN0NLLJXjRVSBJpWTr1P lnOoJoTcLAx/VrkTVS6OZaUjuTc6gwkvI0nNQq83p6CSNYxDW9KbQ+6ChbCulRVjqRNE0loK3KBk tdhUNLejNEm5CFdfr14KVbENrj4BSwnKzdCDr/6Pt3cP0EZD4yJd6h0fStPn7A+C9oh2H2rqeW0P jQlklO5pr2+w4BFJJjDE2kocDaVzUKePJyrvrkqa4STeATr9SVhXU4pOQ/Om0uyC7Fr1nnYlMUPd MR4nTt3Nf1yy6QmoFHUkNY5avTdJzs8EpOBiqUNE8NsKPf5GyrB7uCTXou4UdaBzKd6wM2qfYGay gibetmDZ78CqKUIH7mE9TQbBnANwpcG+VCI3bVHi8ykpJMmlBY4xvbIiDD9YHwn+R4spRHZmy+vA LBnX9LMjhVf1WO4vYHlq+B8mmssx/EYg/gJTGF6eQSaYMuxvx+G1NnAFZzP9T44C6CXPXqUVTC/h X4gqXURjpy8+rgVRsqprjJrQ28km3F2Pett18R9Yal5GGvLvMYJI1N+QOaq3voXkOh47LWYvCzv/ 6D5YOMl6FxBd9qPCKAqlt0Mb3rKu8uyVKWYoXM0xFcDt4TepcUUsncs2QrdL1So1TjJ7FOdgkLdn 7xepW6xyAV74Ryi55+p+JcYRRkc1S/Hxa/5IYCPQ1IJUDJKaaXjbHkA9SqdFx0fvBHpjmEmdkBUy L893Tlke1hbO6DpXOOf41NCjSHhOaOPzl63+o+qYZ5SvTS9WfycATf1OQaW7wfzEFMdrZHNnupdQ yl1hQaUMr1rT1u2GhKU9IvL8IZNZFfoSo1qg+H0fjSvIWmeUO14jGjaBV0SAtQ4zOwtuScwoWJJ+ 6p6TAMgbG5qy6XDHtS+1nT5MTSjE+S4LQ4C5NNcMSwfTlFTTxlF9tuvF74bp4DdYsL4Ft4dCc0gG D5hfaGEVDZFKso6exsO35Rst/KYLox8zopzu44oVsQz7ROcpjzUaN769q3/PQgi+js229RBy09ud nzBMsZtHfOX2/pU6I3pqUGuCAGHVSkXinCb7opY6MIP2XUW26q3y8QsWSM/Xc+Cj59XzfaqdOGd1 5RL6PtbXN5dhzLQn85IaUoDE3zEZ3c3ko6D7ntvSUjmkWm9u+NG1LKjehptQzx9SQhsqfskl+L5S MmPfFmJg+JoBYJuSy6GG7n7lzFJ+6O6epI2HOwjiLWKt23sfDaxtbRuR4N8PYEqznrgGdRVjMKJ7 mlCmzUf/8moeaJ1MepSM6R4EZzXPhlzNHO9C0p4bXd3cV8T1nx0180ca3c/tXAWuB2SwJuj1StgT JSyAcaDhY/BFBHZn2j02sHJg9S5Yn4ELBPNup3FcOBX22CJKIYEIFnjQl3/YxuZmbR3wn8pc9Wxa mtq202/tkphrY+7PFOR13v/Ne1fkFw28TpiyUC4qkzxpl8AH5lVdqPobmOTT+WEhlaVexM3VFmfG 0Q97FLwB72evLVn/ay3dN/iIusPNT2MGr0VQtrARbT7v09nKiFwmvsLhgj4EcPD/0SH187D6o2V5 htsx5pR5g5okJn10T7LXEqFwAJvWCHiGHVv9PtGfHl7DvyXzs3T539uXJh2/aMw5XYQfq/qwfE+r TvVUu2x3/lpOTNuOGEQpC2vGJe8CxWsOhzrAULXUs2Oly0dcNFYC1587EQEEcx4G6hQ4KOt/0mOq 9oABXykQyCmUUTLGuz/h8aHxG9xhuQcCvTHmCRBBG7ZnMRbXZ1n0BaP8zqrukbwrXY+BiMqNcRFc dLgs30zojxnEV5z2i5quA/1nohlfOKJ2tpbL8EFEPbN63dJvN2xAb7I7yjPsUVbKiZTaf1KOGPcS ShZjzDKjv6RYkxaVP0tJ2e49lELFkF5y6hnx8x6qzTIf500nkp0OkJGVHIpF4DiWqdQj0EcNg0t2 vie8/HgPEF1O2CYAOYwrRAhM6z3Jhj8zjExPHD7xrvWfHL8nxv567lTd+KCUvb7E8qYcfy89LbVK UkMxExxyYJZL5ROdh42nR7Eua5Cxc5D4lPsa02JFnroN6qOoAWiNHbsLsSoSteLQEPEsEMMw9J/W 3KXX0skxGo0OAqiIwFfBf6j00gTMgG/ctFh3+PSmlo7DCTCu1nAuB4JVJBbk+90VnnrPQv/7S2eM kkRxntimO0Drte/LFjELIHj0lgNl7wM5Wbt0UO+NpfhTEAM8qLjeg+7fSoY+RVLbj/ukJEEISWhb HGi2kdErCCut60A38XtxMSa5wf4mfwAEDjjJXn1es/PrTjNDzx7L7njkYacymgdbh9Zv97URlxhJ qMP4s/2sXuGXHgCQmsBrWWcTE2UZvkGbqHr+utNMn2f0cBM0flTQL6k01nybYqkYCYuKhpqHmPOq +cMmZ9BCdQDvmgr5E2ZwunRiKNqM2P0elNeLq0LZX9cbXl8chZKSzSjWHAIMx71cnhO29PtCuzdZ SHA2JjmIBe6vfdN5wBmBLZt53+zkKRJm+cuC7Q30aMdBaciBFMXNlgk1bwUh2+u6wbNsaZzOMR0b aCZIN752cLnlVVC6CZxmIYupDmX0nkWJEfrp6YVpJd3Z9UOe7GLJCDEGQrewZ7Ra/XNcBc33Ic28 x4DIot8LVSZNA0TfYlNCyY9FYyI42JZXgSaKu5TA13xUm+S7jZ2iAqmxbS9Ny2gbjZ2kWMxlBTOs QW3NFVMOtv5RyGt3RbzVALSzljKOtAJDQUqtDQt6Ax3JnmbL+n6IpjsEWuBpuwINAKR6jQDgUuAF 1ZwMIq/a9onvzgLy8JdzvsSUbrYCpvg6ntSokxrGuJa5HU/eaZ0ZYMTFR266QwMnOgCbL97hsO/k Mj3zlE0C3CuvKLZunQQ4G3RVbkr7IAhZ6hb8EkfU+4oUH6Z+m2XhPaLfoX+B0y5t1diARFOEsVLi uuIJ+VW151HpbxIASbSu0PJiWFunWlnzqZN/OvrD0ox+BiTL0FJlIK9HJVcHlxjJvUxdAD+Ah93v ainDWKaMSA56logMTcZVKxqARHPfBQYJ07xACSqpfHW7ALIuUpzlOWZ404X7xgObscolYXUYkWgM qKIgDwD68VdcxD/G/tfH0k16iqHViiIbahoa62UQePE2lPr4POTM519fAQb7Ei/DEJfSpSXV4hmW jrtdejmbgUYFEJH7HwWVn7/1uT9WcBWUfyL+CDgEg4NmqlNzC8yBPkDx3UlfERQiDWC1O0r9aYQ9 o7JavB436hvacQcRAyPkg8T77ZgaoB+N4wob/GLajdLpEYY3V8fG5zyyU8HTFsV5fZzDRm3XREHq m2Vqu/NVSVGTcQCrSqGD1EmwcGYHaqzlLR446LQzkJDxTcAxKhdPzJOQS3GTfxxvzWnPTTx6V7Cc p0xiAc45Ed9xm8Jaq3ojWRHacPa1I2gCOR/cE04VfIJuDLszdn5H5aC2B//nvYB63iWLPZYAexyj BHpTtEjFAhBxm0BMDEGd2OKNzZCJKp6SkBLjACOOFYWzEKmFm8AtesAAOfA0qgyFmY/acZCBZmNQ iTNriH8VROnbBDJP6urGAgGVLAC1iP+a3nTHG2VC53YkCPfGaZDipy24/3LN382Dyv9WgI12MiUr KAqJyte+tmrlP2jkVYW/O7XZoCJyNd6v0ipRYJIqCgOWlbDs/QGC6mnvN/+B0aU8UElvb7uiEJ5a FQRtyKVzcPINT37Nh753k5iyuE6lcbVuYTXT+ZYWO3WMGSc7AJMI996/SdvErmOgjlFfZwiQKpLS RsmzA50hfJBkXHCVSrGZP52q/BsEHP2Tr9WzCWMyeGlS4n7DYSO3jL/YFt5+t68Baj3mv+hXaDlr AInsAXjyrKwTN0r/zWqdclNGJYF0Poj6zbg2a+ZJy3GDm5scv5R8ukV9DDLbGHi8JWXJ6AbbEljz kznZNeJH2IS0C2XvTgvaCNuqEBYgkZ7rr5kaL4TC3Fd45AN/LwjD9Dx+V1UhwUfZF5BeTUNKptqE 8mY8SXYZE+7ev/kYo/Gi2saHXyUOWBN+vsIHmUbHNimcQm7fw4POQghP47VfoDMJkgTYPAGPcv2V QcGQ+dEADfk3lmUwEqln0fZXKfpertG/nZiyWb7SNv1m74/uIVcIYlfRp9zYAZ0LX+z+xLfytJFw Zh70mEcNJ6IH6yaYHtT98wz+mB3TwWkq4OBg1T6ABIQHBn7hQZCTjmhIQ2dOfeAF+PsMLb1x0Z/Y ULL4X+mfOdqc0Y8i8HU3MvKNWOJBcgY8b+8EJ0VouUdbljZWPfRjjODvs+EVFl+bX6U/XNM8YAm7 jyRx9ld5gJn3/5GE0u1xP7nGI0/LANal5kb1KWkjsMwslRRuC/RfXCWDwmBIl9+Iatug7QWGU1iK gu+typh1vCkefijteZeVdp75Zq217ntbESVZZ/9hrvkLn3u8TWOx9E7x+wcKAi9ltL2w5pRmrG/p aUksywJJq1ZsFbJMJOGJ+4kimorySHf4xE+vFZxqTjWSHHJMlhYwjmYnbGH2X5Zgsq8C0raLQ0X3 JPJLRXQ/OREq1S2zsEAUSxBoaDuAnI2gH4VqCGCcm9NnAncDwr5gqHcNGN03ZY3U5WR5HysA+Quw AWrIrhuF/sYvEN5kMSa2w61gmJBqPi6At0VgT/MHx0yV/gE5xT/yIU1oZz6gvuKi/+KOiBzXQChz n5xdhUP83YCvMavuOTLoLe5uZg6uDDxhCFL3bgcIezahb4mY90UAHEs/IJr9pizFj73BYlb2PrOU MPIPRxGBwcm/balP7KHQ0UCKGCoLPKfmZ3eAdMr+nhK0xDPvqd3555CviTm2H/Ku2k7TGkXVNXt8 /88PACW3KmMJANW6fW1GG4zisXjlkADKbEdCEhr/QQoA2tstkUugS/IT4YS3QnqbgkISKfB4iVfw GIhV/9+36otLUffvixJ5lGC4yjc8imGVSR6YrgQCl8zK3VIsewgdLPeMfuMrtKlqvU8jlTmhQQNe gyLWpoE+z7FFgFV78ND7rvz4xEet2Ff0AXMtQVQcc1lOcF7ynFTpsl2sD7lsS619btW/3Zct7Da8 6dKvUyi43LPWTEx0w5Mw9oNJd2C1zJ2qSVzdLfvr9fAP8QzsRxiV6Z50N81xesOMn3m83cSQqqn+ WthFogJXzCBU9HHecm/hHeVxHcn7u97OizezjduSb6F1hUyGTLbeq99vaxUUHQbldhCypeY9MTPV HCe3qlEQSFRR2s2M8VopdPwJaaKGRel4yOP2DPWs02j5YGFQbQnNLOJT11Xus7G6V2s/y747Y0vi kvFGPumzPsIS3gnx+CJId2QjkV8xRlXJHLqFnin/3xYAzdiGovPYxc+ImCNzmWM2iEWvJPAIhWXq 91N4haTXIxjQSUqdkKgqX2JXH6TP1U+3RtNnkXvPmS9AjPpEdenZyZLTefwq56JNxDyxFNHe5KoU F/t39DMoaOQjVc2in68s85KFrTOSyw8aQi27O2quQL7/aqkRqyR4srbAgJOwxNzDBaLNPnH1aHLO fJf1dNKFjcG1Ppl7RWc2Jrf8ay7la6Su+CltK+rtPv5KYf7njROhJsn0Y77EWZ4QBs5vT2t4rTFi SOzliD0ll6cF/du4HuhmqPSoDuED5RhOnhVmThf2PMV9UOUPBNJEhiZhv+0Ps5goxcaWu6nPApDQ OUbpPIEuTiGxUPs0LHRp8FSkrdjqvga0TrbR/ib3WutNSWTYShq7yEhWUv4EQh9kqpoDsznDhnCz JWITLt2X12mcKq+f8Qr3f8rNykMGkNrTB/Ipom/pfBEFPH4HEsg53VHK8yZs+/I/mTJYOSq/QclC SU+u/rzpqQnDnoHL/YG2cYiMcPUlesbCqkV0y6nosKvOj8jpML8ido9E9zT+pOiVl4cCXP/+Z4+O +dPxoLFerBqAL0HGc4Vw3IMiHCoS1LUgB8rz2w4gI/AXniGkCHDJip4mBjszg8BE0SXNlHm0OqCl m5YVr1w6vHg/uTGuodm9eEGGnbRQ9G+qmKVRhVqVMv0UYDcyq7qeR43HBsF48DRWuGrOBFTn3L7U DaS3Mjde29YggeRvRtTGIX+/JkiZbnDckeqtMlgwdEEh17Mccl6GfH3emzlWTUE1bbi5Aj1OqlE1 GLvTCBBMHExVDEtl0e5k55XbcPgVcIcp3sD58wIUylEtVagp6SJOJA5H/OPNZi5xMSoBz8naZOk5 6sU6Gb2QCPiH12ifEcjhrzEw3KokAEPEhkXxznCh5/r1Lh5ScT2spVYlk85GVi+jsIDGk8R494Is hK+iZhC1pblN338USyqo9KR+NENDyR9HKBzGRkcmAbgxPASayJpX1bPTR3XRuBOw72K5BfnMJDlq sqckx8q1X2lHFYKKVVbxpkyffe9Nl6ThjoDKEQLSt5ALpMTyv7pCXWydfwF6/tMn0ntMNDgyFxEj rPSyNRBYYlPI4yYjt+O8szSHhXC54sL5nVs6ZBzhbH0sK8toZfdXyigyDmHIbfQJf7IqAVTk7ItN KVTYtQld1WGuImItiew2N9ToqOq8AXc0Imx/VJO+JBv9tVD6ErU/8IoGwM+PeRa9NcXSCotciVJ4 4kx+U+RvFhCK/oJgbe/LV59zV4BF47v8dccXRhsTwLbXtOT2/9qkeC9NGtqDcclWH5k2qLVA+K4f yknFt83obC/N6vdJbVovv/zJRTiMX73MaS54VEqLFKUGTbGELCcs7tsHReHFnalH19mUSKlZggRz 3lGmKJxHrsFdhSnMzCjNbnl3XasaVxGFxURBgc5GT1J5Rz6Gr2Ee0olv7lQdRkKuGUwuirKaYmIw 0BWHohiw1Q60pd0a57fMGBLSPx6GtIdXCamhKfQmi6Y2S66Qu0RSfpBJ55RkFov2b1ubABcCEQ5z Sq1wrafO0CzVujyjIawmXaQgHhMVqy2j/rcM3s5UJNpqDivptah5kLZUj3sejuM9OXMF9zur6Oz1 RJtqUKI530ZxudkddBk3TNiH0u5qYWw2Y9Apu4/rsrcVTuF62Hd0aeOCnpAUI6WVhQ0KFvvZDkO+ WZEJIU1EJQ6L1+93hYrGDCdMoqasXr2kd+lHGbAUM/cjv92x1r2ZjxqpXZxRbR7KkuWPSPXspQE3 QHlTcoDeBh5keATo2jMZQyO4vtcW4H8XF9gPfh8rvYb9Fmip6IXc0jXQuJXpuNmF6Vbnqoajxnml X0JZytT15mMOjyqUluEjHYMoc7O+J0gIGoveCyNw5ffAmG0pI6/wSgLHu9yZ25jbZ3O4gR+FbCVx FAXJL7z9O/VCf3vrbiTVCOcTY2p9RpQAs3Icr44PGM7ckUss+/yBqbiBgcZd8Bai8s1eBOWNabiK 5ZW7h7epY32C5fvfKgTVqE/sDcueEj3YQqE14h6s9xMAUkmUIkIlOSU2cnEmyR4E9Uk0EJYbeYPd mtHVH581gnyK9d65Fj/AJZGNe7eCUJTcYceFuJLB58OrqPAdpr2eCSdEYXusCzys4GYyhODOUS94 GEMPUSoqpCNWXhYrmARmghkjxCec5jpFAJbnxcAoR1/iW9EhpXD9Vv7INubFN5jsb2QALX1L4H1m doJAr+lZlsdxsoUOLHzHvYtqWXrSENTOR24+QODaiSaSIrhicKRuBaaBAoazxpfrw4gp6eoVsWbY BWN+iXec/p4/GqPIuIvp2XX8Bgx9+BNbyqlmvl9zfqxrMNIsgTNWzA3NA3Gs8M52i7VseWSW/WeG xZZQbeHnL8fpHblfIY71JeIPJkuD9egS9P/qGBULJokgQXuX03bEntchGh0azIciyOTuPabK43tQ 0Hf8llP5+6nQ3YOjsvTmBH7jtZThz6DfjDkpJa62IITV49wYCs+97hw3pqsbZNgauhCTZ/n97BNM S3KxTl0lqmyCfPTH68ecgbh8BpSWpExz0Uj35VW2SKzIw68vIZpZcZyezM2UQv7a7B1OUKNtrXuk 9qTO5iX+RJLqZmPaOzf0Bk6acQk36rRUXSHeGt5UqS7Yjf5aL9JL4/nrsfCD+gAWhR2kqm15xjGk Wzf4Lw8znSujHBh1q57+R/J/QZuO1Z2WKoP7c8FnLENaI8dkCwikI7D8fshazLZPhjSbEE2Xwa7g 6u++uQLLMvKDE9aR/PxN4dAJXENZFWd8a+bcPkySwA7ouGQSa3+Q44I253msuCbPb92phrOtB3dC WdV567UupJDO52+Y/OcOKefhiDj159sCx6WKVoTDrUkLxHMb2Wkb6ZlSCqwpiGdjjdVtjXVlupC9 ycC42Zm9uYfNQHEEcCjxef2PSuUg1zk6BlYMXPkyThYpDepLXrYvrE1OkLMd8S0PC2xa6gfsTMJw siKJsGTw9Vfo/lWmJI5ljSPNZvcecVf5gAmTuP6rdyEXB/s7mySqvzm+Q7pwNUgqs3KTMPD51zXr Z5Fd2syIfYZ8xNNuho/2ugKYXCM/xGE3hXQGRTorU5viTWGwDBfow2QRbkQVoDx4Ky0wRKGvHQsj LmI2AUw/IZCx1ieFil7POcpyppymjZglhDIYANfKaeKooDWC+NS2MAzX+ToS+f4/VKow9DJ4hstQ Wx22hl8utLEliScibo2JphpFXlB7W/0o5FzI90f3sziiMZdOR2wnpXRd6BU9XvoudIjrAs3NfXuU vT5V3wMfThy+sCiiiwWuarndddS4rhQDxNstmCLnWXnTudGeXTIMbtbkfpmRuYxvo2xzGrfHx7Nz 6HU1OB8jHZeFw5mhR7YoYlOCXnC7SRCHAbbRfLQ3zqgbO96a8we/mjrQr4/BjXsOlzGZoNQyfBd0 qXBXvV2FEq+JYMKeUlHVZQ9eD0aPtJIlO9F742juQHlkifZJRiXBeklPanVGoBhs2Gp4HQGyUUje Lc/sN4KZ5SRm7V7gSOyikpARzm4kZDm/s4SnLax48PN3kOYwlzgDPyuBwhM0X5hNUuOmPUaAeZ0q MXyPsWZfmOYBdPNbRO1DaWJF2YsW4MLGeIZ/etJOPisQAefMvYJ6ihedFgQGorO3TL+MgaWh5y9P 7dXq0qSRkfPonmvPf7dOd2VcZa2oqXx4ZXfYrsm3FliEnBCYdhSoX65haNBtuqhQx52cP2ZMK7f4 iNWNFY9aqzjWOpHB4lChJIn8xnW+XTPMEu6GYerDIryznSH+xLsCqhn68aMhPHxmKfL6FQsxejlZ KXHYV9NWCn2ZeYANncjKzP4dP0AWqsXJfKUAapn4KcqvMUI0+37+9yxAPcLp1BS0Go199CK3c6Jy wgzyBRuCuuILfPWjtG/gwP90jphXwAHY6GxpPGBYPjv5Zs0H8HTgCpbsvBX9GPz0GajKqq0bxlMH GVcZIA7PJtuEuoL3rSf6qQP4qI1huv0uiFv/zGW/UZSRZyiHRnvNIw6KmGO1GAxa5bsaVjjzLoSz 9EgqfOQLk8UdFV4T257SnpW6wEWItt4SZQHBRHPB+EHAVtHJgiRAjmv6qfR4Rmhrundl3naBC7kv I8lYDcqrNo1j6UZXsUjnuYFV+WQ/N01igZJgTRTvAnrEpDHOBnC4EeheXLIJPhOsAVnw8BjmrX6z TcR9VyM2kX2D2eH5AY9JRiuXo2V05sAYi+tBWZ+FjF4llDuoFVFdsBm1kaDa6FaSLAlUgCdYfgX8 wl9CHmNSx3TaJ0y0Q44Fy2A9nqYYZ3fl5TeuVQHjL04PNJ2Pk8vwV8ZZvXgwge93S4MzHrzq5yI2 73ZnTPlfz37LGhksm3QaEZadROcMvvDfOt7tIBy8r7CNiERk1nwt/bSCFZhZpeKw6+Ec3vxGvP38 uxjcTjsHcJ3ZbX8GqhzLo5fHQnt/rD9lRMan/fQI42ApuzVJwobWecYyjaZWhuTHqD62q4vs4kfN N8mRdhnfxs8alCZs8QmTZ0Rjr3cA4p20QvSE1F+RnWwbXOg0DKE2ba8dF/PUT1Z7fwHAvkt+pAO3 QIqxKybo6fb0zQ9u71j6lV3Xp7ugk44T9S44gMfpYvZn7Tm1TcEYSKqf+7Icw9nNWYtKSXusxxgI TDKnaUni8kUUKZWC6xL3etFnm58vLZjs0zLe9C6fm/z+wxgUpeC2bLu4Rjk1IwiitgRiXz2mPIpP c3UPP1mGZGjVlmqxEI6GwY04w+Jw8gWeQTXGR6T+24jjB4BuFceE2Mi12lSp6MF/KUgfMe357HF7 xOWbAy/bjhasszGV3t/RBmb6uJz7+/Y/F20LXgUWTaO09vTHxjQABcxOh3RQtiGYjKT0he/kvakt Ov6HmmEK5qjcpo7q4HHAF6VwaQMEyCUZm14XV5ZkYNvSMsHBRCnhRhxTlLpxzQtBoj4SO3UZ5ndl U3wwPGSxRZH2ikabax+eg3M0mSs9lsNHvd/wJ3oeCE77L7gKinDOZGSTj3toDuIguHPm4YW0dlxo VOYuMnbOzkO0WCbsb0pw7rXYiPOOBNhBZTcgyi6buDLphdBD6APb4WgDSx0pn/Ib+14oBwXw66yw sd5Jbmv/nD2nIw4ZLYzOqI5zQpv+L01Rom+cfqPBfOHDGi+dR7aUypATiigqX8HibukCj5ybB491 kYzz6zVeVEX+6lTYDdzmr4hpETM0I0WAHSig+ApbSmd55ac7NLGijhwB7WhBlPIPU0sPrRK8J1H3 R/zqIEERDoh3u5GFkrmhaXU6VuokHkgHSXoyf9CqPeSwNR/4SVU3wrWv60mknyhqTStQDmHF9Kxn lqnETaBOLe7UBYt+GcGGceqTDENOoNj6c0LT0/fHBA38lWiIV83VrszrEwMo5Gh02Wjb2QR2ZJBj PAO+66ekjqUNzq/mWJZM1yKyUQcTOw1XCw7nFzR4tls0dnNyE4wDoJf/p1l5Z3i6dxqMoAu0ltpt QANMp9UTMiNV1fqRVWKK5dbHL+Itno0Hcd9khYVn+UTofOmdkCOOEy6M0/mpxxAA8/RCyVNyrMMT cKrm9XigTXFwb5JpqjuEWTA93Uhi4UgbBp265uRqe1e5Zp54tgP2XMnQ6Vuqbkuww5TbxdwleRDW vdg/IRce31P5BvZetrAwk2yXApgpGLKVKIBRLF46SWbXy1rXL/Zjia7gowdWXbr2hez25WMg6L1Y WJX34/papLy3vwe/JgYEJ/z5Ki1qAeHUUWrYI77kJIw63suisNjT7aDGjif3h9mJa/hZgxw5n1u1 CBu9DInJG7knXeDT5eOAIqvjVS0b1FM8pdckYMRlGSM4/UxtNgvgqGtN5OZu1xj+Ki7jCbE/VDLg ek7UaJsv9vUrYLxqTlHpDpyYvQ3Vm0nG2P7skd9E0Sx+4m5egqxI02dJ0sMjveumXURPeTZrLRwQ cHD7I20RssvpPe7Zxoy4MSWkHOPkgBVA1rwIi1qlg9tJ7rRmtyLph6T9niYNPwwM1PWLSZsukYSX 2U0dg8ex2zV9v5QDJga9zFlSFI+LpPR0XltYmq3ty4tHzMQ0LUueDd61g1ROHFffp1wjziXAj5he sO+GVjiE/5rwPGk815EDbK3zRm8tlahmFyPN9y8iQ6eJUUiwzayWERuo50jFscn44ivGG5go5ToL 6LyqZVlveWfmrqzW6cmuEZ5K2WIIyFu6ZShQIvAEIs5tbDuH6Otjip5axvdiXy2/nVx99TsN/oTs lwxp8BoF/tU6M4Wov7775ih4rBiz6UpWRG/66xbc3r9QCgz8MVwFTuwEWvLu5CS1C2T7V1kZe9ZJ 3ylaTt6vTuCQ2UxYokU28fh85uhnsjlWUpM/LGoqrTzV95mN25v0U+jykajcKYHSWmEtMcKExzXg cgsOZOlpz8cqTaL1IORLDEMhHReNyOFdr4Tw/V3siDW+D0AwDnH+YGZ2n3XKnLPm0YJiRlIhR4E6 OQorb9uf1t4M1Vl/cOwrX1tkUYuSEg2cMvkFMcDWRlLVOmZYKuQnz7D7wQ4FOeLye4Ufu5X3lZw9 gnolhCSmPK5StLPy1hpqVL0nxSVkuDv2CerWG8rAvjw8uFO2pcjUCFpqFfMVGReNZ5cGO4yZe9N6 XE56spx9FI3acjlf8kOTQ0z1ypP9HEQMRTwznon7yvsqs+xQW5nI6qPck/tcB/I57C6Uo1bx4cDP yyxN99E6LoxLkAV26VJPLBBMQARJnnZViiaU73n0mf2fKZfZMSh+9jSngfKQExO5AlnLruJvLnzZ 3yta51XE8JV3wWUyDPsboA5/Yy4KNFvrKtSudIu6jPvMQPwA5ihc3igr3BbrFnQ1GgKYCmPzJ5Bb saWSdUc7vZiv/tgDoDnQQzMpI1/DihmWWCsadlomy4hLJnWeEeptlGk9vDBHxiI9HtMGsCQpVJl9 QmXUhlR/5cvO88PTZeXfhp+6vkqOMpZWzElKJVod9dae9iBZnMjxq0jESswaKB4FN0CtuIrYPVk6 Mr1whNbAz+GTrvz+5bc22FzzHNP+yqDMw/obbm3x4ZCC+oe1CXLiWnNOZ0Hd3ONDCtrUhLt6ygtF eHY5aMX9HAs4QHSHABi8XNmzeK39vHjPdw7EPEiz7NuTfZH2KhN3JCkunXbp2tTnQBoBLHGXfbp6 MkR0Xpdy6x/9Xb40DXB+DJKyRdyFeByki3aB3+f117oSnoNpio/iYbPpyA8WlBE1mHbv1wLsOn3M JUgfCELKSFgqhPVuUxgrCgoMDOvVzo1q/eCU37QBs+ietR88SVGgck+PifAY4XBpcY6oKQEphRcc oPSuTarpP1KZhQwzwPgQVZXrLhweTiZUFoAZqo66dCEBgLHGeAsXrQiXOJv7pscWO17PTfGjRgaB jsqJ6zM99rf0tjRX9gM9BAudEC4XJlSOsxS5cWQBQVgp2or0OrfxacjKpXyeNTeTJ3Z/suynUjE8 r42wQkf2RBul0WlsKKxF7hz3WR18Rs8vXjFy9PINmrPDe/qiywN1fQ57MTWtmQ9fspVuSPr4LkvW HMWaGbEO5KT3PJp9p39MTi0csffE0+xjIa2+pRMDUzHCV/9ysPsmohk4aXAZds3DVOzVkLwIqeL/ wsEb5l8W8IgurpVFSKMamGM8sSOkNQZoQn/KRFHSWpE93Od9KuuFk9aWzFUnPkv+CqFs51wTEBjK A7weuAmOywn9soOUlIPPfcDjmbdjWGKcOi7PILtmCa6FBUlJWOOPUsc8jxtP/bj2VSLCg3qlDY3d 6S38WtEANrVvY+jXjslp32cpdd62U7lrP6NNeGG9JOqH1x2nQwgHTvg9+xPFWUWYrzQshXdTS8nn o4Q11Qrm6DJR583gPjcXgbhN7VIroJU0C3OyR9DD7MAiCDRLUL5JoyMgEmwuJH0/uP+LmbLsxIXw BK76seqoIRLArVvCiV/uDmNPsadsG1U5GHC6Lfhckyz8Hylv2IpyQFYO49RHeJv9ZP5u8Zdchd0q 6qH20nibxKVo4ZF4D7ySlEPApvn84Y01OLz3rQH7fSEx0/Qd4EoyRuJP5Zw4+MXVjNKrDk2hMOPp 6FfO8HaDWRgQauie1MgNE8t7RAJOl/YDcrIfjnsNNRXg26bl3qfU39Ef/AZoSbrHHhz8Z1lsf/u3 iVsmVagayeThRAu17qmpd4f2hvoXUtqPsa8P4yBzTw/yWAyOMy448+qFzfkYWX2fo4pBWwIZpDGD 8L5D0HqBJlTVIN2pciyJUyBOebykh0JuKqpIWoqx9TB0XU3prFkXzQW/A0F/wDxjcCpQ31ueruER rb8hk2fRquZ40O1+jBvvKd3FgH0Jfk9RiMl8f4kvZOXNUNfGlTL/ZGNPXZxDvomYvJHIamVss19J PZgVCyP4zzBhX7RdEc4Zk2qiyqRi1DLo+PTEdgAXshHSMkmuw10adb9B1+37cm0AuOJkxSxaPven w62nNDVal5RsNqvd8hh4PAmsJ3oLwjAg+mVrsBUXhKC+3LXnN3Mmrz70afo1W+vWVSVfaZGz6lDj TeK99i6pOl3Ws0eqMkLhaAK7n6s6rhxLml7sKY13x0XMVfkOCcvQjNbe52zfAuYfYM/rdkVvMZmO P5P0rkWJdhR7q98RJLTlNoffv0wHn5KOPcp1Sd6sm8NmFA/gT9JCu/F4jU5xmIjWekSBcJgW7s8t rc4/JEC2y0SiI+RUYhenASy7/GY+3XQQ291wwqNpKBrSZM//cg+MAiBsLfqWXw8pENqKurjbJevZ 1iAHraRjgSTK3rT5amX2Wv6CQXYuutDKv9kRM/xxyWSlO6kVy1kQjYISRu5RhAWv4WHdJ8I0GuvW cE/iRpSvOlsBbqIfgXpOo0E5PbehYflSzrq3LgFtzZtByU+hxQ0L9YV+nhRihkRJoZyVxMex0xwK hy7Wk3F90e8RilS/QN7GZ9CcJbjFFHBfuZwiICqSc90XEJMT00Mcvq6n4S/IhFT8bK6Br2sQgt+Z 8kNMj4pQUqWcCTWfj7Ggdh1Lsha/xdwHYWsfhAgOSNsKIIIgCFlh7AF37jVS9FK7OgtSYYXpNXZT zuOgsLGNIkFJzkH6mB8/fOAMB+cwwoJXK6eTcDTUUx8O5UffWnzw0s2eo/ZagRxlKHHaVZNJMdlL yqAxWH+u4C5TStFUC1Y4QM+PjPsr4zMo5LF2SXr6ViVes10Vo8D633ElDtfJWhDBQl3ZavFNQs5v NUB/Z1l2ZeNASA4kxQAZV8uXgdl/YoboiPtOOc8fK2ECrK09u3Vp07cYcdwhySDCCfmtUtd5H7oa S2gj6wRbEq802LZIQANdj0Vta5vVFF4LtoRQG9Sc+hagfRunXE/JCwVxx1/aW1NOER4gLVIRmjWI K1v3mMqZ4JEBADwv9pT2HhStbI6wNOkCmKLKcNYr+dfLxJYUiqSAJjsz7pSvsYY6qKK95k1sQ+Qn ZAmz4aL2r/5vMMSbcy3FO0kfuvgcNZl6zrv98TNQeLPRirw03FGY0Zbrjhj/Bj+3JglTolIBRMYY 0QMTOR6fsHldW9M8pTLZ+Jebn6km2AMOia7iQvFrtWJbgmpF2qMWym2/CqcwpBc/ABLfP65+o0Zq 5tS/SAEgOEMQVURkJ5lPjcyJxTjobdhgtrK13ZUjbxguxYWd1H9FE31MDl1Hc9HzDhKJ4DbWynv0 Ro5eav4I6SoBgYj0nwvdFee+TU3+onmtgduSp3Yb+3pbLVZsPq4Ehm8lPt0iWR5R63wb99wlyGrQ ZkpE72wDqrlzxveRMZ51OTl+YWfLO4zl4rnv8TCbbUcH4bGKBaDxNu9jTBjJcaVaiPeQxeAxtopS uKdjX9xsxvWaaJd2OqD2lwi8aksDM6XWVvnCGLXiQ0Pfbz9QWy3F8JyV014IcHEUbxqPl7O5ESCo 9HHBsFpObRsxNH5x8GiX603HRocw3QuYQYQCBL+7RNQxygTOKymcikGmtr3p7ALlP1w0wHx6psFj luO18K9j4EIm1UQwv4PlwE7amVk7yXOIpiEuVMP3hPRkxm9yfrxMoTiT98RkWOpwGlP8tBm5FF3j yWEIic7h7A2qQuXEdg9DDnLyNpQ4Q1oIxRlkDJlqAJvnJ4QTH2JqYIDizukMhHlvjTAlJPE+Cjb5 4b6YqtnJuozbal414ixsx8lWpL402OEsP6j1rUjKPuX7ZT/iEspn5P9EfhmbFuDR+2RXtii4T3WK FuXLf3hi0+xASKyR7QD/h+83EIMypPUuUJrM5m+rd9uFvtWLfqpjCMOulhTMpHbfEktq05GfKu0J a4tt2DnIoTta9Gga/B/ybktBufwKF6aINB4+5LLb35SFNlBWdlCg7bBAHwt+/r5ke/fSVpPRHsB6 2XgpB+ioOzW6hTbCfnnTVrqe0YrlX98hLIOM14M6u8kpymY8CwB5OBJl7uPdHBWnTidUAQQdoeiA bDU1ULFcsmImvJeyk2dYxzXNNeug1YJ1X4y2l5Ch8ELfNuxd7apVZvvMjyrBAQPznA6vyYq5VUP7 JmY4wPU8j5btnXLd6EUPOrWwWlSA4Z6DXad0xoRGTL57jUDenOqM6f4cIMQlGkTD+ov+Tz0RTzI4 EEeWISSdLiGPlKP5ttpkCn5yX5iUAFydfC+a8eSq9+2jQ+QeIDx/bFdOWm65ETRVx6BwWVV5mP8+ 0RKILcFpq71SbHHYQoxJ5rirHdTVzc3fL1m4XQ06NB1Wcm4k4AFqcGqe2enF7FuT6ggT5FmjIQ/D RFL3oj2toTbjgUjD0c6CZ6JEkZglPiw3AJktH2cwA0wXZPnzaNE05Lmq6m9C1UmZ/c+dqjQjMSEj Bxfk7T8ms5OZtRR6HCJn1sdqvj3V4e2rtDN3VDaeTQh//YEJzlyVmRQP/BD+pE7XtcF4fOxFlHwj kpVFgsrQ8xdLAWpPi2QQHmiM5paGC9uc/4Hasto3X72IGdtbSPqE+7+/m66aMl4IMLUm9y7ucE/v SPwh3kG8HJ15OAbG/Cz8DLM8S6o8mWEWopkjfVsyvECfBwIYGzo2tQKu3aYaK0KQMwT823R8IlgK JPKVEml6ApGpTLPSrNGQHpOCISqiagb6juIdqsG2KDQiIrere+47Bysz4y0jUHEL6dLj6DatzNRG 5ns6SHknXK1342NeBIXqMDiHjoubkeosjxXqcK9OI41nHhdsX/rHtrlgR4oSI8FHcIG3o6IG1/WY 4cG40uJlKnRc64EcIr6Nzw8UhrlDEfOamG3/gAIZ7j4Em8/mED7+fDzwj7aG9weaykyofpK1RQ14 8pKNqsnuMrCGmQwPW5UBLpV2aHGuJO6I8sZGXalNK/LAxRe/xZAhDQfCkAW3f+4CvLzm4xKEpsTA HeEebJ7jxEf7xE/PTjseXfV9c9NAqbnmNWPRyDhHTcOSiNP2woH73xzdhtv/RVvgHUniep3b0yXX FOvbO2hL0p5oAb3nJqB/QS84ahtR2gOivhHq5qtYAWkegGBNHS5xKfzpMUZ4nL2J8QfsuiBIik6A ZqUvdHlijppaLrcRCyYspgzZGfXYY8OJN4K/jB88MJZZk2pv//1K6ZU6zSpEMVjfJ56s98jek/4B PzrnvPA41cps4JdAw4XsnqAZjMZTEznnfs2Q0IZOM2rF3draYUSGKcTz13IidMI+rRbLY51UdVGb lo88I9C+IkcaqLix7qfo92sWac/Oh51z16v99Bq8BfA8PMYWohHsvQWMAHUnZYd1D/IinMD0+WbA r9BDGaSosMFiI41r3ulvq6iag3rDLGesx26jFRIz6KetpoBIlpurJmFzIxFpWR04aAyKR5zgtykn sIOI8uEWsrkWPyXJs6wi9P9nGS5BS6vOA9BvhrmVXONYEo19+Z8tXKBkjsCxnRqU+9aj2wjgDPcx 39DRSq+posUofGFDs61vSCIWE85RIkfdTwa7qqmHnGJ5hJz8eKw9nTdcE4+Tb3h5iRTnA0A/+qy4 wsFq/Ygu8Pes0FdiJa1+isB2JxDWwPgBXCKWN3Paxxfr2uxRD8FRaBReYscBEGGP1TtJeywvfObW H7Wyq/EbLzfBq7wXWmkHqJ3ncFAiliMpv0yLjTS6gDweKWqmrGxRPm+qe59SIlnbPb53rbjhRj1a CSZcxyo0XC+Q3SWwM8xopyDfcE5U+QloLCwEzRl0a+klEkPftazuYkIOgbikVbBNI/SNbIj1Ry97 QA6gBeio2znT6XszMmVhHbPvXry/bjV/8ksS7A/xggEaZ347m7UapLnFiqtgwrGc7zzTPQPKXWp9 aLqk8mbRU0T/03TLcuzNQuIP6m0Zo1SK+w99s1Zy/FysAXxTiQC9ibbNvwKI34Cjj8pVH1vVa6/f 9eL9m27Xou7JFQggftMynNPnafGdHSKCoKlEopQ2jPKtX8QYptlqkdNU382a7K1W3c22GrQ5HMQ4 UmdcTRlv8/Bl5hDFZkFKrnJ1jbHqbRV91W17zo6AwXbMt1VeuVBr/MOtJRZW4JHdgIdPgKh/JpL5 5TgSY91MXf1R30qMGv/TEV/QMxhMmxgqYt3D3SpcYYcU+VtPjO7/S5v54k/o2gyrtyVifJevkBFj vhQm/+8T5WV1KsV10JzCEwACsSKcClwg1+riKXU0D5iQJmx3nHY9GkW4nfaYPW0ulhnq/rvPOA3h RpEEWC+kcCWUn/Rgx5AGXnpwqgdsG7FsPL30/Quu6/Kk0JhBSNAiZ8Ka+ZYkhDuKwCMQIshGMBpw oAuU0dhkVdbXpC+NYSyOt2U3pzS7VrwXJZu384IWiWdpNHP2x5fSSFaXtkQRTFtm+0TQCBdjAIRo XUoLSxff4C0/yHmBm0rW/bsXxG3JPSbauStzF+YgcbUPRLD3SzNAtnjZb7GYwCv+Zs1iS3BgLKKI 9DNV5MfWjxpgYsVxsGzq/Z5rk2PdGyg46o8Ky1+lo6qIuYK9Za3eykwDvlnL2D/YBfi9GPpAz2sm bU5DPDAyVNfGVTIJOF4SHt+FsEj5kkBS8+n4wpJAu+izeQXhXnlBUIPfGkn3zbz06Y1J1aI9A/8Q 9F/6ifznUkgSqL4O32yUwknPQKkfeZEF5+6B/Xjz6qRHrSs0WBCz6kyIb2TaJs1FkDIjMdppPTlI uSbgO3bU3X7gTgkYz0CMumM7T83O+IIMvQjueYpdQewcsdZnMaU2H8FlYg/kJyflyNAPJ4SCPYNy 0lCLU9V34hL68QjIzP7DLGA7iSyTFhTRVHsu+NZUymU3I8h5ylER0ZkOW538+DDQonau7zQXeDkP Y7qtxEpM7WTcGDtvDy4txuZylX+64HM84/MZVlay/nNqQbCEHhoJWUky0FUceFFvw0lzNuezZzfP MNgN+54uj3WFXh+d5A72sX1muOKYYKvcLiQWyO8Vleyu7KAwaI+5Kcu35nZFcQtc87Awk2IDv2xc mTL9cLd2GGcuN8dvVR+Tsa2C9vUDtY+bqT0WZTVgC+QStA7SIJhqsspP0/DmAbuitOEUv0bnwqwv RJuwhz6fIQ0kxbJ6PIlU4OuUPMdWKE0kVjOYRHSJassgrv6AqnpsiY21C4hb7M1lQKI4YAiv/gNm sPncuo5L9xS3FWXJiKwB3pOUCq6qeyXRwo07l/HBM4xBrFUvKsmn53XLjDg2MJn6Syp46Gb4ENNY OHDhV4f9VNpQPSujUAAUmSL3iiZLnvSHTpxYgsXUXX0YEeA3JB1nSHDhMTZP6OtinPqjOpFC7Idx SVfqdW4ugVUYh9EqMdmQESlHjrnVQ7u31lVI4dHYY8Q06i35LDnD2vZV6KC1MjVO++BqGacjOuEc ptIMKflJmG6flmh3aH49lI4YHkjPVj5g+bXijTpMmpcOo0tglxrKxBydbVOOQYZW3yxJmbkygJDK 34xKIH/QT+eb/Zv9WE+AJnw7L84am6r1S0YVFfV9rs+zAatjXWZrhOnaXUJmHxQDqsiP92cuhJir V0age7IqiDtlzljb/pd44ESTXSwIWbAKq9h9YxD/j9sdiZ6MIOVsBy5tb8Ik4fOLfEqB/75/bFd3 P6GnSP3eWKGZOXLD46bCWJWUTE7IhjGYWIA0dsslVoFWW2GFfF8X8tVUQNqQrPzVBRi5ib6yoEVU KS8art2F48VYgnX7vMbSGmECz6GUjF6noXkRUEQ5YhSpj72gB4n4KgkSMu5rFsHWthL283ich1PO hMOzmuje/12Rkqm2xI37EefzSgRcopIhWJnwXxcdP2a4boiUp/cY/hJhremXg1I0r+69nr+G3NnX fhefQCMi1gM9H9D9erdmNoWVaZ6UxFnDEWyhsG8W8ZLGisYmvxIA5kVvwHyqpqE0qnJtmgroa1Xk AtXXRlDuhBnpUvqkYPe0fhDsLKJ0ZBSfKiXA4i0/OGIec11JiBdnu+QxD82yRF9+AjyXBKmHWazU jslxFV+JXLI5NULbCDHnSrRbU6Dcqg4ybkohzw7pZyAIL9d8weDo85LDa7RWEkYpRYgqrpajPeBB YLkdkz1KYB1eu9fK4f0U5caVJLYiJn+9yHuC1H3TTKbui2tIH7FFX0kXMCZNchinWc/i/CSQG0bY vQzdmuMOLR7kjpAwWFia4raA+aZ+hUMkEH/0xDgj8N5CVl+fR6d5wwtN/z55KMFoBuhXshORW8LX cxZ1Uhfx6FsR+BI/DvemNIQ0HhH4misXyGGC2yBqR0+I8YjTBaDKdx2kAO7e0Zq0g9gVulsEpttM 1swysVNEc5cx+P9kiIV2QucKRMhPyfMy9/wQdFoLGVWwmpvp781XQt1JuCaGK/QemyBOuI0LgmlP VJg+cKlmWV79+Jq9j5WmGa2U1F0/dHWoZL+oIxbqfvZAz3J+dz/p5OlMjUarfAsxiAgEPkFQX1ox xbXiz7Y5TympSLR5O6Skj6z/i7d6Lrr6sjJKhD742KUdaAzVfOtF+v704vIKzXyzFO9EDAdNbLS2 KTmwbYagWCV+rlwB3z5HOCRhrDfLpPLArCJEwaMcmtOPXXdJDmQRUkWgbaVRf1qedHYjitRbcuda ieSnwCMcsKPxahiTpid8SStPRUpkld8GP1zx4gcw+wau2YXSeKlhWjWMrlmMbYzcwMefkC3JJ+eD i8y+GsavPDkTBKuLmqsFqUmuC5pW0RlC1ObapgKx5AoWLFcz/uNPMD/3kxp3woyCFbV6Q3r2iUlw R79u/IrOEAgR40lhAtuNuPJJL7A4Jkfp/sjyCmp2FPB16ZJJQUEZzpJRFapI8akq/n8PYoUAYZMV qenBTHXVGmIUXmTVaIgfeVhE11iiGyzv1Txwlh+e1Ms8GtHJzJef6oWu9GaKMrYxbTvFj3xHQbnk 8rxUNzc90wvbDhn5tKpLYkS09BLBbkknQadImBQ5Nl2WT73LzsoWsMOuscGWKdXiT8RarQ/EbPPH UJJQHsLZmMBy5bUvb+xDvnOyiUIjwaOiO6OFNVTb3lEH6ZbyAhjPnGZgSQyzdQoIr+KCWN6ts33X xFRwSs6k4/1OvShnPsvVqolHHgzM49SSH86Wc7ncZ04hjjmg/qArfEGSNEapFWM4TpGmz/keYGhJ 3Bs3inzOO7tKi0V2JCs++bMh1RnUbapYbpcshYrWcghgI84tmLtk2ySNgQnE1gD4Or4OKDF+Rt+b GuzCibbsHTcgxGYcgW7uFao09TRGJb0NRSR9rmUUbG0AZLW4W0IYuwybWweIheXp1ppGVfVfTqZW WgVVoYHjMdd+P4adT9mNsHOoUmEhUykLb8EWi3v1TXPZKZRqe/KDXNZqFd/gducsKxLMv1iA9Tr+ OnSbqLqC21Aq0w7Yq508TPKJAjKbQbkkRSwlJ7bdaWsO2QP/7rGt7kaBRVzuBaKOVOqIdwqGrsk9 x8lnh+1cHFqO1E/WyL8lGCMHLcRYUP1GLDvuMdJeXhzHTUD7pQhxQkt0rxks8A1xOlTSt8zBfQWH vjouLqBzZsP4KIFtHB/ey6OGAlme3Al9nv8toW0d/9Ip9AeWheOXvRmKGDD6e/h71c4kmxbHQYPi 4NtdNUYvjFqzIFRxyIU+M8GLFB+xFeqAB5YC4ZMnPvxr52vzGCnyvvlhtE1tC0KjnOqPqR9/nw8W MWTU5Sq1tvM/IDxJdDkfQVijAAys/K0c8N7obkCf0xEccerqyCUJB4qmJR/dghhDQh8V6pEv3t2f 5mDim+c0TkEuAp3WU6QyTEa7W8pAhTOukXOJUfEIF/emjtvOkMmDCc8YLjsoBEaJQK8qvlmF7E8F 892x8v7G0RRlOR9k7Osw1qXY4czck3j6qgce6r8d2rgG2JRz0vfZXYrD03BkGzsyId5P9Wc/Sx7W CiQWBssLk2eOOuTGiBRdlxdPZpsMTsElX1zdfvoaawiv8u8bC+T4uG94+k+u/6ssUlHBkYj39o3u u1UaopYZGeNVo6ey3Wua1piKoCUWOXtWakVLjPc5Z7qkxBuMLr3ZT7RB0rBmy6j+izGx95WR/W5c zAdYRKRMc+/uSD25v5KCMwcG2DUhbr6/o5LjlY1ho9+pu9EaxAw4WSknhGGI7GcImPKf5fXAR4EW KnbjbSkLrKKXleAXegUOkGefbkaEd7UJ3zhIGEE7FAQeW6Gdy5vn/u6ZYw+6N2y8HWq+Y8D7+mRH AGYgAs69hgeO0jp4NppeTujOsq8E5LSqILFIT6LxeaauYGjKNhpJHgSeE6o/8g+rORircAZojQZ5 38FLuRW3MiuPpvMzw3dGiNaZgcdinlfTkyQcH7MePNfyWnt+s1tY5F63LFQdZN87ycngFfLX+Wry e6tYGmTaHfkKKZ6Th7FrcntsfEdEgptG8hRUB2aMAUNVUEEAh/eXsmo74jJWiCOK4leydbhAv5ic kZoxfwauLVZs7aWGHPC/W/ukZmqckZhn4yWGsB05pb//ULrATqkBQ7H6D17jnYFAhzuqWWlEap7h AY4oVp9BMTy1BSeHJm+0YoAskPLkeTfPqsMG1wTXP/Pc3/bBXMv6KAiGs3D40KKVzd6Cqba6hE4m uWGoss7e3p62txAZb0Qh44F2Y27by5P54wMbiCp3bAfspchtw7GOOoZC4qjQ7Zichi76TkrGXH+d p0Yig0SxbbCbmrqke+xNmdATgNToLzrlgEd38/F/+cLJ5v+3jdWYvZPzrwmcOSD1YljC5G/eQgSH cbZN6vH9cOaiCcWpMj9F0yjJ1NuUBygm9NUpRuOCo+Yvr9Iop7E0fjpP4TFI/+e8/irfGRr9aLsE UNbg4VIPD5ZzP6FKFzYFBiq8L8l6eY2dG9oLrT+vzHswq4A614jxRLOG/Qa5xJWo8YuQ/P+h7unD IVvVCQmHIHRNSuxs3mUk3ubmlaiqVjDCL8tusv7bvOGjoZIvmTCkHXEsAqJtReQ6nZ3HeelJue44 1jwIop+Ju7Ha9uH+8XHCdeR2FPHQBbJV3GO62qqmbguXMsaxQ4GWP2zPu/vSZC4o7+fcQScn4qh2 LBXHrnkfbpQDlv1uc8/CQrkX/yBSmkLcfypLgR/NUzD9pCQTTjqh60Bju4ljA3Y86OYFrXf5c6gF imISGqHoPw6hBFkD7g1axlyQVxiGrL0a8+ifBzTqdIEvb3uCP6jMg9s2Y2/Qb3jfwrAHVXa3xYSk GCx7Zj2GrdGKU2Go8ccOJrrzlWcZxL0DFmRoDf6gYfL7wH5Pe5RBeos3fHDTtzFjRtchAx+7mVGL X/CoNQvTAlmS5ttY4CaHJYTI1nzjqkpmtyfemObfaHPQn+XR10DqO26leSSBDJ0KDSTtqOK/PtsZ 1uENOp3tHUAqbWuiSzkN3WzEHXeUlbUrgx+ZOlWbLofeEwZqnvCrUeXy6kTw4uBTnEt6H4C8nBzF iFPlnhEoZD56lqrdkAzQmvUrL31szjV2EPDkl3jivRmdQzlDsREzMJAFC4wTVkL3JNdCEqhaLQUh auTGTSh9ThAzXmY0hI8uiwlWH1aT6dp/OCJSmc8MANQ4PXgT84/zaJh1zdm0rpKD3WnC+x328dYJ FTNQf4oz0PWHzHhyED8p0lHiKcjsbcLJAXS9+Qt9w8XJDwMiO69nLczO81UZ/cLHIi216eMyRU1p swaxAM4DpCeZiFZfBkH2jAn0NRpCI6Ob4/n4MLigThDkJyIuaqjylbQHbC5VZSpyLyRpG5iGop00 A1IZeMFk0GgG6kpek2HqLFwGc4wta0uKrIgYExxyl9SsOu6owEqVkm1KnEm0OfdIyl+Csq4MeEUH fQ81He7x85mgx4xteXCe6uTfkth7ZOdX2mTlG+MLyfDeq7ZBEde5r3pEt366T4j9ID8JeYyx9DTD hrMK35WfjNxMdzYV3ZgZ2edqCf1beQ++IEspcH4PcXoVGPNGplbKnsJtoRKIwfVltBrV+mqdPwZP r/wbe2k6zuohZX9RBWxtaaBJp6DT6tJpIDrF2fOz61TFTi7D2x6pwQN9uWVzVqxcNN1kS4CzBtjJ AZo0D02jzROcQ4OnSICWrEJwSmeSxFoODtFn3oczM9ORQhuAbBKJn4NTDZq9OXwBLVvC7zmkOzXt 7Ud+1tiwcC9RnqvAmPzTya/cL5g+2IZr0Mu01QSWQH9OlJFsJgAAQ+3AqKJNOakR8AzQV2u01MnK hjcnyicdhMjYTXGjqfmfM0V2RgQYGAe3bvwDSzk6dwUS1cfpGMZTSTypcgtT12wkSE3RRjvtUYjd RfD9S9NyNIniV3nejeyfyfB4L0nCfKZnFK1q+fzAB/c4NQ9kde/UFL5bZ9O5O9UmovLklgiOfSyN TAtzEEbMHJpL7SYmNXK1xbtOMzcLJr/88DAJlYDIPEKPYxXczOnYFOn74tvpBhLW81TB4vvAGcdo X8G4m3FnWqnyTpeQMhntC+C68ldozDlKBZGg6pifjmLVXLBCC4xMrDVCXMavlzFKAA5o4I8+fUCh UIpXslPYHfu3vs2yMr392esDr4lyTQAkA1okaH+kRcogtvo5VZw7HFN51bOzb/jkd+lacnGuBDcW DatOnTsQUk1HZvGxGMtX0r1trIJxKHtsTkX4cUlRWSeTdaEAurczImnd3Y5hlR3LS3bm/s649RS5 qOilNnTyXaGMOPROHLrNYskHSQURol/TC3iGwSaJUZyqhkM3Os1cVXFeTTw/cRMMBLMI3x7+meeb B7h3/PYab3kCDtrb7sJmmRaq2qMtdLWBvC3bhJGxFF1ibpcPgYhqlfGDMFNJTfGj+lXgxXH7dUQg 7CrmzFjQa+FXIVItYzmQe/0ItZrVzgL1pzknkoH95W6RM0yLIKYvA7R0s7707p8Yt2MGisJcei3q L1+hrjqELcgeZSYAJ48mye+Nz9TEBd9sojVuj+dnSmAziO8xy0+R3e6zuTifpOEi9ViDA3kujQxA oTuWl3HyzIgkkL5Fvqcdnt3tSljvyYIcoiaPdNHu9eNXyqrZM4yPXSppoHmxJi2dw4Fj/VLeyRDR WVU9T7U/Xao4V904hv/zN5qdQwlKHYhIuc/FUDqlggw5YIkCJVPIUKRuWigeZdjXNNuaZvMGF41o rL30nZ+MUg0+4TBPAZKGMTrCLKbjhJDk8mw7e5dQLVtaAfmuP/vWqmoDwnD4w2KIa1lrdGMztUyC eM5ojgLi1WjPOtR8Vxp9DZu9zTmP3icbVwSkau+VQlq1eudPAgEpYN3Yoatu1i/gOT9kFG7JS5jw xwri1it27LWgGQWI+fE6LSXjF555YLP8Lswt68wOnDtNLkn5ajf4cV9VPLsrAUUJo0hTE9b1HyNS jXoZ14ZQZdRAKd6JpXtYOnjIYKcEspPG3roe2F6mJAB6FE9vpxHx+248xZKYKgy7TJOaXyWCqPI5 xTVzDXDPQkRdheX0CXeL1M3q0ilq1qvEg6JtJASO0FsWTpvcmyt/H+s7PUJAB/TizYkMw7ivQSOi yf2dHv4NFUK6WtHnYf6eBXqyCWJHXvPUuZQ6HB0pyHwlOcJW3RhZlYYhWme1BYpp41u2n0iUG+3r AivyLiPjj5cPKNrxSLxnzS+apIo8oYQs4iA6cvwXpPWRb1F01f5voBmTrD6LloGLO2YroVbGbH65 stSRT2Z398h0ISqns7rN7h4/WR8us/tSHt2IDx8z7WNe1holoDSWlKZY7ANwjFEfwzpsWDj1TpGD YuVO+Phzliryf8p5ctxzvZ24oGqbsXNeTeztI3Xzm0AlkLgWpmigEE//RPsM20rYOkEPpHVzXB9L MiXqo5BKD5I/9KCRycj6fqufDqwmKsRRlbyinYzePPj/SYYpK5hnvww8nHmCw5NSEIORo141nzZc eAAkGJbW2TjCt9uQ2HpKNcDgWe3kbR/NyhWHtgtqVqb+iXTPXwoDjAvExKA5YKDghZYqiCoMVY9h U3WM7d0FlCQDvT/m8l6Wj5FVyFL9mCA3e/izpAb/MlUTFTx/l2pwjBc8Bs8H8S9ukUnBtXtEE6kf EYKjnGY/sUQiI4vjzSXWTl79IUF3zTrpc3gdd43BlYhq0Ppwm0+P6ObZyblz/j/gxVflMuGIyJjX ekvEW7gYQH67TmZ0cWteNrf83koDyCkL06XBObuPkwtijWYsm8ZJ0gTWlTP4IGCeDRnB5rI1oz6E uBRy/fznQJgxaA8HN5GUUF0GrAUEyY9v5hZWacG3SVOm0OYj4uoKbBx1ot6qw0u0AKjSgtGqrFVJ k3LmeM6uNS9lJT/VodLPEtFf5S4aHIDjqgMT/WLymAWRm4p1pqFlat/i2rK1F1NgkxMSpzE8OXeH 6+QI962RBESvnXxwSsUNlqYZDky9IjNKBuAI3jCdhZcCCD28/pcCE/+UBQ1V5rTUXROoz96zi/CL rmXQXDy5iHiNGq41GPf61Hc2dmAknlLzHG/x5i6fM/dfXV13oL3KsTxjdXCfNmOYoFwMoVIYxWWU xBPcPTJm61Kr0nPtUnxh3NLTwt43RbHS9KwI4UsdtoilqDrLFiRBCCzdVeGhZEtire78SroqizL9 X94TRAcGz2nAgzfjlU6JO0nSLfWf80OYdu8d9PAqV9jjzDDl0E/5jPETF1KAaY5bb+TKwwHKKCX1 W9Cm2gyXH1VGJo2J+I8pazrN6l+aCve/RFf3rL2q71yIEzOhMAAIZm2NUbkvvPUZ29AXP8B6ijGz JtL+/TWjDkCT9XRk1xlYHhj1+RPCs0rIfOceqTn60IJWhn+TgHwVbnH0jeoWMaOO3IzH2ingjA3h lcO/2jqeqPg2Jsbfet+6EWKabDJVzDRXUKMnMQXEM1Gu2deF90dp25kSjmpUFWHQvRo6MA0ZB08N 3WGyhwopFmv36hrqY4PfBm4jZFbUd1ubRBbgN+2Bbcx4tUg6R9g9oj/+sNRa+80ocnc2d9uODLcX BqJopNXzGKfYcHzLWK0mEGju8r8dDcwQK6Va5IVkKyQxX/p99qvJXST69NO0W/SN68IcKyMiX/pL +P5sQnD4pGnBvDTEz36poQXOZArVlVNxKmkheMIE1UAxZIYPg03zOhOn8qECnDcH3wPTkhgrgVK5 bCjvKQwdAnCyqUnvVgId5tZqQq/+aBdFTwq03oyjR28Qt6wYYgKX8T6/24smEOgTKNjZfGm8eZLR iMbfiqSDSCafzxDDOxo+a8jcUfxI7qO9AzAATxqLYZE6VuW3t5pjJz8aKjx/5P8pUvuUt/FlVPg5 QiLmAiN7tC/VXJeC04IOI0DbAQ95nv8SwhrB+uMPzwQ3d75UnBuaA5ectmbPxpSQk+rs3LEYIr87 aA5JuONTXJbqUJULEEXDQMIn82xWbDgcWir5wZBtE6tQoWznBIGYUrY6m540c5Orz3HdHmmZt3FQ IueriSLu+Sp8LTGqau63HxluNI7OWZZ7a9N9cRaywthOmXBMq87F25BUlsIFEKlzQ6OZHHsxgp7n 0WzzKsxOP9RR+gOcUDzplCluVWT6ySQwgabZuhEtQKaecNj7MMTvp7viu58pdOv5fMnKD0yDtA/P LncdUzCiQ9pqbev7Vxd3No2kfhqAdDK+dKc8pc/kYnPOMQwwt07lNdNUenKajLfSk1TaawYEGzsi VAYf4MVk9AeufDK9FVUKRjrxKpef9qAhhhP8b8HfLEcTh4F3TUlUXsemEVsD1HX4IuQTvmATI1LX 7hrtWtHv2ZyiykmHMMYDxcx9loB8Dey7qThll7UWAAB2pOHc5yLRh8CGoc8Vc8c/bZq49ByAHPdc RS4naBiRrjqaj4whLrxs/ADmX7HwVCysW2LgwR6o04JBT4xP7OVPPcjxt2UOo2DYe8qCQaTO+wL+ 5WjuNoCGnhHIajS6L35x5I2WDYVhWEHQ10y0cumC5BePDQSAdRaXtu61UX3RCkkhmvUc6Femj7fG o26u1dMr/qfCs9O88SjYLKjszAbQlQjgF4qcG4Px4z4xwwCPHlwEV/F0czfKDMYOQSt4r+vH2/zo J+UcJiJCY9pU7dXEd2JvcAkH1IvAqkCUOtcX7bWiBWUmYWkDdKd+8FjtI+E7NxjJZ6rFxvLx9xFn acbZjxJeGyQqZetuomAIHuDex3Rw/y9mREi91FV64JlVMjGnXMsMQJHLltCsMCbhc/qW5Blw3NTX 2tNbE4mBZvFEHHCd0TEQv44jus+HM/iZ1cKPS2PdOTs0JcAwI0MdeAxPAcjRzTSR+CN0pDUL9ckr 8+dMuCl8C8dPrHW8l8eXvu7V/Vp5ZlPbxAfkPUpnCNniebgjlUe1nzbTdM2vLg3LW+8+qWJ6/WTk fhyZ9hq9XZD1cK9VfoDWNkfgUgiLDhYr9LQ7FLI6zzXRbZcEHREXLbk9oILO1ZFFgElmdHkAUqZX 6rU+B+bFOgXvkF8q6LWbPGdCGLqQg2xszXUHaMJ6XhtwheBcdg0Ay+fHAWjr+Y91JyflCS4Tjfrn pWRMZU/n3GNTi6faXnFPIxDgTwojTD0MsTsQ4jMwCErqU4Bduas2oyQjZ6Z4dXF0y0YCUzRQ5P8X SggCnbkfqHiMojVrK7izvWzbmwTmg2P3RVGaIQWGtDh4nXm5C2Uc8qhxPc874QIrWQxRT1kQNF7w BrF7kTt7a9j7OFGlrovCGLe9JRXTMA6fZxsHo1BbQdfOg2naUQ+HJ4ygcQoJTOx3WnxLph049dgI kSXltwR/HZovklTU1foqAvyuOWongvRidkMQ3kcSSm84U8WvEfr2YN3dUs8qLJCyDfI5uQq4ll0k iTCQknOjBDMHDvwem4Y21X4G/ijfJBydLVBtsHH29RMPTcOa8IQm+TqBgpw7pKLBuwItPxqkJIwb N9sb0I/OKYKsj1RRFht0A3I3dci/fnPcn7LGrjyPcRV3fmB5CJylM0/fF4JydPgVNx8uU48Voenq RQAEe1tamS9tVbs0nX1Xe5iGdjdCReT8hsfhF6yQnYnbngK9xFDvtm5m8ZbpsdeLgfT/EGpm4yeO QpAHBmAi3P0uFBcEJtqcoCQ/k+9XGDmKyThlos8x+64IeAm/eTZYfnsag/7nsHH3emKwvp9NlXvo nTnjAxzCBX2VfcV6ldtcD5x2xcqK09nB7CfWa5ngi5cfsC/767NmgtIJ5yc8UWKZ/QUZ49cF7tmk vnBvQx9yitxfuzIUeih2XESpfdI6mt/QFnG/yxkH/srVI9NZLsPSE8TTM12T4wXwnuAYLOm1QpCN 3NeoY/IEpKr5HEKGipzN+U8xyW9k/CFQrS/+xCFKxm2lAoY7u/jrOXrODlixc6nxZg79C9fa7hq1 Rf3Y8z95cSvaqPBG4HbqBfUfb6wPIc9XHNVkBd8sBLD6b4nkWoiNvobQdkeXyjk5vzUJF8fxN7At K0FMt+V/9mZy6P2yv1KPr4L0g70BpVhSYe3Cu4JDmLzwOJAEI0hszuywZb+e7ArFrM2IHLr/jQq+ KecI6W+PctobEOdQPIaNYy09WnrfgxON5IfC88Zn696uAgVTwTkBcu8JPOdfVEAvtDr/oqhMtLI6 ANWdQkRIgL6CYj69vFbKEsgEP/rpomTxqeJxexP/K8UoiFKyvuyV6UKXn7hVxeLO/MRstMW5SUyW PfO76ekFYoWheIAVpwyA3eUSyFjGeRjRjbf5a7fbzoYJIsIX+eXEXtHRmceGNkH3IpLYghY5alKJ 1s72lm9Csfsc4F+zEX01FlqAaYp8aexGlCvY56/fVh2zmcMsUR6uV1CD9CwWxaT0QfChiE7snZXG ryAa0Gk3CXKSxmGdhQvO73mp2Wo/n4FNopDsTO6dds55PCUe9ckekhN8lOJyPusABT+YeJME2U+G CY0JuBQJzuTTV6+AuWVB0iG0zzHkza5qClwRMrU+wwPK8UnVJqDFTVM+uuPX4nbMmJxST2hXVYQs pFVdS6eLPgW3jscYeK1fIHXCe2RRTyCrWVYyFw89/ZXaU2M44yEMhvgSy21ScHID50H7OWzLoQpN m9jAIyZD5wgKUFhdSXaFkU/V4lem+tl57v13/lUk5UZtIIQYZjvzV2ggJbIGAD3NyFfUIkPjjYz/ OQNGX25qAE9t71/3aiQKoCGi5wB7uW7FxQ9WoGlDXOfcfWgTzbP7pvyZp+FMrdXQOUG+x1ECBg6N TWw190vu43YlN5xRUbK7eXVGTAdgXysWxNRBBcv3uIN+bM+nU6YeDpjwDUkDWcviIB33xqoICZF0 poEdnvFRaTMS0aB0oPK1BymCr90tGMCj3A3kLSGP6xcHXHvs3JEuiHq93jTfYqnEzZ2OIjN3F5km N60yvc1gYs1LzjeABXYCILbmH2om8QGKhNsADVdE7C/YO/gNtwat9htM0MdJW4X290Jjm3xklqMq TXagxDzFFl+QZutK9h+40R2Y3Q+hvXytn9sckgfwO0DR1DiudIrdZR2J6MUKMVDZH2+YjRl84wiM jNVtLDLYT8DGjIxWy20AQT0ghkRlS+GN6Cbr8hDxhqrNNoypGaFVl26SYwmGfMsn1w/aSui2/RQG ysVCjSPx8HCQOh1m29SjffL1YtV4nX4E3w5jZXO1KkZhpvcw/9nYeyHIzw4PqT3OUd951fLhPP71 bVavUWqV1VUad2mJHEZMgvMO+K4wlLvAO6bp79t8olN0IagsBzHqBui/bOX6LAV20x6sjCi+wa1v y8CCrg8lSacDL1tSIE9zjYUZ7wLFeUB54KAaDHDiCmiVdGWUl3dwUirTeJNohf4Jj/2PN8GrqC9+ M0xHy5+XIw9X8A15C3PHSDsfdkC/XLVE2AZtCSczZcciyGidxZUgquSCM4W6kAwoewyKHqDVMF50 xZynWDYAafOxyR7Bdg2xvxRTT5YGaEx9C8OMFDw03UqEjfpRh+QvpgXhaPRW70Y/j7Lod8neq70l cr65h5iXKN7vE0fIXMbmvpIdoRGuE0Xzoh7WTjh/PVOvgtZ/BCnUYdGoLr1Q2/qmxg9JyFuwokCn uWbcJYlhf5+cdG7s3PNKJo+0KOeuy5SP52gnFLgRrd6UAIxtzHxHBXDMNX19XwMiefp2mpmUr6FC oswojwEelbc4Q0Nao9h87PKvh5s6FCVbAo7zqHl7/7jBdj25UhWt5924QpBQo+4eWzXbhSIRZ1PR U7zPiJcjp7M2gD43mazjGtFgYWzH0O5umKTAaGzUX4c43bEfD9nCIEyF2+RfNEKj4x3BbEag25qM JvXIcXZugDne10v0XnWcKBEX8yQeVLxBUsn5hLR2kbEapL+zFfhuszA55Xb4Spi2TEl5DKXg/adt U0UOnJzqe2nLzcTCIfI40tQ22eC1R/SeMH+02EMk4dz8nGsfFMAypSsNtNfX3h/OdMwuNjulndER /BhPuy0fTy3uWGqpmU/uxIouRXEqIR1o+vJCAM54AHjMIKP5ISXuu3u05s7/wwyMyq4qddTRwho7 jxQ33Kf0vEZevgfaFsXMXcpEXlt8TfMvjCnq4tWZFdnK89NuZkDeti6mhu9TjJxI67Fyl2YdAvAa 6MHVx16bqeVMofQBZKAp4n0Hmo5sn2MWH9XZ7A0i4C63ABdhRSqZ9zZ5fvd9LaarJD4JKyBV4AAe VR9qChqegBNkkWxpPuKtBpEL54c2e6Bge8JVtQM9JAk7+tjsto95jtKCLONskroQgQyb5yRZ0q5F VjB18KOrvspsHQdncMBfUfqCycGpUbhiv5Ekz9v40TCEZotCEOck+QKvhcScvn/AfXe7feoAfQE3 Dn/SCZ+tT8uaBmORJqph3LgcfBRDmS5ais0XXhiIcC4jdHlGDFdLESz1J+vKpqcPi3qJSQyZyQKK ePAGzP92FR4piso1d66HJmRwC7UBe6/Ysj/3GoJoiTsyEwnYF9fP7f3E/4ELp+bZoRIMkRCX7+Gj 3uW45r17kEboTK1nj7nc20uEjgRzzcmUabFZhulKwC/ukqH3o5srvyWZnEBxRyFb5VxAeE6IL0pM mZWKVgjzeDw2164H8eisQXleTBarvaV+vG+hwX1d081zkjbSWufhEQe2fchmzT8jGCjR9BX/GK8F Kn/YgDnRmpWkd63F1dQR7o3axHpmEeHEA+0AjoAY63XtvII/MYBxSOY04yO3nyT4utPJUS4f9oxU Ysis7ih9mE/p2kp38MJfKCajrI80QY5nib1JyA859O0B09S15clUW1pXfTGgEI4RomsoOpEE/1uO 4zRzoqDteLUseO4t91Ngc4LbJWeZocOHlnDNV5Ut3BpCg5JEK/MJ82ggDtdRdyrYQPMgIqNc/y39 8svEVe+xPazcxe/OHK3jpYzHzPHrF5pyPeSfdM8PrwYiePl/OjmRUL+cLZuljqo/1gZf10zW95qU G8ry3MSNymFL1WUuZF71aLbcZdt8J4EkeuRUSVN5K+hFiwDfBw5HLjLw13EKLDDVWG7P0t8hMmRF eDqu8/0WAfMPGtBIJX7M8dZJ6MPdhHMiA0aqXX5nt7kJZ+NebjzMf5aIYQKJK2DBk89dMJe2LLP5 zM0icYFq6e9tm++c5FroKbDu3uTqrgIyycTBYeGwbT0XMD7IeLNl49dL+iFScmc2GcX/fx/6uAC2 A3z5ZZgpqQ03FujoEToIKUoFrNwv56jk6CJLTN513zueiSjIfeZMuaX5qRcHABFGoPZ2NW2gV5BS R1lRd4wOpdcJXYGab2KDvA1jued1nacDbtofbaeFpAerdo+F/TTOonpFhJrv2ScC9I32EZmYVs5D sQmW51FWZgHjWC9eb+8QobscpiFwmPmoKM37eepntFXw5QyKkrcaexEhnliCY/RSkcmbPE3sDYiC kbx8nPeF+O2q9Ht0gKyopbzfrLHI+FV/obSD5QKM6+hoH7OEV3lsiLZ7lWEeXJ9zYQiINsWYn378 xIGT2vNrZsOT+s0VrO9499gbg58O1GIsTexNqOuklvDVCP6yQ/8rHrh7DgfDrlAeMpdcOynlpbyp 40y19P2HRf0mPFyZjb5ydQIGUpfrsTWEH14FxWteZ6RhGxYaAiz/F2brFEQehBJRyRRCEF6bHjEj Fswjhu1dj4HNIAGn8hH2fWQMIOpml2wrMQrLF8AuJtNL+bWRx4363aG65U94VnOS9M5UJJn7dsNU C0qvtDxIf7Yu/pfikXnZg5Tn19xMp6H82PysEUR/ndou+m8pp1L7+KEKWnYsghuHWZ5Mldda+J8a flWLepNUDyXdp65o/h2N2/X69jOXDqOzS9jEq9HcaeyRJ6HcIDfht44Nnh6hV+H9XiZVPo8uGQkM uv8k1G/az5wSZu2x/LsrHk73gQz1qGBwspqi3EoihKQvEwEPhyR52WVVequMKMSRuWvmitJR6A4N R5chzKfl/fMogXtvGpBy481YdPbqS2L7sq9PtzjoQ8BgD+EeQ3dmHOBs6BJNT041SsNv00/O/wui B0XaHKjKkntGLfKkroqEW1jp9Bj0D+z4DnXZAH3XODDj2cYNaTmXwdp59pNmSF0v7g1L/oPzfZvY AseLwxxJkoRU6bYR71T+xI6OhcUiPsxDxpgvIOtMfzsPK+bu/YRs7Vee7vPsy1XUACuIYOGps4qJ VVhzs9w0hcaPJSVbnb8EDUiqo/3t0b7AtZ75tHLWYywxVarZESRJKvUh5AiQnBMR1OQnCoq/YrrR YEsw32W/Mp5HngNf7i0MHo+c+Arx2V9rTUEifCwOE077hA9Z/fM0a0xVJZ128ZfxP7AGtXWcti8x dKAl/3tWop9XgJHFyGtNh6tqtK+vBReECQ4XdO9/tAz1o0AB8lsJ/+GG4X+Gw1VBYxWdiC435cah vhb1GMUCY5VHD/d9RxI/v3+chAQwAswUnpiW5rHkXOpVjju35thKMSdcqGp8BtKUHa5uebumP3fE zkyJdUYZAGfClcGLo7ukLtQnVC0gsJb44veJR8ka54B5dnSiRS7MHXhZGw2PksrQ4XuQTnhNBiWd zVoFUR+ovnNM8Oz/59iTpa7YHCcwkIRdIh4CZrPJWhe+C3bD/+MywHDNi6e1EAAep5z4XxDyQtU4 lMqHeHqUVLWExd4V3MpP4OIJR9uNZlqnH25B9eld0+mesrqLkGXlN4auvb0Jz3NkHhx4687ZV8pR ai8rLmAHVxEBVmRTYzTLFOiRW8BVTcdb9suiJSmbIb1zDi95V6BF6A/+3VGmx0lPCTwmVOdNnEfF z6q4lwGhwB2LqH3MJGbp5eCfkTsnqOPG3bgJw5+tTee7NwNys38DhIpaax0EqOxdAhkLYwwyAX8H xC0zxN+TUw7Lr+hf/tnd8oBdzAJtIDqK0cb+dCka1q66XxwYyLHXr+cHI2mC/QG9O6vP6xJlSToM XIEDG6Eb6It5aoTjkxuwktL6xHnpfzheKcAyiAB1PkWi8Footc014nsglnE3AGxSj4QPkUvBs8X2 ei/OpziSlQ6Vmd4ETsBELpaeIHKAEKETLeJ0kBCKCV8Q2oRuom2BiEc3TQCOYXYv7tTgTyoB9Llx T0nYxqY9yvmURB8fm6kz9lEmmvWRT0JTAsxtxFakog1eOs6jSolKJPO+Bh4GTM4Lho7xb/6Zr1po AEDlkwZmQWOODcUBbGb3EpEE7On/GVmrXJ/1a5p4IhQ/7hCvGB5zjZ0xQqmheJABxNgouZ/fyTbA diXFqrrrGh8iBg7jGEwzKCH++mumASFgi9E5I/b886QaM7azIDCz0cglea/TrpydquAIEXapD52i j/bnX45dX8Ah6sWoOtsG4b4y/d/wV8iV8G5uKJMv4i/ZE0M6CYyRvhvEe8eJgpYs/hd/Tg/vcCwt uvw6yhHQjjvzBqNNFBpNPI/wIT6MpmTLD/bdZJG9A8ouUy+JuqhftPlhSp9NHDAd8je1PrHSH7VN XE/GYXgXLrBYAT93+RKXoajuRmLevY+fXGr+yNm8ANuOXBLDIV+vwSyTVNt+FyFMlRdohDvqXSMo bPg+PNRxZiuloVCKgRCcoY/ivmZlGPr2ArS1NVOp9qdyvI8R6lf1STjW+f14x/C0I879IPrcFLnx meBkPPnxdMt8/5yW0fvWBE/jegQmIjOXHUNv3Jtk25c/i3+RnA8A2y31VtWav+imcIXtZOLrdhBy sTBHIL0cJWW0HbJK2JNkNCdZ4B8Ck9k7C8c3xSGeaYFw4BmZ+H/IDJiWEPkusnySoiWF6xl5p20Q /YT5yNn16Tz5Ft/mzWYabNJV/3kkIWAArTXiaEOcgSzzLuQoGv7qu2Qzfe0eqRmbVH7EZYCk3WsU nw6ZtlPXW/lhWjkhild6CFfXJOK5AjKRU40UAoQFgy4brsC4PD3ouPOwzPUid0RqNOk+0RYkdoK+ +Zxas4/bJdpd6jlQPqpUvXRSUtWHuvw4dD28O3HDBUSkcCuv2+m2W4TZTncWsf81BjENfiQFIoaM 2fg68uZ/sRCFg4ALwezCaweAY/Xasnk+xYTslQ+cf2sa1aBtVetjX13DMJUwIou7p7CrZVPrPjzP wkcM+1XVIHdlXh4KmzTCySHo46RuCruYomJheNabMXhWd1onHuNfTOGCZFYtthcxtWYRYjADBFMF rgvjeZQFg1BcsZipd/RHmOZj7/sd56alRx0f4w0jhPn1C8MOtOtDcKAo2f7/WqfYr1tiHrgJa3MZ 68d+Zc0dj8Ua+w0kR7wtmlrCoDwxzdyYnVuvKs+qqNZBWSc4y5nu8qvUTCQWI9lc1aNabf9qeOY4 GQsLSIRW6OodqqCKwRYfC9Kl9l540TZJ00Xl/HsKD7We/VqZ5VTBLOOt6XbVpG0iHQCXRLLCTfJY teBCaEbZyKaSLVXH/pfZEp6NvYhCv2Qhy5sGPzPujgeQcNlHq3IoG4gDO04Yc2DwsiBUA4SaUBi4 BBNJcmjg3fS5+jjjflSTa6AYFJwdPOcfnsuSjfNerKoksF2Uk+5IPxJQYCI7xWmKilMlXwL2m7U+ klbcDVmU9eX73i9TAAQ415xKoELUszreiNYq6lTwVbYVtyun7Lip1Bx9cv7PFRU2jmzv1Cg9LYW6 vhBWof8PNxK8d2SAOTO0YmhvGnHZcJfUOAxUyhxHfez4gJ/Xosy9naSdOnDiS/Fd3W4igWS0uZcB ATuUOE9kw+IFz3y0ypEsF68LHW2YuMmWlTkrdjzg3R3DiJ+dO606OTXxinLcMY951UTKu4ILikv/ fhiErw/LZ5vPKgzoG5eOe2NksQckr8PCBNRgArFpvCBoJDTqPVGcsrB1emsn3yblmFiqW4IdGrB4 M4FnLTJf9fvNRIFkH1qc+LQecA/wkzC0OiHnvnS7uRELN6fTk0sNTGROq1iw8wjHwdkHPveHaH1u O5DVeYWa/x/c7+1EspSqt6X1kAdOXZt8koo+KEh1z+s1XGsEG/jy0jkM+75vIGEtpyluLmZARawe /MHnL+RI+1EprXiTTtsxGCQjx27gYT3vAUYQF4HkuOFgy1XINqKWtkxqZ30zKhETQJbbCP+vHSqu X8KEvcQMrwus/in6/7XkyMahhjAqHJ76PZyyC1TamE7MXtGIQj8qZ5bceAg2Y/bwqYAK/yQ+dTg3 Q+trC9mGSjj8M0bEvKWV0DfOqBwDGreOKhIjKMwG3ytrm+o3BOHJFrAnxwBqEfJ/5XTn7NsmYd+V XuchLBvVrAbCqDQtVhMemoBdmfIxzeD6xnjCd15eEIkxAbZc/yNDti94FN7J6U70DKAdu2ntm+VK 6Ys9VkqOe+PcQo52TB+7aTK4CivKfEooxfa5PqTDb8a+7KQpv7Uufz8BQArLWq+DUkTGpELdGP08 3J5HmHTg8p+UjR64Fyuav66arKxk1rT2fuQoCJQR6CbM6YMWuJyKGwbbRiL6OdBKqNJF30x0+LK5 Eilca41+NIHO+m+i6a4Cw58jdM2v9eYak0szurnGjul1Xns9bY8kawx3c1jznTYP30RmVg3EhD1G 9uH+rkVRcBmKbatxff1VhesJKzUuBJhVEzP8qndjzXNyowtEtJNgqX398QGBfRQZTp9yA7a0uFsf l6LYVWr/Pzf2ze+lInw/ICL2MQKQLHtTNeLSqcZsz0iaSu7iljQO6FeruqjfQJ20DqbYsSqIV4rG 1xDsPYSvYOnwnle++8YqGO47avoccquezoWJS6HPKf3YgIhKJmV3ZEKwLM55kfOlZp9MDsxNuRTW yngStfuY21sztWRxhNfU8nGwFhvP2Csagf3lEGmYpOeOYQT0xx5cY6yhZ9DXtWMkd4VIpD5y77Hb BAY5kZfZ+QSAf+bBXphGRFWhaGNdGKzgw/q3w+vRTaCscEqFEYzz4PrAQpVtaEMjSkRH/5PK+iNe OmWkiQkVZDtZ5sz4CVJipxuPu+NmaEbAai9LNPb1pamasmr7q8qQX3SxQUyf+rGWUkdybq+eN0I1 QJ3G4N0iRpjrmYnezTGG2UyQS5YawJuybTVThT9eBwhnMKO6M5lLloTE36hPTT3uAARjZ0NekAUh XnSMEF72y+s7NicG/NAaHT7rGN8oiTlELzfJW22CCSwh/61B6H7p+/ZCDxSQJZRbxPOC4lDdDJE6 e3z/UC0Vw3qxSxTw6E+1qOM2GqucVvJ3GRnZHRK8rPAJ/cabI+lGvzEiP1SYTNXmCF9Ekv161/BO 24vh3QhCaDWo2RtVtarFRWiOM90u72pL9X4Tjy3BjrZvsiUaGy/oAPDY58z0B4p/+s1Za5wqVK8k 8gGcUGjUiyhTP5HcmapXyASnWBMuJ8T0cfYSjB6yfVY8IqLG/DkZI5dwIezr2b+WzKnS812tzP09 b3HSdyl32cAdbHtfL1Wx+xc2NQPYe/FgaRNu675kUsKjNAZdvTdLpMsnlQHFyxWk/Fwd2sfEXJwX zcuf6MfHVB5Hn0CBH4s2Z+kwtu7H7VgPLXM1E3wIfiNsoDnfgdNlDSnFiPICvk54Rp8O7473Ql7d MH/VZPV1ARE1dUAS2UjUUiEdeXBOoUprzyiogMaCbob2ZTcrdhjnHpMWwnBpzpfrzckaa2IRat+Q fsK5yoI9jQEc4EAUkxF7nTBPSmV9wgUdiu9SMBiiyomC6M7Z5XY/M4pyHiX0LZXBhao+II8sBhVj Kx5bSxSefPNQF3OhfGqeons+v0LN/xfmCwevR7dtQz2UZcYMH+4ShOfSMw5tYC5y1MO5s+kJdJDT ZpoJwRkMt81kQ/aayarmFw9dmG1v6CBn8QcCSv4A7BoIB39eE6msZKsU3pr8cDGP10XcHgAqv80p 9F6Fog7awzJ0kcBv7yKw2WMvZpFQvtRilN5gB1vmWo52wEJf91Se0xjAAlQM0muQMw8BhI00xCww BZljciIuv9CBuSTJDWWrvXIoqHO1DAquE+t9ZVZGFEtorzYOlWaO5lxT2WwnF0s5bdlSO1ebx00D N4qSoys5+B+lrHf0xuqAwh+irePT3TJc4dvoLpwPOEzg7wUBR0jwXfcaylTJshSW7Jxn3bE3r4x8 1f1bYymnyNPglKjsE+JTCGJRExD5m5xpaOYSL+xjM6HegYkVsgbMpWseQJmec3E91Or3ZcZizEbX EHzgdIznl32p+nJk69PZGcNMHP7cbUei9fXBEWAObvP7dFYx2kGs7r5vj//jVdmBeUlhBSZmmFKo VYM30X/glvMBAhx6G1j5Axr9GDits8QdmfRCMcxXdssfjsdg1IQrxQtPd5xdmiBiCW223swzkBk5 jtCItCI6+SuBgOl/WI0HdR1qwGwDbNqpk8iwwTx5sV2HxLZot1ORcf/Yk8To4xLmK5ZmVCu9wcVF ewJezvIvuG5ci2m4Ak8vh43Tmft1bKzHFcsDxeXE8Eu5o5wDPL+OsAW5ptNAVsgch5jtlJ4Xzjn1 Fdvd+VUj0bIvCdKkk96Osll46q5kvZDVNJG/zt6xV8qU9jdJ+fz8Ue9AClW/Sg7kyPxUF174JcX0 XWdKE0tdDHuVUlfSwtrk25wnBcmgf4TxGQdZ+vdx/KAifkFfor94xtYuMFiRNaMJe4xBQF9PTPK7 tDimO4OuD/PZS36DfC8+8SIs7hEHYzADXfEsGL/It39KARF3up2hG3egM44yUC+KM1qiUnsWzDxC vHsKszcHbZuWekqRC9oh4enYMddugs1579WWYJkaB5eO4+7bnuDYQHNzIlyZXPqstU8Wea5h97Yj 5kuSwboJ/FMZoveO3kXCoT6HYMwioYjXZlK4hULtj0ihKFGrOV0wMUby7GLUTTA+J3r+afFBjUHN 61xU7aB6mh0zdQmlmzFkMWVHhKfsYYGH0g+TfYODb97e4vdJmMLmEKyJKQG8je/z+dec6ySMpfKV xK3ZzEIpuPo1/rMenzco1AnZl6gDqtAEvGvPS9yoHOKdDvRVMQhCaUWsgR2Eey62N5dWqUkupqXF CXoXJ7r/A9VTZN9r01PoeLruLFrBSA9LwgC21/dn0MnMnDZZMUzHG+8qKiVoGNoswZCXWaaV2aFf LMwtkBa5DW6q27RDEB645ARE+zjo7w3UfvxDzVmq2kmwvdEzJo9kC0mKULMbaELNT3xZ0N5RT/NX F2bUMi9UvhKJOg70yYZTCWPEIuKFINmO+HPZa8JdwATny4jX0et6ungZNg7IOKuQ5uN2vHNDy2o5 imjqlG2CxTNwrtwszJGsqY3f2vy9tXYizZePD5F9Ngco9woPwbz9XjB0f3pYaU2ENxkMo8MiZWy8 8+p0tCSlDC83CkuTek4UEzop9jnrNknf9UwgXrjRQtt51xw5TOK2VvrcELWViC9QVdaXjy+MkyYv Fp+/V7xq1vRwA8wZYxIG6RKacnKQpxJPR9kmxIIGdViP/R5SmOwwymDhf/7qNZBzBcuQFiFqi+Wu HVa/dwLVhT4kL7pmTQ9hu+E0lU60bm47howEZ+EUrj3bD7WVj+5jIQYbr+F7NcHeTe7dgR9DVwAR SrAz+KzXZO00SmnHZ3b2N1Sk7pQVX/9HfSI4CCuksFNxPjENcrighFgd1omWrZG1YxXalq8uvSnf gKcj5VkE0YVYUw6OuShVmqOvmXqNfSp92TCio/m1vPPrNspfk7bJSlID3TnhZ6p3hCSrU/6Ed8LR 5MrHbZWWGPBgZ3IAYE+B2ZE092hpiUfAHc6oxKiadEzqpfwoEWAolRsrjCtxswGAX2ZrJ5rwDBSv u8zR6KAEfks96OAMF4mp/JKc1Zr/MsY/XiO7/BdaTh4tl9wX89swiu3wJf0cKqNRfJKyCKLB7htH wItaCJCjRximmLAXa57aYPMbezOwCE7n3P4M4myhw83skERK6V/7cCs+LV+4hAktbl61A10LMQOC D6P6nu8TaaEXkgpTxsfzf7QBh54xSwzAqstEq3qIssLNv36AJtDhR80y8kSp+NggV+BFPQc3iSbg eszgzYg+4zZBwHP67CtyvA4lvMoCXmFh/UE3uZRPFmfi1Kpl5DG26FFr9XoyufkhnsfPf2YaFvhm QMSgGrvgr5Rawh5LkLKmPVqOSKCqy6BP+ifYUu0IePSDBKVTRqqMiOvf43QXVgrlI44rV0B603iS +WYPrX0f1MmHmXmN1nhK1pD1adZ48DFdqFABtVX/CuImXlghtS5al0yiwA/otJhqHBVo5wWUZ4wV nbLAbYA4fY2xBER56Y7krB9Y6J66PAxfVkLbCrKTDdsr0ScBP7ZHYF+MjNV20stda6QwUQkv+X+9 o8bl7CBL3r58/SPPSVzbvsI2rftlEs/52L4pdCC5U/bUJsgzjeK8xMOyWB9pWZibHTf10VCfo/M5 gtCgFJiSs7CLn/5CZnKRo1p7SRO6n2x1ylzju5k4jW+bfMY06B0ZCRB2RUZg50lc5HDfFFnocajR Y2tSTolwzaR+TgsmxjnpxA4uujczpHSyWvrx+OLXLn7JQwwM+iwUkGgxE0j/SfJ/B8zUOV0I3gce cVyn3jrtwIUsfu1upK2ba/+sHiCfvxurtVe2IBLlaUGvXxMK4ACPrT22vDbQGScezTPTwfJiHDmV GZnZNxc+s8w0vVGcid6RegX0inonf3hP/v8Ye8uHqKJGkuV6MQIdX+aa39Ns8ILIOYOybhjf6SR5 0Db3uYROK2xbR/7vfDTsQe0O++E8ZHT3c9Ef0RXssPIGS5rAhB7zzw0YqMIFMdcHTJdzxvxPZgRp THjQx6Vthmc9Al+LVUtdJvedi5AIhDer53LaAaULaUGZpFh2LXqS44uAVZDkxIFIlEfIIKF2EGa5 vvMcziizxacBsxRBpjoUPXb1cYjRVK4Coe07mV/ULu4Qi9g0PQOxIb36wnptB1+CcE1hJPllEzuq w5UCsKo7I67xk3P/OTlRRir72mehTKOuUyNl1TcxOg1906REDC02dCeSmpMfG6RDiQtTBl7O2GWw VDDsyiBWo+DsRwJOn6HQcGoOHw6KbwamH0ocytf2h2wMw1PnDii4dktykkFsYHnEf3nlvKS+8kxN hq9boCqE5WtvA4yKssqbl4swTnwARSjHkfiW5G49PXxPeuG2F50RzLYEhkU9lARObvYMIWwLn/5Y cAbcEDb6zHs1GQawsxiA/CLvVHXzMhFpO9BIhQ29gTqKRGEKbtkOZmIHJSkTCRAO6pcduuSz2chr cmQ8EBPTuu62/aO0IUgS0ClmJe1HS5Q/G+6s6Yo9Zei4svC8WAoQPE14j8S3iO2aBKQKrycsdclX AMYTd6GDVNGiIWfHtguYEFXJQ1axJdy37u+5QVPbA033hSfl0YjJUiOUeLbIRTIe6wv7rpH2tq0V Fj+UmjAL0BLItlnXm6zhcoUm47J3StU1QP6urD6wtvfoEo93GTAV5O0na/G2lk8SUfkjA2h+HWDe VdsyIBwADFEvLUCMbw7vO5HFZWL2IVRG/jJDoY8aSQDXCyf6RVm5y3KI5lUxdsoOI9CIOQISI4O6 0Hm15uWWcx2aWZHQ19bgna3XHQfvqpbh/9H0T9Gv3QFZvqGbuzVgJ9D0yGwjpyYXV8W4n+n6cEWH y6AGaoYCnX5rSU7s8amY4mNGTexJni9rCt3Fpi0hjtnbQNHCXV9+E8HWYn+Z6hmBwcdO8x9DcxVg 7zhGI8dp7LAHDASiqa/phLN/9V25ZbyjxWHQsK1frvBsuZ7P6BHhe5wClsgrLVJcMM/T0M5xZWvf as3nTf2WGZs/7V33GoPWRXbHlhssXaik0RAxntm9DxGTAnJAi9LWV51UUM4frWzW2MnU4AKZJ7Et jKLr9UmQLUszvK5wMue9htzu5pmQpg6eyJe/baS3GzwseWjSGS4eF+iVl2PfnBQPaRzgCQ5FKGT2 yh2U1IpM4uDpr0lsJfCFblLwcO1o6HsN0odsbjevNMP1FG08m+Osjic8qpowuDOcTrwESG2cKxQW X/P/ymPtiTvCpKih2e4Un6efI2qFELaDQHtXlcQssZS4NLBTp3Pj3R4828yV4Yv5NApzIKKiiCQh 7giMnHoSwOSeOdAsVdDXPqPQR6jmy9KEcuL/j3PrUIidNSko4/10IDzdomeqW5sEFCXHy9quJOL+ iRPXNv8ZLkoJaT9OpB6Qe7Lh5jybPD1XP1T0s7SY5XWzxeCw0PaCYsRiMbY1/5NRJ8FXJL+yQok0 fuvHRC7pUqcUvWhJCeB3/CKZDNg/7q4PG6WNEW35ExEry/R2nn2RmZTyB0JZWjOedzLXC54hqX0P a0u5ThG3VaIooI/BraO9wk9bD/Qe2kZ5faG5Cavvet+c+THLxwsL83S0r6PQpflzLQDl3va05BHX gF1rsPo5BosEAKgv5GzUO8q3XxbeRjYa95iW/JlrKw11sibRVGzB0hUQSVip9EKpLDdHv74DbXsl aqm6FfpsGTnOvnTQoK1CpyBjQb1oQVSVU4Csf1HSS0odWj2UO9IzMVcd8mkxYKH9Zm9q7kkwMLmv dgIN/ArdlgnE1+odJAZcjt+dp1JPsF74t3l4d9tVymz9WpO1iYxuMlBRoyI/t+MTpzNmsfDj8MUW 8hrHV8X8JsZ6M6JFr34dAPDhVXCA6zNRieL4DzI/ymLTJWhS+SDBmbE1cugdfuhGbTyxwLWk4zI2 ro1AEt71BhjmGtcWyuyN+IKxSfqkIftAo1qhESmzXG1zLTrovOEcWEcRkKkFzD4PWsCKuY1lhgDh 2TiMYZWoQEWNQyhS7O75ls2rScB8j4W1/EYcp7v6kuN5stlNEkIBooNHidFTI/y9f5YGXxF6sNqc OXkKuG2kbOu5VuUmJH1a7ZabyFsup2nWQwDplLL+xyNz9FTR2n0AZuoL3XEB6eFJr4pQdH9cjJwl 3zaA2Z205QDDdLCi+ac14BX3RAbyx3UASMeR7XkAYHfMAIUGCNKMgBUYILphZyIKSQhNeE2EH5VB gBwVSLWTUGCHJ/tjMisPfP7QeV6J3eo/yvMQVGJPcZpXDIgR6nRuRzZMe6H5UJ+zxS2vNi2ooKov AexeXmThSxtHQsiBNjwvzP/zQ5euqVizkMWMOi9DFTz6MJaNYK5oCVGdegkns/o7y0pTuPs2FGDf 0SGMU2RMS9oG43YNa2tUIRBUImkKry/nWAe6GJKdg+d1WpdFbSEnm6oTLX22EIhFN8SCPUN9+Aq3 z9FtGExPwGhHa4prKZFUFwMpCRtPtsVPn7YlaLYpKjPMds2IjAFpqQ1o405neLHH8BT5zlJwh0nI gmqnzfGwGcxoCR2+gkprKJdZnunDZtI7EaZ3EJIEfPMQTzwUU+zMtfmNIvQ/l0LvlWrmeJ+5Ml8z z7QnZVfsSNKysHDGf5eiR4Z/SyoGKM2MpZTugpWoIIwU/UcDA7oyl9iwPCC5t8T5lcNstsvcCfs9 jW0FTWSePVtbi2KABc5dfilftpji7rprOVV6sekGfJC9ctHUo7rRpd7Vrf9fjsqJCp4kngeaNNxa ZxI5ZxztcISn8gyTzrrpAhnP5malitNliCSUovWdU+r/ym4y1jFCT1oA4vcZ+qdZ+UkSJz4KcpUm YMRuCMiOOrOxNVgZlfZgc0iFtdSCB57gqUU+yP+GZFTVCZ6UgQZc4Gqhr44ujGZnQqWWCOzmp83F HO8LURJDYd68Q3FBdx/4CK1eUJ433sG0xTvFJtNYKd7rZ5u1Rqid0MPWLX/Qehp+u/VWQI9u4ItS xR12eZCXtutxMag9pJJid9Xil7FXGqQ6mMNu5lGrN+dzhu3zV98BD1BPfaqQ0gre5LAcm9CRXbpO e0IasdACeYgBcgnecPsCH2e4s1lxF5n2W96cRinfF2mTOoBzfntg285Oa+VntfsJLCUwBC8MmPZ5 zSSjp0zYynJGY+x7jLkh+4/3ZCxSgoJSIF6nILj1Qxj8pFhhqeeibh0z4hW1B87HWk8pCmSvItVX D55QPRu6p89cqYZv3MlbteasQd41VnipRoOqxNONDowLBSjIB/PPqtJr/4rL8mNUiRZ/qg+5H8vY 0kTZnK29Bv5mpxrI90pFkx0MpxrORjFuBaLZwpOyFbCj7GWk/ReDq2MvbJVKEQJ/EJbgk4adNww1 6xcH77CoWYygkWsBfk2Vu4Wf9ncU3/RGH6Q0j7kLSMI+YRa38sPiwHNBSXPv6K2COrldVi8szQLj ggw1uo2z4trHeMvR58XjaKhWlEA2nUBidPjfl4OIbEjqRciAoP/oY6IsBf17DFDy/wLN1QOvuVp1 tC0tq/qr2TbkllhkqQgjicrAiY7lXTizf5iYtuHM6dgsXp4hxi45q9KFw9xllSc1hA+LS1+Daj32 VKYXDMHj9LSQpAMeiv09vSTABJNawPoN4vpvz4tKyWtmeMjv8h2UERrRLmMsCgCEoKty1ci+mGKD 6VeSqcOLh4YFovmEcT2L0ip2MqR3PO0UPcqJUeAlmMkRPVzsWt8A3tK8YV5KI9WolcniYqJOs6L6 gi5nALG1yvH2xCrNwYFn+RwGHdHhJNM1UZaFa1gxay3lUwGZUyhWEWvUjGBrdUdMN67urIKRL5ph Frbp+NYKU2LFovuAR1uIgoTyE+yTR4H6f+W5dSzIo9cOfaoZihlQe/NJml0cYCAlPN2bwpahynm7 5yvZ4xfX9EHgt3OzqZkko4lP4UKC267bDY1zIWyV9esFS+PHC7uvZ8l3KQS+kAfEmUhoZo4yCjtb vf5PHZu6w28DzhzGZITU1P/OeT+UNt6rsJL8KAJC39lyH2rK4QpdEZWDJPMbSfLLWPQhiQrpod9Q bJ5RukjLCxh6ndc72TAZUmlGqXTuklP9UPg1HQEcpJHmEuVd9zEofCFfqhgaBFPLkFSpGbA62CRe lkW/xXQZFxn3cuHzYJSmPwZtvXrpQnbrKW0/0lj/1Snrpz7QjZa3w6ntPeDvZOvZ/mG0DDiU0bS0 nEvjUFo6wak0bd5qfP7Z/EN0xgsjZ1r0cxzHKg5BdmBF6sSdIelH6ggThxvhsrsrLmo0Mv0h4aY+ Q3JcOlt2WwfVANPXOjfyMzAVgL3l9ERKND7VZjCIU5XvmIBckTvslcNxIRj1vWga/61wlHtid3Km HzYIrNJzDHwje5WVAQf2WcIkeKG/gRWVj59h9vRiLWn5KimzzN7585Ve/dvtDRHWYoA8T4BQHGH2 J3J+WnYZ5+ql5dewnztipsFniNFfulBnb7ofzG8TTjh+Qf6pg/QaxMAGVGnn7M8LN8XsR+gNnsTZ SOwQXlcLjiwq8pNsbLONymYSMP5J/CQwH79suZO9/RuiZhO/h/jDUDmUQw5D2Y6THMAbMOD1+biN tTMV3433WU2p3p7g+nsdEJ+NohayLfhUtUmTZ64WWiR1kRM+pw5Z69RBJkJeAHpXDVX1c5kfKNQ6 HLxtupXrM2dX5+1Jci02ei26/yhIPzg8F4frlREZqxl1CKSLzwwH1vF07nM8xwn+0oLqj/547OlU nLkKB+3AHoiFId74rkEGakZrUL5Jk6b5y/dTND/kDghwGPX1shcO2ImcRFe9axTvlF1r+AyC0rYj Y7E2nrZeEE7iZ94Mrl+0NlLomvQN8deaxtr/XzUyHLz7TTt4aPhlEk/nBZ3AI4UsB3adeelU/tOb U2s+LLLqn9jRCTGRITNN0zZWR7ai5CB0KGlTVhSgafvuySPj+YQDm6G15fnIe+p52q3JaBEBywqP YOA8vUZg1/NczOuQ++5wBvj3+/frSvPKIOKAlVP7TaI5cZqNbMTWX30+dFiNMQzlyLVVU/4ETpKf o5QyI5wDIry/DIaPcs1jD+m6CrwF9G5KmjeUumYeJqrh+KAcYxWN1GotNLgy5FMRPaXkKMhb3ZB3 WzJzUPvC6r+EGV5wQbT9YXtEqtJJAb5mL3Sicce/VsYFRpTklZmHGbIpTGDYwvO5jzpxGFEYdS7S Jfm8PqZCy8xkzhnp1x+pJJBS6G31lJgMYCNNNzrB531IBab2i2B22OOkD7CZazTliy6qQxJUQWnM JrmGGHIli26ytMQXarngrza0vFzhU8W8MmAHggF+v2xtqhnvo/5GV/JzpqKU7OQ3WfxEE9HbDF7m UPqPALHEqTvVzFKGfzMUX0wOA+6bZtzy/O4/hYCbg8VR/FGtMd+GB6G2E1jYSTZzzifIfAuIloDi Tmlz+atmq33gTiYvT0kRcEt3eZS1JPzL4J2pPq63Zq41YuYYQfxkpmae+3dIfbisKl0ZApmRYYyJ 1lhX2tHsafde3aPHThkAwLqZ2b3+EsNKSLUNZtd7AYr2qXdu1fCajIMMy4k4B0zn5STYB1Jxv7qz fOOI/bE1QjeQiQgn3Hq7RXba6p76MOJ5VUNqEgCOMgihDbTcDNlG5G5MwuC2AbXmgHhKIaPDv8kd XQXKCtZnaeSftjfijT4fbftkM4EoQcS5Pa6ApDX8qzt0T0/gdkeo24i3bOeQA90bdJJxiit3CEKI 6M2K5GzqfoWhKOLBwpqXb+BUXL9fkHVkTDC7HrQhJdBNmXFpfUwVEAjc4RTZyYKNyWWSBIH8K+St WC8h1dwLGJPOF2zemUMG7eAxeA6bIVU5IiInM59s8DnF5gh0v82MUFQzcDhqjw6+ixH8WtRlX07O lStXW8xRh1oh20Yac5b3msFx5oJ4QKR0LDE/65OvHrFjj6+//o9YemeE+Dk9EC+qkLBwTuI8uWXY JmZ7FQ57z4uFwp93CdYeHUZVvkdhUcaNUUPKFT6jY+zSmHl6ntAvmDWjeFRME3lNnZ0TIXIs9RmG CH6a7jcvizN3yyhlr8GPheW2c50SSpAsk/gYCRXY9opGOO2zBIfLxNET2jTKZDZsIo7WXDigICMl VYZ3GwaCntj+FiIoYl85s8N/a6f3CXBVxlDqnI2HCvDupmS+v4ASS+vnDKNVyuytubTdHVri6PVi qSOwamvGFBB6gaE6JMKj9NMeDiQykLGoz4r38+FYrrbZGrVfjsPI1HjM4wBbSiMpMM7b+gcfCYKJ 3WiWExpZ0wMcerKWePqJ+zm8T86E5CUlNvUQQtOwLuP0qZ++suCQlYHIxTczZnXRa1ngXNsRXFjY FFYKDDhm1+ym3Lm8saV7pMM3M+cf3MHfB9DzfQBCbHngtfru/jD7J7fjr+LzCnTZOtBpVDjOrRAa Wf4jey2WvZNK3AkufFHAnnubrwPgSN5lCaDp+kIYiVBS0SFTsC5aL+NXqWEGfRDaXbGfM2ykIoTv fHrsXseRpcDIUMeO29ii0KHv3KoEeZGHiDeq6F2Ckjxg2wkovp2tMroBA3Y0nkmjX7xZ7eHm1bb9 +e5InihIIcPv6gz7eyrDlxTrX5CwDR5ALppzw+ExrPhaZetVYbjBitKq3hb8FvM2XyLX3PXFiV7R my2psug9ztZUGmHfGkDPQjyrIqh2WdK84dbSRSusQjBVPLPI9GvKS6cpez6IxRFyFD2NrtWJdY90 jBNgJYZZUpUGAhZTCbr9MgGWJJyej0tF9tBZTKt0DV3582G9MEOdOCNKsrs/d9vxwZj6xVmLhCYr Jv3TbVykX/pYGBrq/dLgYfX6MT+Z1tahXpJPnFkd7QcDg5Z3qMglbLTbA2GZfKaQ8QMH+mClz5fX 6aN4zfMSzhrppH7YXVqnZNxGMapL6eM1vwi7StL7TFmuao7+MXo/bMypvlzROL3g06FJzhYf1yBg wRSQ6PcrkZJQESq4oE5W/pTxcngVViPNU9/zPVwi+YtYupcIJIJyICP347e5d3fO9LkFcbo+BsoF CQ7zNP46kfBuPfZvxUHz8GqjoN2W2WqfBTWTlKImWhGGVO4ASVcF0df3eZmMHP7+og89G/eeU8rf v0U3YWXbkkqOnL+JjLO28wCSILMZ1fzsZctxm0+HEfgG0W907KTM3ocTYMlTYco99GwyK1gp4ejd CloygqUvOP+8/QFuARLVii7sebP6/MVqqsJzGkTG+cwJF3+xJj+CRaRpkv0GQist692esLCXIPlS OXyyLGnx0uzKEd5P4AtE8JLfGmaBBHDgBV7hD6SJwTx86Z7GwJNCV+rulSdncmzucXqJgmSafnT8 3hNSJa9HA1YeCvuxIDSW7a+VbkycjKt3npBR10SUbluuwjpy+2RZfXVqTfoePyEMDsuPECNTClpq ByNZA5y/W5Bm9pEMvj6iyMITJSG5wMKANqh/PJLfKN7bFd4pMrbPmAJavuOAmWmapQ24pr+Q/Jqu JdJlDy55uxEe0it2/6Sbn3ohAv2Vy7O+f/aO/iO/afI+Bbx7gcnzsVF2kasidhGrW8ganEMmW6sF Cha8UzD0yYv1EcXJg02MXODVoQH4u1QbhMBdWCO9roT4FFN3KJw2KeRcZJmVr5gxtM/hB5NXLIpF p/OmLR0cKeHtLF7aWV+zRCb2xDUmxDukTSVvnCR2bVGA8dIM6m0T7ZlV/NSTTPdIG3tUAK4mco8l G6a90h27gcvLoMNkir1P33pJ8EAVTlujgHfl2JXYXsrG2Ilr346zjnUkrpJTGg6cHObRhA0A7wl7 E6VCQVO8xTapq2PAfjK7cxkPFS1VheoFpD83eTSuHPefSok3XkGaZvxZmVpuJwrJzPtK+Oo1BCCR RdtkdDXrmj1c+giwAuOX7Udae+EsDTdN6qKrGIjyHkjovI15MyD38cI357wAkQ1wuaf01AZ2Ht4s z77riYff83+kXjWDeuLG+GFC2l0RDs5dQLhywbtbAKzSD31INNSa91DCow133VU186ThsKEyIZTt X3s9rg40WGZ+Bc48AxN+5oIgypLQkQtPxhliflm/fzVRD/fpARej1jYyCU+86197SBYCq5fu+PfK Cg/VUn3DFGSbNummxM3R4LJWgCtDZJQ4zUtBFjU1ltmryiooTheFPAlXiblG4r0Q017JG2CLGy9e ibCQ1Au0mSIXPQhnZB9XzBRRU2Wqa5J3BwtfE5zpjdfrXBbfTUro0+pHXqvVYZHTYNgvAmu49xz4 MdaXUYGuakgvQRy64Q7IpLUeZ/UNB50bdODv+eUP1QaXDTfGGQXjkpQnxg7qZ+CvWjI1FBYJTw+f cEZVPFgMDr6vRytDz+rAeTRoKpkTpd2zihgUotcLf+/B/qIZ5r4ebGaWRu8rvMB5gOhS89QY113e YseeByLrUAbTzNCLk/d6c7KJ0Q9blStNkFdUDCGpJo5WdRHWRF1ZyFQVvbyK8/p6yFTeo7NxOtIN DvxzmwRSWiHKOHQEMbOdb2HYmAwoiy9Iot3vSboXxLNpDZDTefqpFZYypRvBJDabVaBP9kEzkgQv SMRzkuqm/TBM4uixXXC0+R9LJduCFVS5waPLRSDOk4gNTQ3vrqyqQkUFh5XePt1NuL7YFfZ3HImV 6esODfEDTSYg9mMpqFZHCpKnnfUHUJMEIcxkbu8edZ8XnFzRIpafOtbwD9/w01zTRoTa2D3vGRYV BDLWafumE1U66NpinClMPs4Ms76iqwvgCa9+vo1EvHluSahmDr+poRmSxJdKEFG59GOS935GS9fq GlBnSwdl9e537vE7CBu7hYZdaaS6GXBDu0OiuLxlNsYe5gwrz4hH6FnciWXHyvXy2DbJoOoTyVIs p7EXenp8RFi6zjmJ4XY394er7jl147xxGbjOmpdrT8dTRGhazQWMIlGKnlZF4AloYaGVZImYskSe akLCNK8dqyEcUUIQOaGRyssyV/y1BvT0RB5v3nMCg8PwQQ/FNAGrjODOTORMoaG10v0K1QJPvuhY m98GRVqimMBD8hLPelF+4Lj7JVyQh/t36IRIrzv/4EKI9sV25+CQm96uRD7JuX/6tzs2Z+if02iO E2lImWY6IGSH0AeTLEz4s1bgm3g2uQ1EGu6N+6kLhbR5TpNAHLetlHZpCrYmGVUcPOwlAVdixt3C +wEzshNoPCnnMcKJAIqO6EoPhfLIQpWasWvpj0UQfUujBlqUF8kLoz00u2Nhfoh1toAWKcN04IjV LFvwv1YfCDi2BUjB6ISFnEiHerLH86jrmDNpLQX2G3Au4ZoOmXcBke5HdDYRBwCGMbsSdK1Rf4yK EDdU3KuOipmJx76KLuiLotT1KhXr9UdMidD0Uis+66SAl/99e+ZRYNwcMPTmnCtP/nM1UAA/PsqQ OGZ9bFzEmcit4hsO6AZ6nppG/ywStE68XYoSAsDTzE5vz3oJKXSmMPYwM7WywMuckgPQLAYUzU8U kM+1PLzTVXLmbWyEFFpEK4OUEXLs/RQPWRIkAALYFqN2fghc7+8TkprBuJtTYha7X9eb0z9rKAw/ fk4zrBMJomYRSnAh/9QrN7jyr8yyW1PctjI6VYg0qTkumD49GxkntRw6OR8H5zUmJ7dBYZjY4giz eILlF9zeH+/DMznWEqj3fD9QTQcJkma+ttaVA0k7rtuWkI2hX4vRJq1FE6ZPBRtTSufLaRMZJiCH CwKrsXPAdZ+0dxTffuJE15muODUXMtkeB4Kq09f92P8vEB03qllx1dv4bG6hFW42KWqpM6hpBlum 3ng7+JO7/Ku3CgJ88z0ZnGkiL7tIR8tK7qOY2xJ1uqELZBRPl/xPzt7NhJ4jUJTa/crlWUdQTvQ4 Id/JM8zjUUXQzfFglxPPByR/o4DuOxWXXc1XAwOVg2aAHAKIBtibVJXzn11rc6arEYgd5l6591Cb t/2XKZ/xE8zQuQfcAx5EtdkQ2dQvMTNwiGoF2muHm7WVuDxcwhDegpcm93deRJN2xLKMtal7JJsi QsdU+CdhbmYJkX/3P9GTrpA8WR8vVCMlzeoSO+VIBJXnGEiINzjftdYWx4Vwsb8hcV21hIMZ8RAv pRmiDIkHNOTILEIJEAkx/szRcVSL3rzeerUeHmP5d3Z+BEAqQIR6ePL37QAnb62uqQKOJuQv7BHS quHhHzkJJG2bcU0R++ps65sLUkLzvhodcvIoB8klY5lzSq6sWTtsM7qjG1PF7ca6yDPF/+mf0dRt Ca3fBQCxWjMmmq8ybpoojFupwtlzUM+hnrij1YnKjbh3z2+QO3atyIflv7u4n5RBXEgU9M3p+FzO iQuPJzK4GR57GoWbqeHLYM8r4Pct5k2lejnNtLE4Ron2jgGTCrCDkQrfvY6AJvw4a6+qh+qOIlEW VrwyAN46l1P4a1Mi2LAldavjG/x8k29ecuK86QL6GtAzACCrh0yG0Z3bKNCVN4etLks+IQ+qbtA0 GCfL1q9TvQplLC3A/J1GArAjQY7AUINr5yTdyVBTepToOGqkNzSHGriES91ItwqmnLuKkehnd2+R uzJfm3vn8kXs7iRW7hj17wVzaOCGxvUAEm+ykcgXNkzNz+y0H78Bz9SrNnIZFRh5G0SOOU4GvChM u92hcr2wZjhs0g8tpUrTolNYN1EPSijTcb8NUkGsqsBQ/ajjLub7jk2Ukb7Kn2NNnR/3MAXaeHv9 B4mcUYJ/78RRZ9mgbdZnRJ2beX1/9iwfdajoMqhuQrXI8LkfIaCmQYij+NJaGRAIcTaqGc2zpvCP xko4HHLDYkRxS8LRmFQQCZqLwpqaox75RtU1bercZvn7xM7XjlbrFcAc7qe70aE7XXFdZvs1ZMrB W3kvNVQFsrMRH2ZERd2xHdsFiEypney1PNDnIzuCrGWbcQncfo2vQ4pFXFLVOQ4uG4MM8iZrGYpr PGOWWfh33bus9hYXdaugzyqdnjNCP5YwLeSLq77Zw1ARbWy2DJR/We4MmPfnjxSyO+TPvOySe42N yZlL1/iPWR6CL/5VgdmhEaIOA03Clq47ZZX/qGGQMmG+fooM2tAfXP4Xx4nAy1oZ5Lbo3fWDCb1g zW5toddFBaOW6oVPmINJQzEPU1SOliMKwsCiGgeVlKDPBEu1eSDi62GZmDVD+KEKi2gG1CU4RmFO Iwq1Wz2DkJUNMzcjaPt1nZwFqDZ9ukDUQ1cdiMMdH6s+9keGd9T5ldktSYLjFKiSz15KNfpJA9Jr eftazva9X6XxtLuLD1Vjtc0NOFA4evJB3u/Q+MlRcLjwVMciE4z2wFll+5e5FmefsfV30JNtqj9W raYNEm64bebaNPKR5oqToezxKm6/GwumPCEh7hyze773AxR6fkUMHotmhsBYL61PRfjlUSMHb7EL /3wx++wToISQTXFjLOwrxAe5A6CloMmmpYS52rkB9cxPi0baV9uE/6x/5lA0Coz7wHLJmZlc4CJT z9elQtFLkzH8oq9qq7Np1GG6AdDWEGU32ejEDeZ2jsWb7zqF+ryDlIT49eIwQ6ystWfN+pxPWhcL v22H5lHR/yIOmz/2fvcwSVdkX5N/ONFeYUzk4hPLYUptcnPpfITufd0aPKOOaMuVKpBG2q0w7pld GSUCXf5NzpLlYCFKxX8Z5p0a5aHC9H2mBwybZydhw7bP9+uxdPYJnXUoD8U/7Xye/UbpkjRG5W93 G0YbT7gY7xtg0Pe+Dcb9UMfNu1aebh7xNyO1Lmog95sfXKwACvBoL/uLzsXRLqMhVFv551Y+Nr5/ GdpaogjEZlZWpc7mLMoOam8SwkIZxWt8UQd6aR4MMFaJzfgwn9wGTyR+1xO4TWjUqrKOdMwORP+E NMJY/U7Pd22+PfSOMWOBb/GFRO935ekB9rGc796aJY6Rq23ClXt76a+1kT8r90iE1vZXBdLOmoRN zcEMhrRl9ErUvZ7+NGGDM7hxNiSIi2kxOInyepoApKqpHqLJWysEA8lRsIkt4ibH9VYjc6GVtxf0 pahYwanYtNC4pCpfbXGx+utFdq/2ZI1OoCC1/L1UImzVnRKnD2H45YBauTeeUEyINGo4l6PTXrcz OnDxrzq9QqJWyU0L6vYKIE3pr6r9DZEX7ML4uWPkw7HmY9OewwFEa2XXqc5W9sLZ3+FCmhOMTtCw pIqz8OGGc7fdnE+mxuq8v7byw5ymMrkLZXgtNAJhLGe3NAk/Jcl29Bmm2vpdFrd8n3CoPOzhLHNe +BpaVV2MkitLwsSkpY2XsYmtt4OMDwiyhVGqipPobmAPeaUkX+QZ8QBh98ssq8pYVvCGZxrxMQyO 4YhMM/CHvhLiQ+qD5syCPpbZ3klA4CbQj2GTQSAqxgiRdkU1EdYNxjKBhBy58Xt75ZKDJcget1yL A3BYP7LZxU6hnEfIVgTJEP1FH1tyqBnOuCGpg6wTxp2XINls6Eu+OOGps/rNVUkQQ6FKkBW0f+QN r8AJznZhcMZ9RGMyMrRTvtUGaWqL+pCw8FR1AWBHKUygiPFhF5V1dnKC8K+M8r8yMhqRPVQKgWMr /ECBd/dePa6JYi/8ZeVsNlI3QxVON9RwqvEH1vAX4OK/Q2uNLSc9ueyh9wc/qhFj2Cxo9G3W7DeI 6yOnzFyXcVwmjZZrl8pR7knQ+79ANtD5N66dB+S6H+sWav/sD/MNvHsf7xdNitUOK5kbxy9cnCpo xkxKS14fpanHBvso+R6e8SpsxviKsTYz6CKcKDNZq+GQ28fs927G9DZpCJ4ND0aNlqUM2qkmtTQI DMU+r82xEyZqtxj0WYylVlGD2qz9cEZD/+9Ci/cSLXL/Nf7UyPaGInpCCUCRwp736RyrM0/2dW8a Kezlqa7xDAPcbUVeZaNffNQqsIO0p1kz+EYcFE6p3lGVFbDaepsCH0n3q+9X4UK1jS19v7eY9lmF rVM8PIgbyzmLLpNIRZEg/3ZyRtaQZyHSaOiNo9mO0UyytdmozH8oTzQIZYoN3LuqTDuIJLEigrC9 79YiFaPxRR8k9yisAyUcL2DHLO9xwfCFj7W5HcZd4sdxXol22UhplJ/0Qe3ji2FTfLyizO7IaIm9 oYxux1Xk1vd3wJs5Tc/jPiSRTA4lcPdrnS82qjGfIsWaUUx7c80J5LaqeGe+/dwfD9RZXQaWsX4O xZ8YFMq6LWGj7u+Ayy74j9cufT9efycXlfOdwtz97t959cbnczQ64ZHaHQ2eQSeXGOK0/Q6MR07l q+dRC/SPRnPVwczyVUSKYGECq5VAV6qq49Zrs/r9kRSXY6x1/EQoxLBiA2XpmtnFo2fAiF7ou9+9 bvvOGjUB2mp2lvEzJ/jj0YYls+flwAreko9yg+bPO8+WcXYxqVdzMUepVSDP0bkTVnuwwQ6UPjNG OO6Iaem9tLSDNQCaj67nZY3Jspd9oxONNgI9od1wWZxrQSSRNcu4ZC9oar6rvJCAaXeu93luC3/4 vZGV89JD6Ihxc15yQV6x2d9w8DnEOl5+dPADxbEf/ysrUQJAO7jqFHbQ548Ngo674C/b9KMzsyIt ysuVpE8g/Y0eNOETJHbnCIiHgV8TSW/M/R3uvGjGmcSKQa5PLyuzlu36JpJy6+sgTaZE52Yh2QW6 lzYpfY1PZuezLO+K5ScjNQ4kR0LG+si4mpqWG+0noetpQRLi81nogxDUFzinLYKhYlHclst/b6pV oJ3oqFCG2GbWbpzeLCS6qqMvTtnMsQwCzuTlD5TkgSfGpguNYOeph4SZeq7YBW4HY0cR0KyPl33j NM/gfCODJ3jUDNoZsJpccQxdj1qU1bovsA0yEp+/WZF/lK/hh7hhm7z/Tc3gTIZz/p+bbDMglFpb SNStsAtpqiSpWFTPP+VxO9tC3cDOe3RvxoPwP2jxKDATc5kaqYJ3E8tFhR4wt8D3EYon4JinEyuz W4+Pg1wWUzh2ENWnnGyJd7Py/70m5IfoxfhzZjyDNb06WnXlzWKve263fG/U+a3dq4tllGXkAb4s sOJBrmdlQRLfHoXYijGc8/TfQdOEO328rvDHzETkc6Ncw0epogUHCZuZOkAmfTzA37YP1V7y84U/ c6LQwmQ0XF/tgWMe0fCFtDgzx66K8Pd5xm2lgC3X4KSV76AXQgvtBW/6ORVesP6J4E5NtzKSB1Dx Zlt9Zl6jjAgTr/SeygkYySpg9BJv0eK29mdHVk2l2FYBeE8Ub8/H6C604O5JqoHBkqvBCx+Q1xx3 FJdq4TrQqi1jiBe6aTCpHg771RaqachNDtk87lqLAwy4PNadg0fJvgXEePky6M28iGlXYcuFYmrO zupItbKG753pI7zV/+Ken1nuc3ML0vbj1hUHzwKf1X01m11xs8gBUbyCFOcInNofmW6KGMoftPRs sTpDuDwBpWVdobWlodhla3joL87vSvCtdyv4WHPkLuCalGBpZ8pf+a7N2fDa7QUR1tTWxx8mQp5k MCRJkE2DuPlI8PhuU9NasW/DPi6z0D4WQ5u4KMAFLP8FniEcgv9o5vCFo30S7JZkOjZTKll/1RUv HpVu7BLFI5ran/PJn2bdj03MTnDMiNSIv0xgwth4rMowkqthxczVKIcm1564YWcXawi/O6KKMUVP zSdlext6PqWAA6/HAikHFCO7kadvoqLEth0ue3pFlir8AwQWjisQq4Rj+zR0ht55Lgiv7W7bu75t uKnWvnSV63p77AVBW0R/B3h/2/2GjF2wEgpGahGp6jurMIj8rNgBljqAJq9yTgKPnBymvZ1TDTqq 4TDy27HSQA30atxjTZVuE4XUsqj0JqgoIL6OwuTOBY2I7bdJ9gJRfCRCKoGItNSY2hog4yuJBH+G sn40pt9cZTPw+79HTCpe0SYnTpXoMlcxXMot4pjhrsujWkDtMQyxshDRFp9SwfVC8wcuYKjo02nJ GHV82ipfxR1VFZULzyl3M23waIb3nYIFxhP7gZMWRSS5vFhUqemU/TzDGsec7/y5hNaaAUgTBN7f k+M2FjQ5nT3WdZ3vH9kDllf2sq8znB15SL6xY8MC46OE2v6amTuqAyB5FMTzosMKTE+5ktB1lxcQ q8bj6H7UDwVT5XOVVxa+zWT82QIflJd011wrElFhwp44ffU9G4CmrvZ45cuBE3YcBjIcC/cGZ2NX 0i+bg32RG8zilJP8R1XHjxZrnIml3Wzj8TjYl86LILhEwwabkmME5xgGbA7uwCuxNlUJW3u34iin jlsVuaxFocwFgApuY3K8+1OuStjz29U4IcsLdvBBZLa6JqCbt40E9HSMjaTVpvuTd3f/88ujzxg+ h1TKKCdtnixde7XFafwWu9RH3V1YROyv6KvuZIRTBhIVp2rNbC/kasj1iqzBGMRnSK+Ou6EP+F6L o7+/87gWozc4zLFsHRfbLr1f67FCm1tae06FI4v7bJzbuYl+cYkQ8LlhnkTwTicnj9m7YceK7HVf BTXjrf5IG5hVFeuRNowQUTciVVAuzgU2vcEyVnS1ZTtei/buJ66X+IkxB8kleanYXGrpf0Rc+Hne lFkvyU6R3MpnkmVyN6hU9Es3dkD20xcJxTqE+5vm9Z9Xf8mq9cHJ7YuOfxvN8ukATElROoKzCCr+ ysvwCUrWcuf2Cf9Q4W2801jjTtr3Q1RKUzdnTmIDA1o0S3WeurrJWyMxIqfk1e2EGA7L5glw3G/W Lm5uYYkFzPUzgxcioJgXkOndRVrBPBjnyqRoEsEboqz0JYKBU7rMopj0g4qreWWK+ZIi4WqzATrY wO9Fz973yB24n/JK7FStRu0ZN9pOcRg8GDEyBIvTgWkYGsqPl5c2pOQIaCEJd7TG4AR+DLhDLI6l u0Rkc6WjjsXeetaD+kiIM1GLrmTaZSnGSDxTzbabwHqy1HjumXMW1nNhvW53g+/xY265s9tbKbcg rYokKcw4pX5LfvR/ZBMEMouxSL2G9KDkNNDNIBhLzGr62E+dF0eJYlyFU2o+7k5rRIoK+vpXdknA nWAwZwDGQJ6LX6YQ82SqSxijm1O+i5dNZoEqpbA2DvHEzzPTSypVHIFQ9sjzFhdK+CBG7/c5O+Mi 5GhXQzREdaX4vjcTd5utM6nPJIC1+JCaM8xkFbDy2vgjUr3TSBVNgfTbQW+A5qFTOZALBMzRG0ie P1aPQjMJOeU7Yrl9WjZAZV4fGU3ZifnmxS/1+qJk8X4ecBbo5j4G3I8FmxGXOsf+b16Tl0Z0dfX+ jmd85/koEc3v44VUMNFMZLIBv+2FX7iJ98SGrsPKKEVFyxcmBFzxaDXKWmpKsoH+CwtEsCMSFPCC oamn22gsyNaSC3zhzMlRyx6yBqR0CXFefExPRfa51YXULIjY0ZMhUD844O8YaGWW30aSRU1Wem7Q xgI5X3pp7uRotlskOHCmWo6YUM9U+2R7NeMCMoRiuWcnOHGuaHut5F7owMViZ7qA56tOhfU53txQ ywxTsbvcKwokI50YK8179Qa1iQgxpgC0zmKkRR8uu890IWiAUSvO8g/avbiQk5dnFuLw+hrDoHiw 1wC1AZ09kXGaXWHvhOtvEIeFjYDrkq9Hq/ZzWQArdVB4EJgKA4FOAdmsjcCSMuwViER4PLq3uNfO EgWUIyIKPBmhTodBziAQnmK5962xu9oNMDseGlD+M4aQrRi3/tPKe0/y1LjPU7YREXgckdUlLyIA DJDsHueH4S0d6qWBIzd6ov3dlAcb1uBNrRU3PcJLrObrhbARNYw6/bGb9zXbledfIkBOENrCi7D7 eZaVfkWOGbYei2FzJp/XYSj4iDmr+DhFuVId5Uid4JdIIN6fxMZ1/Ck5hHLio1sqEtQwTrX/zzLw bt8HrcGTaEuh9npaA6GP/j9wpdGoMrxCBQxW4oxHiREAPkitJt8jUh81xjaGuNRlitPIk0AuWXfo IP/4qdQ7ir8yys4RGNpMCt5U+c9Nu2nXb/pk75Z/vGs6+0ZFL0hR4pjNDTFXd3BlwtgUHLHRHZcm Bo+AsKfLpsm6Hp4FypG0ME59JOPlwjGGOuVlhRK/ZFqy1VdmpdrFtWJmkQZbv6tgIhAqmD6kktpM 5FUvwpe24Ki8Z31peCsw+cSuKXlDhrwzKhovNdezi0/No7//Af33LlXwyQKWlExe+y0soVJ9nan8 I4DBvpOWKZ7YhU1nb6Yde+Li1r+Lmv9zkPIix99ORo3jMgG0wf5TDwkyFAt58L0H5geMbgI1imvS 8MuYXtoErxAY82QYdh1SaQwFzowSphC1tjoOhEZ0YONN64Ilvlh4xM3Mfygi9wkGFDfhPYJyZU03 zeWImwuR0pq6QP6FGngE7itnMw/aGnCGjVKGrYCOteuCPWwJbS6+bhe/gYEAd5afAqzSpsFuahBO c9mk1hrOERsagE/a/5KQsZwnOmXLEkHdBWmMfg2V/UVR0LwTM18Me6LQEedozQzeBDmn5dgHFZA9 iy9TOP9DEdYD+LamXgM6mzeV4pM6wP0pWasSgZMKB86t4o/30CwaGVGUGf/QPZ6ZKGB1Wv1Ao2YO X5mQBmC9CLNml2xefnJudAmfn5EPCn46v+hZdPMn91QY7X6T8xytOuZ3lHaPtOPd3PThfsLIDyhC IvoQRrPT0qNxPxOvWIJENY2mdwQXlbFLJa9JT+MGGreV8B3I9oABmorklTH2uRqugOWcSCj3WGWi wkEwxWi4sS5+/2APXRsXhmt9i/Kx6BD4be4nHKX8hx8sqZ/PUwDJqWi8adpAMHaLKfXPI0ipRNXQ QBGJ58iKw2zs38nuGwa6dkjnmgkA4CrZAWGxcJNYlEB5lwZx/y0tH4wZLICwonRdk13egrfk16mS /xosFgLQuFLk8he6uNME16nPzsEP8uS/jd43j8cEKPg1HZxI/mxx2vpu937htuROvIaEAn7EoGQa xZX1bAXzqdLXy0NnGG4T/kQElpId8ckdaGV1AaN3ogc9L/igswQ6qnZcd9ZPqjsYH3uQ5WzDri5C SmTSCwIr2uH4lWMxBkA/a2R1KLHLBERTWyndISKZ+Ypy/jGw9B7rb2I9ChUHFrFkHACvA3ggp5r4 e7TNPUrKrKUs1cqUT0JkFzwkaBr0Px9ePm868ha5faLiofTbwAjgzScoDcg8jz8u+DoGdkzURRTe HYQ5uqNcWDGChcNfSJ6Z26DiLXJ24p0gOh+od1G5RmWdCFHtcGxglMpI9An3KuZFy7XSXj5QY1mM nZ+G7v7JebK9u0tfhAcaJcJlsNICje7QypOhE4kOkM4r/JXWzoT1z11HF/+9qbqQEpjpR8MIo96h 3KUAlYd2u+L0T66rWe8Hcj2Hlyq1j2pIEbqqcuWktMK2+VYgIzQ/dVjMG72RzRySfq5rqsR78tzp trkR+KqlVuggDch1NxYRaDw/XPAu3vq1rAznAXoYk6cgvZj+Mf1zArmn02CmHsTDu36pOEdbojUd EbqOUYsiW1McrScpebdZzAFn+EoP3KUKfEm1da+i9xqpwMWpyxn51TACqerN0RPpmO1WM5jBgM0m 51RBmHKsO7jfAN3IptgV0fw7Vm6TdTzadV+tHz/qOl1cCHkwssMSDbhtmfVio0tWSFpKjZwQJ+px hKQhwB9JE8rmYJFYsHOca6I8go27soDWFrdUyUidTj+4FvxerMifIodcnT3er5Rhk509OR5GAR3K niD9DrkEd4gRpRkDMb0vyR/82TqAHDKceHTjcqUW+pLN3QW6RviVgMZFMLjrBaJSILeXM3hIlykY EtnM6igC7fJgkktxQXVM7/N54TW9uThYMp1baqBNKrmZUgGIHY2fCYZjBmGuk7LYp0o7Atz0kY/p 8DSl0xQh/WhDM3OvXMwhaHwZ+UiCmFgzXqaIwwVv5cdvl3epQlM+NEWgRNy5fAi19iZyzHj5iQxf eFm9xJ088rY6h6/0TB7ZMkVxGZdVpDxHU8HJ9nJcXC3/mcUu20bETKGvcLdPLLnMp0f6u32Mvf11 Phcrx8yKLvCnS9aHVqzET7h0JoDL10XBO2fSGJUQMi9SOldfnOfkXscD5VOXXOEYK0Yo/Q5NGTZ0 qP0t+0XgD4KkHQIHMyT+5rFsIayk5mpRg1rMJvFCs/tVt0Bu+ukACzqG9BU4lSAbEkOfuEqz3QNZ 1nfd4b3UyJ+exz50pqZsP8zDDwJSnxfuC52QTpi8fwePdq8fqqaKLZOweh4zroOEATWFLbtPHzC0 w3n5bYi815Yx0rw3KbTPN3bS6YOwxDl6FtpQGtUM8msfeX8IYpFrWNBew0oX2KbpdmUEWfE/piFG Yy9xa9rsvD+3HlsiZ8RQ1FmBpUc+XOZXF6Uh3p5WoWQyY5xzWsX2m/+dXHmS3AKHSSWhk1JTUUus ErhGxhGrZhstu4t04i3Q734JDkiP9dDE4JBt2l0TmlbM2oBe4LFrLsF47C9wSqhu3/EZMA5t4Onz YV08Alo2PflFtguXvSESDrg7/iueRKIGXJbLurwFekJoBqpQ8pNf+zk/etlGmvzfM72Ejhgk80DE +74WRjf4++2T2JnwgQchJiJA7g/9Yib6zQfUMZMV65EUdWDoZAzOPk5JavEzHiKoG8DGd2hcKARS YQdz6tCMmW5AWneL63lYDToOXWUHgvGQ1xui8FuAWA1hGj50NG/6u+b/EFLR3oKYvN36ov4m9zy4 Yn05hpO+VYTsTkS8G+U7c+mAaCKOUg2WwtejKDoIf+zC2yeofMd5udKhTCuCTew5WNzFSIaBAe+g JN6waiNIQaZPv86O8yCZ+YlkE6gfVz1COX82u1rh0891gYS6iHNpxfArkIbMVDgnNFp0ZFTnXlET e/i47RzmA30tfMF+JzQb8vahdc/uF4wjCcWGfJnKR7oZo74M7jxcc7PM5kLyfQp4U5ZxZe16/N9U 9om7xgSgJmFccsQpHpGnO/L+rSqqRLRQ4VBDzBZ2l+exGGCyxhUae2cCCepuLi+zx2vVqXOg5E5V Ns1TerggWK6NioX4pmHHyabDOAI60C5J1C0iDqC1cB9Y0IB6yMh99s2mqFS23WXCAXjLgc9Gm+Dl mHUUnH+pWeK2VVSd/N1alFfsk1Dy7L9n8YA95axu2h8N1ix1iYvMM/SWyVj7vJDWc8+OgvJOAy+F +GP4rt4LwYwA2uYSk50xyUUEDqbmv45SbNgwx/I5RSfi8muhsG/KEqo9r/OA6ssThN2llwif0g96 9d6nDoajTxoSEYEEFRMOd0tD+g7p89Gs1sXdhekowiCi6SJuVzn44Mbm4UmsSGH59p6jmUvfsMg2 iclPah9fpsD5i6rxPki1T+xdUP5StJqFmHPZ4Xy9TampvZmLx74PjhRNM8Iygq/agYNsb9iBJoDb iDtBfudhA/RCX3aEziNUmz2AI/w/5fjLzTKWB+Pizj7hvhE2/RcZJ0ZJpakM9bW8uB4mV165huyI uDIZT3oodRwV/wlJK1lAdF/mqa1qDWMmK0veXZGMk1ab3Ur4TSbgPR+OESWIDhW51pIPJHxEviDs B0cM606oKEI+6TyTy7DwqQEOZTGkZd8D/mfx3qvHGQMrL9ehiYcDsH/PIeXAcqw3CeUkMnNNkSYI PMZUFryw3BDDqA0eePQGFPZzod/NE2i01NnaXGRCr1Kp2C8jbWr+2Jjs0PYXt0r52rDYPaNL2iIs ms622MVS1gKlYNZkj3IyuN+p3F7aVbK7IkH7oU030ADBcDeAZjQTuMSc6ZK9EIFJNCmMmH01TDlW 0YaNjur5CAuloGRst2FNtYRNDda7Pfu5c3QojhcnEhCqAKn8i+oAodTpspkwOOhSLNs43PWwciK5 5Zz7Yc2MiZhJm5h45BcwG6HDacw+E27Tv8h2D4CVruh/iLQn7oVd8yxytCUjVDmzwp5Aq7asHJG8 wU2txQeDRXwLHTVg3v78f7DqCwkm/Tmr8P7F+7yYYzjRcLS8hVtwPXgzdjXd2KRnfJH1XhMpaP5/ +DhSIUBCVg2c6XojNpVMFPYApP/K0uJ+d0w73KDJQfN12zSriKGSeMcFsJiFsfk73Y7a0IHMNr0a vhli03fK56dDhGnN7VCsmm+M4aqIAqlyRizAAHjr3OQTiuVx4ITngYcCCnavvNsk8taIQ3XpxAqV J2bxFebYd4tLZbD3bhItDauEnMBqbDbwb5Wcoj92W8HaHJiRL2+PWTgES30Z0vaQ85DcM7hM07Mw 2WWl/S1gyLkc/s5h1t2DingtBIp/pnEbHyIUFVHNkqvTJChKQ11EQA9AOtGX9m8/0dRdePCpihyy j69JRGn6RBcqUEMJlI7KGaWEbU336OKGjngr3u5Iug30146SWStgGQuEXECmifjXVSCa1KYcgg0O f6Tl4eo84Xu0KvLv+/yrmt1pW1NNUQqG5lkHvvvrXhqOBvgSSjtG0znxt8RscBH2Og3VURdXlax9 +RLOmGGV7J2q0XRMeiE0hUOSj+WZK3qaD5vxbZC8PU3Tsi7Sn4CbS97LW4ah84Y/bAFRDec0tTpr zPSvm6JHpHpy17qWxd6QuU1olOHYMECNliuNyupWaFSxlTyFrK0lfbr0tqy/rnVcFC5ZgU2r/cBp 4vbeImiCmZHM1Q+ZncbrXE6l1XS0Weme9ecZY3+DnMu4Bl3OxeispXXr7Y5ZVzF0cghtsSZFGcGl 30KjGFwVjNQSieceaeZuVGpGmZWpQCZYJsAypXepR3UMg/Ft5AwxgKDq5xbdD1b/0pE7pKOLNuZX wratdWdB9i3fH+lGQbFM76/GsOsVmx5lilR4POyJuam512yarG0siyJ32T1fj6IgTmvi8hJU+mh6 +jDO+NzcdDiDIL3q3dNcFnMGeJVTSDHyyRCJ3DWTt4qOD+MlokazgiDC9alblHcuLnILUkDPQqH6 24VMy0jsokNiDsDXjiZBA+vsGVCVHn1nHITwK47tJMMXxhydR76FmIjnkEYf8zUeQCIUbI3iXo0A +/8AQ4226lXb7NLcTI6LsODJkKZG59QYl/GxoSuuserT7iOC2MlbtFKaBqvHJu7ESiVHGpKOSAzE PMUswnaFv4PXOiRCl7QV0ezMivX4FHJFQCO/TB1TOIH05/uvKFiEcku8Sq3vwuLRmC2ZvsX31NEh z4xlo/tZpZnilUjIx8UZr3uy8D+QmdUyIKyCzRZaNrbLHLS+SOE6+4NqOG7lORNfr3fZbSv8ut9D Wb0g8x00fOQTtmlhZ1VV7YkiQcB4Ml9Qdsqq95oDH0Ovqk/n1BqWuAb6Et2HM/Pbg/atEejvICxZ BTr8oJqr0N2ZnU9CNg8bX3Do1SFgnvQDTMSM6N3qnaZThX4w4ue+L8IkfNoT7d+SQLQwzgqL6rn2 j2qTwQZFcyhbVgZhjGmWP1QlEMR4/rVx9/MdAWNKCsx5kZyo1ZIuzcf9xDyHYkyWdxazgf35Mt0X ZHr+/xWlDxgL4Dk7tFfrto9Kchy9XTEOqLGeKP9ReE8CgMgXT6abEQinyTtXwYlpERc8MeWV2l2V Q5RT6/OZA6eEHJI139KGwExQZtL8isI3ojSSMtRTTW8deDhYO24MP2jq4fLQa3EIpiw40HskzBZX tPafga4tAgJOcCeBvUIPzE2GWqJPDL4c+fQ0YtlMhzM2o7Fv3RguQopjAvtge+ICN8ibNH7Zy8Qn OfGHZTvvSgv+HMcD1ELIw9DD3s5uMLH4vAjVN1za3Zwrpf0iEpjd+9nJ95Gpg/jI74Uq3NuFI75b 5AlXOwb7u9e06wOMW0vh1+t+gkVDyghAuKwlDRdFAhtmqz5yZaIVIdHAYn9sB/7UYqp2u6Z51bFF hKxekWo9DpuzxfuTZG5zpFZREUUOkW0oHnQH5d8jqUJx26VUb+parXM5frQgG2jaXlG5bHCOpgR7 W7wgcqQmWocrrN4fkp0+OVFXca37y20f4p97HiwDRhMIViVWQyYFr4BkClLVDzc3lZy0d+dy3Psv RtYgOciVIKZvyrHq2DpdPfGpRhzNfABodnP3j6dHsb+cfaJpPXkWWFfwIvVaIpzeQnnu61h89XIe OCrUTPaLnYm0epPlj++BU+yWa1CXii46JMG+XKqQSsc5/dyHacoVUhlf6liahJlQXajv03oar+DC 52ImkkTtzUUT/6hV9NHEg3RHgltpDWCoyB30IUZIVoCuXRj8RQS/CKYi+xxNDDMrS2Pn1Ej//82G nR+d/yYsCtpCamOeZCR4V6t6npSu0vPtRZDZ90jXA+aLMie4qUeuKppovzQNiqI8tVB27SGb5Ta5 rZoSplJGuxdCHbSLMHpjyvpLXUEOY4uJ9dEG7dFe1plm75xn25V8e0zHlMF9T41ZEPX/WX8wWJze Ngd4/n51uhbQyog68a4NTw3ndi2C7BOWBtww+sDoSMBhxv9cxmHtM+oqjfjEWoO5+c5vWFGGy5fs Xf66X+vz/P9taDosQLJ+D78VQ3EuoH38qmQdisZk8jfseKMEEytipONpbmQDVe3oaSjYYH5aAyM9 DtU2zMe1keUfdpojvypK/VecLzmDUbWNPUMaayA0ihi1OY0EcwFKlesv7JGKB/rXcd7FR52sNG1F QGfY459Fa4gKWrLceElvfwUoh+z3uykujWHw+ksf00cyAUSMZW/1YKHjfivSLs4wNNofIEWYurK4 BQyA2Bb0EtBqu9C/VC2Dp59zxdPNsR5xR2fnyQ5+GPNS/L6pDcbUphoh/rAjhoBg08i0Ift1IKMm msYQwrBH5GqIkSHoqv/LjQLJjtmycHNql3v3w9nYyYJCWzbVUMohisVZbwlOLONuvvblseK1mTvT z+XQANC3l5knEZJgSIN3auPvV+6x7s8wBrZi4OiuyWgFoe4w19BiZtdQxjdU9qppv7VhgfvVmF/h hHLQ5TnmSrb+Q4qH3k3UgbVFAhHZxazLE6qvot1yT7opmZNJO914F5zI4SXTUetQKTfCS8WzzRNR D73SSw4PRvBStuUovcoZcZMkXMBd1hERXeY5+mCCi7Q4w6qHkps0VOh/of/532bOLLhWrAk2ZIY2 2AHjRdF+bWvvZ5K7YyFNi5nFJA9eGDzl5VILcXh4ekc82SpfyGHwdpxhgf/hM2o10ytFn6ynt+IQ JSgpinf1Mhz5sm+zpLaVfbtki7zXx9oNS+lxpGpigHrpn5lhZuckL3wHdK1fDReHOzA57dpfKEC2 m40OjZoWk59CUIM3nEXPEDduSjtBdotjvCbxnS3D1CPO6Obp6pDLIeMmIlY9sEsfFNKeu3pmSX+u yARSe9QK0vIz6JicvGQm/3EAMEB8iHi5a2Cex53hsEWwiITyLrNvvkmKGhtWUiCzlGOnL/KX7NuD HH4OISw/qgfxYZNc4hb5XFUNVSKvEfur0aeb2h54ST+fLNxRK3xtF9YpnEw6rQz0aL/nVQvLFNiX MgVhGNM66hlhPPByQeJIJ95pNyX5tUV2KKMSX+1nCtnfP2Xxk/pbFwThl0XVipwSlz4IKa6qM/zt lsPQT2XBZkl9vrB5/pbcXW35fgPu/71fcGk8aRjJshEitb+7isiIDSWWMguU4Ua+46YQ/LjEy6s5 MKXClhA+4Hy+B2caA6q0INNq261T9p3fvfJRLz83krVkPJpqpYP2tEllWaooSJAH5QI8GgiuChRs zKVfgkV82NS6s9fv3e4pT/Fvfv88Via1ic1VuWEyYKP69XpWMOFVdl9i9lkSyOTYSMzWUDkqIJwi WPKSmuu9duO/I1DHbKu6x0kx78JWof8Ho1GC/W74a7tycNsY0Wr4/02hM9mexrFApsJqH19JYLwq pW5p1wq5n9E00noOAGUosuXWv/7EsiYmg6E/vGL7hhVvpYE5ml9lOD342d2y6qo9DNADztzp5s83 Apc94YgSopax/Kl63bg7tMwWUYgN1ysIBQU0orXeWSAp2WnFqeEyqFZHlJGX+wTCWAYx4ct1M/0o sF4xIQtRcuGmYwg9jW11U0H1X7ipnG8TxmIbf+L/hBJPvQhLdZ91VfHtb67NURhZomO693q0kn6V sNddYmFaxrvEJAILuyFgduM0N6KStLqCxvMz5Aiw+44zsXmhgYiAJRCa58m4Q44F+FOJVwdPnTxw K+jM9RGserw1UEnMPC4MhaM3KtkOhNpyvx0QCEOnlw1gvGv1DeWOW7J4l5UGwhcMgcRmHLTyEqyT nhgRZ7DEQimPyyoZS4dxEY5abdOC9QGc6RTEaXjGpu6EZvFzBwtlfAFhlXqQAGwdRr0vLOgwDd2u 165CNx2decFBy7oJHf/TubHc1+JUo3FGyN57iJxfk+GD2d6MWvymnDdw/d/0eM/OemwjXEqOIrX4 RPM9ZKVopSEr7F/QLv19k0H5E8EKbKjB7U2Aytt0holjERmYLBg5J1ja9XgsWW1UyP5vsriWWkWQ I4PH++i1AWfn0fAHIhzt9xCWfgL2lAVPLgvqf7HG8+vOLY3FoGi50dOlL8Th5syePvrTfp2NY94r iKFWaDFjY7Cvk2CiCdNy2bjYN7TkiGrg/luEK0lLefN3ttB0BQoevIJKQJL2B6dWfbOHUqKOLl0o 0Qy90DfhO6UGTF4MMtU65wZcGFSHwf2qlsMh7Uzx7+oEkZ1kgFCRVqJFAavDPoGA1lGe5K6QA98F iF2kMwZ16Y/p+A4wCKDClWbyRedLL46P6UJOMfBFkMZITLKQJVAhnKf1zcVSlK+8sYjddbCppkDX YmxXlLRT6k7r0xp63tnUYMGAtDZnqSFHG7LKh0Jug8o+G85iLvM7dVkPggQD1ScknTpwWDCkFJom PHSsd6zfZ39WsW3KgIGHxjRm9Fr2SokqVLXfvbJp/bf4rMLjRHsnf/w+FGv+yIdWTsYG3OK5C9Ky eVmIVmkae31HY7q3GAUVkF0EQ7f7Mkt8zHDio1qRO491H7jvGKFRRwnBjr5WDKDfULbJhWbcM44H uaWMyZk0+X89L/LyRQsbpZfxojiZZBbtwgznQUS6f4VcWQgqBQKyYUr3HoAZ+wYPkFPbiQ/b+3XK t4rayO8LGGyifYA3bGEAt1jAbu2Ez7ewqMh+4FYumc5nWhp3sAIbisHupk71NF6YynV0Jvfj1rO7 kAXfb4rhuVev6VtSI31cgQxux8aWb9TajlGB9Mr90pU3Gwz6U13xXnQ1f+brnyaVw9BbDyq/xKoP PCBTOF5JVDIfkrlFi/gP3b5wKlQ1CeT9cWnvcxvweQhE06rD2vHj3RF1Evzq3CjGZN2QfI8vzuaf ozNgqkyukhi9OXBntnMP+ZKUCbmvPred5YWQCKQFklQ5XPW/DJhOkVhrUQfM2WCw97kqHjRRKNpH aRa1hV1pNAPYzwDQ/CTvpw6oCy2ujBM4b2ydXRJzXDddu2y2nGthWCwT3hG24Af8QPbXDw7YdzQo opYw0m+okh5Sunn6U4ktvMSE9F2Es4xuJmbryDXsfNSX2sS3/21hX9MBDLiNDFrbm8d4X0VB0nMD Krmcz05ohzM2GTg1MIokAPNI4m1IYdjULKsj0nRI/fSXKD88W2x2TJ4yFOa5t5tmxon7bs3DDR4m AyvXMj/xYdo8DnjSGBzrdmWS0ZmtXxoL57YEfSfb90B1dz9+4HTZ3K8KcxhtTLR8j+owmZG9c1TN 3uKaOVl2+qPi2c9ZFbt9P5+WplwNa6reEt5IgYYtZis5rT3noEjwsuebXkShz7sVmCW0tn12IJ3/ ujd8sGQ9fpXG96WMMoVy7uLpGthP+Tj6ITSsAj9JL/ltLpidUNdvwj/1+j/x2VQ2YTfdfvNJHLJR eebochdVadrYkmeTBqW++MThK/OihrhC1CWJGyH1K2r1ABfas4MUwQxQKDG2QH+eokDxnPgqghAb Rm8QWUdSZUT38U1KL5y3dzzfr6ejlQRNc4NNuPipPXZL3OPZUx7BKv/e1jwTDDHq/Xh9XoeBrbtm qUBwbMcO6j4lxKfg/r47b1c6+OG0Nn1LMOPi3MjnXAYjHY/dLJqnPF8l8NEmj+pOjV/HemAbdqUM g8bMsazieNRX/VWPIBmc5LvsVjsevGrw6GbhZlK4EMhzFtAwNwhnxHYESI6FjX2bQieFZu5lTkOi 5cBjiPlPZ/6VaEJ3g1zXxMVLChXtJw6txLCKfzspR7DJ853jbm89KOrMp1rVhelzttT7ZA/7pEz/ bRboFEGwGRHS3vr1w9VGtVquQkDmJqayLfivSnSbF69GRVisKKx4sQ1nXA/PvF+hUGBXFyz121aQ y802F1++vxZzNF72p+H1/IoR2oB0J6hStzLCTx3h9KkxiLSQqhwShfYPoXTqvvk3nZy3WNfY4HY1 zDwRFcSqGi7HiA5++8NiXdsDA4+9FbElB7pNgc6xSgILtpVQlpOS10fhd/EsOtZXqLgtOJepPXrb fCOj+BkC48Luy+jhubkl5qOsqSPW6cVx/RStisuC5uWqq2ZfTZ4xFRZquOvHw62PrIW83SzHEYif 1mn+n3CdyWrMt+DoneroUd+4oH3r98OLiubeTJ6h0QZYwClaEievJZTuQ9SOiYZmkQ2fkYC1mS7M jy4d4DTJQ/geuPX7DF4LkOKIchjT5sGJlWDR54dBIvRIekIOhQC+uvGqJVcIpQCH6RwIf9UqNO00 mIMTSEmCsG9NDLBT94dgYRbMgo5M/iTwkjLOSfiq9WB57Oo7gTQHm0WPZXPJhI2WJ3UWi6sY5pIK i21xxmMGhrFVtY7M8EkGLb+64pJRT8PbQrOYtctY7HNX/SXGllgX3WJFv5yOe3XDi62KyiLgB3bH DkHfViafph8qKlZdgZa+MQ7XEK+1DXEQDZrKEBk5V7qeSLwEWoYLQmkKWbFVFtFOExF6lFvZQZ8b Q9E81mav+oQBXLc5r8dwf3yNIZbTNGC9i2FQJnuR0njGLwscVpW4d2Mii6+XMowgAtZFG44Isr+l XW6POQVdK77ZaqhcFDUAJVix973MSMgC59nLstFgoa7v1wWQCpO4CX7gUeXBEVGTQgb7DxLvPnR1 hCVmXYzypCpv37yuA/jWZ5LxsdXeQGkIjJ9n6wcolFMXaQQGwfZPpB99Cj4Cz1JFEsykcR9Cno4k E+gTDXZAgS6zLG7JJLH12iU2EhOpdD8larod5N2IPSxRt33zIY36XfTgBh3QmxTMNNnBI4at2Qs+ Z9KNS0gJ3T7cuybyTy3N7bbFq0Ox44QdAubCJwqvOXl1524c40m2x8JGCt5pCFHInwxkl6/p8Y6z 3Ub7snmwmhhPSS4B4U4yiFzQ6cAC1HgT+m8Ecb4GfU8r80zbM/NWDVgwX1eaEzPhnGD6cOK5vCRt FWJRwemRew5Dka6VnvVCJgSMPxw+yw+lpa4luYV1PF2FfNJSCC6OazIAkI7JP1L33TkKStiWR7ha 0mHeSeyUQOOTl7+1CCYFyMYMpuiBeKVS+Ui619j8sZT1tkJV0k1TcQP7Ro6S7LQ0I9fxfqf/dKAo coEwduMxXi8/Misw25MkObrqrIDiXVG2lTZlR4LQOeWiWAhYm66sRGkA4ENVyV6DZfsdTjgOfmGb 4F7f3hixH07r3w3mvd/oV4jDRzdczCFm0LdUANIfyA9t+aKunA/1XRXtDQr60EjIAQK5YQF6lm2/ BpF7RSqFRkShtOY76a02vHMZALwpnyCwxfv0b0WcPjTFGNGh9HU7ytZqUDQ2Zhj85GUjFB3ytRra x55UlNsL+fwzBlI7mHic1Bg/dGeIAzlOoMBdbXEq3aKiVBbzhlXHTN70XMr0AsSMSoryc8RMG7tR DWsiTxesVeoShb+HXM760o6KZ3I7iJAhi2WBaWmjkFVr9NBN5BoybrmcTJ9NBB1g1X7k3rs46Gs5 WCFm25+1eFiWwcxbXrJ+zJ1hYi2HPQDR3MPpTAN8RHzocOmcEvAHAP3zcNbvkleriDFnFP5lBeXI 6ecIZaB8GZwxtWFCwQzaFiaPBHE0izkkceGppasgp7Us736+CX+KHHv7pZ/UfKAX07/IK/9mpJWT aUEAWvNQGJ9XzFnibqC2LDHL5wHvORkJlImxijsCxN2eEWL218KjVLSyCQ9R1QwjwmcfU5OcO94v A+2DxrfsqiG07z/jA71pZdw8GZqDIWTHVxZmPRKOhL5vFO5a08Gmre6ohT5evZEFMl7mGpIwnvfr 2jMUiCvG7op6tar1nDB8y/OhQDT7MbhdYhzrZE86RSIxa0N5uNENhvtYCEClLlcI3OJkUDWhT7Yv hGnHhulcq9CKKz1vnSXA5cyGJKYw5XU5bO9GbHkXTfbhnr5WjrQFj9W4l9H04r8rPrNP9prZXNNZ SMaIES3qDjQ0X+/6IQ8QqIO8byEibd+JfQhswVGelQPvPWj0G8bP6mHGqqlOnDuN0pueLZW3rKnF OnSShJciVf+bts8E56gutu0RqhodWSM6GL2x0dNMvoKJux1Bpib5chWBexPGIexDP6n3VJgfBOzJ m2fgrEsvap6T1PVlb0GRl+w9slqzPsMqERGCVyV6FuFkgky9/2WKOPflCSa0jRswd6G/2a4kHSLY toWxFRGFKhDzBPKK1pOIkXpxpiHdd6s5LsOsKPuKRWpUsL9JTeXdAGX0EJtiKvRgN4SXxSef3AX0 KK2f7O9749JkF9s80HO+aZbbrot/65ESMgKoLOkJ23JEu585/j4MP+ACL05+aGxNfxUgK8r0Te7u d8y9y2DtcAAXSoJoYt+Kbc5C+ODaHFdfVj7yG0LUflEkzpp39ilWY07QCZI1YO+a9RVeJ3uALdnc XbVfp4ex0v21wRkhyrB+7sVL5yWLLvzEK2o8BfLOOn1UpPs5K+dy6zAaWuIbZ2Nn+cTnSZk3wM4t /sdEIpRTIFubJV9hZrZIk2zO4HsJCwICUE779zRRe40MstRzgQHRLYOGT8cqsU03qqLkgkBx38YA 4KSici/7qy2I7SAeBWoRDez5J04yWgi1W72xsqjh1234LUJrp9s30wz0wkfSU4HlMikLt1TPyr6g 36kUCSE/Q7tL0Kp19J4gesT0JB33HMuwQaVIF3xI+9gq86fSIOQ+7/Tr3C37JKOrMuHjCayPAQOJ t/RUsM9P6X7gtwS/qEzdIuWXD+T1Sr6JJY/6RqJfTAinA+Ln4vY3Rv8rCBmsnufx4ByHb7JKYRWY +YrGRbwts2w0MlwEsZax+cCO2VUMP4CSDKD/5NMfsHZqxTyX9eE3pSa+Y6ERmJppYnmORShLygn+ SS8flxzk6odcR/jJqPQazmW8y2oi1lCoS3U9lkmt4ukdEXSZKZM07sENwUzAzlvt+T++Q+HOpmnd PKUEBkpqhhL/yrgf3xOr89WWVYH/E8Qc8rya0dmNg7ybSKXVzYTzFn2vGG5hGDZfILP1h8+Vtjwm zps1NMsBhYYO6gPxFO9T+7FbZWcXRTgA3NzGsEId1YSbc0DZcyif83QifdnaSlaWuemrcmVTDrd/ kLBus7OT3+eeYjMStFRjZjxpEVyXsNpAUoOHwP4Em6vHuFSmJS2MnClYkGk4eIck79Avs3cSZcpr 01YBShbTVhdMxlfIvrTINXOBKmX0JPGf6M0zAcBKyyf/8+apfkPj/R+XHHoyW1/Dri2fadXMeEAu L2wlJi9+vURTplXPmBcrAeFqFN0SVAR4J+IdbzY3a+qizvojPACuPXV1vt4TaQ3oFD5q5juWL6aG 9ZY8pHBGpNr+jboiSKZKJn3rR9I802Zga6x47p63TKYInQXlUvxup/6BMqCzsNvcUUUUnvB3k0FN 3mTumJch1p27BE45LtacHRvyG2zb1gIwNOaY6JFXDLVWzQhj9JNTSqo5n1WQC8nmezso5cZM/MG3 bIa9h24o1YLks7bbXPl0ET3tgHE7QeYg8/Zdzc0XNKsmh3MYoR1T1ojRedrqNt8AkAntVOKkCJtk uFbNuWDh1togHuTOhKg3GWeHRCW3gZO0CZMDyiQGUn2Y9296332+y+jNhuJhRxRY6EQSFosvC4c8 xzPcEcXWRkqaroeAxQQm7XNI+IBvhJvPxCj/m4RbkVthU5UuO+UEQcADi7bMYPg1vHpsNtCKYLty 6OcIKTMaX2FAwWsSzD4ox04u2ntGh0NRpls4y+lXdN1bJ9iPKto5jyilx8FqvC5KVK8AYL0t7Qm7 S4Ypi5h4IblJBXdEjU6Tn4KJY2kirpas9J+YqzyMktDQUPvqvQIyYanr+OSFt54fK/sp4Wb828aj mkj5tA14QlHOi0wCHldTPW64Fmbu06y2pJBvdj+uOMQjzSQ2UJ9GYBGaDxqVjwF1IKcusOAYIsrz yIEDpGmQbscFyCrvS/Z6euLsWSfIix4uSI9ERznD2WS0G8onnKvnsl5X9wMbCvhFcm/tlZbBS97q ZxH928Vh2jipHSAcHSBpBCX2F2/n2qExuVO/kg03g/L4R2Y7LxD7ZLYo82tYHMUiBcqz9w2GpLtb f36SHrHwaitrGV4INFIxtXGmQmm2wwTLY4X/hB1m8t58iT9bmu6fPJg0+cNWVoPHyidEYvnq/CBX V7sOWGE854TvAG/mu3ZidWZvqhYlbJeiSvgn65VHh/Wg5S3wl1u+7dTjTOysXvinszqV///QM7p3 X3yjr0MNh4R451DjGON4Vsho4yZdBcp+6LPu8Ged5DBKFNWcXZA6SUWnf27eV6m2Csvf5FyqDN3r 0OEViweE4HPD2q+0E0w3EUx3c85PYH1Epbf/W2Tn07GBvLY5+jTp7Il1ukdj0yADN75CUqFJ7wo0 qdF1aJlbTlkcNCu30+OtODvL5q/jkuJHa7Z7dLDDnfLlFfXoXmw+1DmqxkQK2tUlPXWJIjWUN8W1 AefFDEEr4EyI7XGothkJnUuNlub6ZRi0COZmKZR+r1AUORGSxRK5ZmKcxPfC/U7naxK04FYwmvur uE2Uz6qF/EY+JzKu7Je/FsXhA2si/mWGfsnsSCmUoHrbJlNNwJsB5GsaEamUHh7uZuhzf/1tDZQF 9cZwagqsved77pnkEekyuvf1n2MzWKWaLKcL8BZCbjYuW+bkokk0mM67xYDu20S/rZLj5Zr52u3a siPOEsYSIg0zqQ9wv2bCg6q05RJtZwZey/PG8Oq+gxppsgGgB3+CWWC4vIyYJJBsbt65s8a/Vn1W oBdeD/PiX3OZhBfFu0FWDThlvbokVYNGxeVy0Xo3v59f89uYzVKCv+X2kFnl0nJUNZAi22iiHWm1 XxaAaRJUei4xWawClsyg67gGAjRFzfqOng7ZecRCEqbRh8RfZGhf/9q68rCWKFwwQKap3Z6MADGX rV2RV/4Q0OzAhaXmrIJTbdO4cOk+MaVrFWfH+TbNMBQV8xqdx0zwsbFSe/53Qqxxj/GYEIvdu7eH iAqUCP7Q/EdQBENogf1DIRX3GxDvcbr/W6w9soqFWRSa5yQcaDID9nEaCfuW6doPS2TIw7L1aYFI DpvGgFRKtyhrGt4kytlyrmfkUExfeSyj8IPx/zBnJJ7kwiu2N0K/012x0+/54tCZ9zIute7k7IHw 0bx6dvd2ZfyLXB0E1JUCqM8k19sQh9jJlnigqXoWeS9V/woZPOaIih/UPLUwjp7wXXFpU2SOq7fs LqNMQXorElgcsD4YJfrIWlrQVACGk3pkNzToQkIu62qtb0jhs9Kuq0aMvIgciK3FiKxiYT3ua9aZ GnqoW/g5Ps8V228oLfkJ+UQmpLbPfaCtBU9urkR2GViM8cFVmDmJ0Netk/PzE9BcPxhkGwiV8ZV0 nJtfmwz4koYWqkEVOqGZOiN9MviIWjlZUEGPKDR1ta0JWpt1gHYFECd7PDjgIsCQ9bbnOzKzyToT 2RGXX0jY6I5PmeOnB0HfVpq24O7VfHL9YPWEkGecJTKVk5smSmKfJ579BNjiVUwbpV4rs1AgTidO C8sUmXZLMxr4/3I6VB2uTIFpLUwWn1hqH2EQRvg2rpH+K71gNA85HURfmYT0DYtRs4X1+TaH9cAj v6bOY8GsBv0f3UChmeQym/4g1iBc/OhbtqLA/VtSo5f/JD8uI9Svb8JKOFCiAlbB4abFGvd6iy9H FE5IqbCDnhSe801a8+a0VtvuJxIiCn9rDh/Qw1QWUJCxCcC6NUVKoMx0OIE70+hkaA14OgkiAlIv h6aJM7hMFe8ruyTN6KaqfYtisjhPUwb/ItfA3DfgdKfLXN8w619oRnoPOcJ7KtIbErR0rCAPzb4l DRFLHXjpqUq+r7jN1AuA6JiNN1HfJjghvjxe/I5WVpNW6LrgMy3758/iHR0wnbD+YD880IQ8I3hh Pd/no6VMX+lyc7lILrFWjNDFhBV6KJKJaFYjBJtzxjGWj0d2W5UNqjUnQiNrEA3HBWIITZo42wc9 7ciLeYA1PS7VQruEYYLZeJjYe//EAVrUnAVUq3mb+yZ2NbA7gtB4tw4pQNaXQyiOz83lr9p2ADR9 o/tt9dw4u8IuuMEMQdODtU81TESlDw+Z3Hfn3/q6cL3Zf997qcEf+SixKRhV/UfPewdPeymtwW+2 SCTT+stdA7nGgrQnIHg/6N7SJbKgUpsX1HMaQqg5q7OhsUraQUHgqW5g6kmGIuQ0CZ6/b2IHhOMe Uk4LlRku9NtU3dC9GUPMr+totqhvO6LEKcE4swXsOTMfB+VUB3lM/JKTv/5jDsg+Rx0Ggg3bYkM6 LSPB4gQJrTPUDSRTe6BW56YKuayRiOeN/CO4ZExgN/Y2gBcrpVHTKpeNljpk87j+KmxoECiAMMeD iM8G7B5zWS7pRhZukBNJqwZAODOcuHZGgrUyQy2UlOa71hvfy8gfo0Fk73ajz12IH5VVDHHaXjET bwhtYXLJbaZsJ7yVuueIYMnyTnM5mbEsuhikBxuARMo4lHANXFS8rOtPGhZyYDqokG+uQ4C9Px76 Jm7yEt3MIS++PKsicBV3KXIRzSIcbTykUhwr9kYHuucUpQvsQAFwAmcroerED/MSQ1MXqS7SsdLX jjVSmnP8F94h25IVtC5f6+Ug2kEXOBTJYu0vV/jViXASNvoUyOog78Rkknc01jBhp/I06hi81GP3 sX12JjWmlTccJcFYWM1e0vXBY3ezD7WYRdQHGm1MlvowN4fGz74bJIGSF2uCYR68Kk/ekAQS4LQj OXtgpZ13QovJNZJDC5PjOXdryvMBN9MKCDUeubnuEnpYoWHCo+IwFjG0w6aEWgDW+czOgTq2b29J Qv5+eWhGgw/DdNgov/mViDvSL4IwoIVraBuYJLEuH8i13eAqKh2DdoZR12uUgdktOropoVYAy3v4 SD6vaCAk9jcSkRJP6g6db+Gh+Po16lQHm5OsS4NfdIwFrD/Im2MwENd/Jny6GqdmiwrOzgdbtGFQ F0/OPjsh0xWMS6BwQs+D2JYT9RGunb/cON96AVYg2Qk5um+jplYS4knZuH7JohsMevHonLEaTl4b edXqp/EU6VGnygfHFVzBW3mfmOgLFeqbZbHhD7raq3pJghiIcNK2n38v4kFUHDk4P6F3OhFOvkKh qZ/4WLPUGutP6bA9wqyaEBmlzu8yyJYdGoNQNnu4bJhGAg5MqDQedkQIma5Txzhbm5GtjA2EW4Ue CwAu6DvgawjX9cL0eorflfaSJmLhe+4ewq+OsE4t4cZlMoJcevHZp0xQi+3vGESgmuYxCKd2XdFQ JZLrUcfEm3H1lXp3R6l3qRE9kTXvaEYp1Uyy/odBACT1F2nCZI1jSBTniChz/4zqXV8LYvHodUxo /q5GHexTi8eOaToDBP8QLOlAiP0uwpS7sqGEJnze3scFbNP5sh08M4gowtPwd/N+2OLCcKb9X2Fl RGjVDhWLPGe3ElU7Boz4nE7XfIEKY1yA8lMrRtR1ZJzi58ufejlXfAfuOPlFul/+XEaq4/Ss4rCf /3+HADPEed9aMnW8hzcxVft8UM4AS/gpKHtPMQvLdzSKKw4ZtlTl9ke0mePaidjmBwne/n/nOQhG xTYFwmgfCRB8kGUAob2bnHlvfNj1xtTM6V+JO3ZPo6qxQ2cIvyuMAm4pE/aRuZwPDriuF32J7Q58 qi8JKanfnOIvk0hD03y+ROQDj/T10338ipfC5AtM5jGHOrJ30KfDq4yliaaLXOXDMnX5kyawhquT tsu/JzxNRYzJO/6cyNDOyZW2DC6Aq2xcqaGvMnQZWolZP6XXzB6QwH3j64EspRTox/3R75Jd+I6Q 79/+m5aZRkx03VfhgjUX9w7kcdVm1rGeZ0LlILAWE0vtSOmty40qTA/En8AtI01y7f89BgeR7/NA E2YbCSAsvb7Y8lhHfeGmMl0DCKc5w3bEPgLPgJ7tmUBTGan/Dg4ETZc62GD88hUKrmBbYrUEueov 3j+NycKzHTC4iFSpyOI+WXL2yCCkQB+rumuFwXtgbgadLMOPNnj+1sifwHFxnXeV7e2RK1X/UR06 8Q+4FQWFYpIrj5r6nrqBIxEWkloI2LXg/cKz+hdSHCDITWkqV0eY7Drg9oYwXn48j29PByGg7EPy ZwCbQjjvZ5TtalgFGMHcvUabAryFAmc+Jb5M9qxZMuVtWK13mLXkiou0/70DBlnHw7vA7HI9k57n gdZvHN6JUejmM9jwmbPKA9bVfS3LLjCbGL/m/BUZYEhawGG2dcByLbpPf6L24QVjRNgm7B5vWZh1 IYQ7Q8erJXMHntzS1MTPpkK8iNo6zggAVEQU+XslreRDn5PJHhaY+nPxrixPr7KaqVKQv+yxrsBU q+DXt/ZiXpw29SiXbLsL2YMc8FAl1CZITN6jaTC0ONj/ew3BgrOQ6CgOWrJG3jxTFAJ/DJ+sTj2J 3mW2BrjW1mcm6OC/P3MbyY9FaEFeUxCoPprxWx1mbA7ClC+2bctZYsgj5Zerh9z0ancCRK89247X ixlMd6ApIgQUJpRzFbhwgSNveQbsay38laQK4wLA9+ZSWw+GuMQQLBmNhnm9HUI27qflpcqr237D s97faF03QhoRv+QLvvCCNKK1up0J7AqTMNzea5BBDofY1AyvELk4brs+R2EVNk7o1HqyLriRy6vq U1oHcy2PNTXvkdkRg2T6aloDnG0lmrXvu0H5UyrGN577SgFxlbUlG6/t8eF3+vqBmHP4JUH6Rx6b map6oV6oJz2JQ8bNv/btGlKzLak3JF2MW46n1P8ALaKkvu6zw0z0eJHJOmy+1Qq96ukpJAOQystq erEMKtxQq4pcid9OMbWfwm8pl7yHCtAiPRKYtAcB8PzUgYNBXRjPYfQmX4ZIyJ5iK3ZrKuRRGn/u avJEnmdxD0k47Tsne3klYu5xw4526eS1tzSuNyr0vojO80jT4JVP3ONWkzdZJotTOJFXv2ftIGfK MZdfqHv+vqwESaMeEunOTxJ6/P8cAUJeq5kh5mRz5QkGxkwyxgkjL7OHHYqk0Gb9WXpeBtNVKL4h msalGyFw63WtQxwlb6TqQNCO3vfFrPPkekNrRCOorGjD253skrRufUE6i+R1Al65LR3TOB7WkqIM QEQrVJMoCgUbP3jpOdP2WPf9Lu65xGkMN5pRp6RoWj2TzzrrMz66d9+685sWMLu7HH6NpgLMAFNJ 58ByUT+lZpddDV5502g9qS4PGYyb8aKdfkoPtOJR1/ZEPA+d1fSrZFJ3w+37JBdnHc8BzwFAyRms MIemPycTRNL0N8BktRz/zq7orKtnOO7yM1YSgNdMdakcdnRgzlMoEVNky6wTexSIMPLAZ3s7dl5q +3qsoCMyQSlmoFWMR/dz/HsqtMggJXPb/A8ThJQEWtDxhSwUnFh4f4GVXjAwwt/0ygE9bJidlb3x A9UO/c8JAmTGM+FypRtTR+o6MSVueK8i+cMbGbxgVSyKArNJ3HYr01ruQdocKKz1CW01ICiAGKk3 EkqzgpDn0zZhnhCHw8RE6ay66mNy+UsYUnx1p0p8DTyA4X3uVnzxJI0w3DdT3p3nTNG5WSgz/l8k Cvup5p/WCrYOM0b3TLA5WoUlzF34c/qqaQFd5ZrAPJ2EkGtkBPuuGK28tTaJOmXTMKzaTWJjkKlz trVZnE42xgK+XHAWBQvVFnAFjNV4dBparArr00FbHCgq055wJpnz3JhPApDjaxh4Hgt1ZXacuY+b PRWwsfLwa1SoDJ7dRGC+ElLssxvfEx8iQzqsEVD1wkBP4mfCxAn9uCWxra4Yohm6JnZcEsE+i8VI 0h71xI3faNsw4VeL6IBuqZuLAMRrhEb7wKgpUabvbL4PhTiTqqK5h6cdq1TP3lLAZAfsWjF/NxjQ zq9Ez9p1TD4R2xvvYM0nlgKcXNPlmb4vnWuFYgX5drz8pI3mVY43KsDnL+9BPHNGKV1bqmspYMvb Gsb8q017b+E/5PuUpSXprZR09MHvBbHPEc8BhtP9drbTTzqj1H79lyvSNFXZu4Atwd1bQ0Qtu0s/ iXt8SJ8rmiQWnYCaIb//6XWYkpzjg08ZGBxGcd9s1hEKupq8juq4QxXs0bRBLI6u2s3SS6BWVAt0 CLRTs0p9fT2dQvLoxSXo8aP4O3krcUKX7Y5/HjCa6Bk+dnxCjCIlmvGTfHvv5f9W7DZn02ioXsdc ROP+CZ4FVVE5bcIkJzuXV/mu6d3d1p6NNfGn1w702tcn8lTYIOM31YHKMJi0XKttYLr6VuP0oRg6 4ry2BddkAaZ7nFaQfgS/pD2G6ySJPFHXu5p0B3EtPFOzQxIfIvNUl/KxigIKkwfgrHymj96Ai7yp qlvv38W0Al5ktwBeao1gXcg+pa99BH/pwsBieBo4/OQi/9YVUNaY4+EAOdzasjwL/Cyjt7C4XIlR QRTspN5LGBzAUZp1ZOcYD+cE9ZLEcE+AbYyPc4ZL0byj2zONnTfu9NnHDCrLIwUdDCxHPgx3jHK7 iBDSv7hX5N/PqpnAMFvD6xLadmjga/AMLb3CQ29bOhhg1Kxfzj3CMDlaz8OXIGdoU13B8wWzzUfC JU9tRC24W05f4EOWXawumnjBzcanrTxEkvoqDyIpRk4vKZieP4ZdJY6+i2v4PUChN5MThhRjnN2a 6NwbK3tJdsPuHCL26DWsEOPnurFGEuXuQHUPFHxA6yHDQynK2prRfY5+kG6ScreyQrWRqFc/0UpB vVB72YmcPbe2UMVwxOdwKuZqIa/eQrxHXnhaVoAKC3jarbhH6Dhf84IVzdrbLtxu0IGKcJpa1CwZ 5/8mfGgY6HLSrev+7bk9gHOMioxkza3LI3TGZ2MF4xAxYbp7y57oqN19TjbGsqFuqSI/84CpYE4U +FyBp+MnUMxpOYrqUmuVgsiw2joAwGCi/4egU3aXbwOahcPYQeAvbdQQEVznHeYy2S37MumMLDxX d/ZKz6rpH2Mf4iUyGx+WaT/3vVm40d8kyGAhsx7qzkMAaU0gKcDWpzKARv2WR0C3tOGCZLhZiUY5 CTI7XMqXN9RvdbwSDwgBVkOFM0rgDrIJX+SrDSm4Ottf26kZmNH4OYBhCUJcHCWB+06ekvExXxBx WMbhrc98kH2HPCvdYkJe5o4WZQGo2cKr3lVOa+UYILPWPHYFmPwVRkGQ7a9ItQ7rxUwnJsldkBt6 5ay1A6kBpIdeM3ksFmN6c/eNUyK+FkIrHyqmrevvSUx8hrCABYHkaZ1dsV0Rmw7Y4DpMHjgireZ/ WMZEdHhdnehvJ4Eb8F4sM2FT9vsv6glePPPz5B2rxmmfG7sxIAXxDbpINbKHMu7s5OR2vxeZBIHN 8309eS7bIsNi2KXVU1l7yIqT18nUuCJ6n28m0hauuD0SuAOj3h8+zJ9um4S7hlXHVcM6B9bf+FQk 8rRv/clKeogG+MVlHO9Vxl8fD64jax/KyiKEMcJsQeJahHOlYpiQct/CJocswIbirEgh93u6oQjT mpfe7jpkrr6P4vk5IfkXxJ4g46Kj/nK8aKnD2viEfvW9tuowf7NNZ+5f9A3sAxgfeSTTtaklYtuK gLK5YMyCQeWTpRD6fZjmXC8Uy5JYilBIRWAVnK45nl8fPa3/VjNTgviUueIVxaisXAXEuStAHlKx wugcp4ghMfq7sRGW95HzCjoC9EgAnUqRE0t7QNUnj1pZzoY1jJyU0mGI90/esy9SOupgRZ7E4Cvi 4T2k6fJqhgeqbf6MgDFkuRVi4et9dC3pMVZ3sfjeBgx+qvGnWEXMkVC4H4nTg8eufnHK4zzj+JUE huatWu4icunGTuAnnBqOT6Ih5WXQ9J6JeROCBRRG/NK+bdyTHQncii0w62bojUfvMoUsnVUvJlGf 8mX6llVdC+D+/bqRjIusFx+cixI1oovHONilx1Ij9ZBfwb4EJbnLKlJ9xe09Kf23mdoFYY8wkqnR Q5Nyk3KibzKzBz5WpIcBruW42SL/Wnjc/UNRNVujnWqer7geTfzK/fHyoLDg0y0k8EEmMtdbV7VH sKQvJFUrAADIqVpbwGzFyP8nWm3hTDGo79mMg5sbxPRcFyAIT68qg8jhRX/OBlkBAAE9xY3TgmPS 2V7raXbO51v6JQFdquohQhcBw4JA47JHY8Xu1JwcGcbZRj65rFShBNEdUfyNOLUHDOdcaPICTY1p Hg3/DNslVBvA4jadHANwW2swMsnZ0lysRNst/o49aD9PU+R0qsTAS/saTv890RZ/VZPa3cFZgPYS YVkE3x8Y0hMQKzkfV859O5mbJDgcvtr3j+3r4B1ATZFxPKzsK7B0/yZ/0x62NqpSrP2nhoUeoOU4 WNHefebPfgekALk4VbSNpQgGLgrhOgnr7ABD9jC+f5QnXJzGk8RymRYqmyB8C98bf6zhlcPygUuE enUjucfYrJGUiTag9xQUgrGIg0o85q8hkJCx1Lf/CSDMt3JtMwbMOaEJx18oW9yblx4ybX180mPU 9rLEZqepRE2xSQN+6dzOTLOzj/Aw09lPTpIX7U5455TUtSjRdVYo2fNwh/U1Shjcj/OuEOidpb9W JBHMM47M/bLb1S7nZTPdJaL36HUocTB5/H8KZtl/FfYSuv1NKQNeo30SDNRDERxyJwP2MCMZN00Z YuocwXmYdMvFmow1fHbjSAVEFXAKFQXw/LSGqOHHWlNaOQqeGxRA5G28Bc9LX9p01GJrMUKaTHK6 27kaAR8Eaf0pUI0os5QXqC8KasuiqK9wn4P4swS1Pkc0qFo5u/BXyigW7zq7I/t69wXcTM+Y5LCw O8ouEvum6YRBM8FhWMc+illWImvV2OOiRnKt7bn0k9r/wHnjRUvB4S3L1xqKkdxobBt1UQtIzrM2 1KMfwz4uLYJbBo369mQMOPXP/HwNMVU8pncBhfoCPfLt81z9iZP8wF+/PJHjn+47JtQmMuKVUcFZ COc7tdU1TLbIZAFLC58HIUKPJCpKI3MT8JQECsWJlaFQVsCjaVjqCu331VJYRY/6+iNLl5mFaKQ+ jl5TtMXM9zdK4Gj1u9rnHs5KReqy01No64WAYnRh1nk6ysM3F+ETq1/rYh2wO4S3jPOlqhZAEd+2 jyftpsiYlaSUtuVMN5nPd/BTqsALwXsszjsjmKOMhcDo/RpG7WCoobbJFKp8PB2R3fKew1mboe9C dHpZIPIPrIvhQLGS7CLfEgDDln0Q0LUcjrA1rh5rXxO31NT+YWpqZE2wzBhI7Kw3obdaV543uAwO vhJ/isdM6e4V9452drOfhbgtPf9GzWQxsScJRa7eLFg1fzdCF8EcEK7PkapeCjxLOqRPlVy6AFXF TBmXWmrO+otlR/G3OQFJqGearhVB+h2llKBVpM9Q2Kw/Oa4q8F8fLWxmHI0bM74uSOMOLSGDGUNk CQnDyH2LmZIMjcI3lzoOEIE87JOR/Hida6Bk7gWci6oH4zpAmLqqKzyhSXTaxrYGI6/yY7Sgoc/7 kx8k3fjHXN0vc3AkzaEN9tTVWP0kaIUfELCIcIQ4gyH4GpWeNJslYlnwAZ/Yc5ZWoU80fpSnlsW1 T0bnJiHXFv2I571GnN/jtZjfa4Aw3LvJ3y9gqRPL0V+E14AcoXBCUpXyA86RMJGR8KNIEyuBfOyq W3S1gbYEhquP0KK/TZEMET0VNgoJMVrX2suaFX4Cu6VSwuP98ECxFeaPv4FV4YDUWP514eo/I2rs fUk4C/Zu2jbpQNwdAvYBqduBfG0MWiD3+61LBj5tRtvO3m/IE/nf+Ot4+UbPb39OCd2ijPK83YKY e4vuXz6dav+FjNJ3MiPsPDwDODuhpDUmDmpdZYzRC4k9XcTJxOHr6eid+1Fa9i8avn+f+32VapOm V0InVYk/6zo2AUlMibS5W8ncp4KTYxWpcXWSszjariCbM7jGZzcleuZpLwu+GZer5XW7XzYHOPJD OtoogDxF29somW8rtqJdXbo2onvydg6VRg26xHMOnFujcAlddntgdjePqiwl6sYPTUU8YHBqSHSN SVHA5oxtKba8XhzjFciZDeWIur3vPgcxvrN2CVjNmeB0HeY7Yc6uoyXiPpXO3ml9kfqNl7ZVxrQU LEeygPcsTmqRpChQUCoPlSb97gT1l/A/hkSkRqxKvpNcC6sqyL5hJjV/nKYba+dHAS0vj+9VKtfQ hYToS3iaqSfNj4kFlpeglBTwaOwb4mrcjx20/HbgKuaoWD4s0gh15JrREeK+D7/2D5AqzIi2mWk3 rPdCVcCABY5iasTRQiORqREVIrjv4OZu18aLIRoKVgU35T2VsdIX9pl76DrsKtJKlt1tzOPxg0kw f8FJ/V+7eZ1xobTh1nQHsx2mnFUxGsCs28g8pyQknGprFQeNy4iLbxdr6z99HFroUQMQvp0fkA3+ gIsk032R/ypS89FO0dlnoLX3bR4mwp075BAvI70UP/H7d/wBU2mq2VN8TQhuImrhl3U8U1Y00tTR zRS4Dkx4nHjioKoqwLh8sijqW9NrN3MELu4l/hYnhwi9y7Vb0BYSLHqKljqyCyQilhSwg+mR2S/m XMkHr001vSbxyra/VaOza/+6jz+vaOXsBbJ7ysZ+NxM5iMGDe0DzQLbrvZ3idORpBlMR8EYK0XrW 3ikPCVwEmrxoMEMH7AFtgntDqaqZZYlq4b5I783zMfHROPdINFYPdrVfIlYswur6AhvY24m9Qdbx BuqodpKO1CUiS+YgI8xmtYkrRCRxDY5ZeV682sIpnGxG0TABo71q4B8gTZCerXFs7JWdAYUNXz7X TkuTNhP+uXYYXQ0O6ZI+EqKPIPpO9todiGgJ4mG19Cd1++SdU7LWhGtBzsP63eHg986VXtjPyMbF tttQpvQQ1/ikMeBpqUx3IrUYJTdSSNcmhox9QHNPVfzhVbh8VZOcI2BgvMmTmvbKfDIiw/v2Coo4 2YZ4NfMP8XOfrAiGOtMbV+fZpQKU/t3Sf7TJNGm9IL14FvJslqjvWzJVZfTDDb7mdw112qAQxKKW C25V0wa5lLrV8G56H1I37tUUtEDqSPP5BpDY+ifSzwasqetqSsAI9H5PCd4fMY7KLs4RsxQgRdAm TDO+IK+a7l8Suv/DSkrue8mc7e6xqUTOLwhFDpNG0sVZ7h0VhRY2qQOmDiVxVR8DHQ5Izxb6y98X GD8LvfQ3374dkMohRlAxWoDwyGoK2Ul0ZVMFuG6Gg7WItck9MOMuWtTxEQsMDUMcWDI+DT9P0XwW Eg+++0yuN4l2Q0kazuHbvOFm0tZk/OPt5hjxaZ0Xv4IHLWNPlw0VFr+AVJbfcuuj8I4drUEVrVq5 mfULFJkozW+M7kzxhUaomkWeoWNavjQFsL8wg1LHe7y+2mqi2dmOpSQ0ddRlFO1KKlIsVZCrveLy GxfbkL3GhGvX47f48zasVv+UNhiDphP53XXNjMfpqUQTW5j3utecQq9htweno51GWWnUnClallBN AjBifdXevdHcgb9ZJCDxWJDMurwlYPD1Soc2jTX94DSzx7IKXOdXI8rvIEbPOKr1EMGJn/FI6Aui gTLQoUTmb3CRpj9rLUnjRQxU0UoT2+A8YKGXYbmdt+64q+maNSsjAu20+YUD2kveDx9Pbz1cH7mT g7rsxwJMt9zA2761KxIEiZukCwOKZLSVHeKsoMSGAuCk6nxo4XKly9FGHPlDbvKaOqxHhzEW8BLu scS1ilZsN3TP5L5rW+oyGaNoKHNdTBGjLZ9tWew6DoG0qP8MOquIlImd6EQzVi6/JlEVFjR/NHuu CpJsmvlsgBQvwkedx7UbcNBJJFEwgxtl22Iwt7cJxXtkAG++Vjj/lc+7tAy3sjbu6zci9VqL7tdq 4eCHXqZKkZB2A2uXi0mBriWRn2iHi1g5B83iLqzszDHIm+V7u9FmzF0herzooTinD9p9+hwIYWCk 42cCj57oPa+jImma6CRh1JzkovnU+6GCDlAuYLJBhfsRy+7rF4qzXyJu/QAlfb0ed24BUMgmnutU QKnouC1VdS1va6wl2uUrGOj8K+YKvX+CX3G1sekduP8wtC1Kp6BD09Dft/PFLneHOxhRDpjXEdE0 xmiEB1pyNpzQfhPL+lB7gu11V/JS/h612v2Q6hheAAGx/88ZgCsSgf4X+6CwtnLA7hXVd9RHDUBG f27QGByMg1uQhr7l2nUwmA3jLRhlTKsb/fiu/UtHjKPzNMPO48gQIaKJTV2ZFvWpct4Ex5+SitoI ubPevYnpvxFtWBy8qvFzrKzcUO0+gLBizl1srhN1aaOYbs3m6s0gKzp7htunfSjFl+4WruEeWOVe ho9gGI1zNgnCoeLk41KSeXz0mW6n8YKR1iNA2zH1iiBuudH5t7yhLMKhoby8kawvtDyDAGOUVrS5 g8T3qNLbHatx9sgW4Zcu+QbyAU++LUbLgpdkcDmWv0HDSNnM7Q7HnHN5pQt169S6t9bVgWwYG1pQ 4rMdo4aBZ+IDBbE6cmNzNAZr0CyCxP9rutz5GIVV6NDFRX9uK0hG/1MmuD+eVztYdlDl+e/1geLu MEAqn8t7R+RZXV9raSyEdsFn02C8ixZMRRLfeyYr7fhMTpz1j11zhAVuI55fDJjdeNCRmlINuIUs njlvSyaZtzePOhm0yJbXdYjFbMSEG5gOizlNju7bDHkA0kKDeCmnegUl+bY7ClIJr3RTYRpjDPoT BEPKLtD2coueb9xS+gJtSJTrjLEtmuFw/pTGa/SqPIkciK7VgbGOk3yf4zD4L5WPOsIT0xKrmiYx 1kW5e0OA6Sa9RlM2uF1k641fMat+9ZzSg/bHrvjn0Vr+HJG1WiB/kxL9W/XRnpwvs49APisphVLL xtYN8dioswkklNoYPJRPV0y0STJMrYehpYy976jI+RlzIqsa3uQJi9aX306h05Cxi3wuALjxC0vt 88+zpX0y9vGymgD6nOaUad7s7cGZscrvaMQr40ForOxu+4Q8EhFSHyXN5p/02bRAZCAOdmuyR1gv kTFQZiQXrzf6BQnS0ZuuKjswPfZarn6pqcl5V5Jyo9LSCuxyXHsdrSAAlhlkR0brSUYUmQMdWaHx wxF5Pw+SPQQa9dMuY6J2CYmcclPrDaNSYbdaGyjKUUzrzNq4yFP4dUt2YF9GfKIkLQ8QoJYXrg3q DKocwyZFVaOCUmDJ/a4JC6HbNn6X9ikVVNTTtYajLYqAoKu0Yyi+ycvTliEVp1hUuHch2loYe/eI 4MMyW7uD6OvJv4Y5w28trVS0Xl8NzsoeigRL7Oxh/xgJGrFHtY8McaXJ7bUtiLfC4d6c/ypFWMnZ UOURjgnMmBck+z8V5EPPC6U8ZKctKOAJiPi+sCK9cLahOqJ1GGg7yfwFJPg62dtsMbuBaHMfZ3VV zmKmk51NbLKA7e5SzGdNn/Ed8nyl8HtWZNsxM331jMXZSc1j4JfCMpJpT4A94MxAF4elbqP2jGdu pEbSw35x2KRGKM0ct5Z8M0Pb4vrrOpR7IQN2DKW86/lYBQqk2JTgGBMwOUzoSBRoNrQfu9jaSgkO FE3IN5OlSpqXWwUzHz6M57d5Gp3JrfvsXkNC+mj3DNjxvj96Znv1L15JVPMIxJhks8z6Fayph+gh sHmDCqjH4uDJs2XdfaRFiRoDg+bD7I8O0MPKdG4V298o51Hjb2uQ8fZ6FrLA0uWaWcDbJVApW4gH OQ2RqC+kRHX+xRvGUqoQTUXKUYwiw2hzzLc/OsvQSOYlJvNdcStL3A/p1rH4UKviRea865BpKY0v fQ+paOvbv4lQCHNJDMrSB1RvONM68D8xkNWleUM4KUkCdDmi5MEE75Ya8tnQgXh/02/S2c5FW7jl +I0DzaaZVy+/vPfUVSOlJtT3TlO9SGUthBcLUtHJfeS/pYtvIbYXdIzw9QZQYuks2OgpFhqdG7HH wP/SdYSn9WrktKNZ484XxowBGh9lpVlnhEYgEbUD3A2MpHDuTFFV/JzBI7M0I1iw+0KNTckyRnTe VyDySIV4JgeINElGd2Ufy3+OdNBbOO7Yteb0lNEYMMbBFZQoPZgnWVHDQH+qCb1RMlAGjYnDa2xt ZoPwl3+2mRGjXoETVGeUcK+crK9vFpaSefPlCSn9ypL28lcHG+Wp3WEXqqg3tTkq8s4G+PeC3ieh ch3i7jLkuvjR4zoY4K2DweE4B+mR+Pq+rJOUtezjCeK95rIB18B6PjmCvKNXW1PgCSzwr483tAm3 8cw158fY9h1fdKJMUYxuU+glz/GlH6M8GhgGAugkvBLWmK7LjxV+JItXUEabU5aMD1I2dAGARbWM ufSMLE0a6o0Sp1w8YNH+AckFi1jnRdOs0dvlKyR1lyUi69JHikPhVUBqNxL3FHzc9CpBnS/ma2oI +Dv/v7egC91wPpqtyS9eYs+1W/d+YZ4RE9FAmygjAo0wS+vN/XlemTgIf5n/dPfnAYShsbGBFBhJ jLVwCnSwADy19v5TylKPQ+DzV+dSVu472u6HEXQIGBiAoh50Aet6WQndyYsl6P8V+AxsD5gXrsJe jrW8w8SZ7UCq0RJueru6GtiyPEOkqNXTF5+2fnMlacfRvdiGZBs4NJ7UFn5VI3X1/7pXauThojfW S+IgEB/euKoF4LfJDjDTPnBCvkD89M1g2WFF/7RjXhGy/Q35ldDK5vChqbbZDEd4cg3TMfaXYGVb U9P7L3Qr2tJH1fFoOeyvN95k88vThTvofu4j3ei1UCTl80MgqInxrFTvONJxARiG01GU2bpXjXVi LO5uaFCkO2BcD1Jc4RkdW5L+Ozm8Cf+zflQrsOsDz8mKePgTyMfd975uFS0JOZZWrj+XlaAfNSMM 1m36IwUHTFrCXtrXhnGXblsoJK16R3iUb1VvQZ34dNVjs1n1E4/2OOfVXWHpltvfxbygUZHxHesy mGzL9g9Kt4aS0xsq3hjBmhM2IXSQQey6LKhNy5wFBFVgx7L5Juf/me1gSRsH2e6I9VcDUZG0bXNb bAzNT3Vee+ZTyFH/rBUwRG0tHV6o4AQ2qhdqHES8pxxc9h2a7TyRCsa7bno0o8wJzsrIDywenGV2 KXSg5u+dANOC87gxSSrEJzmvb2we7DVD8g30q/+5pW1Iwb14cb9Y+p0wXK+1jzmyvPpWVwMLZSbF 9wJV+FQAq8uL49027f9FgK2VXnk43doFDBR3+lprxamPJ5jJtHWgSO3xIWzr4k5efsHyoHgZ/ReD Vnxwkdz9UDYGH76W47PHtMNNHfKrBwXI87Aodt6RvWOUnuuhqejI4HS7CUBXDolPlKKGBsvBGxgX 8Eygpkg3vfkbFnQhc2jJfzUw9E+uAZcwlXTRe4nVU/h2YN6JiKPx4C1JN6wJZY7YyrzkmsfiFhgP 4ovwifPxaLS+ld9WoFpMMznjwyUY/DNxArkScDVQ2CvpttETFvpjIpsQWPdH37uSX0J3JUjv2CR2 oM/0X7OPFYSIHBKMreJYiB0ZraDEYiDDgVqLgCH0YYm0xw0ldY/d+1wdC8ZYtocCn8DjSTZiv6Ze D/6ADX+1DfEzgLH0E2VS/W646+8VR0+s5NJ03exSVsi05a2Dzh5jAX01Ej+0orEbuaLCD5gLYZn0 +o0aXcNomNQa396+8+msRBxKDHKtERyZFZieFv+7/4MClkfN7pOxrW83FeEdk+wQmB0ig+urYp7m UUQxLzOG7zNt5t8+9XhI6sPtU/zY5kQlgLkuD5pLE3QmEerj5JwOOtBXT0U0zidHAvLYtzUwSqj+ aO6folcozoEpNKuCoGmb2QYSt1hf2oeqOgQ95iuCNH6DyNsSooG7sPodbw0lVIJyuPMuinkUnSHp NytD+6Gixfsw5YZBtxyn5pc/Gf7pjmwzpCmIHEUGmZT3C8f2g3h6egKv5a4kSpR1TM3zSOr18LTe 6gjNEWomhx9+K500gl6vNjtK3rVHN9ck8UNGl00F2tqRK0GAuu0ZPHgPf/9qPINPwuOH/Qiy2OFc dg54kVAFp1qsuQDYpKSdegliqRc3/2ZrShTsDuZ0B7OKhg847Gc+xZuX1Y1BA1KpkQ1fxwTin5yd H1sHd+36ZzPUR0YxDSeOuPNPZi5EJ/pzqplWL+NxS8Ba1x8NsR0E6bMMt14sTiM80wHfrcQ6JQ3P MAwkEofwnZhrbQujB0V5X04HYoyOl7W7meUpZ7eC8p7qt+efiVv1nhEX8gC3FmcPuUNVVN7dWARQ lS0nosOyJR2DuritqC9TLmiolLZG19DmBpTO+7W9QKB+E4ZMWWhVBg32hooXh/TKsTDTeO3T+1Hf NwBnALpuypMtxE2+i7fHWHhFelaeAmF3MLR2PxLtNCqBtLDpilmY1BiHU6FajWiiDKLxeXNkCvbu UGfGjRwVYSWMxbC8PtfXAEdAE9ibUoGcIn9B4MssuH9++XdrMN0nciPAEhiNZ4WyV6HO8W2zMJ6f 9ymKxSJui9MDHJsq5rjb/LG1QU/wOUApydPiq8f6+in71krZgrPQIlDCAYLnSBVJ0bPLUE8yoyg+ JnQdrq3hU+Z5cVLV4NJ0ZU6Spd0lCFCuzVQBrzLM/8h2QFACv6tYxPFFTehmaCZWwXMWBIkFBJr2 ZgvDoWfj4rD63GnfBJo6ZDtVYQFISD54frMYdMTyEHksPls2W7iIK75URfHLMwvVG1G54Y8vMe0p ZO7Et1cE+2bpsFTr3+190+DUnQrSXE/ERjhfQKDyvEzfmXJd/7OFxOGheR3ziJtEOa9sA23s/6Qs Mj+q4Y3htnGfZVvCelaI0HsaD5fYMxVpmViTcxMM1bZE3w4p7kvc/5vGpmUW4hDuXufHHh5EXEMn 5kG2W3y/Nsg8ih79IFGAGyedVzzOZr44NQi6rsRk2HklojwJyXvSnOcFmVN8ocKDSxrOWTkaI9Gu VqPitUhJAy4zy8VOx7mpiHvxgRlZs6YfNj/NQde81UOB/zaOkecoPZuqluOgFEo8Rp/2c23TPi3s XA3iRfUwhlszsF127h1YtNq6L4WCXXMFdd/bVQ0j/QMBiB2s41jfsRmNM4ik4VbeITE7uWs/yVeZ eCq5ujOwKk08no7T/e4oPF5btAvrJQ9c+8OQhrDnCPSie3aZ38Kctvk4JejkbhXgY7dBLWcAKD5u GWu/mzy9q/BzhABTVR7WtGxdmg6c7dgnFluMwDD5VN1jy4SI04kCoWQgE4gt3pGfBW1CcYwfaLUW c6BS5CAQzhqt3NEVkNGWRW/gP3jBqsjcw7XOYLWljdEKd68wsho1XJQWEfag6wSWPzcqfCaSZ/dK B1UBlhd9XJp3pvCQ/LjAjUZzhCDFlbfl+/a/kQrURS8aTyKpqUofxAdKze2r5Xfx0ZKjUj/6a3S8 3tdt6N93Ah6z5Xl5vHzxD6DSJRFkbyE26XzAFXXb1Z6cjAo+bdEJYVGAbvQXW/MLYU9N5xnAdsnm WwHPYU+SlXA3M0nGqgGepNX6Vf4QpqOsJaPiVRhqr9k1g8oCnqXIpkxN1gF5XOtA8mbvAVFvqyfx oOlVxoMj7Y65/5iKeEk2Ft3PdEA/dDPup90kyXvsN0JUykeSm96PWDtX372zI+KWHeHn5GJNtsS/ 7lZA+su0snACafE/TxjIA/aCPB2qc24E2rN9z5p6EwFDRIJdGQfnbBDH7HXv+vIzmyccbJmMgbIb QE7MQWNEtLLOdE0TD8a0bwGc1CBrj8MZTar+wAQ5gxTTM76D6Oq2D9NAwdsBtt4tDuqmuzuKQSS3 FFcORVy4QEGqxdWT/yYHgpe5/HODN6rZfWsogeYffYSM/IWsFSW3iUwUCvlsySYaQmyPCYR3dZlC KJdO/Qk8c+VZ8EJHKrUsaxEKNhPtA95ijFlWXJ2tavIF4GW/+YDFHOB9p8tgRny7Fgtv4V0bS8lP sKFeyjfvuVYfI+GOvgNllQBlynDE+CrsPtCPqUXwCUtz5x8Z1p1YrdfGaVJBztvlC7Nh81E8AMgj nFR4ReSpumb+PUKSA1g/09DngflEajEldSwl2G1dcdTKfjVyo+gNkdR/jHqUxu+G6sd2zEvHKuMV QMh2IvfmGoEn8ENQuIuLhh8+E/FNaqmmltBnqTgElb/sBnmyfV5UH1JQFpI8k73tEIhYfyXNsLlj od/nfLtjSJ0e+WOBf58yWxqAmU1q4jIY2ZwQzdVS5Hz2EAJg/yDnDj+qbqF7Tnkrcc02nGzaNSla lwKqErnatc1ASF9+TLsONczM1jF6+c33Lgjw53r1x7uJoJX+E07somwKDjDSEu+nUhoZVVbUXIxd App6puxth+y/H6Hi7KABZILQSswTa+hRC/jpBtt7xRMGNC/pPFWPq8S2FA6qeDdugJXwnRxtychZ 8m9lXkcoTnppszOymDQ5J9MNEBv8X5saYKy8Kd2UB9UCnlJTw1dCBj7MGYBaAXXPimk6xNt2X7V8 WtQmu2MvXpJT+eFij1ICjsSn7iPPmunhFwOrM+l7203gnWpbbCicA4Yz3f5RroOEOsYboL1jTQgd tiT3ZhS2a/od0fcKlq9/ZgSKxtgIMNBV6hzImWngSPqiW5x1ReS5e1ZTO/SovhHuea5NyrW5sHom WHoDmLB9EWOlQloK5tgR4K/DOg/06q3D9ug4j8+XIiJT6FESlt+WzHGeUNXQIbui1QHUBXOUe2bl dEHIT05pZkMfQ2VLs0xJ6pRaw48AnAVVv7oJNgPh8//wIwVgfALewnZEbqRm6M28OW+pWlx3u3+0 5A2zDm0o0q3pfHZGIEKBXs9/iTtTLFAor5o4hS4P5URuXcx9j7KY/ZyRhVxV9vgBL4K2Ze9S7a8+ 6Cqch9hoxxnBL2Abe71ZCY2WdmyLrgxGSXaXYMAXjjUqOL1eLSfZn7U1s6PDX2mEGimYJJ2T4z8J S4K8EcQVTAH7AQfb7F+uXGnT7U49lgIRXP/H1bFbMJ4nNdZ+veccpeXS7iA3AJhNvG7wAmlQmjgx gv71U1EVLFQzlnp5KWNyO0H+NKtdoFdETJaol9ZyT8G5oFZwosZd9RGq63MQVWnKoMIDlfZZiOq3 nvpLr/WFHJNcU06helQWUroOoU7lwu2lFcdbrNWquHZhXERYBzt933Ih+IzinGgtvh/T6m775xqb cNd87g6qbkJ+nIE7mltVUxTYKHdfFsiV4XFpPo7qiSpw+HSLayh1WZikEuqPLRz5VbiV4lRlteiS Wg5M6L5xegeGIfthtlRgIAza9U/i+wPwr6YFa5QuQTdopaiRqLDqPAV5V42omL13OJtXjgyPWr27 o25t+pJy2ZlgYLReDVDan4NS9won2p2KM+Tade25V37iGDbTXCCcKm3I11Ydb4WQ10D0AmoZmxkE gABADwpjT9NzQRHu5O9hMQE8J1FZjOyj+U9qr612wRmfIPVXTLMibCHgJdnTxzY7oq815+xjlU0r gAZPSRs7Ax7Qi0zSKk3dwUiJhBlmcD9Da38yIWoLSeWVzXPfMwe980aUuRWFqfXOIRZaf06Be6Sv BsJ1Bb7jc1NgLX1KBkYvzICey9AAAvp2UH06qErCpKd+Yf5u62zdw2kG4Ugk8RKr87zP4M1KWL/d XgH4g8alunAaRUDY3AD4Ay8DxUm6Di+7JUEJOrUj8pCb0XtubJzlySCye4PxpPCazR43VDLti/7X PvXtPHJXnURk3Feq0DM/q46eC1FRSu7dflN6QJ24jBz+aSr7r6Qn97W7JIEeWnnq1As6eLenA/3z jqPXAu68eDWHLVBCGOS9XWLGwGYNbFJb1KtBFtkM3bfyPC2/wWmussOa/iR+rSzALHBLo30KfwtO k1uHIe4+LdiVjgDz9j1QgYo3Rx2nzDp78eljHcbYoPuRSBsYArNRBDwEuULewRmctOd1PzAc1euB 6OXUiv0u1diAMT97GcbDw7VS9Bn/+gHTnX5mWdnclVtLYvZGwuGpeqWcTVV7IYCMurJ9sRHmnXhN 5f/M1D8ZOQl7tlrQ1a3ewXOf7wtRVjUwBwxKXPe/uIewjOuUpykR7xV2mj0bWHKdI41fm2AiqQgd yTnle85oewyOuhQ3A1kTOK/hT5Yr0JgVAED3beVKtv6LbRUBrKp9EwymHNkB8VPk0c2YdZ618dte SUZEEXvsAhpXUu0GWn07raXHxi4qqbFHko1L/cAFKfSw3lQlXpZHv/yY55OU4q7FcwCENUxgNqsN z5KoSFaw7PFA1aeG64p2+Kv8sb8C2NTC7CABx0UkxZU3XscY+JPwelPHmNzgHtXjyBpytgFzol/W 8/G1GHDAF6NinulJywYxcrmOV9+XBHiBv5OpyaVeUIvtFd1/Z20uL7+jRdcRGBD1kOJz1anezccX S8JXQd6z/pdYMZ8U1cZssQpYZkYYaWAxF2gBKkP21VJwBu9c5VmvnkDRxGpUsgiDef+IJ3Xjxcpk VU+yEO3G0uyitrTcDSpO/5C/L/IoIATDpO1+uufTT7GkM8r00CHsC7SKvCPHQvb4xUUy7/cMH8Gz E1Smakpp5QpmCZEuoRc9J8T/OtF07xEGjDTtUBSZu9ka7USyo86TmYrhobtpmY23s+GLYdOdQJV4 W/mFsh5znUM6FSSBdvrAuamF+wQKOjKN/JhZE2BJg8IRz8pKlDmwI1CuDuTMEB2H3ve6q+OdM5vD PYhmq0lhynH4CUqsKkJdKXM2cSxnIKPiJHVf4bAJq0CFcf+eIItK54S2ZUTQYVayUW3k9MAE7h8Q UT3XS9KGW6eQVr8khNeItajv849jWRLX2MabQcTNOd42w7ZeMOlFD4ZyjGAt3YMJakArtU94R3sS 3jSUtERJASpXCbTAYzj53BH0s/p0ZJtOGF4btCWF8c03kwLxJjLIl7P0xiNMFaMZrccvFWXclTB+ jBJvC8Dc95iOBEgrKrcncR0YBZGQOuLHkuWs86WQS+IFCzNIaJ9ZW8nDbhRKokCOmJ2vG19D/hlo KZpIEq06jjptCQJL6vwxFKz31O3Dtzx264JW9xYZtzkcIt5HNjv0Q3t2vlt8/cvTviVxzL8pcjSE OZjYt19TR4V+9fjvwWm3JTq0eNK/1FPt2Np3IHlgPQfPYx8a8swSihTiK6Ix5n9wiN7cSX9joT+9 YFZgONbzO3ITmm6FaqCkQvAfLtE2cr+DtBMsiNwCQGxr7FnYtt6FqcOMiuSj5O7AMEqHA/ZvVo2I QEzLepPFGQgE/7948jcp2io//9Ndwa41Y7smHtCWssVZUI0PX6AjrF0219h8GKFMAr9Y32+8Nhld RnUd+QqjuleYlH8jRj196X+CdzWViENWISCJklmTZpG4URH5A17x6NhN8UnJtQx5L3rkuzA82zB3 +ylPiwY0JTiC6RSQT8yePTJ65jkCrgFcy/4S1TLrUTDW1L2BlqPZHxDOD2iaIZPU+vyUw3KNZNLc 464KMHCIZWiOFPTb7KSlh74H2Xozq2jP5nlJBs4TA/fjvPruFBmzjFEhm7/EFV0EDNoi+VJSox4o TRTVTviv824IKncE2rkCg/B68oAKi+GObddKZqs0yDIApNHdzzoJyQi0zTD43rboepGxUfWF4BVX eJQQpIGCyrHNw6px7Z6/4OS6Lut27twqp2T7Y7jxc6m6uDfXLIC6YkdOHndMObA6CC4SJ4NtZf8d R2ZJ39Kc4cc3saHxxlJlqL6m02phGiHqUjOSclfjvFm11SfO5CXca4DKtQbeuPCee1T4VClQECRk 6QndbCzagh4+xvV8H5Ul8xSRorZGqm8et9Si4E//aEGnuchIlewfsVoThsYFsHH3zj8MBVV6dImL C86np+STGSs1MAZbm9EOvMALecD8kKZxga6YHUHVIHYbOa6qvVeEUUTSrsk39y0EZpaKaGmgwNFf pTxS7tmoDn2OWjxKlUMYvBFLcWmxr8VLcTKVuW1D6WtSSFX5uJVIzHwduTe6z/FD9D9BKpP9Bizl G+NLN/uycnYG8yGe1CVHNQqo39/+yJdYfG00ta/rOPKPARu+CJv50rEYtZeu8wxTiEKSqGJnBWX6 jcMev2UKY1A3iDvBug7inXf5KGLQ8N8cIZF8c6OhJwQyjS4exCmUGxRHxa7LTpzTX3jmFIz9519m BoXZu3tni+blUCu/YrpFCRYqiS0uvfzEIOgVNGyhr/CvWfRZVErUcgQyjKXADnOJoflwhEFpnDzA J+o2M90Tyx2ePD04oKHpLb8OLeNhOX1gjN7+182rT6bRPduDdhQOgh1QKyXs6VGYneY0yx0Li5tM 5tU0ZhxBxQ0ir9+VXhXSjSMsztMGjDUBx9J2z5lyAyvti3oA4dQIJnw7j/6YVrWrkbinMxZ1bmT4 HLkUDI+vdD60rE0gl0vcLwixW26IyAhsiGTezx+GQgklXsCeBHLck7XbJ+tCtpnN2H9pHkgO0G3P rY/3BMnI9LyQSM8dDMgf7P9AzKoHTJPRmCcIeDrx4zfOIcUffyNKlRpFHY+mntiqeooJcI+gyODl BxxxeR7pT2y8xaBbyT6wUQv8JgzHJnwZQdP/MZYJIi/QSwvQ4V2yBSMK5aG+1HReA5QA8OlfndXj ZGgHTbIGN2FLn/oUx0/0E3k+4pLYpP/J8PRtQRx9VZvPNdi7ncKaR50EDzzkCyr/K92QuSuPZtBf AwFR+tI3eEH2tJNPF/oKlvGQ1ChWlNJlPQRKrZTYiB6tKRDltEwFhYmNKIMnTGYH3b7YDemeCp1V FC+XSY6v2iI9JMivNfQXVHfTtmny79hbBSzkbiCnUpqGeAGeg1THbWbcpHRrktLSPdego4vOSoVV A4Rl0DO+gvl0WTYtdIF/LdERYWMD9c1bepLDw34VLfPEao7DxU+BSTwPN4lhgHo3nDx1oFuAeHJJ JibPstomNVtPNDbLfKAqJGTwXwLSZ0uaBx9MTy4zEp26fT0VHh2XHGORtj7XanFiwEWMGaH7EQCt szmA9qjZ5Fd7GY9O0/49WtA5pplOURRHN0Upk6guN/e/8THdHulORJEMOjRt5FffpMIbHTVyDjxK 0xyrCVIWpilF02LJA5Z2p55IhOa+AVmR3RwtWkg2NEeYal20+uUh2LM5ySQaSZZ0ylAEyBbnhw5m Sz43t0xGOfUqGRKL7oCL5Mf2CT/zMYSK+45gMtAk8c6LY6IVzYJ4NRa5zxbc11JhKCapRViCGNV8 lErrjFHAF4o56f4OsUvcxwk7rZSMVq28WOHO3WfMBlINQer0rZbIghCowIWqEn8kAb26SUPyQ7cD enPmlS5tzPiCzaNAO6MQ8BLsmDADG8+FIDKq2UKWYsyOuUNLvzBg1TRWZlWy4AwU4D+jpMPZNg92 t8x8q0cDG5U236KUgacdX4FiNLpYAxPTLJoNd7uWtTnR5RZ+6m2KqQ6+BfB2WkG3UM5KcCua1nOo dO6JnWTuUjNkGLc4yd4eMZ5wGLcBwl9dBOQvB84La1jstrTJ8ee91wUIijvszsateOz0H18756MJ BowgM080lQPkRJvW2nvo+K98iIjh5ik2H94nGcjJp5RF5J3uVJZRfwiJ1O1BgsFriv0wmdv8t39D +N7JkgXkjBquxJeVFnr4iUEuNG5dq986qLwp05qdIbxU2DX4q1tIhBO0eITKsYAYjzPKXs4ixIBr kMop2hsrvokFOWiYHULndlR7rYkF7ffzy9MDo16TE59TrUr7Cdew5Uo6RvEKw6jhHlCPxeWvPnuw QqHzjq/4ve+M0jPchTUue0cXuszrGaaYaO2lyEPjvAfH5hHgRPQPCVXPvjLDDLi0Zvs8xqR4VcDV 9hhSu4qdsSzCOY2BuV3KT1XSuCSDC29/zVs8+KWdOozZeEeXOPFdsO2/D6FoChgYMRwShL8AjsDP v91tsT2IofZ38TL2U4anLkf6SPqzsEQcbk3xN288TqgvV7zgW1aUrExtDFcIpp5eYmD56+SrSLAg C1yY2HOhUKaWANQS+TRgysm33Aq7DD2d2l3649k7wFACtRWdV6Z0ybZcWk5QeW2plSdXUTNt+iF6 eoDuiTF/NC1UNbzL+KUc8lXmVIZdoGiXzBQJWFhhYh+w/ZxaKgnKMw0htKOwk4iCAKRDmu+ec6zO Ozbt4otmYHD/7eLwOCygxfp46vfl+hVeotFAVA+apUNfpGGkeQ8FIJex2+F3Xhyc+VC7/KD1dG+N uTckG/wgXZRoohmniJ+oiPr5PtwZiZXRDGXgA4B6gjPC5aTV2FO5T3EQBjvnIUniv7/S7ocdwh8U lcNnUKH2YwamRLFGf7ulqqvNgjFMy8YBQyrXwnHYTZPLTEAfQnZ7epGsZzProaNUGhwCte8B0Q1s ry/QoOOJBXvTtf/c97sUVYZnVL3WvGwTeLnXGzsGcRx1HcRTkHLYkU3TFXXrKHLytHFEqt93d2UK 0EH9ljghUg2UGNbiUzcW02DnzBBqTjeWjdLbYcbcYX21ui6mcJ+lu7musScVi5Fi4vYgN0n1HRaw LYS+M5rIRg8GuMJu+Zfu5xeiITpxz76EbQNzDNUP5olCKWmy0ya/o9JXm9w89k7Mp6N+K712DQaP Kf039TM6ckydXmgeykaObpQ4bOhVdDCoQbkQI9o7GWQQkcBbI1xkx4eGBtZExS7hU3OCr4J5LgMu V3PNtYOg45vSaZc8XtRUVR+sxU783Ued58EuDhW3nZr1H1jB4qKGxAaSrwBfaiIXibSLYhlHyLAb ctE5uyPNiZ8KtOe0MwmfRrsC4uQAb+z6pJMqGHtltnLRLaugjqzhxhLsdvIbCkkJSXf5unrQOIsN KCdnUzTdaYT45UL118KKRTbkmEnJSJloSd8YPie+OnCeGbtlcvzVT1XaEci0o24EdiyWCne1yHDu NOzniI5hSNMHdo3HAsH2VpItc/3l1Cc2zrBwdnD8d7tQX0tFlB5Mx1YGftZLp77ArCPnyB1w0IAC A5wcxNjzw+2bj9pzOMl9JF1Fv0JLsBYQWQzlBJH9murnEJJMngDNe+cq5DZN4dkxOrnXkVq9/VbD yRsibbY+JAh3UWEcKPlIYTkmbcCVukl/fxARv868Zz1Bm5VXxBa/6i1/NEfi/mJjS04TU4S59rl3 yY/aUlyhKV+F/lVzw9HKUzIynRd6xnj1ghefFi2iYlAso44uqTb6lqsz1G0CXMvJaLqYFEyFenSO vVZaLlBOGRmICdjsl9kxlXZ1iH4d3XXHPTCts4tLoakRPkj6N80zOSpE4rspG9V2a9mCH2ELruCP +4shPkDdOLkq4WWGPCT1KhjxXPhSJ8lCgR4BBvqMBJYav5JIddul3sMftKu5lcDxcgAyXxVgAs1J iLvIQL5s1IUNKSmyUOvCMa5Kdsb/FUhVuvjg10naaQ8F+hQeLb1Kc8PrBwodFJrFiVgZEYvXZgkb OU3+Rj6DlOqab8FwhBq6AWWYneTlR6i0vIK2bYmnECOmqK5Y3aXTXkMZm0iNuj+WV1yjZjWJvJCd vbOnQSEFiXimtBLgFN4Tl0wFFneTzGEPVrxP3KH/uGJT31WXPycMtEeNwiTpEXH1F8nDkj7tqDzy 79aV3pcVJNUsrYOpb14fKJrkf+hWJds0xept7ev1B8VdLSK8Y20dZBlcCUxlAMdGgL9K9VFgO87u FBVNewrXDv+pvF1Z7LY1nGpy07ini3T2DfkkPQZAKwVydsl//ZgHlGHTEe++lXOXNe7O+L2mzb8D w/pFo+WF46KuqiZtg4kc6PsavY12Qh6H84ajoygio70L4YF/FeE54Y/kuS0lD6PEx9UO/TPWN0Cs 8limtxSVDvRlbpAlV/nMsKviZWqbwl6l1Tk/XwpV69Yg/BC8yvozeoYN95MhF6ux/ErOzAsHyccW kporr37D/C7Ss4MfA41EqNgN2F6j0TZU6E7bjg+AoC0N0Dyruw9V0olygO9Dwl8nSHKS2LZse4Kg ZFYhPNFJqq0l2fbQf+LbdEw2tFjwCe1MCv/NotXZuIJhXg8wRC53pmACeMOWLYiN37cwJszREBqo IpYrvKIGnmgZk5MUT6Wet5J9GalLrKAU61N0lSyakkKgO0UmNKh/J8Ue2R2VrSJsamQICMjpmSh8 ON+8tPARTs6BXptFNm7w1mlgifa5TIWui1S81DmKPr9mzuMs1ikt6rUINDDSMDg1pzFul0EgOWL6 Id/c/0BFWwCqcn70arlDH1ZjBx2UfDCCjE3CWyC1rS1kZcv92oWFGZ4t9Cf8foFQYtaK0Qke8eyH usNnWjbVKKb3D294kAjvC7cgA4/qUAKaYEq6xlSKIUdraawBWbhbpM1AKBlT5to4ZE/sxmc/6pXb Pg3Gky912I67aE+SsMhH4PrU3sbl6i0pz1ySL2Y3vkUipbk5dX5lkWA80Q79afLWMOx7e/vkTbEX /2Z/LZkZZu6xu9P1oQAVEAmyqIbqoUEJn5ID1iqZdQZ1ChPdtap+9frhyqHGUAsoc6peBvuaiSfm Fv0zM4+PdwjvySwTUr2CB+OxcfzNlcielNdU7WuogIlnwx8O0ZDDzFMSqCEI3pkRFdDCW48uzy4c KkoyxNrLEIvbylAo34jK4Qpdp40CcQhNM7t34tkYFJmCyYkbqQVJE9CEEboY20mtHmqOjnlA8fK2 1MZ+SgSMbukDunule7jdr3ziDWM4HQ5WRBhVYPSWm5JNE0xPkm0oWCEOolNvN64FvP8Ujap7IYwz xqDCZ/9AVPz8JYV+8H9L5KFgs9xx+cbbuWrgKEZhxtoH2LQXvgufAYNISz6MVl2wbIiEH2uNuOsI FZB/FHRer45Regy8JJn8FeBhq6mbD07gyvY1dw8OPh2UQZ3eBoino8o17h4YsMBitz3RDXOAm7hn JP0xzi8BbS6WYQNFI/CX7+sviDYyLuZYsK3Njo6WIrZmHmj6kQj5LAEeSjgqpbKKm/+DGD76809r LV36Wa5JKBh1zjb4h5qxwKBdGjfXrQX/6AqPNHUBbiJhKu1uEl/+oye/0qK4LvYCb+qEzI31ye68 Xbppv1RzHVw/RBUbDaYtqysmFKhNiIz1FuX8kXKXgi/5+VmOjQ089luK43YcmkjH5qc0dPxQQ5+W 1iK6CieuoO7ilHTBGCUP9YPXjsd5hoTlJqZTCjbOPCRAivLyDNiEmAOgQohopOPLfqq+8mmIzKjD uFrbWukfyTQyHPQjDtfp6uMQgypFasAQcX0xa+eRDkvVu78aziVT5jgfSB2aEYZhtCKmdYsBcW+1 W/LleSpcG1IV12j4m7vV3bKnfJ9hnPI+zGyquZ7JcFTeHQna4gLhtw46p717luKbJQZmU1r2tnFP iJ17qLEDu9F719tjQabL7+tdrH8HPM2BujlHIlzdtGuVph7GvMY5/GUdreABSdWbrewp67pKrvq6 XHXB9hEFcUDaDzsY0E3OBWfaZQYIAQSjgAHV8KenRs9zr4eNS0IiBQYQk8gOaGCq6U/qWT3CuDu5 IgYRcGZ5WOK708q+wxewOK+QS5M570uGBACMTHteqX5AYD600AcUK4ATQtWZeDiXF6mCLZxTn5Iy I1azsMVHFDdYQ9xyALEfR4/QSSSs0PUcD9nNy4fzJy0v1mJu1wlRr5tvoqGb1cg8uk3NcBHpe3tZ uIzG/HMbH9zP4U/WVD3661GKmx/FyxIDp3uu1JUYHdgejoW/gd8ZJGR8T2ggk8qnsHIpzOxAoW+2 Qkm0eqjNEbS5RQww3MxhY/uN7iQCvvUgPbn1xwxid/PlPzh0/Ssshu9YA/94FTLCThXLLbmaB+NL IZbOlLXr3UjnW7agK6DDV/bmWDiY9ARU5vRbat9lImTt4ZfAb/2hP8ehNw4iaFCOzTx1SvBiHe7v NfytyDxeyEY+M3O5Md056NceATjKl0sT4GaKalRqUpnR1s6/SstulftCxyVqqxcLzMnZ4rG8uEW4 usYe2rnYmXirO4Y0iO4uv59lfuxi+W94shOfzkwZcNDhpw0xARJjHoxGk8UItihR1LLoiRMWbX/U zN+547t2NHP8JL3MjMst7qtL142jUx4lX8bT2oGdNn01yKdpFWOwbFkMFtQyTxZDQtsuacyPBAkL 6NwPajfSNvxFYrTXgv6isg9MVk7ufxtQqr9KXHJ5iFCsKEClIZ8bdeLtSSrGOYg3RoHXFpzkKFxj /MNx6zCa8S7UAiH9AJKF5C6G2W53w8nfRbbyrSquGdoz+U4IdbyPLj7iSLWAfe4iEYypV4g3uMZp 6h6ypd4Q0IhXqeUwV/sJvd4/8+bAnLFK1wqm5quH/BwQ9E3pG3FhIvgNGjSxw2UTYL5pLwmfYjZn /yJK4QmFkXKyTKieS4oQLK9x8Fk/EEbvKIDntogoiELf+YBxSP0Qmd8qpLCZGZiGvE7n2eefM/IA 11WTbgWP+9EjEAGhqaAR0o6+RFiqzQlsuk4nxrxwM/VQlVjRvogTwbGBHCQpOwf47Tql2RO2wvAR cHaePgb8uIicswGzeHSz85mn6FHTuvU0kHThgDVlYjgfaX85JUyHqQcSHx+4VJtfRF8HRTkY/GGc 8hP6qFNxVzsGAYrcqebSFC9vahAGLhsfFcHW0dwigWNUqWpiVs4TvMuYLrllQjOO0uzrIXylJQIw 1GWKiZgud3lZxesyh3mOM1sCWVPGub449dhTC6miV+faERI8ppB6rSAr45Vzxu1lZlo6iSo+dld1 1ZtYvZuNCTQqdWkQTFAKCeKspjtA2T2YKQIh0DVk47MkTevcTpWL0oxIu47mrAEK7WhZ5uulX7Ey nZu49F8+bGhRqy8M055/TZbG+15DO6on9nN6krDAMXnvkDUzbT5lSTzUy5m7mMCtqOZe3Jzrxp3C hg1GE4QkbzUonvEDWzzL3+ShkzcrpDxlO65muoa6+pjULOcdieArj3y87ceyygAyKy5ogMtBKEj0 ap96zBIY5PUcGOM4WG9mk0GtMXVpOK5JqYK/ZdyKKK6QzqnZJAJyn7G9OmCRNkoFN1cZ9GZJR3Ge 2sp04AZYuP85UhiqM6F7opBm0E7A+2QxxqlcPj6ym+RXAUML01juBRGLGpMRORs42VNK33WRPl9s P6a+aSpNBcPbhbRU9RChD3XkD8tH/w+R9JT6u96fNokCbdLhPk7G8ppqN3tf0xxuCjYcRQxvuhjr 0uYdU+WMtbDd0oHrsfakinHoW6Tv6/CwDkFI7C2XqYG/gHLof3J3jHR72zV6nTL8B2+kcYLnfv4A iftg603kto+lol/zuKiE45gASdugDbbg2Z+tZokkyYPi3Gi0aF6l99ptcav7Ej0TugeUanwghO/6 XTtWRhFB4r3s0a8vbGHIZJ/1VDamuCQM0bbaN3gV694J40ZIASxPcxLxERtnFRwf6+0sKhzF1pVm hvwWgZW1CVy/vHwq2/oHL71WHC3zCQdve2ulgpEvz2EULT8x69h7huXGTuuzxN6DNYrKZf9AXoDj R+RSkM9wb2E3JeTxMwTtJUnih/lYthKZ1WHImF/cABj9/UW/DjmOXkyfie7tCT7mSUfBQ5kc6S2j /CWTbMtXUJ8IY2TxJh1x0rjyYf6PjUjDDUcSvf0uXctrxpS/f5e0ejn/WFA4zPMv3k8RSQBIOSwQ mUJOXe4Ku4hm3PDFUBu7DjDoN14eS16cWVJygRgwp7H6YALRFEa0CrmbbMBpnJvnUnh8VJ/Uq3wp snefiOuXY6cYIPAHeC0nx0fVAyZXTH97s46LLXQpkBi+c7N3jqRFK0ySERds7F7CuF7ILwKB6HSX a+Upm5yurDUANeYmlys7DmnT2JTUi8ExiTor+9b4GoUhdF8SbFAuVcmHNdXbMOqxQzmmptoZoCZh /eTosEI+X2xqxTmn7ZElIzMlwKZm2hEAfMn4uKlaKIlNoi6NOtQWYZghwkyjvXGKdIaV933hs2Tn sXr7wxNsNIB+jlyKUS/qfP9uWI/P6KXCRcagkVz9fDrCLF2y6EE5OsVxvXB0tX5lgfjZSBoX3Ulk mwlXfOt8pHQ9JD3jPKqnLCDuz2hwv1Ww4iD1tAZRXTJFMYM336Vh4z4yEXAr65OHdAtztJQ8kh+/ M9NaynNcWMHZ0Rd3Fu9BND8d2Tf9uA4noE09CwtzbaHPH5/fQl8emc7mTwgwiRIaqVcKhp70kBGF SeRelU/WvSkBXkOHfD6BVofFa4T53AbJXk1gwVXFeW1bqMEI+9DPE0t7wlxg7+BVgtruLoHZ6Dx4 k4F7smyjcE8CTte2gwdpXTqRzFPmK1KdF70BkJJjC3hCWGs8WbWuuivQzUuUpERx+sDVxGJdN9kM ytcybGBF/xrjopRtL/8KaclzAKJORjtYVYBNi74WqVoeRnarZSGJClPPImi+LueKEwQ7h5iTIP7G YW3JFMu/3vAM6wsptMIpV9X4/Y0qLjd45+vATodN3vOue8Hh/B5FHtsoSJp0gboqv3p1fZJPeN4c 4XTi92WVq55Uo4YoCq5CoKitFZM0qKaneHASFJ/NKVljS5n3uDaRpzpT2c5ca/DD7eXLdVUpPZKe srmWjBDsGH6DmNNvHJ96wmP5Y27UW+aDt6pUjEYxziCbjOOEY7QYCIOgH8E2j9iz4qMwktJg/2pQ 3UvxX8pVZWmiMgUmejMx1oD5SQDoxPQwb+QmNAMsIbARllqado7YlJiejlmu0mX4P+zlH5y5K2Sj abP7VUARTal8/TOTSUzvKjb+/vjHbatai1CqqtBzkPRJCJrYwcXlSkYwsHiuaFc5zQHIxO6MIg5x Ef+vIT/Jbojhi3qSf1y2GbYdwT86KFd4i5TUGJtT7+7HqIMLC6NujUZEP8iH0CgxEJleHeWczAsN vr9tWxT7MUrmwdVzT/dqyEmIKfAINeI4Kl1326HZbjNZHY7K24J6t2/wa0yR+zhHNDj6aCVq1bb9 PUOHxtAu3VlYIV69D5kioDkJTahNQT5Dx8jF7lseXCS6LVduC29miUBaI4SkgCte+Yc3S7csvv7b IqmTldpTtcI5UjeMwCQooHhS86R/7EKcAKvfmZKOsdEjBSXWVtnYwlxNUDqMX78SCGRDZ2InVVp7 PyN50snJtGWqzl//UaWaz3ffsjmBpYNZuXXS2xNwGraSYrPaqVSVsLnKoo5HZxWFSUDjC0OyO2so 4QBkcccwMl3ZgODFrd8VPYrXw+t1yuLwClUAIoFiaa3J7rpNINV/Jg7KrDXhUtxCfxPEJ7lQyhDv 62ufZR/ze5nYOFJmwN8UWJouVnArJX7xSFtqwOCVWoRnAkjHMAm5+Rq+t/Dm64qgD+3Bg9q649I1 dKi53UKGjJQWcxzdcSyXc2DYqptde1EXPRvfWKBWW16oUoL+wLE13FymhPmJq7qsBqiZUxk7g8G+ i4PFEPygtFoXTVRAO18Y/6x9jqYticeNDJ3OZPvC9hO/wttz7U4k+/ukXB6vHJ2KIO4uhEt/eZoi m+4Fw7Rt9mlvmfSkNel7KqMq605EhF1BFbliirNpogIv6qF+C/+N4KRVcrEGnBTjUbgP2zrL0+hP 8ZuQ00H1TTuuw3r42bG+xwjLjR6c7vdNqWSsQIMVC+lVmJHJISoiHXSXRtRx1SZU43SSVb3Zu+7B NB9tEONNphLLRFxXv9+w6GJikzr6Zoxrvd0aa8ExZNTwJYGdpaRiV9hLbPGgm7xxAfKJL7Cpem9/ W67PG/7EUBJrLcSRfWlEFKQcs7fBZYw/bZDr7XP4ZxOGZuCHu1/qRKDruO3YsXxvHCCu8H3LFr7S HMBptOdZOhU8ANenRsmkwfmOJyVXdKzjDpyI5cpyE9EPSs/CmqM1tssghDbWi1OzUt4Vz0UsCmor fiT1CeVZoJ5Kc9u5KmQ7XC+CJVtnPtQWX5EVyIERbdWZzF5kB9sszbOk9rsQm+gwKpC/0tVncnhd u9SKgCnb65sqsn7oHRqkaLPn1aQqTpiyTIhdCBwc5/3Z4j11eN9B9DkXKUuuTlxYxKA6FyL3mCfl kgdH9b4hysECbvQ9E7G9tf4XClY+hJmaCcfcsZQXiuR/ZQpnKNMZcepPBhm86BGZl1VN5C3iEHeU zf5H3B+u0BcRaN3AOcZo1nw+YX9Fz0VN2+COa2zYuBjoWyXrayfoIYv2wLbn2/L828IF9EznTUtu R83p8+Ufm7ZjRcG81HJROLgGS+/3SPUhRQTUyiqYA6EaJmkONCvSASDxlcZahApN9uLGzHLqyFrZ wI22m434bhWMiyxd5OSJ3I7yr6dVlSKS3A6tPvOM+RHfr1eBHzi5P5NIJDNZpPOoB9R6dkx8AHd/ QS20WE7CAs8PpJvVthqmJAxcUtaAPmb4kTls33JvfgPeHbg/MVYqYLreAUO81O3Y/RLA7qIyhRP1 6E85+NOUP6jvPta1t8RfLOnXUOI9HCzHbav7LGpMcHkqlmuTJ3Esesl95czZF/7uxFQULBJgWaKM yq2VxPkBjuxDMhIwz4hsdy6K08I83BD6T0MbK3UuAqNLNpUdqBqu11q5WUct8cCRHn5T8p2QOPkN e6gYAl9Mc98GTQnRbhhyPsFGtk1nBBb4rfvsOHVmPJBrfj/i9nel8jGCTfJyDwqaXj3Ir22HXdzL Q6h7Bh82g/6PTGxLEo99Yz+lb17i7JCih0Hcp8s8hJW4dYoLmev1Uh+0tpyAIG779NPO1Z5RF+Eq lnWZyOHkvvp6BJp8S1K5qKJWOZmmTeEDCJWdUoAdN+oVU1QJWpFu2Lp3JkdvVz1O6ZAlRVMSwl9o gG2yJNN0VtsEdPCe/O3R8QUxonIYGzQUWscJ0GYhXHVHkke2oUQqBgKbO0pEullgU+M6sidTE+M8 r6Q11xOLpe9duAPXWsphMF/hyiGP6ckEC438osBErtAKcfpSiD2F+71IpQCSbHBZxPFLSFCtrYop ydFvRjLNqZCvBy/8L7b3FM4C4CNTpKcKgQSMkeJahkyDUkjIlBwwy49EfZQ+dRez6PS4qIFqXIKS 6dbicbzFDrYQ0MFj9+E17561Z9nzzTVe0+Ok4/fwPWK7Vjof2aesQPg6qPqZvloXeRfIqUtG51gz aVSKcLuo35nrf6qtqrdBuBprf3ngudoPY73VAROEdvbGto4y1JAa1YNNMy9F538cpTvdxP6xDiGM 1i0WWxmggGEwU3xiSIsS2XO3QUt2LQ82MOsY4jjFYC7ggXxw6w4SaLEFLXGHF+dEke2vZUaQLAtd WCqv0Tnj7nStRNLhVR8OzgdovRxg8nwEj5yJOHntvnUTleS6zG8YlM+NisXRUo+EiYRqCCc7F2DV V+UNoUGUAi+mPnG5cvZ9UKrnIYfGMtFdFFWkY6sUSXM8czzcFLA6kmu6McmjJ2Hy3qYBX3+q053T 0TTPYowLgIiYVKLcV7LZFdg7RlxhpGf2p38hZD5szsRbkR+2fjjrWblaq000wvkTGqX4Hlfnn7yE 9akQn5SnD4Z2yvE9m5WubWc7VIPJStuNIBPADcZJ7zGdu6g4HiqKIobZj72rMy/5HB5C9dR3VmaE nZO5mezc+rVm3T9Yf/jX+S48gApAJNbFVNFdMeUct4/A23ps8xOcTSmxlCXMfV0QUSWqPION7WTV TfZU4j/4DmGcF8qRynV9uLuJVsHoo9U/whVj9nptR9EblgTRCgNULl+O3yDsjUv0fGEwKG02zcii VQp/j93DzqhUWWh7CP210igm3aN65hT9HhN0Znca/hOIunWOs0VOLeWcwZkPF6mR91Vi/72j16u3 KeMG5zNHeGRZ2hD6+eWhVt2BqyfDmD/UGt1SJsMlumM36kZB1eyWaDn9hH01Ykw+gwt2wJ2fZ9A8 LgelD2U882+KS4sDGCRa4s9uMJu4YdD+DK6j/0J9PAud8gkd3Q67IjADq1no6J5Ns+G9s0kOWNwY MzyWzKfvzWuZawkF18S5euIYWVHhXNI9iXk2F1B+CZrjO/DoXwpq5K/YDn1Q2w1VKGqZCcEHhh+S 3iSp2OzBgXjjwxWFjbu/zA5fBsXq8/uc/3CK4mRs0m+0cCfGosbLYQavAtPxD/ixgfw3KTL82wpz /iN93NhiN7NiDoiQdLsMVWVHuWGrlALDduF1jckrNaYq3GA9jWrZVHDTi80Vy8avyNIODo89gi+7 BAHPoE/UA7ZZZLV1pEV98nn+TKNInUzArkI0JUlBmTs306DBic/QDKI8+skGmVpVqp+S1FjzNHKf DU++Rm/NYB/aQ+wJ840TL3X6zHFSjOefBfOAUya1bsZwzUewi8AiKN/baDygpzWWPyzhzognPrGH Tq22A61q77OhiEFCv49ag50xYzPsHvj9KordOZ+ks1fnOtbebmQ6gL893I3e1tD95y+QCr3l0UKM 2ZRKxg7OHYozF8cL2shm4higNsvIJ1nxft/w+k3Thbqekkdk3CJ0ZNpUG06FlCHWP9YUKdkwtxyu qA1xSZCNrJBm443s8fqvbg4PUr3YUQw3ulIhLwtJSK2Zkyrl15shZ8VZp0ylj+mVWdbeii5FpjWr ughGMbWbmYjWasrjmoD4VJdEUS0vXfFWHCxHznoln0ldhxo+OIpKkicUfmtgrH6+YjMEteQCEgZ2 qXNYeCxSBaHbaMWY62QxaM07dAvToCD6s4B4DVkyzWtloWx9l7Sft1WcHxFz6MZ5v1zDE17/hdXb DUnmINx3LKCxDFpegPF6OaXZHVWCHhTUyxAkRSdts7QOawyDJk/gJubo8L2ZVwWlZp9Kn9HyOgHt MbF8eqPRs6yFlHpAuMutIBAZZryAWwlEE9A2qajp/opNHVBwIouBJdnHHA+mja+q6VjoOlWeGd4b lvJPBTWuUQzSP8B9mNGx/SqzMu2mfwdYNleYeowoohJBfxf69UMio916GNpfOf6vNADzJu85XHkh w7a2oQNoGo3qqRKnlmxhi32ldFjc9gd5FP7FzkYhjTi5bTChoriNlxmsGyO9vozoDbu/j/LYNxVd TU5qTM/7JuiwLHcwwlEr9bWBnzGyconv28ZWBruZZwisn5E4lUOqEU2a1L5q40INYNNswbeX4oMG 1s4PVvATKK22uWUARAgHRU7cEf7VQKUcC3tXL51Z4GmX+Wlac+gy3ikYQqm1zXRetAwnFljFrWMM 9OMGDwfzY9lc8FGyFIa1rt2mJ7b2T07Jse+j3Cw7LpFJNyyC7u07RS/qzacI0Z3DylTvX0JdoIeP AK3H1/GqMEJBZw1tXNKyQuLGVgDzSy2uFDkGBV5F1rMb1Q2iU9IZqqn6+5QbPz9iUiaJTD15uD6m Bega5STQtWa/ccULIVIW0v0kWtfC9DxmL9vynDr/0A9e4wzwq/UT0MWb/Y2TSYu3dTQTCGOvixbv anmTjwGF9vgWD7UFs4BA0UsE0WlgSvXjcV4hqvd/kC/ciz3kxsF1QcQIK4F5Ez/PClY9z6flX38O a02mHtAuRYKnx8iF9QN/+neVGrz6RvYpOXtPl89MR9pm7h42DSmAhFk92B8FndgVRWElKS3cLXJc 7wX7REKkxdh2VZZgIjpBtdKLMZtWmkXMtK80g27ErlRzmZMdnrnGGoUN0PLprnmGEbkzRJ2srwtM 2ZfCPetX1GQJhlhsKuXER5RLd4jYtCdX6Z6bnORlprTTntenwjZyDRZV1d+FmOswdjovrqAYCrqK HZWQf7+9btMfS72Yy1bLqqV020AolagGsq8NYRA8U3E5XgbQ9owYY703jeDDt1LUWAYsfZLYq5/q Ssta09B6R3tLl3RhXXUOVUsTLFoNCvXX63NjPa4Ek/6PyBkrFXI+nyTBDbAcQM/8FUUH6oOH8z9p MSq1yi4xHSUd+N5+osHR4Yq2ODK1H45j5+VXnMiI2S7/skE8vKsHF/dtkJMVAavJQ3dxkb/2SYPn byY6aWn/+JWhnNa2A8bRtigM7a/tkhyulqELRZAw7VDNVi3RwGYPZ+pYFLdrnsHA4VlUjPUyBUZY Mpq7CmPSK2xsG5ljQJwIao+eRId4emZDm9mMaoFVJ6eCNQbR7jQnKF3tldTk6s3nnacQg6rO0Mzi Q+VkYfc74Z9oBJKgXLqdcPOLM7KMbXUi2gxMI+rcL3N1Ht/aF+UZ52Wt1R7dtUTGSSDGdDJkMetj fcH7NzGSUhQ8czlE/RunbiMpfdzrFDxldlBMg7RzZh+OYpto4b7byzBZCpo+GAD8xrPLZBhdzLm6 CvWwI5rOXp0S9UcKm/xCEKDjxfsXmJ5BcWenPXl4hLDB5W0sA+Pg5GWSt7b76IaLsg0iOFndT/fE cdn7K8VM9hLIzA5JBxoATuOUMEHxXD+lVJlMUZfxOSHdiBy1FUjSJU81q32H6PiRo4LHCHOwfTog vHanWdw4nyRjL7O6pc9EWXrE4aqj8wCbxNpqs4PSDZU4nQuJj8eEzI1yix4rBoph1pQ9ww4I3U45 imouqwPjkl/mxPM4ihqv0sjB6+9YBCGGBQIfFelqMQTpqziB2OsgvHavtxyeZMZ4yrLGD+7wbVgk V6SHwH5CxPTn4IHCKycvRKPl1W/yW7v2LfZMA+OSMKKbHsacS7kgvpYcelwrTzJCTNMZF4amadZB Evu67t+Zjd/nYmJzIVt9fLpYeqbMyM2ynn2bbRQZSqMMp6cWpEHrgrKohOPJLbgAAxdeFw3an1Ta DqxccVfKzNUncIL1v2SdX6cj0qNBazbpCgnHyWITpMAwJlb5NJQkMwymSJluLyHaBn6YKSwdnU5t feXWUa37TOwih0uYjX6WPX0tBApudM5+P6Sa0po7emOTAzS6vk6ES/EM5E8HCu3JfX5e4pj9rGC8 7a47DLGdBUCo1+YlrJiAWT2MbHjbXA0NMFUl9TNPVgHkRKcjLSCDp8cZq+jk9GM5ncAKORDFLfGk 2k3HdKnfvC9Wr1cfZaP1CWGpfgsVXwmePhnErDppx+iX26Jck97gTqmcSRkwqGdwf5yzPbwEbaty FHsZoF8BOjgCpQyL8qRJ+sN1HCHLNmr9WJ3ShYEWMMW27O66R2dx5TzmaWpn8usEEcE0KO8cmguV Lk++w5z7s93Znpe+8xwdmd2QN5QrBux0b+5Kb0WnEBy5Zden9HKPlHtAUTldwqbzNPutSgdnspMt 3WTRXgtW3psBktlgjVL9GrcUIzvFU9ugPFK8AgzKSIhuTNsDDdljQ7DwQWLzQNomvYt6poKQP1lx yjdieRlDW3tonWUOzKjI/AeJv2ddP/pqQJDTNBobCCuyg5CW6ebR171wnMw0USaqHBUITmQPiYYw 2sAtKtJx68J0qYOYAn6i8VJ4Hve04c+ok5rE7AzlE12/JhLJYOKX9zqft1k6ozcuMEqLl5YQUCwa oBDbsXKlMbl5LnAcD+UA6zL8MN3WR6VsCqEne4HHoF1K8qoLik1JPiN2TgvTk1T1NUOvfFBXVw0K UxGEw7TMOUYV5yEk2Pjly7go0XeNdUECkX28/HcUYbGdlPj8TTeEqjigqoqlSORsX5YHkx6NfDj4 xxnd8VI/JxR1noAB4CiQDScHUc1UeQrOI8e45NHQ07nwQEKFvoTzqxR04SDgY9N909ufaDPC5I/Q JbJ2tofWtYMw9+hODFhwOFIq15ALZ05VUuXjsfFo/2db3aX+ric7RDRrIk8zLv0WlFrBpZGjtMJX HS7+n5sEsb/dyMhSSk+kZ06P4VC+o/I3aanhaVybnA1ni89D7Oe+sQ48fcYYjHU+mjwrLkZWEEGB 1QsGIaJwLhbqQDq/jJKgZhKY0KvsPSs1vQXdDt3ceOalOwv+9iKbSvVHDBO7dzyIgeS/2zfCudu1 o0vYyyzEZ1vjouIf0sB2O4aslcRYOG+kX0vc+eXqWGW9VIBEyoXJQQsS5ZVqFoEKNG6jHt/OYl4X nIXvaFqiJwlAlJRmvgB2uB+V4/6itEcXu0etB20ejwZ+4DKBzWWCmOfgMFCJCZMBT4IwWL5fAolV tBOs+53+zyCsTYXLp8989iImoL4mR8r8qaGUrEnFR3fZ2bi33bTRAtJ9aO/xPWATzk8EVDu8IXEZ FuLeZ0LjblSc3KLqH+uLSiZNKonfZ9mIt0YCwxE2x4MnMcxtNrHC6fyGjBm1giqZ8So8g+UiX+Eh V+L3rW2dz5egCafDMMGMQe/0vardpi2ypp/zy6fHb7+3X+Ua93OOL7F52qybt6Id0hI1ruAPIgh8 GO6Zdo5f8Zt9rf2TZtAR77t9JG8WXWy9uv+NNk2pVslQCFVgPMXQJ+6FYkWA0M5HnyC5ODV0F8Wu R3BX2QO4h7klk9VBRJo3IVAg2BD6JFBQxq/ZmoIlA9iueecHVKhDAMhBkwz8LdpCltnKES6LkzqX yBR+UEWkXgO64810D6iOlKo/ckV8t+bOmKvCsyAoXNnXn6nn93gCvFbcNtuwqEHewWbUJtys1qCp JJOBVykzwiW6UHqKlywmwmmGXUCong/q/ObIaRHnxgucL9/s8ys6TUBJRQD5bWrO9cKiMI2cK/eY 7sQ4FyGqi/29DBfwRh5Hih9beSZ/3wcjLji1tiIHkGCD4qfA03EKnS8akr6Vd+iNvYJcOOu8Nz07 TVlKxMNpiUOBnnzfvFxAkiSlwH8QkHkG/O4bTGsNwYb/PitjhNp8jbEH2fH0lZNDShmVnlCvbSR/ Xgdt8OFf0asgugNZD3X+wBnlqZcLaYeJ8RmGW3/CquRqIM6cImCt2F/v0Gz0fClAeZdagf8AYtmg McNWpPhgKyLgKJWuIPhQi9zaHxkkYliNz3prTGWb+PTEodcJKPYnXPMJ1arW4CzMAxwl5cwOQW8a fbasdDuYjLAZOHlyGw/AiO1iSJ/mJnA243ABhx4ax/Vm9cd+ua788vPbqRY8pxdQL5DieTGUJE6/ gZf8z1vFHIeiRAHrzzSrVbzA77N31+3OOtQFNd4+8bf8/gBeMk0gYPJUK6YqfeZdf06xfREcOfNU ZcVuBJeH5Pw31w/D0dn3bm3kbA/2wKpABOak+88PCPko8gVw+6JreI5XEl3npxjinMXFPOcw5gLF BcgGOSC1/xK5sJctMRHoVqWkfK2VnjiKHSmAV3WFyWcyDVcWsRyXHYyWjCF6MUawQ1H3JeisBP8c 4NxrwcvKSMT3ZyXCV9V2wYbYulmLpmNsBu+1KQPhNGIR2Es8ff3C15usoPHOSRKkw5T/xibMPEqS tigWq2eyHOQU00JlZE6dZx43laN0YqNUu0/glg1swaNutGOPGRJBssjdcBBKPR0/IMzkwCu6EB9f 7Q3znZ9NB3IhzR45GoNW8F1Hb71L/M3Tc6ir0OLEJxUkn8mbQ+Xb1rGOpZdeL04ZvDuvvNXKYbD7 jdOjxboJTOenFtIV2yU0u9mioHVuIEVWD7xtzWRJDm0xbwD5mrsTN8wF36Nnfvw+dFFw3yt88AQA lYTebMeRMWzZG8CgtywLYQSwdS/J00RkdyB4qJwK/jYwvYHVDD8nWMks71zvGLO2y9W3wmFOwKkk FfwPz5gLjWakjbMQ6zzw8mM2RgLn0V0RJwv6UqunQavvDwMUbFha2P+5z2+NwTVvCN5F47iZgezm Zp/EYA5aw/l59vahtJEdqPFynar7PrvHfxAlrCeCag+hezmWm2nxRO5wFIUas/8ln4hafBAdVwFR zpHTvYDPBXOXhXMzR9lOyOatSX/JRS8WEQWt9cU4ek92CYV/CjoGQWHkzZZsvqYYUlzetuLznXzi STapSBfkZxF4RmZDYn0YQeBG0LT1K4KtNUZ1Gnmb+Gw8u3P8AEFvIbcJ3eKBgLElJEBWnseDwJAl XZI4GL5OGr22EihaD715nlqpyK0p8T+Zj5wXmWoZvtLetqg/HPwn4ufJyDLPdgQhpQEE5U4dXfU4 E1QXK/LFAgafBMmKj8pe5MYJWMbogcGzQadko2QMCDPjRDJkKMkCg6bT6nwhwwVF+Iq4BzyNto6C 3a8XiF987LjAcBsgFRMJVPeYwtLNvTkMDpcW8jEtEW338ZEVIdg1jvZ2NSy22/HEOaHg2tYMwad3 SjuZB/OaLY/nuERhI4uie/PsBrfglE6cCqw05c1Qsw0+Ztfrm3ESzOlbn09iqvZ8a9Zj9G52RBCL K7gLHpD09PzfcAQb7HtBZeEVUcTNfZTEpOEJh9YQ7cTS5nco2LBIV3fWB+efviV7G8OJXB1yrz5Y DldezpXXKB3cAj3srmInLOQL3xzvloqpBJXOlW37WbKEWLQ3PsQ+903KUju3v7MyEur6Qb7Y/DDq siyOGQaZrRLrNbDwYdiuf57gZFLXKtdJ/Y4QqpFnSxzRLXujbxC343TZdvMrKHEaVnuzTe1C6vhC OJtrNpxgbH0HZE9HWTw4c9KpKdLL/3Sw8WqECIvTpoy21h1yTifOwaPAUavDByjI/3Z4dFSn9PQA cfuWOUw86krZEwP7XFXqvbS+PxZx4eW+A8+DT+Ra8dVeirJZ88KOmM6DhFDN9cZUZqdq6bGLyjKs G8vb3h+i5ITKyPLLJhQgaYuNe3Rz56ofClUjccLg3kCVs8+7I5lFK0J/hng2pF+QMfL7YVhVLhk1 NCLKdmUx6UHQqraOuPLK7euNqe1QjzMwTsrI41+h0wns4TRPY38kWOxDno+yYyVk6es9a+98eAHb YB/nVluXnF38pUj6rLj+94CzcICggN1DinuASG4cdYzzYDlrhbnshYfERe/10ayUTU2DK9NnMAng Q+ije+ecAl1Y2llQP1qndmh/+dzL44vDB8F3RhcrDu+eKux5LSJtrQ0dPRbvtdf1b054AY9LKH8X mjKamiusBTRs/8wCpK7ugdxA8aXrxQ3r47/yQ+66n+JXv0dCHWHdCyPHXWJiVpqM5bIaUXayHZTJ 0Cvzi0OB9awzxTVnUs1y5nm3NKVVUhNyuegL7N8rgSNxkozCZ9lrvdmFDPUxS2gVwc7w5tYRWtA+ w5vFiM7g3ZMzkwRaR1hP+2Lw1YPNxIRZGi7gRfO7US75iVduV87oEmNJtyo1PWWjkN0mBuUM829t B/upvZ3QMVO6w/2PYqMQr268/yLn3t9GHz3shA74bRdMcU+ZmG9mEonouSLWqQ+o13uw2wwdVBVn UA9zMC75S9ikhM+JQSfupXfPkc8czHn8Md/ABiVfmhYwbToE7PysvjzOD8WYmB1iB3qLXCvbO5z8 W/hFO5lRGZcmghKWge1i9PCy/GXgtPpM6wze90kfdF0pgzeOj8CiqfM58uXIEYQtLfiGlcxZk0UH Qax4EEAqQL9iNAyQVZLJJ54lJ+WELLJIrx/JK4AOVaUPbAOFu7rDCS66F71U4ePi34z8+QmGoF3G ynLg3lXRiEp5NMsJUhUHrAj4a400WyPTp25yn1pX6bPmLNauhwEm9cQT/49rMmkKxwd+ngq+wm/e Xlk0ZWCVpU/mR+SfwuTuX6mD9mT+FgAnHTgyM37wBSPB/tIxUUXAeR1gIlQh5lPDlHXuZVn/iBqL A/dCgjJ94PvI1B73D8Vg39ioTOCdv7ey7WdQFHkyhhqrMjqzFmlSoKwPlK5/HJPJAZq37odhDykp u0AofJx7h61JuML8uM8aF6EoUMGZsZPsHo1WzcExwS5WCW/3jrGSwfYlTvubs7jj9TGfhsoyglpb dsyTzosVe6h52ywPE1YiKnSqHbj0BcUzQ2gsy2qrvCajU1BwVb87F6up5xElILTwUnfK0fNFbeY+ Rc36YttQRKnU8utD4eSGg7wOTaWC6sDQb0BQizbIZ55IfHjsQbPKgtRK5uaA3Uprriaca5t5dOKK 2mPPxwwpx5qdwa+JtckKmN5LMwarTR6Gnv9ZzNU5ZmwNIaBGpRp5bxqSDkbUKZi9DTp3optlRSNg bBDs42yYVdW4n89uH8/H/4zgi8fJALavDHSNMb5xv40Oyd/SvOJG6qrM9RwHGnMZacCXg/9IcHvB 9KpSoAA1AkAaYAUTyUg1QOW4Wx9FL6F1umCkPwABynwzkI3CAfjo8aPerdhZD5OTKbaUW2q8Oo/Z BU+DcCI8719SyDVCb3O/J/v+LMI9KZbG+E82CmSvQG0F3vHYHSXCKWUDFw/dbcpKu5cus6ajp0xB GVKUJlRsQCnxiyE3gMP1jwP/4aEJg+t7RQuCbPyysAvyRbWQ60tBsnSqKTb5coKTvRA8lMlPnQAS GKW8YKjzQ5ZS4CnC1w92cjIthVlXpbkSBgoEn6zuSdEBhAYrzIWGDxfyK4Gz3/QYSVjAIc9ph422 GsUpiyl0XGcPzH3JwvVAGUzFFlkDFuVp8FSyi5L/Z3+a/9EjGKu/XDWj5hAIYq289Avp8OOtTmmA VpoAxo5CaigqI1SgJCYJ5E1w/HluDglRMavN1BHIT+CKuKmlbArq5q4I/SFoVv+5J9/2t0NnFyx4 zP8PBasw4BWcn1X34UQDR80DZ8We49oaIqWXN4LB2Sa9h6ZsYpZDVegn3GwmLhCLqmBP8Tq1yL/H lq3EMwDx/5gp/lqFBDHzgL1Vpw/8pTPyZne8DH4lF+vq7pDvkqm15HDD2RKjqqFOVg3IlRX04vjV iXz8o2ora059e85T2dwfv2g7/OayARSGiL1nJJ34qKwpBM2/AupfUiaaFeHgtasBNRHfJN2u0/Et iFn1o3mjuWHGMeWGCfq30NQXmp3HUS9yfj6XqlLFDC6L8z0AFAQLgQa42aTjKIncHXI7KWIYcWqG fxvzPL+RToF40G59qogoekdgEUrxkeDkM2gNbp14Jw/JdYPe7YRSaMx7dds1SZerKDZE44SlLmkM 1TL+ebllsFuZWrXWpgMvpJSil41muBrTTv5HcepiCwJYtd4zc1u0Muayl1jP8N2HLtOkaGa+hh3o aXTlv7f6gDcg6GzGzPyc+ajiKVY/ag/lIyKJbjQFK2HD9c1zYx5cD/2mFbtqdWOYp3k37jyLTlxj f/ytlCznNKM5cT4PC5PWWrsVPcumwcQuuQFxBv7THZKgkSyFK7X1wKTV8+NjgVyltjR/nwyVUdKw fcdzJgK1rsbNcv4HWJcmBqXpr6z16rPQaG5CUBluljCEJc3EIOlHl4TvyKKEBp5CVVf2c1v0nj/Q KicMC2SBlcf12yQsEVW65okBrsqG0cBGbyB2uwQARcKY89OdIRvOjX+EjRBuVlSPEDOXbPgn8ypW jpiXtu+pGC3+uPe0RIN3zILlJeaU7iwaU33yIUIVXGCE0EPgv1Qfg/E8GTAARk6SnNAGbt8LBy7k a/dxIO7OYErY8iq2Wlxi8cnqQWD+TOQXcU7LQzbF3RYeeJQQ40X0lwJmA2VVsForAt7P7jW4fGNZ Eohqxa5kxoDha4LKwY2xOgorKF4n++Zp+mOgQXldIhtE2Dm7GMw1EZPIxg3XrLSG5ejPfak+/MRa cObauexOrYrxbuQehJhRI/NmrlikASjl+4nlJBikumAimdyH/OV5+C4GpamdB06oPdOS1e8kvIH0 gLptsIV4/NYP0uEDU2khfp3sh08pdwK+yVG+J/Cg9VhrCVMhjGjJ7LkMzTNzJMJlP/+qHgpqcx0q RtcV2or5r/0HNUFF2p2T9FoAftdIe1FKSp49RRtVeKUAyXArDqSh+X20BPN59HZ5D0ZW379SxW5F m43zZhYcyF/OnRzo9EFyWS5a0NH7LvkcMl+toZ81+Nae969uBzS2Q2z9buUVjIZhOf/40aswRkMK IXwfc0GgsAVHWNZswnR8I0OqMbSB94JfrJnX3orSISe8mLOn2wCuUBcb0NRD4TTm0si0BzVNs9aD XihpyEATRfCPcrbLtpVYZS7+gOR2414kS6MiIb3I6eSKxZGF/uFBz75itSOWfWBVskSn9hPKwTvn r0f1tG89QowmrmqLx5xHtWsPvxdz/6Eoaw1khVNo3eh4AwgdfBgYW/qucZr9pifBr2+hYst2ZfWm FY3wdyg56kLy5YlgEE8Atvt9PqR8P3jO59CKi/Jb2KQZFWeV5CSHPW3MMWBGv//NIvNwQfe1Jv5Y THHpw31XuKCkeMlbtnIpBKaybk5gaHZ8W9/XeYX5QkS3mCdqJLOSmirF31TkcHi1gID/eFzXWWYX JPqAagl6s74qsDyyQvq3XUk6tnfEERMhiLThB7NfQd19gx1aA4RVSctpQP9SmqQQWsdo1CMcaINO iM4e7cAc588qjuJaSGMu+Y+XiW6DpF23ijYRBVajFNHf7OUY7R99x5owWafnY9f1aZTecmCnaQzE PQvh1euRd6TBTobtB1SrhdnG2pTTZ/X3BOm1iw3O33o5wYUlCUptqquyYcKNeip7D0m8V+6XrtYd yVsKDk56YU4GMkfU07jgghC5U41ZWsHixU4EmvmKr3hlP1X9KB6tD3Qn2uAmv2+PTdFpNQEFHsg5 v8ZB6OsgkoFhc5Lpo4tfNXpdSCaJdzp3ws2qyoO5svueJyglp1OpOLShlLKulkrEFUTvLx8EJw23 1QfqHsIwqnk3yCRmTFCljZgpPllPosOY+UAZqmpTydC8lwB0RlfOh5X1geMasyKt6efuCcMRcWjF aGnleKrHhKJ99qAlpL52uzJhEyF/siICzR8405xPn7Avr88vu8LQeGoMcccAnaGI1Xx/1dnLrGGM GSHYmyns6HQ9NweQ01juCU+OK1QEGqGO77E8fCFDwDLeEjEeKKM9sM6BE2wQ0iOU/n5EfzJ7NbaM 9wK8ztmTZPclC9lMqh7nn4MiMI7gjr1/QNbFllVn+VGQT486esDOYpd8vusQZEY7/WFlmpZYSHSZ JZiuoXl8ooEv78Y4o7YbW/WsHMYrOPnRjjtpMXSmING77ybkjiV3n7JS6YEA6VMAd7ZcNIqzrvUC ufmhuomr+H91XIunfzKACrSS/Y/wjI7mkS6mBZ6TeqeWPjZ2dpW89FlBdikyGuIy5UzStRYtSn+u +dtt25ETVoMvKekAXBLDDAkfstIpPc1fryg8UjGZET4IDCq18MmUhwCXHNlSZ7rRiwH/ICVQD0ID 0ZQMkBAMJG8okOtAE7WQsIpLY93OijFYtQl4ua0KS23gW1Lnk+7hBuHxHRj7Ul9rT7tTZmbIrNUk oj2Mb+n0UhnBdGbrpQYzK48p0drcSaoM5oqj5EnL8IpfBRmaPfy82tJKjZigOVlwpzl4wcbV9BjV nDpW3r+6g7lL4lvwROEfyoSm4/kghtZvqyEcKftMjViZ52fRR7Q5r7gXGfykgqqYm51gMFViCeOL oe0rwC3ilLdp24l8Blb6skmByviUFEHnAfCKnIvTcKgSJVwmJIUuf9fYMxB7EBc7WLdaoAdP3KL9 wOtneEpEsqk7kNfjHL9DsX5zsbh0jF3Moj/S+EDnyPJsgG528Yse+I/wfCbDiyU5cJFH5V98G65O 10j2WLfDzNmVG/2BQVmQYQFlUHOv/PpvkVs3VjsxokH37n1A/7RSa1CpksFF9vzNq6jX3mCnYbZB igpGJMwjrlXkG9+7tUQYFqp3o385dlI1OJMpTU5hmWyHEhxZJWMN+gEo6TQSCaDYLo3hEw3ylQBS 6NznMRBH7CJKMvHcvQ6RWOEigYlzr626XA8J3kl2pGN+S3p8XVynxH+1P+u9JwwxYKawTWjhKq1U xmaJN5uiDm5uWKpAWRrs9EezjFj9sTgJf0+gcAdPjb4drFwkmhphVYVdt9pD7KnMU0IHN/sUizDj ZfnULLd9YY5qqP/xJU294z5nExXYcy5Ll6l/NcGk+ooEFLhCigsAtNuBLGJrpWFulLIgAlJC9MFX cZR5/YKZA5Gj0BbOgsbBuS4ldqBVC+7F+7jpESAoAlF01iX9xoU0Z9XsGfuDsASq2IxGwI0Cbe4m z5FfE6t9MPyr34cqZYxFByeJl9ZnSjqKzipp06V/+niYmecUANUGOEymj3+8Nw5Ok40tS6hnloRh 7tffDNfUN5dH4pm6l9/M3z3ZjdR6sHrKKDmuF6XYykcXlFAZtN7QLUh6w0O79AIleg6tP0MgJQo8 wC/JSkuG+RJkDN/dMX4/teFFuFtTN7BrPUOBEQBzHfrp54Afj3W4DiA9rEI1H/U9vbO+QA+JkPOc 91rC2ZDXBwL6ZZ3rGwJc+2j2GpuPZyWhaLud2wsco2YnsdNF7L5BS8gGO7b7dmr8H+VC09p1jefL SQDpELt+pBVpuvJ6LfFs4CNH53aBkyw/anroE4X8tLNxDwyJva45W8jK9BJ2npdtMMALqCpcsPs2 B1QnOYc+KAoexe/EGzqo02fwDxbIN9UT+j9GKPD1S1KKhQC7wEtWbSOhNkDCIfEsjz/cUWPiKZzC b1Qq/sWBkAOIKE+rJYSWNxckKydSehzOX6pji71I5/+wW2vzuIKTP3yEgy1tp261xSdwIo//5FdI RcX0urtl3RTBLNJqZhseg7fArH6CZVlyuHxk6m1Hze0MAc7qLTeIKfwQjn0kiMTYWbLIr279r1cI KqaYExZEiUw3f8EL2jcl87WxQW9bKp63NDPppuFLrX2uZLytHdYk1BeegYZroXt+thUSSxNlLSu8 hS36du8pwk9WIwJG7iYMCzbwCvYD9MCa34Ee0rXcN+4OWx6ikrSLvfDqL1MqcxgUUvqFz/Lr92Cd cnIhrAomGI6rLH/gatd29zq5DEZt+JTcPx72t+R4tcMWU8TKpRpZA9utmUUbKw1HrsXUt9iH9Knv xmTLRvyf3LxXkcpboh4A5dmjN597DOUvC1+PGkMEj9yNBkHMHdTwJjPHawXLr21YUWYeEQDTz8C2 /0vtGpkiDsKJsnRsh0pDXT9e6+WF45UVHDiPcxjWe1vLR8H5ynRe9aE8C8Qdu0Dbz1NoWKvhBIMb ufPRu4n2tXVthnC5TS2gSbFsDJ/IZRORQd3mrKGhhhxm5Z8yacltT5CJBMRWxkFx04mNJqxBsB1B RT4mGcrG1nSRhTJ7LiSyqDegE4MthWhMG9KBE05aaMBvv0X+jczqnxUJbHY8vxsBCtNLlATBCJU8 IgXrylN0ndBI2jm/p4VoQB5nNEFlxklTygRPdvFoBXKLYZENXUOUpmXD7twCFajFOCtaVNc5fii0 uyfMvcyqN4DpKi4jXeI8LmKrhnCVnJ/X7TvgEYKLz40aEkQ+Llp/nydRkfM+f67ZkFp5k2MIqcyL fZvNG9ZFvCrvtAgkSe8ni6ChYmGVAFrXOs70b/C2xVF1kh5/M5uTKjoDkRS28hwzBand1g0dz1jY zrPh0RUiBYXol120tsjokaa1brYqKft7ySg32QFSMnj1oAQZBKXHJnz9+FPWm2pf2ipIjAfseJCA aqFl8upW6jWvtABB3sv5DEM6hyyxMtMd8MahoVWVZxBFqBcuGVUS++FYeK/lpAXFewCOnoCRzS5u CkWvt4Ao5odvzYZUwZtgMjTcDKzmdcQLTJE/ZVhZ9POVLxbc4uj2Vgc6RWi4oBu+An9kazdyt0E5 Ms5dWOQ6hGbya9+JkJ8CBGHuT+dZkXVwuRyy77iWDkM8MQPe3LvUWxnqXNcZE/ejTX+NKR8hfo/h hwHaqcTSA5ldtBB2GjkNdmBxRoJSB4SUqXpyxiW7vm5XLJzPZ5l8sW2ucy1KrY4Z2eAz6tC70I3+ HKkXnJrrA0Tq8EV5r1hsW0gqSMttoEBPfonzoyjuQghC5e5KZg5QCYTF1+Y+wSV0k/wDzRRJ76cO S7jkqxA8OR1aEJLCaF5ysMh4zLawDdRaQSgX21R0MD+FotQWo5WDjUQgX7X35jmZPVjfKTGg8zhT 44pgnADVN9ScpCTWAvNK85265wPQq7bOJj/l5ok11XtM2KeJT/jhaegMUnHsH7IcVrvgP/YF6vb9 aY+CN60+DXf398RXooj5gWzf2iydl4QJuU43qqXfzWTJsrRiRvE1+hMD8ZKHKV7O4Xi/MI2UZUeF qV/xWgRUtYCFzyx28dEq5c4qnRr/C+lVweN4wrkGn1dNOpx/G2Um70eUsrwDbpDbrUwc5oI3iKIo vWgj4DgV5CFHX9fahTnGTu8Y7R/rBpy63ScYIqLADnXkmoVgnmqLfGGSo3D4P+AHeyPlSdfYvxLT nhFK1+gjPfllDXNwuZ5i1RTPJ1aIkvnCZhF8xqjttOAwlZ0GmGuRTTm4oGns8CpKqEAWxbahmkTy SFgTsUqpI7dHVZnAjP4WXGnRWOq7cK2TaRBX/8mFaAYy2s4f+ua8811f33GBtklYQ/hYB31erxdd p0/g5Fu/sb2vSiy84z9YlG4gxiDizRrawK5Vts9mZ8c8KN+qouYnsQI6ITN5llpzRq1N/Lk5MZus 3RTQQO/Qd4Dy2PuY2SFWr78gFB1+4zZVCxUvp89NK5o/Dk0oNx8yfBJZe2qEjb9fMbXtu67HgM2e MBNjBgjqWALgV9o76ejJBqjKLz/MY79VhtdFkKD0n3cQGLt195Fiei+YyrPQY5fL8NFx/PV4G35e Rhi4IjvoJnhckUI3zCmBkXDWr3SqZpMIwNO0bwQw3JzbzVhE08/IqxzhJx4R4tCGkg1Rvz8KHgr7 FD/cbDKChGNbGvdHZaAWk7FaJqZ47fnKLybWw38w4WgoWcnsuI9o/aNnpszy7WVU+SJ9V3DBIX4s ZLwm9POvDrwGH+jyGFGEccy5OhSg4DBZnY8kKoAQbtdPRqXWvpviHHs6DZZWE6dzVvGQ74Wu/j6O iCNQeJPDzK+CVVxzPvbyIyRoCO91WTv98bBtqDJLyaQCBcRUagdg4AloBBH6FzI23cf40TItrPJC k7XlWmInRysjGHlY0qbuwy0vYy3KK/s2tHTcYEv5J+cmCXyMuKFMfMra7HqEjirYkeaGIN3KY5id bUChXrsFIpRN/QDjn/rmw7Zhynquk1QLaR6rKyOYFLFJdkgw3K9457JaG8VSG7yAfeRlOSCHpCmd AxoT3Wrslp/y0xi0SC+9KYaY3jOi11yDRdLMWK4jrM75Ef9r4mToAa6WGB438ldKTqOiNVZan3VF PulLaMbwM9RC6HuxPCq+M7z93bWobsm6gmHdXmOsM4q1QuObxPif9uWpkOW8AsS75yOpob2aWPtp VNozMY/tjMLpUgodn7lszVkvFORrOpbVlebmhI03ivMe/fuGTs6h27xc8VYp5d3FuHfyVfE7t6iN cm4z16yhVAJkZCoL27Sk/I0xzS0R1WhYIqgvwFcJsxIviUJLTECERZm9hE8/l9qM8h7cuhHPZ5a9 RBjubla9wPqTNXX7KxbJNhkogwpfQi9gvHEkKhuFnUUDOI47lRKAIFI5rOBfOHApH6r7IEXSDOb3 qNRUC511750bSv2Ea1dy6w/XU7uafgxabYefSsn7EfWOIPMo9iggEpCd5f2FIjjLuqwLjP04xdKd RrFIP0WVu/eLYH0fFIvCzvG6YKsTNTBKJ/mp33W3d6vUTDRaj4s+6sItpJZjWO7gIWfjj2YUzw9R uB9Ra327t5G8/WxMczFwVxjMcfj+n4EgFA1N+osLU+7TjxJhY1+Cj+Ck7itSweHmNzb2nPDM9/0u +KcEFvjVIZsv+hVhShAlQn9iVy0F/hOUc9ypKOZ8eq6g0H+d9F1q38pCHfzyC+NqsFAd7Fedv+RH H3/KsLgeT2EsHll8KPXhSeP4tw4SxGAGFqUzU5iaoho8fG/n6C5Liko3mvqYXJ34XkwPVx7k8ASE ntSkSVi0xeJlVowj+JeHdY32Fh/LZCoo9QKaS/1l+KJn5B09sdlfUao4CH7j5GnueixXIUhz6YQD 6ZsXICwMITs9vwhea/TAe0v8mP3K5FMd1TRHYIvz4oEgdfErxAHB8z9kOEkQuFN1FI8KFy4DjcpO h63OMF1f496rt34CmggfBkB5WHt0DCBnQpOVlT7HEQ4d7IiEFmlzlNpxUnQF3EpnfV6cWodK8VpA iMCKCfnE6hKRaGGWD8+mb8+5fzhI2GNZWwLrRXAQ4YYtJJLYDH+TTzebR8OMk7IoNiXinKq24j+K vgsaq3CQiPeTH71fJuI/fYkTGFFkn8PIGbCfKQ8/Edk6YUa1d03S9FwPTW+dh141xYz4xE6PIFhW i1TvEptcMVfOprZCqcUpHX1Q7oOa21xX0V4HEd89xDNj/5r/uc1uMa2Xx4bYG1o+jFcYK2xahDsO 5cpdtEbCzm0SMaRkdKVb4+vy0UiWMAK2OlhZ1MOEYGZHYd8z+jUEz9GzEgUAFtG4nRKuBvqREiMZ /oUpQsTs6HSqDqtC/h4watCfiBX5h02iYRyg59iIqS/EsUiBjrwQB3ahPghZDhxCe4yHlbSMZYLa j1hRBxVaIl8uPSRv5192Z/+o7+Grk+wmWOVTEcXRsHxdqXGu8HFHmJsst/ZJP0mPH6wn3qX80Ckb /SiP1j+JaNVRoas0m6GunQZp5w2Z5XEv8NIo2nX51XkKoQRNXeBM6adNXViI8jlsvikkLffE6gGj kSBOo8jPUDFrcC8TJPrv3XazCVi7TRtPi8xKICnaHvNRh8DAZfCUwb4kS8fKFbqfqDNvWQYeKo/S 1atEqMvD+VMnoD9inuHcAG9TMIVY5JoZxTM1DxhgihrgsK/Phsxi9u+0QT2+dvMcol9LlYAxkPIe RAhOPOIxmoYRn+wyQgnQUOTR66BgYhC6xklHVET//jD8rDvLTV47WZwOYqboOBuSBbJ04T2DxApt VuaKEHJxq8F6Vhj/7YkzYQpcD2f86CUcmdMJQilDpW7A2FidGlqDnoZXRls0GRdapLjqCECaLq8E +hYkC+4tWe7GJTDboYOZ5NliC5wdOX6g1J1ZauJLN+lRmi4fBA7bumU3mU5+feeTh10Qji5VD28H Ud8Ey1kNT6yFyMFo6agl1N9j6jEPubyFYx0kuki9ZkE4PhVj3A77L+BV8VEWM/XqRvAlO/Y2yCWh 8ztrLjDak+sVE+gZ+paVbb3CY23lj93SWp/aMUbPADMcO8lwBLJR+5PDpMwPNwhl1wWjIGigshh0 yHMVVeu18eAjJOBMH3sbzSUQfO+1zOt7zsSPpmRngTH5sfoAYBY1Wed4hj3NRsqNxFVB7mEr6yqr zPHlfTHkiLHVlWKYZEKng7J/35w8RsIL0S/O+lPoE+yG3KAEw+/pt2XKuh9rt3B8ee8i2Ls03T87 iyq97vbv37v8SYHYojdY3UrsHnM4TrEz1QiWE5GHcSOhuMauwPbeSRFbQMFL/rTC1k4b2OpuUCiw r+lyE2eWo1ppCS6tD0Yc/KojShqSvNBeIpTeONFj8bRMd3oi/MO5/s7Dgr2fpYyONayz0o3PWJEf h6Vj90OCuSrjY+TG/Ditvz5NrsZMcC6bmUPQlId3+lje2I6tJUnPKAeqp8wlRN4qfKjNZpszOQ2d AI3uX9y8feeT9syyjb6AntY4NwfJzkyCs/FMhdfsv6vkcsH+D8rsz6MgLd3+dytKlB7rL5rOv11C nUz/i3RXoRrvtvaKuy0EZuePKoTZFRBEBj/cfUgN1Ir7pTTvlhBEBW1cMwNvJ5Zm+fuq4GJ56vOn Fb6aJZJqFn4y9RhCW2NlBU18AOgNdSihdUcROcd5JFjydAhFfCuhporNt7sMt1CLtXTZO79s6k4L PCTKNXV93TqMJoHJ6Mf/nHvGC6sOghVWyCsvbIgP3U7sFO4NPE7iBKbBtsz21p32+MIfrEvErkOD jLhMh3Tg2v3NTb9LLjzlNrpLhyxZEH/83hbRgMI7J4cyv+nadH/AUab/z8GntDH2o7ZHipeJ2Qdf L65PIXFtuXoDdKSQ7SHljsny93pz2vKI3wNu1CUvnD0d0V81iG3At48LeqaS8nv8alHFtstnBBd7 nio9ngCEMomc+BgB+hQQgMVwKFSZJRG67SPft+caAB4ONIZUR+tELq95hWXt60hJou2cv3zSZt24 IM/3BCQqlEJx/b7mlH81Ln6oWJ+gQUFbkj7BiVXZ0QHrZV6A51BlWZViXOER4mKNOTgVuDQfF/tX JCLZOl+oRma/eyDSPTidxdaqkC+RAQU+r7YNqyrwVxM/WJDybDFZ9s9cHqq+TySR33HF+LWBAnh4 WQt1DLt2mLbEJSVdKcnfUW/EZ1K0xSXi5kvTQ1pc075iUsYf25dWGT3Bt1IPuMT3cJkHR6MFo67v zhvx4DAWPYO4Soz3Z26oDUx0MDoLb17ExXI4uOv0spqIrGbkKwJkBJZTp4Wy7M9fWjbC8A+UW9Uq d0DQkljjk6tdEszFUhh4ovUzYAmUOCoWrTiMgiPPavmXBlv7ni79no/jSS/oJhAQlPwQm9WI9+8h yj6ByML6A80luBE//9cSDJXqmdb8Gtqyl5zkSlFjMzN/C22kr2ufPrLrBasdyVbZ4qlqstLoKQod mfnk0gAV/iyH3TnmH733OPMIH9CvQI4bb9bkm5fTbfokT0n7VefkxcBhbtk2bDrAV5oGx5EWjTjv yrBz8TsAOn9CW7X2LqEFs2fACM96mTdjXHU1bNNPSewkhOf+Z2ECg+3yIslCaLGdM8oqG1t7sQ6K zuqkRK9JlyVGgEwtZgt+Ydf8xssiLuMIGaEcUx6Hd458IJZyZ+s8Q90qp90ck+DPq54W1K+g32FC Lm45O2a0omj1EvhN2myncEaphTQQFjdkcXXLVNZYDbNnccV4i4MYGx+n/kf7tpj12DFaXFcqrN1M LIPw/bFpJBXq3SeIhTJGwbHxy/o3f3tsRV8U0N2BEZTfJV9fHCRaLzRLABHjqz+R4CDjUlh5bgBT 3raAl3ZdqgrM9XcQPuPhSl6dEfapmOIw/KmuuREVoQ8mzzQgwDXyh0AThIb2YUn/GWJ4B6WRK6Jc PzYbe/3rCPNzU+DG76amicUBJgwkcEnovzLyT1bHJ5YHUeTQRk4/P5zNwR6COyYVgdEMQwgCmnwz 55KaDo9msjl8sS49Ff2DL+u32tzRXRwOjVLGKAgwibtjkRGSKKc5+fjV/u8yvpNZzmbnOq1CXzp9 +cjEzJfY0vGBs5fBxmMp75godlS98BUTl/aJmxKAWGkievHEESMkoQQESqA5NADLldxWsh8UFQh8 vC0aoWGDGFGVK6qCvbv/QtiHtN1VSQHWxqtjrRe6uUNEJJWR+uZuMHR7JzgIR34iE9UEdS9iCGPB asAJI6Ux12BcBBVvJF7RPNKmcPgJSaL2USI8Sy50V0tUHoh9ipWht9nBE4mZ6hBHh2or3Xq/6I9q dYvSSOE82ZSEhwdzIWYRgaUDzlMCjpHlNr4FTA+Ttf6CxLdG95rjP9ZfdbyxjXcrj3eesw+e9O7w m7szHbhsDGyAi4+NAsnj9v3/Jqp75A3D1HpErqtc4B/6LcQpeCnD9kVl1hj9Ukz0UnGGcmkYOnv1 Itb7VI7k9h868Xm2O0hfuKhrb7DwysBxwn+6W2LnAI6uCuJMs/SmfyaaItU5Uu3UpXC0HS9bled+ K3rJ7k6YcTJwdFL5q20A5pHAkNlp0Bn7SXr0AfeZdxJuYAVe7qRzUYPBZkCZKmO9FFN1SGl1dBMA 4/WcpxykZjASO+dBGUVVnjPKtwoZyFMHmDjK8U28Uaof1XLgEYZv+AFuginoyBQFgX8WCu0VdMcV INvf+gX0Ix8re1l0PeLVTvzJQxL9UuZNDiiW8SYfjMUKwKeI5LMgCwJn+CVcLg9uFRlwkjWkNvdJ rUUn20lRfZIVf48UTV1kDUQhukuT4ZJOdvra5TnbxFV7vMuurLzPZBf8FXeMa9uyxWy1ejwrUSZ9 lKUqNsGRurjbypUW+SgX+e0PfFuG/DTw6fPxl/NIAKErMP0qGqTXe46FXdIblykvSc2LOVrIIN3o v+lkbrBAEgjW2XmeWcy1PSjos+XNbw9LMqAEwDaoXHgp9ky9GHvbQi5tAqlHuaZ3WWW+OpSyNloH G+EcFllTxCJiyayJcGSvMYWOADT7OoSBTxst7x0DDFIPEqoO2cYx3oycXj6+P0CESmRRXXyV+dIS Ubwl2EOxdeXJhLavOX+hjrKm9r6M7IK7j8PFBHCsCBv0XTjtLKo1gp8WKXFtOgLrivAGcE09iUlM N42JlnvGs77GVKikRsh/47+dLyQNIxt8vothSO7XFbJedqYX6ZIs1eeKtD3cqyGu1oJ2HE8oOYSx g8MTCZ3kSfMTdUL3jBt/Z13CUfd172s+0zTHfvfnfdsKA4mkp5xLn1+8uLiA0Lu+r60LmooiUex0 mssQIIHKivhesBM/gVPxuzCf6S/JwOdGGS+8uyaRMUzsokrSZfF5twNZvnzvJB2Z4qeBXsapUIEj egVkilgEkZodRY0uPMlruXIULnrQAa3suE8tQkqResSZ5Gsj6YfmA35BDF+Ni2MRpPRZlGx+jKrX EMsSdSm30hOw+RQPcEEt9+5XkIXUeHc0EAytFOTBWyPLvHULAJN9NW+wPuaLtL8RsaKh/xgDQW3J SMFcDezR92dYBPVeENCg5r7kVH+huvsGBgDmmuUlxmsL3Xtu2SGHXVYl8TlW9A++ItjeOwrdpYYB FeyywiUvHP68ZKKdk5fF6tLX8cqIbXp/OCG0HGBlBu0BF/qXqa3lWpmMdh4YjYd1rDG2KQXk4g3O xhcwqrICtOTJpRLz6I2nHbTJPfveNTxRxyX0y3qXTtxR4j+8+mBnufaJ3JUqHZ55XXG4NC0ROaez B3qlZC/OPzM/ncMqo6VwFFUcv0q6wyB1v6nogTqBhIFXSDinF6fujxeo70/BTcpUy+MdcnqxpTQm /fKubqhL9Pwi//zLa5XEFB47RtN41l454y+6MVm//u1o6trfDtfroGXD/Ns4IEa4HRqae2Ei0dIv uMtaMS+cGLJsbrcJPpevsBa7gWhuBXn1qYmrzTvVSt7PJADHCFNvDTzTNrc0Nt9aPzXOiHFfTKXM 5t2jgT58XBzC/2MQclEUy+iA6ggUwtveh3uJWHET+5t/wb74+RfwdoN6g1kn5NfkFpCFa0sU+8WP cYei8swnXl511Ae3kfyu282ttIxm79Yb3FqGc6dzCZTqolcG5ZOs0xkIGy5KHobDAayvq9xNBYK9 OdWTxwYYWrmT8XouBS+rY+IOj+Mr9717IcOVuCWs/kGMO148xmw+6NVjLIK8oqYzh1UWMnKRO8k3 bzYI0sbyCxVS+aWYM8ZzrjhEAnRgySIA+rQdcsI5wd2XOjxLG40lZF82obO69z9/FvP6voFdY7Rw ZCe/XW/a+EqjTle0S5LJK17+fabV9jZiAGTnZQoTmkhR6x74OukcYrL8ABOEZ+OfJ2o18vzUBAps abdFKQwFVPxf9V9FkiOemiOEErxMMCT0EyaoxtPnQJ2ZRt+StVMg8b7yurgKuKpCxP1b67/k1x9T B9QmyxuEU6G4ZT6w1abml5J8LVsFjCUdY+HvEJn8xHqBssNV3M3mVFCmcdGXcR2WGDNNIYbYnC2T Ia8n8uuB7sjNgJXuZ+N/yHJg1Oy4tJtfI3m4Qo2sMc2HV5x3L+734XLn2wBxD1yaiNsjcuErNDXN bgD+lJwZdyX0uO9mDAo79h+Prt91P+1uRyVAbGWdGNuIb/62gmQyxrw7qdETNHDkIkxw7VtxaY8W TsMfCOOikfVH+25J7kbpBJhcI6us/4Hc/4j6lx/HUpYsrvnGRStDNBHyn0KAy7r5qvYwItrUu08o HyJM8BnLhpIpMfRhgoni9DEo4LOtZ9fRGsl0lLveObewfdeeDXOeMrjyhNuTluwPQyypUCV9gLYy FPSoAcJSIk0Qxj5GIhzIkRj24mpfKonJpG0M+rc9uKuXf52dyqHodKinwnqG5x6I46xyNeZ7gVYY vFee8GCgynsOj473aGr/Q3DCwNe1KD1EtY01OGzatvUk/q4c7boPV6ZgIcX0YLA9nHF8w9asmxUt QBGWNcKcHIb6P3e39nzI6TLZZZhEvIxEH7r9MYSmT8NGTOIr++FH6OYjl2ep+sY1BUPOc/A8pHxI 6Gzmf5rsfoab/zuyuJ2xHcgQB3t/lLFAfj68RXxDRmAytACW+w36LMGdHUr4eg0SQsENmAPhOK/F AV4ilbP3puecHnJ5VzhKyyCvxMa0FTfb8jhTmZ7zUOfHyUD88MLNv+PMYtgTIf91yzlnNYyzfZqg 6kKMLxc4R2jdTzCqT3rRBUd3H3VjRXfdLkzxi9WW0uMTN5x94VFfyjVHvlfBPRniwJ0QWagG1hxk +dVnUmtk/UN7NdI4PX583KptoB/p0OrpbpuWHb6aK9ZOquW3yHaehtn43aILszkukOJ7nvuzIkdL kDSRBX9hdeqm52abqmubfQYc5C27CzzOotferTPoryRfBjngOeFJKnllNsBdKrvw/5Vm+WsTBTZp sGH4OeeeCNIjKwbCmre2h2GX4gVymgD3vNxKPaoDR0U9n8c8Q/x9jtTnhLKTyzMklJ87Nk1VgFup FZ+NmCEXFM9lo8GPdg+CVZUxsU4yP2yvFR7beCfhWGqcFInQR4+prpjncdTpzHvTKCOi/lyDm6+a F5jgADOGXwk5LDbuVZ5fQav+WJC4L/OhLdPowaOMPrmEJvwAO2swZWG1zmhw0tdZmP3bpZYonLUs 34WIGybIdCQFvD7MS6QqN5Go0BjKb13gyiotN7xHl+oK6W4Wv7EMAx3BqRTAjInd71fgcDOmP37D h20jCONIXIf8hyvJ/QY3VeqkUBqsGavopJplYtgPJLIBpmC+Cj2xcNRKBQ2H9kmg+dJy24VBLVwS UExac4MkU1xIsXCcXZDat/nLY75lNUP8m5NyfF6VMY9VHjFfqW6wCZsVgOTBgL+oroi7w5Pw1uPN bSotE3r+94kZqCcfGtvV7Wa1Lb47r8o63aTeZpyTkufuxdztZ7Zv59I9oRzH6/hEGnXh9g1wLllF 9ZxZ3QkZJA1DKXXU1grsC7WpebVRQ6cQ8f1hYKlQgwpg5lks5WtLlOcCS5Heu3rATWLa0SMGY0vN 88aduBtj59OPsmPXfmxGer/XPGhIJuz9FRVnyDOIJknGnRDTZNONFA5u56c/3dZhkBFx0Yv0aYIQ USHNfLkX0Kh/EyypTtR5fpWd2bhq5Yxowl2t6rxyx7JAum5GcWEfgBtuzQPr3M+h72Il0ZJI4+eg dcLXYrqsUOh0vyCToN2ILtJ1WGrw68H+vW0EVaN4HS5m5EkP+SRLR8WVvMgQZ/cSqcRyRVhgpIWv 9MXU/wsub5nETpR+LY2sYxso9hBc1GbTu1Iff3yPhteR525KrVNl8CTCAMMcOprc8EnUnPMh6BOL qe0e3Thrreg+ySO9+bF4YuxghcRxLeUEFsj7WyF8ylfipTWOUAixcrHd13S/eQJ5Ql8xQBOwaaVk 8+uGlSUVPjqSSnQ/ia8jd0n9/83ZRP3Jpf/SNcqxGx5yxbhckQMFcISQk10fLkHf3Um3wFkRWomp pvouFz8iBtA1v+NaWE1efY/qOa9MktYHL9im9hhJLjKenZdA5kMMq0hTSuMl3bBJvS8DC+MGNdl6 7mFVXlq8UyxMlI9PQdy+kP5XTJiuSxXDnfIr7n/tDwEMdA2fWSFeCPirWuE91z/vBS3ziELZ4ciZ lHky9XbqZZhNwsV2RoaFwXlpUMzTyPEVEF+2R3UjEpiWH755QfhI5xz5iJqc43nei4z0b07ZbbqF hI1dc0O5EJKWqLcFuX2k5pujzUpVcJAzpUJ9dJ/1jQMJ+D32yjwM9NIBfOLGNuDZtzWcSe2STt65 Q3Z1wMCi7T40UqmdkFf5YFFAcgTXFgQf4cd/MYt9a3MjDIXYxqpKCowIyF9eToh75SDmmOSzZ2A5 3N8An5xNkbwIPRwnnuGOywGWGsLig5VZldx7/9m+sjGIVMtnHDG/gCMtvZSREOcDQIG4YuSll3ja ZiZ3ItfCm6nfdGRt/6DJbOtEvXc48IPZwU4cUmrsagj7anULox087wIwnhmhciWimEvGnM6YCN09 y0TA7VHzNIn2P2HTkole5F0oijmax4SXHuLmww970eoseg1E1EXHq5T1Q8yjI4XfLVtvdKl2ublr YaKMTtF5zTTzPuIgoLRtiW4lCI8dX7H2bOUL/R8QLTfwMDv6cFM85CtVzM/aaxhFrQYqK5QTf7Lp NjNYbljmWZvkqKeLCcyYrfTLUdugNWPe4LjsY4R0NbLchiPt9u55sKfF8u7FVJdme9seBdlHgWZC wUq7s9o8hzGzQIWjve4KMCqbJrG2Mzls0MU5CPjK3+3qYwR8SppdcaZx5IOuD79R0XO1LuHfHeYS xQrev5htZsJmJ9dMEX01wlZ4HuQ1EUeSUGT/A2fxq5EUv0lLZe03U+hwr+1nXe+Cq+xzEZFbIqh8 vT0vbvPFf7ZwjvE8QfULDsSgc//eT/KGu6o7AL7j1o4DrV5ipzkqYUFqTMB6I+FKvtsm1QtJQeLj pyeLMa0zZbZX2swZTyMuzzvKi2PWRX6VzCwv7mrgwPEW7YbHHG789PUlEwkD4/aGcgK8OEs0/YxV sUI48Vwub6E8z4wwlPDu4pcaKQkQg2gcaiNvM8iBoXLgCLbWLN9M6zYiCANaoSE7LkJIOBuH9syi kvzFZma8mv0bU96kk7y7sJIBDg+gId1hRE7wdpUFJYh+mQ0iZapOvnT7FOF/ORJdED9lqCmdNEnv 1fuweoT42a2d5+rKZxVXrIdgQDFh+dUA5Gl/ByBQz4Z5gd0Qo7ZLgUkEzOlxlzQRBwEITDnV6Vxk cZztZYLArdNZ9l39FwiQajTaKJWYOZLBNPUtLTtA1ElhsDEDlGEqFkVzq56C6sJBgIq0BHW15xTr 5Lf8vMixLnQvVd2ywnwUxmykKlXQccUmekHG5ltOhDFa9aKm2qnJWhuY4WmPysk3mH9DtRqcVqQ8 31/MuC+ODttWgZP2LD1LqLteFxe63q4BnMISSMgtrnUmTHgq+MohIoYolSe7S/Ljy7mGfc6IUN0I ND+sbCAr+PbQcz/pwUcOhxIY/DduM4+a4KKoFAG+/+hY+akjJHXQW8ZFFhJLS7Ub19RWt5+cYKP+ wNvSKQcXxbPeSEEJEiGRj70VtEw8Tp4MPYGdqZJOQt2+Sed+OXnlE7xeL7J8xSWhtmWx6J0nqSpW 6KvKLTCKSBG2u2CUnTcZDEBOJ6CUq3/vgs0ba9QzSyn6XkNaEoG2YomDdt4V3HHJmDWpCcdEHWMS ztfLAO/4bmOZUFzhbMS5nmvGZ2Ogb4J1o1vDUk6RnCnkpp1tmYi8ldlGZLPfPVbei7k8OXm14BqC 4rICbNZFFM7/kA/mCXfqFKYjOl/QeWOnKEQYtmngTWNgmiTOIEwzbW2tgtlb+by4fTveCMvjA9cA AU0vKQWR0g65rdPJLqVB28DnxUxwfoT+cb+03Yw+zQGHDJxxdDc82Tb+0dCYNjGnjakFWvZFgKnC O2U/RKhDX0e5G76ilmCRw0VaoX9uNcV04TdbtcN21g8qTz+ZQAbIY1dt5wMnYfYXBgV4489tsBsd sl2nAs3cezAtNNQkX/r/17yn2IZhS9EjKJQ4DkLU9PZaKUrmI52+6WP5c8/kc2jmtKtRqtTW1psO aU1Mp3YZQSw5J/nkUQPUd7c9wB1iXOuAZm9P+Gh14TuNvQArDkZpWDldk05vGm6bz9IHKtFzMQf3 X8b/BYYpBTwVIHtYkG8vMdyFt9jrHqmuRsE3jt93Id91VcpUe9S9KINoYV9F8lv7njmRAlbp8ACU cUk1+NYCAvtDS+6bPys46Nw6l103pufV4fc45zlAytGsTVeIiXikk1iaXKlEqmkwNfiyydfb6kx4 sgFwXCUyTfMsghAHq0GQcIPiD5I7hUYp16+YYPWWKV3OUcp82Q3IXaz7JAaY9K8iU/DXJhdRVHZX 8qY2uVUM+J1/KrsQh8MPR22BG1lTJTnfiCV/xpqT0WAMWtvtRKVvKtKeD3icMV9Q5jw9/3zHKAPk 6ipMr+hIo5+laO3V92HTJ76UdYCDBVhuLDeIIMGZzmKD3iErjEjSZrsP3IFix2ynRmXY5WPLpdpe O25izyWjGXICBux/ZFCWvy2MOpPNThcy0y33Dpi1KMjzdy0z46la6zuE07xMtKYaCNnLWLNk2z2m Mv8ayF5I5f34HtO+Vkc8LMgWwf1ehxgBwekOGDUO4EtE3Efl/UecCZuRFd+zk2LyKb5KTWWSXK0T 4NkcE7a+WueoUeKOGRtlyG8epvICKzAQS4paMA652kuIKlK9bmxjqDfppkOQ0ZtUgfkLggbjrVoA wJSJFkm3wsyEsq6I74pHnv/ZA06hvO5MGZrhUwTOnsDFMcwQb1hkettug95lqS30j8uxQzrqe/sx bWKI5BOBzan4opoFxZpBFcqaydoWm68H9RHh+xgkAXCDSogRUdhiRbo7aNnPWwF1mqzVTtBF4qDc tiR++RJJb+dlThzXFHr/fT+UYsWADKwbhTWcWxCWaUoOQVQkCiu1HJIEBX+gshP2xjrGa/gH3xz5 zO2oyBZ9EJuPb0ze14gFU5ahcXakODuZxVDdY5E/qNXM74nzikxVv1iNgdjg6TIY2/H6Lw6j0erO HLEJ7VQkGH6M9tmvvFWcWNJwRfyteHnwnAsKTnysemW2VolIFbKYJctl7uf/MKwaSXyuIkLdXAad 58ZKtzNu4+nyD/P8OVEpJMsYD+91AMsK/mgiQEIaxUxpxjeCB7Z3Lkaq+R0mqNKE4d6f31o964i2 4dXBDJS0DajWUY1QlUOlVsOpP0E5zJLTkbQR9aWRjex5HsWz0paWiuIUvF7hEmaEEEyuHrAZiH6q GI4JvcfUmuoVVKTn2ITowBuz2s4mx3OBfVCw48hquL0TU6WYoBrbfYHNlH6ylhxRPLL56NevgkXP qmFQHBxAOAbzVRCxfINPAKuxeSZLQ+GyPqjWPWfuTnDu9KeZNLill9ktF98fjivQEyzVYwHfAIXy 56pTsbQD9hQwIHBp51zFeqM7rNtvYTkpa/ivN89CGYtq5RZ467V1yz4m1e8X582EFiCBhTzCWWQ3 9MVxHFXbnHXdpzhrgpNKnQElHAyr8qZ1oqaBoSdkyW4+cOEFE9WxmBxVOtYJX3ygz6uDbk6ID7B3 Gj/QRLD73KPtBoJo/C2Nu7x+jtzkbTQKMKcppHtEH20llhCpvfySAkxsnOrdsml/WrkoC/j/Ak6K ywp+JUpANw1j0uL4OrZ9KIiESqQVqLjxnWJ1bLxWwI4KBZDOXxIZuyLY8gUWFhrY0aoNt7/cVCgS vWTm1xYQGR1JsXb52WHn8px49ZhwqCGQCdx/LYrIqdPTp4RR4RtX9eZkeHLM9Bl7hnppfGtm++n1 iI5Yo8MdSZHZ26W791hb3YsCCfGi/ryDqcZk8mwDTNRzNXtetfO7L4CtlpQckRaDW9HX+bau3tmS 0swsn1ZQYNSiZ3qGcM1yj/lPUdd/PZnFxwVUxM+qVv8iP1htpTvGxin28UOZiqJysCGSRlTxgz50 AEV+tkYu5fx7R/FQ3hu8NPxraiScWPU/nOTevyCJUegD9J6gl33XfvpDxVYEpX7f2EVqiFEn/Wj6 RMyR0o/fv69QojI8xGEwgCz2sTdVPXzlAfglz+y9xV5SRSKWjEXkC7AhSF1m8Q+TnVBrSV2UzIBR L2usMOYbQ0twkFGqrOvCjWvsejwM/0hCmrF4v0IC1GLt94iQHPHD8syPF3QOTQH8+6CE7+yCq18K kAzUXwoCmnib60OzBdsjJxZxknb+2ofEOLR25Y8AZcWRLxsKVPnD9UTf7al2Fk6N8rpCFE5qiPKB XeacoOs7koAoGuJorBEVHIh/y4MO3OmhnxrV3ztCddb7H/vE9XePbvu7PbsobRRBZVWfzM4YHyHF Ge2AdnVGaDQKwDvEpv89UtfMg8mJLD5t5O7p7OkWIX1vJIlg82zqIMaQ1aLv+RtoB2rEBvEEluuc cNr1gKTJZDOH3zIxSfu9flT5y2M0pvLWK63VoF7/0h5L2zvK7R4HB+qXX99ttzCLsDglE2ZO6hV8 D3JrCtCc0uwchrAhdmaMMp8JheG3A2nZ5tOOzxhFBSDyR1q/VR41DDd+eBLn/igstih2NweEBJY+ yLQaYtYk+Ft3UltqR2nEyCLeWOSib8Rnpd5wR7iFh1Kvl1ikRblhqF1701KY1FNfGV23eBnZnHcs Qz/5AC9LOzMWgP+pBwuUw2SslQ728oDZs500qLzOUp1d5ZbBxoeZHaMxcCELj411QJIOAvA98oFP GC6a8XaGdrFEfWXGAE5pIQSFGrP10nwdYpjeG3XIw0rFgJd+af03cgkUEiSEt01ZlnN/i6aFPIRE LVmFkqZ1LcDk9ppqxWvGYdo4/+lkBruldE3iRGZKNV8MIp4aATzNs6lpqOfJqEk1gCV66bNMvRp8 SI52gh1kqfoPBJ3DFi8RY0KOe5+Fn0AdNLhd+MdBoosZkAl6Wk6QCiOC4FZFMJWNil9JpkDBcG5U D0qqo214e0DvPAXfoXaZJV4ernGmTTi+NRMNOm8awsuc+PUHhPOC+eNex6m6J6fNuWywYX+UaEG3 83qbFfekNKXROTclke07iWwV/J05XAcKoMJs00XM5p/L3VBU2Q5mnFNlezKdfH4jrJWRJY8t3hJm geIpOFgfcXoDhjL2eAHMJn+VEag83s3Rkiy+2v09hKKAVROY1ZfuRCJConPNQPhyMbr3Hmn+m+z7 n8LKagvjbKKnOKrFfWcqPfWFNySbyhkmSmh8f+XcNIYygd6QuBmC7FQXbNfIFON2pOemo8biEwPX AsJyvegsupgJR3zxjOilG9X++QOW6td87KAhImcRwdvv9dyFQdl1IbquNDMQLZbWKkfa0y+HlfKM lR7ZD6oId5PyYQe+R+toFVv0X8slaB0tT9K/CkwbErLiDLzr45RcAfLETz5FC3ym2nOMHFBRtWro GFz/7nqi6p9/oSxE3X/kdx6SgdYOzTaAvV8RHxbyfg1ihXpkvTVJGwAkeIAqFoBUdca5P5/i3Jeu fwkFu5mGqzhWMSgUeiM+SqgOqaXZQbMS2OqmDZv01zQ5BimJwIONo3gkZBpAlzuIabyE/3G5wxmF D2+8sttoJXxKykC+3SUFM43QRKM4rCP9V+976ZEgTSZ88JWJzxGRV2tyV5ubbPZAau4eDWBm0rab k81z30Y/d+l2XbhrQSlYh3yMES2SfD7UaXnONrzwvPecqzm29Og+oPwVkYblcaPHsa3ZyGPjG46y XJtQfLWQlfFjdxCneixSzjUrVmNF+oOkeE7DuSScSeXtlJtwMS3mFN0whHzrwXf3P5Ig6xC4lz5b MpLmari5UCKfKDjr9uodgl8WDhnSZEYE51PzCxH3festHV6XUkFTnZdr41ENJ2EROx0oj7jiTkqa Z6OeeiFzrwLFq6Urp4U5xu2iFvI7Si3iI7WMZyNCYSFwVaZge5KKptREj9P3fcZhtlFgeSMhXrgP 5zhgOTbzb+PLOTovWHGcwWPGG38/13apBHNB/NCG3RH2uKTNUeKXIGwO140CA7cbbsg6gBODGomQ wYApHswJQLESYbIf9gXgvYDAdr36MGI0M7qpmMa+hyAASFfS0MNzv1+MQPuzBhyeOGTwPEUnl8uU uL9x6V2JxzkvCl87cif9dtZlv6Vk0fsmS6mQv1D4BY/21kY7i+GDSecNy5tgZcaOMT6yhO/LFWkw OxParM6jFA67X2Z0eb2GTLrRcD3x8M4/zNLkk9HTqnC8lAJHPYmS6lp88Jg9KqKW28eQClaKWYR7 wKUAW3fzG0mfdSnUxUvvGj/rVU0ZpgUq6PxCjiU9cPwen484wkvoeRHbh/hHiYcqqKY5AwYKgDJS j1MdL/yXMeAK3hcdSSFsw4In+61mxGWoNvvmj3hG/NBw2OUKBd3Kc+tbjjjLqU8oeCsJhRQGz47F oYs7R5kmgkMUePYFk+ShPEJZXBIbdbaZtJNCpN6610u9/nfJzGdWuigsBND3ziiadtNXDoeVCSUe fgjJ5N6QcLDuFnsFXkTN0y6aPC/+XZ6Fvs4ucdsbExCBpOpkZj4s5kZbvrne2tQ4XW09oMNOTagP qdIxy7nI+7bhpABwUz93xBXArnhbuDtFsdeK7BmukjE2gZjGNg3OPOXMtyxOK3Nw+8teFlB1Uu/n uS3AjjjLOOMmAd4GjfjZ2MrN7My2GPdFSlJb/O37J51d1LadqGfcCQWp+Hkxasey63jHUQ4Bp0dZ 2qtO/4QYW8103BOERu/nNPQ+4gkbC56Nf4wjQpiBhJNaa68iFrPnrUNGqM87UoOW9SfHMLEAAJ5E MxT/EoLs3OGNB0hxd0uLFWcet+eJS/WzROUyc8eSkMIHQye2V3ODVhXMNk/87pps5bk72KXTPmIZ IRHB+Zn/iqvq3ByO0wdO7zAsamc/k31PKW62ceLHVIS8KMQv9teyK0sOHU6Ypxj9pK0bi5+2LX+L P+Z18y+qJclcXCVoxU6gV7TQ+p59w2my5F3O/gH79uKWRFrpaOhrdOe7JwWY+kK6LcHLbsBXZ976 qgvBDdwyxSiA/VwOZfOxS+DinZHFlwTggTw3vfZn60SCBiOyosgqjGAd0XcEQ5535w7IYo8ykE/e zk1ysTIv5Qb9O7BZYZNBKmg0cXGWIIVaZt270b7RLStFvFYt841xemUu3X3W2mAUCRwTSugSmraj yeKr0hwSGJ/tJPP4RNIPtbynyj0b4rxbpTArLXK+YI8t/wIMI9G6kZXtXW4JQiuzDGpKH7saPkXK 9oN/ip/gP61zOSJBUiQuhXG+Np76dUvZov5SyLY8FPb90a3pFi2wjZtxAMV8EqBdrvcEmZ3IX/dp Bl36d1So1OdbhyqYztG9nIYBtTgv1wXd35Cjub7ZAJhIYod2BwwkAC6+VSRB5B43weJqJrziHn2t s5Ktx0k6Old/uF9j6KDP28qpDyYCZTg+TD/3Lauk/xjc17hIXcXbmFBdg0b7jWQUk7ckunxqPo6W 0fuqAPYSzyXT2VnqD0Jzg3fRFTLsLYkaKAJztaAwNTWS4agxn1SM74/qJG5raTuKVhsH7CAb8F9W zPUwVrS255Q7dyBegays6Z2Yi9EZrEVUUCgtqlnzeuFGAWAPwbf1i8GQYvQEKNVWoeAAZR4izKuc 544EFM2ukltf++v7myN59BCN/6NEQj77J6ysCK98tnQIgii8fvvIl91WvrmxAu1Xg9C8bthuoeGX AQ/si0VekgA13iVNFou3ojIN9y3NLTsuemT8Hu+188v2zIR1n8/ysHk0aK6/dJXDzb5/Lk4HYfbX HOtuSRFWj8GWS1CgFeGG1o0ZHFsa6UB/390cEt7xGgkjDSIY7beGfeVa+LELUT6tHa6xJTANITeN D87qNpdZ7oKbLRQzv5RxMjma0eaBaOHBut5LXaM3rQpSoVX6lkWpThVLn9kJJyva9dOnwD+Y8l0D 7+6ULeP7NdV5tU8NPthKhj8tkVJvklTxMpyNJbBk9h73H7+P9mFyIcf19mFC9MhY8q/NEDGfgWwP irwJOjPP3catqXesUmusNU1lk5VVS5gFjpgq2UfDZyLJQsyBo6RzF6uWnPQEA036XZ3To1b46cDB PowMWHkIgqk+4DCb3To17rKeBj6JNDxcekzEA1ShOAMyg7FZslvqyrRcfaLoqWQKPSTN4dT2ylJU vEE1/kz1sCEtwU+WkUGVS3c9mNh/kMqvCydxcxNriw7NhlgqsyyjkUFHFOZ9W1zkYug9EMHjhUvb +JaLNtSSfPViLcDUCmDU+Il4/LPyJC/8EErriACDdVO81ouHxAoMmnZ3i+PXVYTTL95asqDOj9PA XETTn+DuSN2V48d3jXH8ipoOqTmc/ePDbC47OrDIYNvWHKwp5E9fG411JOCeMYFl9N2m1n+R+JWk vFNsZl0MdybdpaSrekmzabNz1yzgYKs0+ayURsLtjKtkKvpoe8ebeN0ABPoNmSQwg3cH31cmJG4T FrEqOvD05s0cb8R7vwtNWICMnjDvNkS7nETJ2rI8CEVOSyO/xpBXhz+rtILwWI9Aa1a42VOM3noB KWvz1wxXsus7+0U91f75i0pEY2C6fIGsTAMsZFZDl+T5MsMWAsD7ERlfW4URvZ0EoYNiv2DII7bi ZVMmf05BZ61WXRv8WsBJ6mKYkhilN6df51CxdEKWbR13QfS2N4lwzHml2SJ6BbrcI2QzyqFQN1XX NRr9rUt8f3cy3OqlsdW19CeEWcFAuH3kHg0dKXw9B3Ju/SSP0izI4ZO+lCdxI0i11JeKFkbf9C7q di0EgSOkJppVQTYqNBWhHJUmclsEW0DirkAsvJ9PNVHhLWGcklBEIpyjjL2wpOrWcYpfomEW+xM4 iwtY59yB36EmHklENzkjS1ARyeNi0jyZK5A+PZR20I112Gf63YAK5vdI7j0h7qf2Abrna/dFBqhZ KcvzbhtBZVzpj3kRUt+ojJNGwoD+jb7SaklmBNL5z47G1gModaKAYHn9Vw4fjEjU3FOS7RqUW7u9 dlmtH0zR9ZVJkaMCgTKE4JrG+dOlZZSUjZ8FkyhaNxOV3NetyYFlZU16dFwqPjLwD6uSedU/75P4 JGglz+hcERgcnygY7NJcSa3MjqFiHks6CnWkZp9PE6QXNF3tfv1Tw5opReddipHB7yQTkqP/Dcnx 8hJCxJDaSrfdPjTxhGA/qqbadUkH53S+t9ad+ZUN4MWqwfxdtU+u/UAGw2xptCfmIf08fLcvVlil MG2Y8EyBL6UnYxUbHTnTSQVU4LWdKHsQshdAv6nAOG2dDv+5oWiEMej6msjjBctj8b7cwJ67lIGl kiwDYj75rwom13mYX13B2sqd5zUe3G/JvA2ZNRA4Qum8K21GjcdQOxXKrxmLGimqAhNdT93WuFzi uU27R3HB/Re3GBYPA+a1VLkHXvlr8mp8unHOQKHFmUkiPBmSz/dLc0m8P7skmCt6eymFUrRy2Izk js521GswKLIvSJlb5UKiFEkfNTqlQJOQ9wH8LoGacQmYFonp8CtC9SeeKzLlKSZmWWWpgoa6sTlp YQ6hb55OLviv8DyKguRAYIL1tqjT5upltDMK2ZQesa6nBugcaww5epl+i4Xu9cxPtlm0Vx+CzBKE gc84iM9AIG9orXA03AcTpFbItKk9b4of0O3fqPJssSFx8+FXHTdoA17igxpKO134zTaT7jcfARL5 67nRmD2VinJ9uNHHM2nX/XNsRshuykGzbBtdzFjAwlYVRPqHjVgHksq02OxbcBcVPPf9+cNUMILh P7E5YdRz/jDrvcACrC9VqxIbllBjMJk8lPfFyQGkfLRHK242+mGjSf7RD/0rqDcmvQV9mxtQcakh t1kjazTpFfvUua2E5MrZfywU1NqRsbdXDtE8awgcOpiY4amYloNzRGeEK+s0d80CyrbQETB4lAgO pRxD4peJBjP+6wl8cI4eX+t4YniDdw9t1j36M6VG+03WKBZLlpSzr3F3AXELQ3+L7L/Dw4uffUv0 muldS6HrtBnkl0KotumT3yC+dfLq8YJytnZz2exKe4sCwtAJfHULr11C6x4F4hXdvu+HrsKaOPFd MpOiXQgt0QPbWY1YKuqBmi2AjO12OUrIqhXlFE6K22CL8qiiUu7qdfsuaQKy5UU1/54MRHhNQA98 qzAMbLPUfPtNgdmMH0jOSzO5D6z6a1DZueg2na1e+Gssj+ojn4Qg2R/MkRx45V2U/1TNi/S4vmym icMOu3f/LWcUZU+nFJW3JhHPLuJ/VzewMqujEhMycgp++Fa5dlj5wo3enXkp8rOa9ziq3NdGCyP/ WhiJtTeIPimqvv1+RTlMyf3MVWukkg+m/q1EMWbtettrZjUxq8SgpfgYDam6tooDCZQFWJPzsNkf pXxJ/ObP0Z1S9bEg5o2a4usmNbZ+7VNk91vDJdOtQbDfDa65Eie/fgU66b3jsjWwZbYoo5R6wanw 7oTdL2V/x0Bq88r2ND+t6GMa3v5HR7HsjcbYzvAyHRSGhlLu8rJv5gUbV3a8j47uJRDf/iwJmIiS qY5P9D7QOYiw5oFsP49wtvpFOT+GJEL6akXMy90LB7R36VuCJiOgMrXnKJZURuKUSxtI8VvTYq5W GLlNtE7ucxnEoDkcgpIF2mjBOX+A5Ob+u9PjVGyCz3BRJDu+HXF11Sh0DrIGQvkZZKFIJ6WA52U5 oa1kndmrMzRTAO3zetnEH4Rl0mNACDV0ly8s6xE7WP94ofncPdyBST6GIq9WY8jJNH61h1UwlNuS Mtc45/q6bI7/ZZEGl18E8D6PXaOUps41AUafuNjzqdw3Ax+/ouJv6HtfAlKxieQYQuxQhpAlwaoL mdbWmor2hxiPevdHObxXG5NQTC8xrxMvjbaF9YM6GWnT0d3Vw1l/KzP4kwwNQLShjfDdLGpulNXA 1cP9gNlpVrtmIx86/4uzV96zGQBbXZH3QxLo6Pjc3zDCNnKC+RdY4oimEDl1IDPHIvCxfiOOpuN2 9WHbXRBuNu1h5I/POplu4LteLNA0KUIWewLcRs8EO5FMxejyYg46QP/HqUBIb1rL4wRqgGsGtkA4 mivYqcPwziSKQY935TfPMQHQD3cFZWJ23h1vtWpJpqJYtZ9hfM3Kku5A0HIAuH3gjp21MsY4OO8X 79beuA4PLzQ0G3O6n7eeMsV6DzrnnnzFQw53qLyjQlhRV0C9fMxXoIqKgAF0fu1Of2BeW9fJEF9P 2NFY9Dt4c6691fpTnKwH1cLEfmpqfpdc/UDQr4HWRzprjvnk6HpRSpflVWFvXmQqfHThPlHIiDXL ZZGWIP6mycO5+Dl+gsnntq/eXNZOJq8WgzPwJZroEpCtZ6LBCHfb6NsTy01FXEk5PNSMIdWTgFGm SC9HyT9ozKesHOAENyCKB7mKuzlZGr039DS0xvlyWKQT/RHgqvVCM2SQGSVvFJlbFpefSqgcu78Q F/QYMVu4A491Bv4L79tEA5AzZDkG41BPCBRWqleBiyPWYomUYh6dwsafuBQLVVb0h+iIoHjjda3D NzQx2TtdktvO6aNx+dTANLWlCCJ1gCJ2I3qYwSdj8xGMIQr+IYFz/2Kk1hglNucSAqe14J/HUUSZ nJuNhIDm/5BQmK8e8UiAVaR1BWDCQYtWPi8R4uBVBVvvN9NZBdOLFhz00spnY+ZCaOZDkXFalcnT 141F3fLObYdYnNbQUgZtOMTZk+rQuvBYiIp1OXYrDO64IljcipqXpSXLg7UwGzoKFzxifT5g2X8M iVK4KVmR38kadkNcPSDlkJ2QBSbFLyFMMvZV7XGnI04Kw2fH2yAx0pDbLEN35ZM4wA12LceqS0D6 PODy3jJic9Jz/NKU84Fq2XRP4aWhLMU3Vo1QPjArWyE/uOZfzYVJrQPgButvjjGY8Me2HrDserMi B7DVT0vlMsTGEygMVRkhC9JpxHSUs8qKhs+Ci4J9tK90Ca45l6eQzASfMI/W68Y5qOHC8nicRsPD rAg8S5TWH84/kcQrTuuXjQUKZG1VY29jc5adNpYuC4OJZvx0SbC+An312UTSfeaZ369en5wPygFH HCbUpiKcjzvdsL0xqFH+lDxJ0E9uQints9NeA9vxgcuJdUSz1df9BoMUdQdbbWIDmQmvoBxxaoSh RRbkGehqkxQj4rQTy5nvLhtnQqHlo/jadIF9I8GE8MoXyS+SrNc05ufb3ji5o3PZpStYab5PmPJi 9nT1zs9IdTxSAUZYl3+iviXAjzAhZlUaZBUMeIAHXKtw5IEM51dTLEty2BFcXSbkzHgHbP7JRRJq /tNjngXfhbkECKTWrHAuOK65B0vVS4QmHSIGUAs3N3ogQUt7n0V0ubyK8kDpCKbjKr7iovGucO1f pyhRPqcOtFkwB24aWtoimU/Kcx8wssg99QPeRiFojWhe+NeMoKunR+A+EZt0XM/DHTHZqLZ4lSNw yq/uqevdKH5e1TlD8N/0mKMUqVmcxGd2WCc7tXQqSMxTGTUE6UXu272ErmjJyuYxnKzfJbTYZr7t rfUVnNxF0wskn9WNyb7BgJSH9rngWD108vU/CtQd9SpxbK48QKG1rvhQDGkTdYY2zZ/WeHdAF4qQ ZDDdhOEV+8X1LlT+p4TfbULsBHr5pywwLcvD+knEFbR68WAusLdGdKvHcmmWCnWL1aNjwSZ60Ahd pyKPfeu1wcmRPLN+JvWh8ijEoJIub2us39vWHzr6Z4yYLCID0WOusZlmOxiorgFZLLhPoe+LInBg 2een1MTw4eQ2RBu0irUQb/c/q51oCBFFLR55OH7HVa9k1aUb5CTdxrI1Kg955vqpKzF3kmdPO9A/ 70M6lsAI2Dx79Pdpi2B8FrU/r2C/3A2/OvuWeUm4GWWv/0nvRIc1h/P9Sbc6i3KlUJ5bkW6ArO2v gIwXjhLF2a+a96eB8TXjlj3m/UPgT6vOMoLFKs+aLtxNcYVe6/N9Io0tiNL92jk4N2lN3wFi2pWK uPU5gMmfiKkOrRTLvGzjAKfo/JFTnxu02AFUjtxeE6XtqQDl3rnFfr5fyzX4o8MirmBgQTbt0C3u fHREFwavIHJ6LEkiGstnqq2nKyTsa6j4xv0akOjR++JPx3RknzpoZDEa9ks3l1CmRgho/a6wMfF/ yvbPkbDJ7Vo3f+kNC9u2qba9sJeKfz4pBuQqhHcyZHCIeGmlp8pJeoomcKKVIgapeKR6pz3r0E78 fov3u2jIabgYcH5D3XtbDO1sQc4zzqym5Y8QUqhfnTyGaPOxR5mmmCjmqEZ4Pd3LE063hkiwVHY7 O3LVHTT2iBW/GfzErPlgkEOUqjo2TBdlG5TYVBL2JHpqUSMPqST6GOtJshxbBuj73C4DNSD9bEWt +zaCU8KRfASvuXGpGBdIKwKqMsTGF2hbQV9MYH1lGaPcM3dOyY08oH7bWww19BMm4xapcajFHfO2 4N99ZH9t55DEUDUbbyuqIhTDLSHhcP1ev2knQHw0/nNp2S3LAvh3YwdZu6JqFktXc8MyL8t+lCYF Rf9W/4FlXCeKQ7OFbhh9nEbiy6FXJj4sFXL0bcfO0G4rV5vOyMvqguBB3puI6cDd1JHuljfWdIt3 tCWrBA4/iRxlbfE5MG3+iXeG0Fqj9zi2fS2GgaWLiDsvUewbCgHEKMRXCRiJwgKmpN+bpuG5R6L2 iyV8EdNA6jCSpUE5ombBAxSMR2CKo5MDICYSBKYNUaBTzbAl8NP6DZ6Xzp6HQCpBv5RzrnX4fnrI 8spQ7ZtYGI7nrIY2PnnGVf632bvFCjkEhl28vfSk2MBwgncNw7OmM2IC5bUBActCKr3HnaAXycN5 bBlRpIWI3nxx5dxkSnhtp6Ef1OSZ/o0wm1yVVy2yCxO+4ZTY8FvUUNiPA/Sm7l9oCU4slD4+UKq4 dxsjt/LKY9I9btowjyXGXGDTod50pAVKJoBFPLyNe64cOnVGJUUKXjRoAUXuVNZ/NAfPniDHj1eb wX4wxG5Q6pLlkKrXZraIdcKaizwlwK+x6OhkOLiwKqWBHwtVx18AGqxjxMx3Y8xdpbqTKqKAKO/F cyltyi8hkltxVa5rXGulMLXUErwrdhqq07vwjyvzm/EEC6NAOSgka95mr5u+6YhUToDTR6INrdnJ zCwWo22r+IyWrP96zIXgNV2Eu9AZy4V8Ln5MVKE/sCFXAgaG75/00/3Kc1CAdAmFEjhIr7/hmF4E AHmCmRm62R0YIq/EBimj9yp/2+wICAYYsJQP8teokVg63qav+FwZQw5wsSwmAoQz8w3PN7Gx+4OP Kod970QMgKI1LxPzDvD91O1qZHHcVkq6eJ0NYIfGIoHmfaQEVIr5N3n0NjSRRB7Z70Pw/hOONASc 397DELdRLJbWbQJbvOiLzpT3WqzUAp6NAlW7dCPzN1AoOjZkzkYMh3XwLNYxuDgXE+biMPYyFFgn lCoYP8fNlqKIWyH1j1bdLFbRcxXZaPg3xSV41UA5WnVP/O9BAqPiqRSuKjnOc0pCnGBlH2Keqzqj HIxjz2lI0apzaOk/l5Qvt50caTk+Zb7msZ8veX/twcVvBhQG/0TH8UJQjIZDBeL6nRw3WKrXhvI/ 9bBM/pF1+HJB9Tq96F8duk6hTM8bdoHUvqkgR+JpX4nT6PuvUSLunyhYTHH9Oe2WIBX+ho4JDqv4 +0JPktn1boe7ki7xGZrjuSA+b45/WUsvevk/ENgHh8p0e7fj32t7d4jHyfXy7oh3WOuGdaLebs5v F7tLa2zjc8Mxg7FF0pBe+uvJrad9Vl+Wd3bXpkRqskEPU2alqRZTd8l2aVYQb/kKgkLtyh9Xs/qd eg4BnFrFz2v3edL3YuSQurJsw190Osk7Dp3/asQLYl7Ca1Tjhk47+4FhM8etrj/rrd2BkaHF4S9o ic57CGeOUmFgAmkqSBX57DJ5tHZ5p7/bET+/XDIR5f75mij7PcQRV+ZUgpJ/Q1yy9v31wzR9j7j+ Lq+mVgpgGYAAvNlpgVBxYkbtEHFFCFV1LFSLY3XaaCZBzt851FlnDZTt+WhNSm+iVg3Eil6sJh2R QvbHPtPf+SrxMsBpO3niZvS5LvaS4lUsIogJPoN6up/VcJObxB4fC/DCuN43njF6ui/d1Nc9G3rO viJE6IhBBDCQIXX01t7s/MzqQFrqUxeZJqFwTQXFVhEmwJ2uMWf/nlN3E3fRR0v4YPDC0iuSMo0k QtlB6pfGbNtMddEocxVnoYp/F6d3BHLA2uMwlspgUDVf3OWtWKm+QZmjFu3yccRdV/0PtKDm4Va1 3y7Ea1EFkEcIdSuaiLq+p6r3k/2/TAG2JXo+919zUJIvh1lYO+xz+EAnHNXwHm0XdqLU2WWhF2J2 MGn157jNF9GvtGzi0E7Wzz7l0b+ASYUHxVmzfeUKH7kawpetSQmhbwjAVvupIdJHYEoIHnjqC5Fc /b2jYs22NQb7KUIg0TFtA4RmyKYwiQCEd89CHRk5+JQQMUnwdlyoF/vW9Kpwc/SNcXY3KqG45Y8x ogEHshLh4TFH53no4L+SFIDlpZwlDq+N7NvO/KhEXNj/q2mGvIqu6PW6kfAgVoXUioJLqNZDA893 uA3tcPanU+B8dcS1EjlJs2YUTvlsjcJmWfV6hf2vjShW3AQUM4wtjx+1uKFz5QtqniA1pUD8YrXP VD2Sp5p/5PPVk6NXbNqrZl+yP3wadhPW42Q1kon5qhDannNVFP0o47U97RkSwNV0gZHR6WrFg5om QaTMExa1gixpnVF246r/zQKXXVfiIbuAq9EM7QP7aGdptjcnzcN0Bod+g6nXCDNzzJ7oClemtqOU y5Hql/PRCe2eLpsuJo5qL2SxA8Uo379kTGFH5o7crswgU5FDjXFjdBYH+AofqY7fLfOFagtp6FC2 J+HoaRzMctomOSMDp2rDMmBk6ZMMQ9EjbaRBZMAxSJfpARbJoy2SjVbHad/Dq686Z3dBklVNPmtw meMPzew2xpL4C0cn6HxIC/764uXUHSqyBHwmE8YljwGBEvkaNsSfGYDjunFd9GHNYjWk1E2NBjkt xWv6pnBvVMwN6n2UCa8WmGUIEziG63tSk1ZX5B1z17qtSjFxRBeIdihJboXZNqEYQ0F1syRG5Mja QRVY0AsGFEGG7Hl3NSERTXqrgJoC9MEWztBtN6dt2PgzCTPEJam+g+fd1coDLXQ86nj7wlYt7UX0 Yiv4bBh/JLH9nB5Bdk42VdyEOyMz5th6iII1YT8cFkIbNyFHrRuVFraS+KW53iOPo8K8iXAD+xSy e+G7LpPL3af/OqO7bAjwrQdUaO+Mzesaq7dtBXT2vqNLv1hQQrhHyNBEC3o+cGceFu5J5KiK0wgj ypBcZBZ4gWXbgRgeMJtBpXaBnwXLz/NegluyL5CmxYKrlAOhVrz4D/XCZ5wwvL8QzAvDZ9pEb+QH XBRtZQiw5iZykKyLiFM6GZf5TQYYcqG4ifD+JR3QuLaFirdKN0/hAuWiFb2Gv6ML5NoVHqYsdOoz FGEDwc5kxFaLWRT2q1/M6NkRfbT3Lig1J92AL0a0tfxxx3YnrT75ujNFkoTNLzUhl5AjdU/d0tXQ +2WL489WbJzph5GUaWbrw0EhU6szv8d9jaca0JYkEjgAVXBTU1zr5fq7woK+nf4RRdipCnGDHkCa ghJyr628T+7BlLs8HZTl8mEKv/HZaKbpBtp7MNQz5HpQgusixSJ+ZQiv4UmKATeAtmVITxssUrQE EXS9jeD9lTTUaSDdNp/bxKVbTQ8BL6Ti9f1/iTHjol9hsC1nWGp1KVOHp5b0kK0FO2Gf0R9/2hKY 5iApzyVN5Shc/y2A9d3WvcmQOT3IZxedkQizzPki4XP28v96/kimKOULeCCaqFVNNgC/aeq21y/H iTbmbnQ8zKwBL87HYhzweaTX4EHbbXhCBPrfDgXfY8ASIDyAhXO5pU6nNJKVf7Hm28y45Pf+Nbt/ pifqLp2ZAnJSWj9Iiv4mVcJ+7l7A123Ruzu2C84y0ePFIT+Yk7/Yc7Ye5lUuV56Mc+JZUpvvilDa CIT3Y7EUgm0c4RoyYTQoUJ0Htpqo/31p/iL7BM1KK2hqFTGgQEOhptl+7EzOqgr8M+4eNL4d5Ojh Vrln0lf5tSvFPWnT5Cp6tHVsyEHoAXsbi4uZihfVj6i9uyF3zRNipAf/ye1Tbo9IPn2euJVzZ4b4 hl4xdAWjZ7Eda8CDHivv1P7PsKZElulUDEueW6sGdYt/uVFH1azGZYgivVhn4AKENeqUDACkdMwp EIRTWICCkVGCP/tdYNNYNBicPe4yRu0YDDZxrgiUyOMs7IE4Y4QysbIncO2y1+NAFkUfHqS7qkbf Twm/CbNlFs3rNm/+ctyeFBTaES5RC4MNp6jTju51YRkx7u7xKVK/HpBPVcKqjfIR94+/P3diwhSj BzAlarcaB8IkkvUNUaoJ9csKXfPsIi7RCG8c0qT12SRnvrKhcMqd7yaF2oUehhRzkgNa6cfHyHPV p4LUCYzWDuIlMdkgvcDDwsgLoKdXscFrN9ad5I7zxDh0VC4MxcVaDRCBz4VWb98e3EODZnqNMklg U+teWDxFiF/DYu62epLrgsb3xobxSsMwCHPKtEiCGVRXFS/JtO8/Cm0t0npSgp25J6ya5YUG8nIJ 5m5LHWaiDCH45oFScP6iyhOVi+YLvkXzsIeA4pTE2HkD0EzvHeTeilLV0fdtYEGWvlqKpa930Gek KUXDOdMgBKsKnQ5yNZqJkZGQg+8jR5TG7/Mudz1fYfP0We90wXQant6N1WT3HtZtyhxwRV+rpAnN ku8JO+EYOyWALJwivzWssZq8fINTJzE/H/JanAMImtCC+RwcFerSQWGED9TSyMYBOEdttBflqzy5 F2WruV7B9uL+ijrp/lnI4Taw4vEAxl5vsY/kSi2VkVhcNaNTUx/jqK+whYMqLy6KI89R1iY+2YdQ xkNgp6EPPKvPIbSH63ztCRBzITFpuwXtCDyfszcbBH86IbaBQlk59CsGHirhxbDQLUJWRjr1+8GQ J3ANrYNQQ7NNBsratoay5YS/VHU6i2eGu1MsD9drvJSm5REk6j30aaV2FfSwGl6KHANtvJ5oYWmL GEweFcZmlyjfYRLRVk4BQr3UH3Djfdcp6OW/U/8i4awEP7kul55YrLDgDjaMm2kFNqQ39zO1Wfru UP8ZxDBmMRrrCTVLjegtlhCQsNZqR2jIV2zQHmLKBp5lquG1ZusCdSHM3GbZRKFJzQn4nql7V9df BqUtERhQR+DahjJkP8FQMrbXNc4Zyz6KEV7YLV1PleP4eQ+XyFLJM15JuTUoTRpo8+35IxQ9FFZE RQXAUEH21w2if09xFFQBxH658WD5qXrn+qkeRy0pkc80g4xL0IVXzl4C7o85bIARi6ZvIdQ2z1Du HcW5+dJjpE1vn2GonHyDOkYYEIBCd7g/ZDGGiEX8xX/vTmhqXebATJZSKpFFgh5bt0WCbP9tY7aS OMBCST77ueubJTqCmy3YhkPCL7Fx1nxK17u8a2+a4v40Ot6WvkbSTI6jXlPhq8OwTlrJcvMvRPt0 1kBG2QZjIvACK28r+t7/te7fW4JXicXBMXdpnVJjLkz4iIYT1ZzqBVjvFjnLkz842FPTH9frMtCI fh0g6/X9SOfN/vL7Bxg8eeATJ2rfVmdII5Lm+WgYqPbATfE4J3qqTaZCbsrf+Nle4aaq1vfYMnJ/ dWuw6WbT1gfQtPLQaASIwyP7DjyRsCQNk58DEsv1YgAK+aosnrn3GuWrwJCLW/EH2ShvSS/wGhjg LZDldZmNI3B9p5x/TOs4ICuSPkk+7x2ZSfg1527cGKlS7Hyc3r7E58kLa4VGaBXzj7BikR+IPuNW YTGE8F/S6fxb8aaI8pwDBT4vlvrYdgwR0fFsQJSZuiD0JnAxr49rRKlKLIMiBI8bmbTcKsQOIiNq ile8RdgNW0906+NMPHLTb1L6NsEZYph7cVibHCxmLR83XChwJ3vGwnEId9CxRveaqcEWPuxJUEbf UmQQ8/5qCszXnG1Ws2QYvBtWrNJ1fKQ/coUiytgAlftM9MoA3WEP76K4sWEAtjo5+ERmEgKzOIzN SI2bKxIeGQJkC3pZsULIgEKOnkxvDamRHeiDfFIzSIcrbwPljJxqzzyXqCsA7z7nKcz4wwB8j3IJ klUyf78xjanaflszvZer3E2n4gks0xKT9n0fNaZksoTowaUlmhAhP5JUzbCVkO+sUg2876ibkP+h +tV36bn5Xu8AvhpzCnKhGfNik/1aOGir0UOej89BIVSB5Qww/tvn8j4WrXJM+LflGIpY6yMmASij t760MtejtqCUzrAx1TbMHpZLr3+U88INwdQT8fmqU5/3zePpVcFncXGfPSGjKOHCZ/HtT/ezkGvw 4hRk6ueiuJ7uTUb/e/BazU58M728wgSbPghDTahop0Z9ZNRi4f0yUczyU9KtPKOhX+HFXwRD9ZBY 0onm0UE8L8+TzsTzrJj6yjjQ4XRbe48ju3i6rWF9Cdp4rd6KfCiS3igFUtuEFuiDkVG/b79PImvb QXJpEshDbv6VuH76cZdkm6dMbPPqamqu3gh8ygflqyPH1T0RPtBj48pYZVwSM0b1Lx1x79xJv3aW OjBoDQeibuGEViG2G9CoXnanJWxLoUztwHta7TR5NML0BL7SFUCO+LNijEjclDV4UPd652uKCxqz bEEMsXRlpEmfdlp3PrIY6rq3K/t56J1WGp+/9EjaF+Xu4Lob4SQbojw1BY5ajHnKXz2IScwUHeRU /Ss2KuJjj/nTvMJvr2lfDGxEXAg4zjaFB7QL9nbGvhfDqDaKnoeF7jCUIdsDKCTAmtrMx1lU8yGj vVYo1lqiAk3cAB0DKyDchjpa8GokqRj687qJGN8vanfPzFx9h682H9SR313iKCtTEUUDw8yD0fFk gx2hR4+ag0c38qkOvF+GGNMXoOq/w8TJ5z5fa6s09FCs0Q0zLwHlgjbENcM96vsWC1MtGTfwg8yx Tyiv9Lbzq9J4Eqfbgyil8GplXcXN3bGC14ERYbOHCJ3ANMsGloP4TUM9RE2VNN2/MJQgYH0GPR/E nVVOAwZKV5iHArZVBPa7TuB2hqi9Db1epEVDUucXj+8kTswZ9MI+Y3wk4cwgj/XPIYRocxoOwn2i wISLoc5ae+ky6xOlQpajqc4ReNML8pm2rmah6g5XkPKDkoFgAKvmJalkjHDnJQ5UbM13JoTKGWPq sgS7k5241po1wuTEa/BxSXHXQYXWj+i7GqXH3U5eKd9R5UpulXv4AWqCZ0GjnGNnesE92/sIvlIK gFf0G8abYiMzeHvN+EOvNf7qKEAous1s5GLjQXNhoGBAagIbhOJUK/9zNGXJH3vEDmElcI1LjTwp U+IOGG1MFsJXKzOytJcb4VUwIWL/1JFJQmO28WsP/yQOnoKCBX9EysbGAVSk4rzEyGLVr66zqJPf xtRa95ZjJPi65nU1l+9H4sGrFLBLvsyGfZrwf4Wcvv6/KXwYWlJ0k67u5N+Qu6oCn5/Xp3VXTvfW +WloG4FyFbuYCfg8CLAuZt5mSroSplucx8rJwGPVjRdXpcZqwiG+h62Ib4L++bfC/CozvSJo65+P 5dyCtvka+yiEX2eknLr0zSlwcvzQp/3ELPrEKFlubkj5JpSQopLwM07pSPQzSmmPt7Evt+GqE7ZO ZJs15nxW+bSvrMZHIWg58GcGkgGHw6R0wgorUjmaHz9RuTzUOb71qkTYzHn9nQEh8Dj1PbZrK+mN ZA6Q6EbmZZ7BAmLuge2UPKtj/h9PtUknpfPjrMtQHePf4QAs1Se5vUT7uevIFboXmn3cLPu9nW6j 81B6GzIYgl6FMXTzG0obQkyKEWa4btqmteZN5BqMJlGDVI6+H+L31/VIw3ewhvoLGILkboqXSEvl 7mGVxC0/wmywzU2dByXgr4Y6rXJrxCtsfSsd3UibnwFkjSp1/7zqeUC9cHIB44Dh65OUmenH9zW9 9ySiv2KuMcX6NBotCcjAt3AGR0f3TBjVb4v8pWSPVRyU02kMK6mgau23r0p7suI04Xw0zhCzAHws yqx17mvqEjuahviUv++1FQdJEjzH/EXoyhbzy6mfebjdK3vU56mEk8OkBq+ICe6HsuxsRy5KTumy JKLmYULPqZorEiDfQX/DYM2vcdDWlu7OECvQM1maUp30f0GpUepi5ZyiRSm4LlbOS3xfT5mIp/Ml 9S4e0kk/nu+uFaYn7jZUZiRN5Flv57vhgpnaFvKE5hbs4ENONFkSZqo54G8DO/OpjKUeijgpXzIE kqgMIpwf35m8pe2yyBddvRkqc4XD1gjXqWSB61bOKE9XEeVvOPkof2LwYVSnn+TJf4DLWgdtajJq tRNX9OgMT6f63T+zm7lN6IjvnNdOEfoPX6bnDain8KjKlYXKIj9CRMOhFQb5vgwY2eHv1IYWRG1A 56G2KG3xKWzJhUhNHoAVN9jJILdCRdT3lMMFumvha3bhSxss79EXALcQbfFCZdQ6OwhviJHLp3vJ UxF15NDl0wlBmaXns5KHMJ9sUhoIjoKkhJiSCu+grSOZrSi4W4s0H8FqMBlJNwmSinmJLnrXpj45 V6CVUd3/v5v9Ygep40mSBodQhLyMiJFTRiRdwBbavxEl1dGnvrYnoeSoNo+TnuAV5S6sURAo29Bm fIiNJZyp2M7layXwBc9t7X5FIQVkvHUwM5jJ0ubQ+v1HCIppiBGFH7ZZHr+fv65y4bGEU/yiZi+p ETdHpstU/wcaO7x0b/HB+Mp48MjpT7Ij1ot3qZ6qT8vi6T1sXyYGY6F2USAcpR4Tncgeqi4kjqXS ZFA6F0fQq+ZPyBHYytXKswLwWHzKbwlAW5Wne2Wknjou/HunFhnjtYXHn/atPgUcAAA9uwAbEgaa Nb8/zAV5oPqc7jOB04CHUHFP+lisSiw9lwuSJIHv9qy2FXQVVqemAaCnLR/c2MkZuTCpRmVV5t6i wEyA8Bf/LvWSMJOVRCHAjStFTIlmDS0xgFPUkC2iK/QKwYI5LXa6KMVNaem3dFPoGCXCtpxzgdVn X2Gbs86LxFydl+jrkUZmMMxS6cDdhF8HUFljial0LGrsSIszknV1kozZCiUeVe/pMQTyrOwcRK/E U862RodkehNiJ0PwvnKglRE0GC+S9KlogoGq9+lywLM3Td//Prp/x07y6tHPJY5ryFcljVqPWqiN pWHYMqi4WLrjhtFTDXml9zJ3SiZ+NgQPH2934ZWzxOkzZtBtX9scjbf6N2a46nYy/o5MJ9JAvQJm 9Qk8awyf8yumfYZs6Xi6ierhIr37u5tA3QhdzJe/xcIpQd++I3CHZ6Dv00Smsej/qoM7YlnZof96 arRqY9+rOqI+o39Ckv7bfkxoLF/NNE3TbXLuWfwV9qCYNasAaRIOeL5g08pvWx4opYuWL400n+8l v/QX0e5G/1XlKzDqEjDXbyTflzohsORQTdO+9ylITjdAr+Ub23oC/JQ+GQ5gnHuwqvtXE5DhyQyJ TVMUqfsAbQOVJo0kQNBkQu5sJWNqRbh/sNNuVzy/awY2/CDppS6wyI9+hj9SZM4StsXBr9P2Vdo3 kp2qz53NRrfkViLea8o8Fictsjw+/n3xADDQBY5nmkVC1vGs9jUAEu4cwPVux50D2ps8QPVj5kNe 3fS5h/8jyAQhb0DHI24siDGjbvBwXEVeCdyCMuyo8Rxwnh3QArgczmq1U2Ai3689Ef7tVUJuwG40 jUvYTHUjjgqbvnQgJCvHWes1ch7NEYzbEVbhyhmU302VmnRRnOCh2CsEPSMwB2JBbethpHLk2Hma 809jwVNonD/cWYEN0ZlN6gaM99urGlwcyhzCGFUfbjYSF1oSPshMHTTM2E1GqPCJS4Lbw1HD4VHI JqZrJjv83zt4LsAS+5u4rIqKLGEWB4bGNav5WdvXR+NJfCd2EQAHjgZQsqRfEMWlmXv4ZZ8yccUN OKCimXtEZpt2CPA2RBJ2iX2mTAqFVWDtzRd2Db5KHcEpuXWxXU9I16ShZHLmcp81nPofGU1eabZ6 VPjxYixBgTDCbxfQg/wf9fQXGZB14xs2iUVv6kSfx0chyjrPlrArZD+BIjaZqxUwBSpFap/8LC1R LCh/OtdLL+/kI/zW3QD6UYfxzOOF/fWbA9oVxybZnjln1SVv8caJX0x8ugHP+ds/5tNS8+IsE8+Z y+azYcZhrHYJoLfdvyVMm7PaXZHsb/XJeUjFsbFhLw+bWVvlVpJ6hARQ936csDOMjVIQtTijlQXt teYLVL5c59bFthvaPc8aLkRIak4YDsi2zLeCYHkj1fCXZkxtjYRuSyFvy7714+HgUzPm4o0neWLV AiNnu8AY+IxOibWL1gfEeriPazZX45miVzEZaWXus+rvZQ2LvH3Zv9ahssPAWURbah1TZmyXW+yM qWy0pD1Q093mHn3B9jo4ZMvYrHxefCLp6g3mNQ0QmsPybJCS1jaECHibxQOjpUnM03Dqmw0qRvv1 GD/uTaCRNoYL9W8P3koXOHkWIAA1PF2BVI7iIQHupAJiJ39462EiWJWQpOc4Xe9jHzSEj2JtnG09 OvjF+GThRyIRQRHY6HJQrI2PCEdh6fysFC8Z4GeSuNuGhMfqIlLNWaOwfq0oEw+iQ3R3p31cB+Dv jk4yHzmk4DelO+1g8pl0/v0+QxuM/ytI41t8oWdJvrTqks2NGrW4CASfyJXyB8K0VLmVA45wvmf2 HqFSmquk18ddEC634jiSbYiDxbmilYNMChs57c9nxQ09df5BloW3Ir9dKiSffql1eXrFQg8kjJkD frZZo+78dCRUyeiNOI2MALE+O4VBGNqAgLEMbvMqdff/UEjax2BcAKgW2ryFWWrZmnQNeIPQVYpj S+IKcygsbJ2tGDpir0rAGYL1MSWk3O4D8rdgvsAp8U54EoiMhpPWC6ZaV4Smenv2GE3CYRAP3O6t skVSVeymdS+pjKH37s0mlga2sE+7VX3VTJYLOy/UvuY+9CMqJ2HBugUa3O8IcmQEp/M7wZovuQx2 i0DCzRxfDZoK7Gi7/sp2vXddaSdqAxT/xnjmWPfXbyV2lLvPO/57/yJRT/gBVNECRVFdVsRdShWN AuMOMJ9TE4HtZTBZGx/CneEI1e/jWg3b4bxWJRzXNTT8ZHiwyF7Rseccilc9wsU67E7B/L/pceBL f1F/l8i80MmwuQIzVr7x8B5SeJsWbLOXdPk06UxT12tRQeiDRRLxf4pFQsAg+s3SaL+W0MuNPqHc DaSAXyD+jTZUIi9rQM8xMJzGJnztkhoDrEWng2bP3K0b+2QfeikyyIkyCDzk5liVZDvwgMAs2rNr PeAk3zbljTwIziBL6lDtZfLI60Mq6yilEn4xeHEesMtem+EpbEMKoy7wavPPo1/o1D9hjlLVwUc+ V2PtwO8pSDsi+RzqJGQVaeCmPVwfZuzGQbNmwWRTmiOPNwFSQuU47kR+zUfzQF8OtHSQwsxkaSSc xfJIYCgt4vPLXyW89KRc4RJjOh11HpF0ozilO6AUCSUIBIalj6e0t2t0Dgf63mZVPJJghOwCQx4D Y8V2EIlgoVDDUrGUDdOVlO4ENS92F2gwnCoWRDlSWfciTKxoauC8dS7BlT7SJQ2MWYqO4rYShqIN C2wmPmkjgB46JmS6ieMNA6GagH6AmZCb1QOCR/Z59GoP4sLjiA+kZTT57iHIxGei61xnvp7yVydI HAVr5SZ0CPFAaebqzi35jrJuh/YJ2a+00a/EltKD0qKwopdNEwrWkwN+b2hTNzBHlVn2SZ+xz284 NZOCOTPldXP6Vxuoltq6gw2Lb78bV1t+c+G9RpH6eXC9LXlVdOyg0g43VrtCK0b0sJmQ1/eco42Y uwF3Phfb5BzzFJhTjbTWiC4HjkhvMvTQ8wzdx4Cz9NRnBCSquhpKvnksS7cuaS/vASo5YHc8qj9y 7V1zXkGEhma3Xz9Wbn3Xz4jW3DQNxi/UsFbKq9bKZwOC+LBUDp61X6uj6ixF+0sfn2cdR9ZAyGQI p2B7rTSeCDAO/rEN/TDKLjss1YmVUh00qaHVfwSxUEUnZ8AWcqRuAb1V5EJ/a9FL/SqrLGbDYDoy 1owAtCzZe0y0Gku0PjWaJMYI5Lvo7DcKK2LELfVm5S8/tx3SSQB3g9RP21UrKYbvZoOJcK9msB4t 0Xm1gbazsFr9yy7IQxA8+5zfWSkXhhUaryJyIsrvV6YJI6nZTaCDNiY9Qbt4kmxzrDpDrHki+TQv vRasqVBiiWClYV9blHFsC5rNJDSFvBgor+xzh9ZhOcYmaBz0PzRaAc4BD8y1pqffV5l+1sFhcGiR h4KqDmMaAS8zTqtcynkgcAhndyz8bgcg2e4lBGp007EMcYcOKUrXVTGwDUCGboTLT816FNwuFvAT 16yOmk58MjAZ+IvaSzTCZtccHJhKy0XpOhwpYK+NdfT0JTpzUlKjwwJMbiDnXnK9POzURukNYiY2 XD7JAPrMqtYW1KwrGOCep4Gy8MdFHGEwwdBCs4dpeYy2kSaxfrwJDDSw6kEM5KHD1kZg66oefkGC PFNVjmzGx+ZiXTtQrOh9SOz02LX1bad/uMRdotwlZ+vRKYCfUa36M1G5oIFjrsRD92yWraPISRBG wQhnN+64DAStkTu6JiPGXnz4xLsl2V6EwO+FcC/ZAYW/7BNB/z+xhp7ihLJ70T3oa7WL5SLmpCNu PoCb1VQSP8qCuAyYibie36lYtp5OpfnQ2okoQxF2e9UQBk6EVhHC+GGBPjpSrexDUO7OdXkr8zwO 5IPABYYOk4dMieKm4hNe3Jg1HWFf9fnARIhDlMsyl7uuFw7Ul4KazIXqx4Fn62ZZvCi65t4xsKU2 +j1oS/pgnW506RzP62m5P06LhBAHZZhBrWH7AyFQhdmh/WMjqBtF+fo7SesAtXhayO1sNF21LOQG aIqsxwsjfzZe+N8VLYxI3axjzNN+jBMiYvnf1t6KE+icsKt8oXRBf+J8koKMF3w/P/VZgmd/OqPO fqdfgO9Ui9kMj/heAVhUKe8WeC/epngyIqQ0rVgyUcxbMVns2ZrwJhD5EED/nUAmNSOPy5+/EJwS aGTH2qvPdqa58Lb2KKYcGExqtfBzPJjvyoIF5+0/VLm007hpKWX/lMAcdwTnqf2nczBwDwd2DnZ/ HLshaPjokoGtPO0PX8jG66MxHuTP45I8wupt61kRWjqjn62QFLUkkIjkvrmlWtR6c+8dYzRM6NHZ 1AjmcBQB6s53vHbAk95bw4NesQE+OU2WrD06vH5hB260bS3fHwaAmJ/Byy5gRD1PfS18LVqwHByY dmu8hU888WSezZgcZBSYZ3kuiVkgDLVd14Q8WlTBxbXrfSzHu7ZzK2LgH0yzbtQWo4Yam+zL4NVd sYH9/5fzrTi0Seji681pC+R/D6FWFIxQY+80Bg/eY4nDF0MqQUrqPEqT8WolBgzMff6etrLyjr7U IYbhyeVBDEpwr1Ei6exqpx4pzZ8+N/fkJ/fsrNYsavoOWXHsHKD2SarCHvM24tHvhKHE7biokn71 VFRH71GOzkCi1h8yjm+n36cdboWpZy8VvCEZU3R8IRq0oElLFTAmIouf3JErZoUxG8nM9CZtjWcY 5qQbyUL/8GWX1upqJhXCMEU8nphg5fYjlpdW7NGkDq9LCMgu9OUvwzttMkbb5NBxMgbV527AaMHD KG6i0z8rz9xdBLC7otLdd1TyEtN3fz0dzUuu01IPjodJKLXqQlNyLZ4DbPpXchGMwq10yiw7R7lK zOkaahmLs9uKVYaiXPba9u57TkuREF+zglVg6gDYv4Qo9GsrCH5BHny8mjZzSho6ouf/vc44cf1l z8j/0uBNRjJcGx1a6IJHXWaXCBPclfnU13I2aXTNjob0ighN34D5G1/EydYR6G8iK6Pld32mVf3f OzJ/A2UX9zvuAdSgzeS+TeO/wQ9vdfBClVD4jwThOzZW+65V+JR276Ym2D7H2uXDGu5ghBGq4zMs Euz2D3MGna0TaVdAEjCuJP3Hm9EejRGmLHvmoPC7mAbAJvzlFkPF5DPUEPjkZwHdifP4lZ0/qdxi wc+IsFtBSe+KWjO8FDSCA5uog9OodWMucPThUR7afByuAr8ZZcNDGdcGTmlzZqXk35D/8oB/CUPs NvGHtTABJQcIZMF+PdVBzNkAeWni2a8m3r6moeTV7LFYdAeAeGKOL5c0euW2/trhb2ikUHAKEgZe CnJ3plPBbBYmC7Q4VTWc3ubh8QBIj0CujWbJp1/AnPQAMysZN0YbC8rpxf82aR8crTp0udGAixoZ Vh2c5WNvebJnULpu2/PUL2Uj+6vpTB1IOLgbomn8Y7KFv4IngCsydxfTWprkbmE3JON0iTCQJ3lF tajAkBM/uVy+jvmAr/SW96kFvf9s7clU9VB1Kumg0bDX5vaVFzj3YkeSAQnKEsHnAHBQ/lZI5r6Z hko5SRYHUJsRHXuVCjF+i9KOlBbG4W4ZCjhiHtbOMVRl9ST8sG5D6DmqmEsCObm2+Pxhr17CeIoj 5TyBQnM3qwzK+4QecCxSWTTrxzhCyqS4fuWbF52if68Eh0DtTz9DIuF2z+XHxcfuvtIMT4ENK+QQ sYEEkTggNoVdg4Kb7O5PU9Xurew1P03DicebFgeEjTCxwUZUGyJT2WcLPKqbNfcOU2isAcWaVORD jbJTR2aipEDCoo8eOFUk0mxcw7zp0XoCH4bcp5gUKxp/DSyb2Hv9O3msfV28c5zHja5lBY5dmbGZ mNuU67sXmhFkJgFMO6ImBxnjiTkRGHSNnj/IUXx3aeqwC67MfJvnoP4YWS89wGZ8wN+ROlmhHkNH GPcXtZtWq0/hWO+XZRz+DCBHV6+Sy0qqIH4+h8bRI+1qqHEnnMf/uGCf+pucwWfNNqBGC5eV2D6U GviegtkNkLHFJUgYeaxQXrp+cRKzOf8Cpf3KnwL7/w== `protect end_protected
mit
f92061c14c26f9525a0829d51119cfb7
0.955662
1.806023
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/ieee/rule_500_test_input.fixed_lower.vhd
1
2,637
entity FIFO is generic ( G_GEN1 : std_logic, G_GEN2 : std_logic_vector(3 downto 0), G_GEN3 : integer, G_GEN4 : signed(15 downto 0), G_GEN5 : unsigned(7 downto 0) ); port ( I_PORT1 : in integer; I_PORT2 : in std_logic; I_PORTA : in t_user2; I_PORT3 : in std_logic_vector(3 downto 0); I_PORT4 : in signed(15 downto 0); I_PORT5 : in unsigned(7 downto 0); I_PORT6 : in std_ulogic; I_PORT7 : in t_user1 ); end entity FIFO; architecture rtl of fifo is signal my_sig : std_logic; constant my_con : std_logic_vector(3 downto 0); procedure my_proc ( init : in std_logic ) is variable my_sig : std_logic; constant my_con : std_logic_vector(3 downto 0); begin end procedure; component MY_COMP is generic ( G_GEN1 : std_logic, G_GEN2 : std_logic_vector(3 downto 0), G_GEN3 : integer, G_GEN4 : signed(15 downto 0), G_GEN5 : unsigned(7 downto 0) ); port ( I_PORT1 : in integer; I_PORT2 : in std_logic; I_PORTA : in t_user2; I_PORT3 : in std_logic_vector(3 downto 0); I_PORT4 : in signed(15 downto 0); I_PORT5 : in unsigned(7 downto 0); I_PORT6 : in std_ulogic; I_PORT7 : in t_user1 ); end component; begin end architecture rtl; --====== UPPERCASE before entity FIFO is generic ( G_GEN1 : std_logic, G_GEN2 : std_logic_vector(3 downto 0), G_GEN3 : integer, G_GEN4 : signed(15 downto 0), G_GEN5 : unsigned(7 downto 0) ); port ( I_PORT1 : in integer; I_PORT2 : in std_logic; I_PORTA : in t_user2; I_PORT3 : in std_logic_vector(3 downto 0); I_PORT4 : in signed(15 downto 0); I_PORT5 : in unsigned(7 downto 0); I_PORT6 : in std_ulogic; I_PORT7 : in t_user1 ); end entity FIFO; architecture rtl of fifo is signal my_sig : std_logic; constant my_con : std_logic_vector(3 downto 0); procedure my_proc ( init : in std_logic ) is variable my_sig : std_logic; constant my_con : std_logic_vector(3 downto 0); begin end procedure; component MY_COMP is generic ( G_GEN1 : std_logic, G_GEN2 : std_logic_vector(3 downto 0), G_GEN3 : integer, G_GEN4 : signed(15 downto 0), G_GEN5 : unsigned(7 downto 0) ); port ( I_PORT1 : in integer; I_PORT2 : in std_logic; I_PORTA : in t_user2; I_PORT3 : in std_logic_vector(3 downto 0); I_PORT4 : in signed(15 downto 0); I_PORT5 : in unsigned(7 downto 0); I_PORT6 : in std_ulogic; I_PORT7 : in t_user1 ); end component; begin end architecture rtl;
gpl-3.0
bc660dd9448bce3e177288500f0c1cc5
0.591202
2.979661
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/common/wr_pf_as.vhd
2
27,402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block myg3RLudVQQ8pRHwqQt3BcEuqSX3U7Za+3AnmBvgKmwL/BRRwduhIj95YFwtJrAwJ0/ftASUzXQ7 3iA5sYfR8g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cVzTdts+/oQXywMAF1sMZ+Q6IrQ4zZPqGdEeishTTmNygjVIFG04efvIN4CxmmxrD4BgX7gJAvoX gh8juMicyHff8+7FXqIRd8jrFxsSld9l1QP2wDdCiBRF74TM0vh43nx+OqMfdyKrY5LRE2fafr8l xrMVCCbudTTCo/AaXpU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJg4g6Kf6i0tysLRwU80l2BcskWf11X8bDR/n6SPon97bbrNGJbxPDfPYWfEAAd4keNXa2tN0OBf XFKVDxWSME5qSizjgG28X62J3FjyrbxN50bhZzoVRDK5W5IOX7Yt4rYvVuOwn3BzOzis7+G/uIRG gDYn0LWZYB/p0J/7ZKYPk11GNJGmhZ/e+/I9pYmMWD0HLMii8R0ac47Hhw8N1ZL12zkbC9wAAbBk mdCz7VRM/o/TQR1K4/HyGBk32LPQP82CXjx2zOrdnUPhKrA0EJcHua470MXWdwPh2kQ1gMp58gHV 0KIq782tMSJy10+An0oVvUgrO+AEDUOZoSAdeg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jtk9Ak4nMh21Cj8KpLU+a35/DIolw9RAtd07tEEBHh4zSssdYtBDc4VH6U3rMQTBRBG+XgvNGEtG DH+e6zhywPFMX/4ibftpuokiSuTZ3D4/MLQA91qPWpgCIdWzJYurkT2KieQ0AMAYWLFrmsQW/gjA bLLZiKi6czn3Visqj9E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block h10Oh7l10t2fVp0Wk8ec0fx1Jy/FC1bBFNvCWmeoOvh8E5IZKuKvA0kRO3zaICJliPWTSVWcqby5 vxYoqiRCUAL1RDQ1Cn7OG222oyCcmLOKTp3EnM9IysDFnqSqCdB1ylI2LEMi26rIHfbU1pTROqSI 1Si/Grd+BuUa0IkdnOnO7JIon/UIvDL+uulUX9Ahr7R1Z/RvKKHLcggm/nwj2W1Ibe3pwIUbADsZ lT0cVS5/T4Xmw6HXGJSPwbqNHds4xvjDpUev/fCWBxkcp41e9nvuAXi6KvB0gMELlW4bv2H7hWLO UMjFR4MXPNpp196ELQYUQHQ4t9+inVHYIDDY6A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544) `protect data_block rwRBZn6UpZFYrQ1TOBp4X5NBi1bgHvyp1oUYPIZjlpVRgxYofYtWSNVK+l5JAG9BGMwt+Hn7OQpK wW22cxjGPCuN8Ng0YV/lu6pPDkujVh482h0lshevJeH45INIObXxoLvoFMKqFH3kYmYfbm5/5l0H TC0Kea0Ubggnp578O91+Ogg4nce8/9w0VGhwdN0l9jAkHmIuZVl7eehXdHGNr/c+n8AJpeK/Y35X IBh2vsjQvSTzomS1WNnss0eVdqHkDXkaSmBpkfQ5P7KfQIpi+BIvTx330W3hh0DgqZ2tSF87tSUn HCqCsw4L8OoA4jEiSP5n5GrcxFGC7PxoujtGcyPJ6ENdCdRSTcnLBOxjJhn/JoVRjimMhHgEa30e QEVwf+A31Bb4dRzhMZy/dJBvxShyPuUeYtOusBzH2FOuykEFOx58vJXWB+fcLM4HlvsMzTQ85Eoi BC53X2c2Ouqzf+C14ZecbsLMCPgHiaZp8KEjx1dCvfXPROQWz2AHvhcXqMzitoLYcvUVVMGiw0kd BgzfN798wj74BodOQGeZ44P+XFcvZ6QQklx8xEeRf9eiX5I2IKSgHxacbYV9yiY+46wmXAWXV+tM ZrInB0nhp3R682r7cmQBaiPXbZy8/PJJxMVmQP5pkQk++yjM8LsVG0By3SBOGRt9t7AhXkpLOA9u Ig8kbIXzboioiC24Lt6ndnKrB5BYA5iCpRblGk9DuYBGy8ijOPQ2Fnv/p+WJSa014HzA+PLit95R sPoKSrrr1+y0BOxNLoz4Rb7tclDg/hE7u02Aio1597uXcIqrkQkSBZNGAivigAxRhiI3zfrQB/qb 8fVCAHqPyZCmWh943X3KnCDzuUPucCmQJH4QHOix733jGHzO6w8myU34eCCF7wTD09fWWozeQtJQ gSHf0Ysg+ej+nj5jMS3zsizYpshrOzPAJhwWUwzdrQDCsBAoZrz5/mJ+SMXQu9JT3kA+X+YssM95 qLsT1QxYdqwoTXL/6kmosewAOHE4immwsltiXzxnDc3gh7HYYJPv+XPGr3oj8PXppPs5ht3JC4Y/ NfUjtgdHzDu25sEApuw5PN7RYEYqIgH/0slZv8HcXjZECdArKKyIZS9wDScQ3t0zZZJPsrNpsn2j wotmKXTABJSOKqAollbPrfTpCMVnPOjfhEpsBmAjCkWiSDxxNRL6uuJe3p9HP3Pq8g2eKSR/+vC/ 9EoZCstksV3r3rp05PvTx3SLw8ROa1Zw7MdTaja2LoxG6rMQAk5h4P37ASvtjViMZxlragXZyK3F IkDSvkis1IJudRV8tI5/4IlzdikUxBp5CFMAEKRNum2izpYDvKFJID1DSznhiRLzP2wnXVkT0ziF SLRUyDMDMttBoCX2woi+4pISRoIQKrKYLpMjkN+JmOTNAXU/EQRbOlq1CfCjeAASGsUgfQGhPQFE 6eHy0DLKAounes5OkUgcDphqFA6t636khUmuASTig5FiFF1wuTsxbJtSBcD4aEX2vlRdSJkHQ6e/ +v/fU5b7LMkkKt11VGcXxrPuC4ZwgDnaiEyf5tGLJc03wiLuOtRcF/sd9a1LNmfzlPwsCO+R1U95 7gWuRIXZmYV4gAcEPleZaqiSRZjGUQGAJguss/jpOiBKyZbkgQWFuWigS+UixWAJUflu/T1H3kPn WO38MEIX9gtx69XqTfSpu80cxTvqGa4Xi+Uij9qN+8byVYQ9oZAaJfXvPm8pvYhNGluwd+vJwLN4 j/SxOi7Ars+Tmw0Nf2DoP4Gxh2u91avQjVyYGrWZh9nPuLr5ZNsARFyg2MaaGx9E5n1bKO1jx3V5 PsP2RPrHmFZGt4C/JZQDQDZi1RP8/JrrsIDjcAvGiPw8HJbBJdhwWBjy97R3zuAZIVExtEztij0V Qhvm3HoKqIYGHSw0FidkjGWtnEa8JtFnCv3051EYrf84VcBG/rFGloXPHlMRF50n/FysQex3Bqot aJUGoTofsRwCxGGTeNLtIOIwTkPqLCEaU8R21lsHVSATcMcCjo7Cemda6gMjI9CX0DEOOvjNpzQR dxWiC9c5J80vWt9hgWl1sKIYEStv3e69hCziZ2KD7rCOB1UnM36F+jIsKK+mDr9iRdm++aCucGfS M5GstRocVR5/qkdXKaoMm/wRXSzwT/ZY/G4qeJEeI3YrSL75mdMOe8dEKHLlqapqowpGABZlCuUi 5szxrM7KtnpKBsIQ5/004wPPCfm27rxZ/pj53C38cQMFkr7RP7JPbUK9tNrInVevqhqplVztH73X A8Vzj4sTTwWAa+I14m0273QOmQZFq0Te0F8E8cMs958UaghsTHuS5ZJs9MPfYdliWIKti478OPm4 bSkpgEVG1s2k3Goozw2gWnTLdNKpPzREJVJL/XUf9/s09XfuSRjScVFHWZkzQVBaNEtpCg8T9atl OoZ6HcOMgJ4hT7zf67WaU1/dwIA1zbC9xRz2Pk5D3G95myDmi9l8HALp5c/82FQq4TtH+GlaGMRF 8b6psi/S6Zte4R2I50Ix3HmQGdyE7JnQpCtHdofIP5PsYphuBd138354+qobl8+1yNyE8MPQmZlO ygu69BvvWZ5dkRiX6BAjm2W5Akyrhvan5q0RXGDybZGusN10614ZT24+CFD6N2jfVagpj8Bn90Av iRV+bji3TNevFEJgxO/x5h9Em72VeSjva6bVkIkG3WBM/SOxwahkV71PXFBwOwEKLgusiOUf5eVY 19a/1sCSNr6XD+oK0cAV1/l5Z7+oBDKYkzDG2hxjv6dGH3o7haNsre8KzyU7ynMnB/8phLAZH9EY M0ecHPrJNPbEujkMikM/aNMiMSA+k+/zGYZQVDTKbpMlG69CLBSN4xVAQJE3NwE2idE9y0rjX7T4 7MKz0g/9QHt15VkNflLqVdOaQFjUiu27kOvYky+LztfiyV+2qcZvsp4OtNnBFJazvNdLdGnzkPAM RVgFh9q0kxC6MDC+hA/YSZbhp40x4uJsB5jg8vCmn1J/d8UHlu9pF4nW/9TYktFUI5vIFLHrGh0k bupSo0lnf+62CwyaPPc1FeW0Y7nSIqVbBGhtP5xJU6fAptIwgsDtidFvfkwxivSEdeJFuRsjWqD8 RfvOnv55cWYf7iHkPnCr56RZjDZDqx4sDgecHw3+0/thGIkQV739Nz/0EM9uTEYctRTLYRBSYXZo IgsjWMGtfewWuyW+se2MWXG6rg99tqgSzWfSvhmKdskBJRAhDqxwlQ0ZtbJw55fO3OTXsV4FCXUE uYndoT0vRGLKbPpNvj2kTLsXnckgjNIxETehQ0wkupsGz+JQjyu5CwOLhnXBzuLT6Fdk+oBSoApU mCz653fSkDrv7ixypt6n+KLGSAkf3FyW0GPRUKttKou9d+n0DJGWh8yg7WEeDAJ2MtHvbatBOTAV GryhUHR/KIH8nggSvinRCj5hH6kj1Wdd81XN2o9Phmxhu8wH4qR+NBPXuJuKVZvQkGC6KaAkoTag 3mi1XtldVV1s9AR3kxyoLY8AY/LPeTRWOqNHYrRQPr1Xc9/QmIFp7EfmRzdStQBdoFErRXOfxjQp uvl4WZ92uzIR4EVXcIUllUYZmA3ye9Er8Bn5OMjjbMkXf+pzfpy5zHmEqGNUD8LwQOsuEbPTWu+0 GaNHHWcfxPn3x9UplgUytu/MXeQ0Zoj0Geo2Moftb+RedpyfGMyIJDIEaNwxyrzK6XoyGEDCCVFT Q77ix4NEpSSp3VOTr5+qE9saJzZBCC42DkKH0C8y2ST0ZH4BgWIpCS1arGfoQik5moqcom57uDN8 nKi+8WSwooAyIw0ZYfzLHzG9meFKi4MbXfsxRqVtNhggFyEH3i8lfE98vHyyqg6aqNTUe5tT17Tx 9/pGB2xQSodPen0L87vxF1hKQXU/TWQtCHZ25IETyp8osUx7BkklcJZQp6sHRQ6pB4LcgUyzaXdd 8QUPGLj1RFwri4xkq/TzilzvN22HHGTg80iYa3idfXgYszmn3uYDis/auLJuwmoNLUfbBwAPMfxz EFlA3ku9O77Mx1Gvkx4awk+9HagpFubZ4IfVzh+T9Nls7JgsDpDN26Y4eE9nHt4I7RV90i5kCQ8F MUHUbePaKx4XGJ+CN6gBLTeGFg1MS5yMFumNF+223h9Da2ft5t9X3Yt9CM34DHoenHkjnSQx0Ng8 Lde6ViLotOvbVR66OYbvg5vEeTYj3tZIwmsijTY5ogliCYPp/kWvMajM41szdoDWY09BVBxerViG phEuJL9jck4reiMdIsHmX9VOcFcKKe1Cv6Xbt0IGYw7IXZZkPX14cYZNY4WAShaQ3UmPHbWbG5FV panuLPlFCPjrEStcOoR6+mAchlG6lv2rAy6ddb2FO8LtnrQexTchXhnkZ+Qmotmz2kgKYMhi0GXh gze4SDyI16izmwR0yNAupicKQrisEKBqRQLDPFb17Xo++HFRs88IqTFEIzcWZmPjRZ+Xecho4U1f tknMm2+zrqaeojhyO8DcOfpoBGmhFNIr4tTN9DGB80/JWyPzSrkYkkZq1obyzSyHopaPZD553qon WjrBRW1hn8Spk/GD1yG0x1oJo+9F6oFiuzK+7gWm56LffHPoaOBbYjsiq7yXOQANVa+NJ/pqN/oP 1e3oaOHpTeCBQezijEkJER44mNxcavKGaGBUgT3k9+iLNiquunrteuc3At09MYxpclRQojL7MMyu iRzGCcz0UuRqnBzan5xTpKIRtsuBY78itDikia4eihvgGjpKP1p3vVyOtQK0eiaAxmiuU5znwGZC JZkfSjS1wfB0Knfta4oBoBSy9c1ZoaOrcuqRlvPu16/Xns+oWDEfA5mmB0flNSXfGJVGs8WbxDD5 qxfu6CnfQ3xCPBecWvXWsKYDctAfmeJmjyUMyi3KvvSuy9mOU/OaRKs15onlenuZG2kOCvqh7AGZ A6XChNDaPyX/8xbHF+W7eCC5e0IZ34brYn8pty837q37srQk67BRNssS8HEmznlYWYJw5AWgEb5q pslaPuCceDc2kIeKgwzI4yLvVQeJnml9RKdt7wD/oY+AMhpXp5ixzMXlXFVqmA5ZrDAuSzwjhSDo MySy6XYaxcTdJJD8RTJKv2Js1Y4lC3q3shftyVAI/Ubsx634xYzNvcyaBxaIv//nVj5gbdLBX32z H8NZ3mryClTrCYYiLQb2AbrSFxnRw2o2NF4qXiB03baSdYf0qf5QkTwQTUixkHXKVSQNegpoFUDY ElW1Sdj/Pvajj67WYnij1QMNN2tXUZG3qsPd597g5An1ayBdLK9HW2KxuvE85WciP5FtalADmKR6 HNDDwbefPZVre2J5Fe3Fgsrx4yBAlXwDKlJkKfEwLF0T3KjFQOuPU4XpgHN7fW8sywQnoPx42uQj IvHmsIHiOhwZXeiFX7UyoArq4KASJdAOD7+Bz2J5kf7vOAaCrEFOJA7hT2wvkqtrz5I84WVnYxrk LjsEfRAOd0ECWC1mu2VaQwt0FWUSvtnHsnwQORmDFJoDwAv4AC7LI47WNWa0tav0pcrM+OOD20nz MMxYT330znbbkt2ZfPQpOfoV3P//aQxXpO+WHqmBuFJw7oEo35C804YA79Z6saVCQM4VvHkTYau8 AXY9JVR0lgdM/GXjuoMMCNx84zaB4vuYwxfTxSDeQTelJY4GaxZ3/A3VgvjAKWgsrBB8iCTH/+F/ JxgIZr2orCiexxKOzYLEi3EZ10SAgrp7XlTB8z7OwmCMJP7awsf12Rypxaxt6X9NotKV3kJTtd/N M/V8B6VHF+3Vp8T3saufLUJGvAIp+z00mkbH/ghtrr1Mb/Mdqh9TKTW5BVlm0ljvtOoc5HpC+pkO Un/u1y2YFlCCFW0KfLPV8nUjPSCwo+cCU3zVyav0ltYAciAb44f3PUEbc52aCvPOGdSzxQ1E9Frc f6bTRknMFGD3oF/3xTLbPicP7IhSkbhG5bxuX5yVlsb/rhUt82PBdLpAqkOj0B4Hi5Vy8pPe7i6H 09aarRHfA9KdMBUEyPIXx6TV37j4IeFVk+q+8cTDRCJ8AqUpeiwcJ3av8+do5x7fTmYDKyue4loM e7Zk0JcIfj+Kp8IvS8VmnetARQOXRkHMoURSh6Lfyc21JAUVrvi1DUHPwxxYmwOLjUqIvWcTIb2C RSbl0RTMQRLQJ/UiFojhOlhO+/JTX5MiAC9m/WQTgKEjOG23CTcqCWTyH3+hRlyWkkn+wsDb1h9F cy5822RYiOvwux373C+fYh9PWfvB77j7AxLNsmuYkw2RNMUE9M4c4GeX0FHXWRigx4IH3sRU/Kxx 8QlUfoiZt4Y/MqKE5sKN9oTJ48SJ/L3t6RNbdwolLgSQ0uBURPY9Yo4KP8P+pBGdIyUK9NizlkBM L1LDHrMO8H5RAli8aQv7rZE7zIE+csd5uzjDRq/q85vp13X99Skv4l3bwIPUEJ1SfN2SKiGHOW6b 0j3Guv3XNRuZ3xwz3CQNpAecWFdLUonqB1d+O4hAOxe+c5YFUpbnWcGp8PsPVDLxKCq6Zqgjqwnq WDoH2YOFyGdcIMyUh+S9jvWCdJYrv/2FDWCwSB6GZZMSpH8ZnXwsLd/h7nu0peyAzXFA+/gSzpuT 2u5QfZOVUgUCPBNpSjFVoBTRqhMW1qbzLHL7ATDo1jAtbBOyoRmQQES+izxF5GZQ7/st8oE5l2aE bTgSvyTSHhZPgYP5Uf140zwpP1RvNo3xdZPsN7N822tvW2RljEBqLjj64ni3reRbMJETlxr7wIf9 +BPvNA+Zm0fp+YVxq/+sKRVnOzTqUP+qx3SJD6KnqHvOyA9AwRwIBxHUdyYTKDwd1fuFDAfI2W2p 52sVIDmkHiib/fA5XZhMBKptNTwD3YjmNpksL1aaLSSfiuvHrQzujmG7f5unQtqiBWf5QNNP4ulR f/DEtl/VsMd89r3IhCyxVif9EdX4TpY9c3L0E6mzboGaMOIwO2RnoFUyIDvlzh7H2DA3AsJ/VwTp fz6UysSoL7Lx+KfLXqfrtLk3uTfxL2XU1RiAIII3KU284RYPReUhgYr9h78rNp70o1CwFFS2ndn2 yUNXQjjSK4TnC0dUUJ7Ilxto9S590CMKt4jy2pr//BPaY6w0UJHg3kDyrgZq6pXA03AOTEbPQPSH iZVDhOyTwDN7Br0sBRFx6eX4XP4r4GuqqTmQ+Iij6WPJcaMLb2QQQjC7GG2erXpn3vJJaVv3UtwT R/2KyuplVCBG7/gKtIPUZVGdsCr0T89Ms+kQmtWP44uOuj3TFfPIgtRf+zxQgs4l55C5YLTSmllN fr2qi6CngpgVM7e96ETMgQ+kU/sbeaV3B8F9f10KFc4UTtsg65vNFsjiFUd/o0xncdXiepNYdBcx GpLl18F8vkN2uk/bMj4IuvQIA3/+ekTmMZAkd1QxE1yIdAfvmCa1XwMa0uXoq6wJvfoAwMah0vIb btN0U+X3K/vIfMWUbIB16X8XqpImCYRW85OyG97Bj1kbmkbk22c0dd32TlHb3hK4+t7MRbAolIFa /9J4KKRhPH7MTsAJmrq6MTakrlyOIA7bUC8dNkGtFrSjvp6dzx1I/S9TAovvS+v7t+spGdNDhTy2 q53k9366lzypYwR5QGlYQhoJIg0Yumh9jDtAc0jzqDvI645Pmqb6SBVhpQmUS46Vumbf3xlJsNPj J3k7BO+gz7gWxKHP7sXG113Cbu43O4tEjUO6Iiakh8nEiglP4jnWBZ0HG4gopOn2bDL4c8Isd1XY 2TklnOW/JZ2dpQmavvsm7/ELh/TGeVE3JjzqQZHwTQwypqs1V/7C816H9qYc6H0eB1jmrbVOuTgU DwxEbIfrWkiZ4CI2KZH39+tv45cYXZK/iZYTmuZXlk/8GhcqW3iz4s3UTMEEkdGQSSVYtHa9SOFI fEpAA9rh8OkExNs/lmBVMNfD7eUX234LICrb0DP4sB/AwmQA4MQ0PiNPaWws7t72tdPzvUm8bOHD oYP4LAmtyHobZAqfl4/QZUx7gWFou6yxc9uZgq3zf1hGF6aYTajSU4SEUV3E9gsIuEPf6ZwpQew0 qzBZ6+AaYp9IJEC4ms46nfXlmo4hVf/6ee0GnaZ9eaRLdCmlM/VhwRCbP2Y6WcmgMds/oVwKqOKx 3ZBXHNH2M7pec0iSYYND6aKHmLtmuXabWrip+DIK8B1rSQENrj1PE1gcqidnEpCQXkNvI172TkNs ZngVPx+B8WCTY3R+dPKKANv9ntNf+UcMiaBRFp0ULQKRxcBdQ5aq6bzV3VL4VwrxMDyp5ZBAzx9g Nkvf7yAk94rsTij8L1XgXVuspny+siXUrV4vo5DxsiXjqTJ7iLaWZikbNVWiQbQKW9EyLGMPxQxy CBYypjF/N1nfFQWjt1G+FTbM61vfVasJKpEOEr/lOnO5B8rAgdL24Sl8PPn/A9HxWV2Pr59vgBJ5 OpTkc7is+y9tYlLDJJsEapIrWWIfX1Z1um0X/AjXiH3y/M1WBN3jdU5lBy/oGIeeV5PFJlVRT46c UWmAohwl5sQB8zZQ1dk/OMrVCrdXY9eBPrhespD1rbkEVNDJ8QkFbMetcJE1mJ3yUxwS8d0y5VQo x+X7CNsHhYkTZ9q/aKZIFdvr7f1lomFPhmw043tKrj1IutishI+1SuGbzRUySRz7BLp3TNW9PxAN t1x6P/u0K5xGgp2p6zbV72t2knS/6QmDfu+gNESiylJ0BzMWqxxR/DcAo9EMVesbGef1cVJuE4kv ntn6bj7lLuj/ws4dVGV+D0MK5VbmoxfgBuwx3iZlbMYwB/8gI4UZhUQ6rLmLSyFhGbfcLGYmqj1L SvJ+ZuhU4eexih8m+Ev1qrA7xn2F6pqMulw7JLzfJ7148dv5J61XWRppFHQOtE3Zgo8VSXQuXHW/ kllmosNecf6tcZfAx5iB/ZKLURZYrV43qmJoJW1DqEsW3Z/ECPCcQ8QMxsCKewq98RrbO5IgMDQ5 WoN9jHTfpSXV90APirXsjiqMp//Si+BydCZzhmb22k2PDTchLI6W4+K3wdZ06GmJw6FFapRu6ydN AXNMe2AJ9JIHaazNK402we3JLGZ0zb6NdAXMMqckVCXT+4s9+2oOaKn3NwB2X8HiQqKRuV39oq5x qnKV7oDcNwZ43zxeDX6KD8akcWXbbVdTgk8eY4jicinlmnYA+SodUvaXJ/ykHl3/VkCMjHhiBx2N GF0vaFwtvTvFMnJd23q3jcZ7S9Bac9tw3M7sFk6sMxwjmvB6nDsjMXLsNfW8ABAwftFEuxCck9TV jUCmggdKjQzUf/Vhd/yRlQVdvqlJs9DyMuN0alU7vbUBfgLSa8weJGUVCnqKcdW6wFUu11/q2cuN DnkpezxUV/QzAJwhkGAhFvV+c0L4srzKAOMJdTFLyGVjnCSn/GfbkpJwDEMzEh7nmx3A8aMWIqNw B9Sa+D0gkM8od3x0+Ph68V+V4nXes97yxgAEtd64c8kOA5AEM76y4W4Y4xchKEKrGJ77by+0uNK2 3t2RUwf8UI9UGM8x13lUCIbU7tEBLf4cQsSykTb68kOQUJoKDau8E8zuYLxlPPkZRgG6JfU4NVYm MMFkM/XjcPajMLbh+AMkwDqqhSJwkQv1HELjVzN+GApHfG15hpZRKb7+LghW6uIHpCo9lDUvXsKt 8pKsCmXQ3/dShCKk5zF9Z+1TMFP2pvMHyNeSt9p3xQv40pHb39H2fuf1kE6vsD6cdsmDYVKMZN4f ukcouQ//sxP4ZTKsuNzD2VRX+bKsgTbVpJSkqPqUJl5Mog6yKh/zer7tZA1wS9CcSaVLAwEQmbcC xTXYmCHwM/us7b8QRx+1bJDjN6qNlC1ju9MhhNO8+UsAoPzhPRklo8e2xxJtbWgNpKwoDO++ZkG5 PlglQDFcqfxqnZA+TilItkhlw3wWES1Bwi8Do1JOBaHSDADppS8o+yqEz4QG6QdIDjK6WzzlwpJl wZPFFuyaBAX5WtchdkeqTQyKEr7gDrgq+hU8OD09WdEJ+RTkfXnRe17VKJYqmeBuPme94pjV6Rn3 LEIeKmsl27PPUqzlPzRRnHQoSt8c8GEBrQqDrSJqu2x9R5skhKDTcWdVsX+AjZgGQSu492wZyjuV O/ZHCiYnuYMz5PWa7rOAxX+I83us085vAEp4c8pA+TDDifmiDT7jA/+SZ43lR4AIYI1dNc16tchr B8yJzjKVQcWtibfPTt1YuRwA/rKLPXYaYtzyWtDxvBWhJM4Lp37KY+rDKtQy5WIjvwNykqM+copq bMAOsmZVu2czIR3aBSljnAZ8Gf8ZJrUtytn5Z1Sbx+0vQldmG7ceBlW4LSC0hbt2eCj+XZxa4SK9 LJSB4NQnr3FsnwjsCX6ntsYaCkJjfxsWYlr0OEiyEOdsBl70+kdN5fR/PJXMIV4LpYiDTVFqiCRF xuaIua20SB80tpXEhvM5jCXG9RGQV42O7+LqcFEwKrw/09Pzq0fb5vgFcfzOxq+TLi1LCvtDvuF+ 0YQ6KehiaWDRdp4L0ldzf8A8+wJUKvHqVHPF1SYV7QKcx1Ajcp1SFD08P1u9cIsmc1DYlL1TFIK+ vABrFLfsm0pFJXA+Mtvs2Xwox6H7SRcg2DqNb4TAoO/B9NaHJEPAYRJRRy5+NGhaljiFy/WOIGID FQsRsLsSCXVFWxGzzpG1RosAzIY7WAnot33nKv+4dWL2UTzAYQKqgkLJCErZsBXmrZGO82tWVEZ5 VtfqxVJzDzUh1r/y+bN0JnFqizjyr8wn6uWIOOjQsWsqb+mTuZfOXmE0w5fhlfNEGouCHGVPJ7Hz T8aC7DhCO1zcjn3YMlkUKhjIBC5zd6E7ernnz1oibnpUdmt8eeEH7dWd9TAUbjLY0wHiFsXj5hW4 dw6CfjBIduQmpm9zYoSEY4D141Fr93p81dNQRuJ2r1oEfJm3IQDV9lsabAAgEhJpoW1qyyIBEVoZ F596RhdaKl81/KQJn4yhsbTgVEznWrjRDZXP8k2wqVP9BuqsRDuUJzbIBGGpbBXnUK8Q64b8ZDBk j35S6OQxiquyLG5FeyLDovfpDukaht2eL8uBPqVD/J6YGYeb4NUkECDxOJysLwHqf+rMWCYa+b1G vi5xy2Kwv06Cx3Tr/jAyjnbmqxxoarsqb1gwyjSmL/EWRGd8dk+glYf6zyjOCZDvNUg0RZZt9VcK nqeSI6s83Yq+5m6l3oc6kmPVQqtWN/VAMTeYy1LNJq6ATYsIXdW4P/Ob7KpYUGsn79YhnlPusD0G jUCkgznCkuvMXPiwrFpMzpbu0b9RXvGMCFuYN6umfxx74r1oEydqPifbnmlXyj3gkWlCcVaflBrV eRZZNGdJ6D0YXUzHKiGfA86WSIkWDICDLQJDsawhLiVhYyoWmZPNpAV/q+Zf/3LLp529lC30P99p es4AtzbwzLvPscdUT1u8bwfCn6slJJsuI4TVx3jZNnKBMeaNeCdanBq3bMUjf8unXC349uAdcHDp IUhgXhrrJN6MCZwdKT4/0hfnNjNIcyFGhhjXionJr+KDjSacVX+gICwEz/eah8VTSMsF8NMQkU9H j/f+x+NSaDnTAVZuuxr89hN4NRDTg4sdS+8X1mSP0yHuXVFByM1fJzRgtRkLZsj7A5SEcfHZs5dC 0GHYoogIu4Yb4hjCN4Nm1tqs9574qI8SAwAuRHOyuKoVTPMlud466QouEYmiB53fP+s1cBuTR8UR vt7TbCPRcMW0wYuhSXb2rLLypiI7FTWRExiW71IBBcdL8DHNNg14tPkp4N4VIiY4ov2aqbr5TwNS PZpIeJSlEeRSIQfGJeb4W3WSLMl/JIUGqbQ8DlNJw84tN+tYrmoXRWd+U7qEkUFCgNQ7hdqIomnb 1VY6nZ6CSoXa38rNt17sWQq12Ck3GH9yEXwGSy+xBCVj2R5nzWouo5f6I0jRlda2V8MpOQ/Uy6tX 5+loxLaFvI+y+Xm53iU9k3Poexw3XS30BfcCsyuGCVCH2K3LlP9uA+FUFMqnjt7r5K5nW2NAQNlX M76LxCGs4ho4ydC1WhLZW/4kdwc5bcUoS96/C60pYN6Pbbf1/rrQ/MfbjidWEAJjy+7jKYISKoNU hyL+yEAAbch7ZkIw03aWY7IKhnJXjG05d65jZpcLmdkHT0zhFCw+pPSHR7u0LGF9Qo+VtDau64QS +U7nJyN0R94WXhtr/upXK8Hn3fTBeVLbh0Pmw7pm5dTrBLknrmN/SGnrOjEooDVlBVJaYgRbm4kN DthPuQANxVt/7FkbgNUq9nkuoEHNsmhyUpGkeF1PWvryiYnC8LuurFLaijfgBzItT05Otmq34q+o o6PICwKCCnGGOE7G25hyqPlaHraJBDbIhN2LGA5A688sksBPr8yTa5T7efXcWWeu4NLi1cSnN2Ba BEax4/qADQrihcZ1JZnGX29knLP32hJNQTGgjtMngqnhrNgj4Tjfu5C/UkcPT6xRyo2DA6xgxT24 7sTGkTYQaEu0XQ81a/H6sjQP3oRcfp9nxz1cvUVF1xxS8caw265R5vs4u4P91HQCkhqgVPjqMkN+ yM7+Cjm8TAlw6pkjue0pwJi+/bcm7mgxZSN3tC2tCreCdpFCdRXk2ksAmcCtmycF35SxP5R2HHo0 EnO2y9mp+d/a4Y4EUiCd+BqhqPFIMCqcodgxGBRLMVV1tUfkxjxR8Arl5/RGg99kbB3V+amMawuq 8X6plIWw+imPxyzQHtTuFMV53j6rzVMlRoZJGTRw23B81xzXOjmY4LGsatpY4RJT4hf2rPMS6GdS 5BEaQIglDMhuxphIADHqzLtzz5Qt2ZHylQ2o1LhTyglFCD7EpMcdF9Xru5C+7I+Kx2xSrg9jJI1C 5BvY85toIXtN4qvzonx1GJro2RqaHL5jVS8+c3Tj+1/nA6KmiMwbETUEUxe4BbX5kB0NVhTzaG6M i5zPWueV5IyqGkZK8eLlu0eIuLsJS3YMOK90ujryBIBDrKM/mMujr7SRtQe6U+3eLCJmeGQugtau YPo/if+rWFPyapsBzP6aNzRw4v2LuzakdJR8pY9om6JzBJH3cbeStxzNfF2lAtjIWaZ7KFvdLM4O 6keQYCtSyCI9iYEzBWeKz6q54CpAK26M83C1ESrF3gZYW8t8PxuItM6OCawUzl+IG331/HAlVkZC j5ElDjXbFAQYpFh9r4ViwhM/L8OvNYxxjYSUXYGNDE/v1CQzmYOk5M72/22lTG1IBpri87FrK30v BM3DNHVOlBKlbCHrVP+jO5NfRT24Ig/ZS6ODNgEficiE9bd0/Pvz4cIk+XsA0KstoQ/urfoxv1+y iKl0HEUpRcY5vfxbJBTjpemlPgpRPzvbPkbbL/ySQwcnN4Griu59BPOOcDIIrYmxPVz88lYjrEAW +9AZJBfwUzInj/iKOtgt+u2Mla1zcqp4/AFD7WviAbpI1QPTSRfUbT9/98TgwY49ix5fDz1tlK+a 6oj1TCXGg9BWr2M4BsXiDhHpMEwEy3P6Lw04cdmAHjbma1YYLABrvT10qB8FAAcdqL1hoWUeu4q5 4bUHk/eVusFp4lMQm+tmv8PJ13cMSykEuYMTvTWO0jZyZMlAcEb1pXEC5ziBeFi+SC3hjv159EDS dr5UVFVchyyeJ2JrG1AchhNcJJe32xmgA3P7e+rXsZ56/r9UMFtKjvoS4qNJJjw5a35cbRUd3Zbn LtcyUM5yfl1M2KWXCLGG49iaLD1qaFCU30ieEkVpRgVmH9ZNE1oX/PL8lMfbKCXIVnvhu4o3M/dc 1dbZv1UuJhAqGzMBFcGVWQAqZi9OCp0ePaLEIhwKJjaskh2MmCpBD4zdj5OiZOC8FcpUNSWFM10o 9Wa9mUZQ8P0d50uFrmyt3PLEub02PpBx7SLaE9hiuCF+/L4BlWZatds9hw4b9M0KFwcYwRsAs1VW RapYpphHotZEhKE7HgFhes0CWJasSPOW3jVN1q83juRvtp78hYyMRStE830OH38DLBkpYhuVy/9A uNBRPTLfaFDxJRwDaMflIsRRcs0EZlJ4Fj2zwc3RFscfrp+LtgNNuVOQLCyY6LR3jsg5l8Si0m7X Y1lHgo/EoKM7L9rLbYd72XMDnEy74lWYvlc2cNXNLNYUMsBj0YWm0tovtIqy0qWXsN2X8Dox1MW7 8ImTn6cNJNCssr1h+/+vucklHBn8Oilc25ReSHkTloBkewAhXXnsHgG9jKQ8EtsFLnNHGmaCiaAB nGQRAaj0E/c5f1VsNaPaEquByInUHtb+pzliVroLIJeDFnndQ3Jd0uYoAg6e/wk8avsO2JXmT94D ZZV7uSZ1c9ydBgRc/JY1DX0KKe7AFsdzE6OU63dJf46G49CFanG5d+m2tHj8+UTU5PSbXT0VFQUX YKKStl/glg/oEAr5YyH10rTljyoeTzaLkS/vk78+95MhUgjuG1Q+Q+hfqz3rMz9gnjtFoFmxj2ds Se0QiF6nVbou0Jm4Q0lR8fc6WdqLVp+OyqWDTMvhOwpBe/GfPY/RK+bQZFl49sbdFu0pgy0irmOK MMjY7jGGaq7IXGcLztJ+3ramJhEvpaiH+I69gQw2yUz/gNJNpgQvBWcZBjfXsQh6Sd1RUASZmzHE H7mOTiQe2noaHqa9GJR/LUCpjFT1KLSUKDoQLYwH0eLmtt/ky+sSlQUZsd+TpRM3LdJftbBn+7/I /rtOonsnFKGHzAPHkD2BoxS0VAt6UFhH8Vv2peBSATVQ3BFUqXHXqAie8WPLTsZ4f1/hb9mOnh4X H8bYwELn74od1STvguizTbqrhozB+aZPMXQHxHi7kEc2woHhLJRE/wW7xusQNb+pby5fsLA+MVQ7 xoKcFssUuJGVpbdWLqzPs3ZPklEQPG6Ctmi9pPoiLcG0SX8cgSH/DLF56mf5ADT1rXLZR3muWm4a fUfrdN6/dIQhqkEsf/wR47iEQBo2/TS1vBfN84cg11M7Rcucg6qMHW7/zgJmqVHXQpJToG8w1+CN /tI/ngVZP3wum7UQaN5fwY+wHmTva+F2Nkvgbqg9dndKWpchmseX1vRCUFYAg7JoTmJ9aW6P+3+7 z/G4Hpvtjrtv2h4EPrIUrxWtBgRLuUqALoUWoDfwzdlkjEqeGXhjH2HuTMou0uX0e8e4c6pZehsi xI5oWSn6oN3xISGcI4Z++UbmPA7Ua1NQsZRoVC0rsHYn8tcQSBkWDlIdDWxxcWPHOON3aMVJL0k1 5dUxYBjMaABHkg+IhCzxMo13pjbDlL8TP9YMAqbTf/2iL3wVOcHP4hoqa2I53cM5bIY6U6MRb7xX TVmzdZNfBaw6/mdK3rcu3FKIbJzgkuOagCb6sA97FgpsTKktAEWIQrNytH885knZw7rCuUbrL9rz cJcgs8v8RWEtoOy6EOigyfBRujQqjN1fO0rhR2cWymV0/v3gNoiB677//cucCwP6zOLfsFjmcwD+ Zzy1VyM0DblcguKPMy+XsBWqKqDGcGbvI+kwUQPGWfOGH/wVv5pwKvYlZmXdygPu+4MY9u3JjoJl tdl3CElLhWFah1VxXk5wDy16BdGfPjuzr6gJ8emRuFX4FfcGUneSTKRyOBzP/emfhXl6fCZGqYzO pjLO6U+PPAV5V8ySxSjIo+Sl/iujMF4l+JQkz9pPfuyKNH/ttK95ilTonHlfQT7Ics3ey2hmwOIw tDNBbckeDT74B2/g/NDAgfYYiMKop7y1p6gbSRAdd4hY/sYpis26cbAjBBX2YGXsSU7uxNneNmli PVVGkZpNuUFSC/S1e1jyMbHXMDl9KLOcMUGcK2pPid1CXAsglwNSjE5IBJfs9MmyoZ/gutTPHwdv woLQoG2X5Gn2AWK4ZoO0ONqzR7y3peLm9Ml/cnn5Lklp7CcNWtvu1O+BI7MRgyrIVhRUFki/8zAN 05LLTMmXvI+eIzbeAdRwOAUoJvZ97gcZGq3cIMFulsHT/IoRkM2QgDLc31v0i7CpPTWFI377ug6j 4f3QHI3oS/MrsFNrbyTBCtOFnzL3iZOvrcy+tbij0NOdzLT84zYNr0ltOhP2wb+L+KdrBczrI/i4 d/SNtqwiDToyYx6N/X9Vi/tBgV3Ujem+H3wmxyugSbRcsDofYe0+DFLIZB+jcJRO3ZwbePYHwymE X4gr0sI7qt7eLxXyu5mtadOpwmg2g9ZnYghC9ORERYMvL9lrGBtnF42M1KGK4E2jGjzNy+rhMAr5 gbIcP4m/NWHPHczdDOdcabM2HkEn/b5G0b3boIE+cPw3QYqzB8HJoGQ6HPu22UbAamInyhFM5xXo FKIeBrRKGJJej4haFurcGOwW+yWoI1NZ1D197Pgpac+d93yzSOXPi00H8Ymz4vdRD9mQBhM83BIJ Zwi1xJXZc+byheDNRCuKIXm4YTTAsbYKHSjn0+LvQTclitn4JYeq81iTzV+7yeBiMML392+kJakD VCl3jr68drSzZK8bnf2wgP+ktlXf5GiT1bxVYhEMud7riUpdtZJK5cwBPX2GpvQ9fNhZt2fNqmOF 549ganSpMzRGVGyZl0i0ZrXpOGzfQqmU3hACP/Lz4WlBymGJMNFEYOSlewZCBrvi3qRKHJG/YeLF /PsubFAzxEOaV+jVFh44a3ud0FE78EM4Tzw6D7NAoCNb13W+XLK6CpS554Zy0JBMMvkoZiXEus7V 289E7xXeDo48exI69tos06LHmIaxRANE46OcfD0rCvO37mgLDGZPZvXXGCQctsI1+Wi4EbnwHirT 4F8uKTdFARFtaWcirVOh0N6gw0NmJ8AgEf7qzYjHmWSo5cfmqsVHlrY5+4l2pbtYIiyOG5O1IQpO Uc9FJVFJ0j4szzXg0lOOEfHUCxmqZ0YnsmRuStBXGzCBvP1Mp86Wg8jOYcpTjiP7bie9EE3/B6gc NFcx38Bs7M8Q4vYep5kUcEzs8LkrJNGhGz65EiGKJVdnZ2Uibjgr5JwRqdCgmoIfEZhazAnAXKa2 m20qXgmxlf+iEdMcJ1WSD8wIGq9ML7YsW+5WBTCeOb9eM/ZutmNoLXCVDfWfJSohbF3cMfM1ZaRK 2wV7d9gJCmBFTwcdWHxV8/J+zZ3q9kmbGbpFCnUC2/BFfCibibmkuKxA6fO8aoFeHYRftdrxjV3p GXj6gbbkb4ZYhlwnF/jUmMkKMSQE6G8Sn9e5NPVhBa6pfBKPHnHqEwYwlMFvXjcVDBERXFT2tdh4 GAdfWPurrqhlm3Ejdn9Q8/T7XQE6TKZ4RBNRgQr8a1HOqAxdLXM+T8etFdwLtl7Ny18SwnIOzAAy XS4FCzMki3ZvrP+0Z2gYBB3YhKaDcW6g3eNi/KQMvSGszH+wRnnLAE1OKfRppyvI5NvJo06W0D53 x9EGr4LHuvOJhpJ3Xv+ubpE/0sjJ0Kr3/ApG5IydFzHb/QomwoyNCB2fYuWfNgW53d6VX3GClvzr SLEme1hYl3to2tNVy40Q3DcRtjSPA+ETAIOcPK1MbEpLoQN1gzaRHSVCmv4XOzCUPF65OkPNaHIv rQH+QKlHlC6K5uKFwDPvO2gNkq1wsFNkdB50HPS6vWLEwMtaSMBPJnU9+eOD/RuuThKqppkpMMMZ gg26HMixfbhGsqW3OL0dhOpfYLc3CvHp27c9tlRVNrBon01o0L7e4M/yLOOm7sP2xiEPzQ7U8tlJ 6yXF8RZ6m6vN7jRKcPcl/jKLGi5gP6y0vEyYsOsNnzi3zaMKfvalGvCQu3/WMNo0440RVxymkSPP hc/xKyuQI51/DbYW89BGL2fNB/tT/mUcXp4KXofPbuBUjy9ot0fX5O6lzsTPSdG+OsWPJm+fn+AQ 3Ex+FevSi1X4E7jt/np1poPS/Wx1mxz2kh1Fo0lnqm9LLxBccNC3vonWAUHQJzlH231Vwxc9NtOb H0fIjCW2ta2qB7HGPaxySGM7mEEBBSlfdILPPRiGXMojIw8bwdLHV/o2QlEF48nA8SvmY4U6tr8k 2Hk0ZcYq6WA22OPq07Ys6Hn6Xojs9Kbh3ShvOgjMySkyhfZ7szHw4y2k+FdV+HirX3KwQchlEZpX SEzfSDDMbmV+lC7V0kN8dzMvTKdr+h5dgZxBn4K9O2PrMLq9sUH0N6kOQ3KAXPehfn/mqXoHm169 94eWwns0zkZjuhuHSCCZFzMvo0ZG2fNsNwCpNN0nlsJm4BBzKAI54blnJr/01hXhMu8byvMjJzT/ 1qnIh4FPRa4RslcDthyk1I6Th1jF3BXZvBPYRukwBaOw2smZI+oaYzM8fwm3R7ErNfBgwIovl5WZ 8ly+wcj2oDMg7cNOgkqNmAO00T93vzjymr0z6RYk/p2nk6/A4ysOkHTHK5gydWteeed4DqspJ6Ry oFcB+Ay6UPPPkJ3Qwj059PzlVC2EEjWCfsRUbjU7noPr1UunO59PGnt9PyuboLzJy1OiOQ8QoWc4 Q5+XT6y/c8bQnAp992mrfGXZIInY4TgiizjuS8EOYy7NSjAwJnUFvDBskPdTCkjRHdy/8Zv5/vy5 mpOpAT5OaKhyaSGmV/qJ5gcdXrpgcrWP5AN+CM3yrbe2+NU0Qh1t8GRXa8rWpMTJsb9UqM1J1KZd GJZOWQTefoEEOX9KDzIQao17z7M43Dej+OVWmW9/mvX6hiWAfpeREUoCgqEa/5BHWWX5+sM3VUqC jQMTg6oTVETZo0H3LnrRHAPvvtzilTpq0sqt6ImIYwy96HpaCxnHF0PpiNiCXkFddbbjvmsyuu0Y dnGCtmDgK2djr6uUqMlT+oYUM49alGXEUUulsUzADQit258sAiaY+VLx9URA636SXjMn5olhjbYQ xv6T605AFJR2MoGHMdZZyOKD7PFslHtUUJAJd1C1wfjGsJLO07ks9Q852J53/Fdd6qVUtlcMSShS CGgiR+pqyvoBD+EJ7a0UdL8Xa5woVhlvYP29VBtNca4IMmVbOMRN4+b18UymNc5tLGa4Xx8yFcth ixhp7DJ74rloLp5+bstRsJfKhachiR5OMKWh8xAvBCqs1xuEym2CxMJ+vELg69aIRSzFiRnjRWvF 4lY1Cl5dtp0NrkaHitY/LMNRSyv6En/jWtC/CXMf5oNaHdnv0KqQ0+hdP3gYJALw7Urr1Xw+F0ON j+K87ZnxKfAG+JlYbra+vDb8DJGrvVJGWXRV3X5Eqlx6OgNohe67vRUR3Zhe4GRLMg9ckYTRAsFr gHW2IO28Cb+kSMNiYEZY/RLDjkkc4yey72mP/bU+l8w7jrATgQ5JiZjMqnRuqtKO0pDC+XWXOqrf xD3gHLKPWkaLnY29pxAll/PmtbmtkxjU1QfbYWVXURQ8mCYeTthJZFkL/eONTYA/PiPAJDz+ZZG/ QEqtKZRioxTgfqiSr7EtbC6imcMo3pahK4f6Nct+TUDw86xOUg30I2iaXx4jQC4APWdzljVNlilW tnkxfGI7d8ZeFzpAIrgRLo783IVWPiRi7mpjZaAn+NEI0yl5oio9WfKeP5MEkojHMF2lKIGnbSL7 Kxm31kF8HDjRzL5pECYhkSiO/he/PzMLpSClI9Yk8dQpr1cd62S10kNnQD4xN5B9EOSduMvJIyfV ByKaZxCLB8hB5i8cHE0AaQGPf1/yJOr9ehpWejjRAHu5EUGc5t9L0sqL9AtbiMVXFgIGQv20k9lP 0s1asV+vgQ68Bcu4N/gF1luUvxcRFGK+UdlMzMI0WLthP9andsPP8ma7ATq7pE1WfopETZ2KjjiD gkAl37y7XWGKq0v/IdyNh6t+9ft0rqW5+o4zwveW5KkIpQ/dq7GHj6CaAXLMinXQWy+/yb8Qehhb /FlXQKWVBwT7SPJg4gCvH3TTpMt5r+oPRT/r+fnzRRiOHRf4yHdmCyOu/n7tVAhZrO5KwE9IfSwW oIZzNprKsBjvHgeSrnST12sMmQDcCZaKs2zsxbkWmyy3WIu8NcFKZFJifp1oxtP9IAWjDhU2xvyR Wu4rFD8N0PgYUd4Oe7iLCJkoxmhXy6Ai1H9GXZ+YxjZSz7zKBD0ChQNXmpqLnGb16OBIo6waGPKP zV70vYLnH6WepktcctjrPe/taF7hsJZBRv8j2QqyfPM7ND1c5FIgiHbCn31tjldVTp9rvhIHXgKx OPtX7LOqJsHxjNxVYDNVGX/PylqPZBZBA3tXdej8Pcf/YirQaEVUm0xiveAFN3F+SQiyyZtR0NeT 3o7c7vYNpg/mbilGM0bFEF3fpqF3dcHM+uP3mL7DaJHhSMB9Grsw1Pehvusr5DWTTNei2iB6tulU oL3QxDEtUTKFVDEOlQXC0HZ8TrDM+5KjNl+jnQU1p2IIUJdL62/0Hk9NujguQspJ3uDu1JdcFdAq OjgfmrSP2KiggSnmGoBhGVJHwnDbF8EXfRF8P1wKUk6TYKsWyj+7gYHHJVvv9cp08SWgDTqHPyNi QdiVS9cBr9TeaDphCMnpxAEh+ApjTRZgOIX9UoE1TMx52i+XS/WzNPwPTMoU8lFdIdLR4MeeRqKB 5mbUVwRiBdMfWidyvZSQVXbECkuX1AMjtp5xufQsAdfx64eOJ9CSEAROHNxGJqzQIbCewkNHvfYT r+GWiv7vJaRKxmmB7rjC7dH6rrCe+LaeB3REvIgIXQQhjD3BDsqlLTSDXKhgc6rYBsR/tTH4jFzi QF6+c1YqwTiaQ75exmK8Hy2AddsZsJqFxLK2HT7m9RaYZNO4Wiwf5Sr5AJRpjlhukxl4QblDNMin 2jplilN8MNfNW579Br9sVf+vk3+BAlvFV6buEyJrOToZAlx3fUmZSh+mqIeYcfpvAQwf5/J+n/Nm rkfRLq8KkNLEeTFualshgKwj6AnmoFtB0dvnXM7/CS8Xtoe1oRkKtYrvxlItEFHD2jYXzoXw7QWZ 6klW21aYTz/jOM/mEddJTIqql0mY5a41x3LTIz10f+xy68EbCjPeD0/V3I/qco5kc71wY41EHq+D VGpMMNBoNqpsT+l2ijOphQUbL1PoiCyXeUrsPUQyyRxRyD9ATc2n38UBKdjgMoBpwMoDFO0un+NC N2PCevo/V+fNhLvxBgUKjINjWW1rJWwgo30xlc1+N7UDErUwdHIOLVwx7BeQI6hII2sR5p/A9/Rt qXdj4ixjYYHfbnSG/OHtFKXQsXyf6TADgAKvkbxGANM8laWAREu9MHNRgASlvg3EGFmGqcrC3qif fK2W8CRaxQ8Y/hZq2Tq3bpwyZYcBn1iN9M56uXZTmHiDMgwwdw1dd47wyV2bbUxaZv8ZAP3NDSmN FAIJoAB3S5qg2yGYn/Nj8Z4qAmuJ8H2Q4Qss/ukowX5+xwfIFblb5zpNVAKjLf9wsLtDMXbA+YRh QW0Ig+NXbAszNvk9tQMI2SBVKvVukriYhCSE2aN1Ri3QRMyAumXxvBw3OUDbXzNYLvNAltCAXwls Z4eK1J7YGAD5XckJOjss3yuKQnjt/TvffgXm4Z0OHRW5v0tkfGlwHced4Q5zLRH1F63uzo8Uy4G6 hG/5/F2D0nk9Lwf3DJzuXy94kB2dTzu6ZkejFF+79DM0x1UhBUlS5umPTwk6BSZrCeEjB9Gx7cmt RGqggJjGm39vQiVxNZvye0SM+OKBGQPQ7hxec840HrmEFrG0DqSbha4xtQwEoA5rKtc0NeEy17bQ f4CTyS4rH8VP2nKDlSJC6IYqKMZJYFdhqxmHIb25wsVT4mtRn/86ozTPQWzBSMLKtuovX/S89Q+Q A94xBlSaYnKH4OYw+9f5lNSuWtoPXDgGHcswYCS1jfwd+NWrI7IX0tyOOnkfQ7E0xT5C3xampQcy MBn+4/MLHN2GwKMSxPHy+A3kRDV+BTocj9vlwjjbnrxaI82iQsWRvU1Y8/GsdK8y50JEx+skqdpk xRMV/8wFRUr1hlyT0zSy/9/asXwfOtDcRa5GrxxjPsIRsCzMTkhgYR/Mok97GClenlHvhV+2x4el UUpbN0dAka7k/tYGQIf5d+9M37llUzVKn6yug1B6fPL+U6qSAN0OgPCZI1c9r4W0xomzw9XuReE1 NHrdThJvoUp7J/kAtwdjGYZKljTqayUPmgGAgxFP7GPL88kBxntVtVUar63QxzfD39Udg+4iiHRZ n//q7Ww1Ngmf5GsuNw6uHbGGMvNjuGkSQGL+cNXf73ZpAhXFzVvFS/SmY9M90YA5UzyvdXQAsGOT J1xzJfd4XeZPBzc/IffEl1BsVb2jUK3a0RtNDFteD+ok26fkIuAX8+/PenjHQgaJ4NNGqjyr02RY zAKFdDC3GSdCJOnzpfapKaKKOmHe+4SpIVWAb8Z6SXqno2+oCVNLmIPVeZniUwyP4KfeXojKIpfW EuyzbOVUEyWS56E9oT/30VNBIcKFLTqHLKDFZ9KXQR5eIagvxg0oAzeKA/un/nyT9U700UViwARF jobdRTClRoj6vmXDWAZ2TbAVc89OK14lIT3XIsnPZQqDkaUrxk7cB13H8njm7WMfXkEV4l1KTeYk sFASmWR8g8Qolt20qzWWpEOC3hlqOuL78hiAxwcL8PJjso/UzwSROpc6XhYf7sP7s2ugb3RfNtnz N3e3LK0sv7LmxI4o4Y0iCNDZIP0MXUyRtcoMEeL+bKsK0mOrfivHxdnVmaT3ZFa9N2KvhO7ZKeil ONfKav5+0QIXyF2Ao6l+vFZM6BkTMood3hP+nFFxWjO8wG2ovNx1+K9b2M0i5NqSO4OLDGiQmolX FSTjDopbUNrtbfcKxhyGjY7h8Ac9v+6WGi94kloEi5xj1kUyQ9oQFH8pUdp3Is1TEAvOVRLt0x0S 0Be6tD3xIZdjka+FbLZim/GxwMVdIuqk5vG5jFmckbhlwOOLbnPrI1gwU//5k05HqPcs3VioKMx5 VyQ/KG4DRz0oCGGqCBj86OT92kEOkbCu2W1FaIFsEiI6AUaW6+poXALb62oujB+az2JG+JO2Z0to A0QkHr9td50BzcA0aMDXjG9xS05miaMOPYC+uQ32tyZbyWximkyI3nUVjhbCqA/wVXchti9Ow7GT 6z3PvYle97+2+AV6rLpF7W0LvYhii7MtjsUWzCPs8s+gU56/tDILCM7tpCuaJ9bkEm3azGEu7aKU yQJSZXtvxSU9gOgAbZrZzV26jhRShfl4CJOrToYTSG7zXrlZEh4Dy6FZTKGJJ5m9QTAV9LDNsLTN Xij8WJrghOdtrYEfk2Dn3JMQgKOU6MQ1y9IUpmhidlT93RcOd8P92HFGAlw+fWVmqW331lMUApoq pBlnha8XS3K2aw26nV3YgWtj68rURjhKxHAhimrvTk4HMGTmHWlsl+X5m3Z9m5W13kIcbttfeeZU 6Cl7jhHXdYZO9RNHPGvkEQ1RS7waYxt603fg6ntsHR0P1IVuL3h9r9nCKNqt+vLiRgE3w8HOA1sP I84GCX1gCXjIJNcXwwGBXGakeXQZM9eDJGI2Wxpc3uUe3yIZxe86erlnyDBLG7CqCkNxQUfElpL0 ctxmaQUxaB/Slvs1o4wtgNfHXJ5Wo/QMiQVAeBlauJkm1oi22iXkCvH9cB7Kw8+/GUnv3dvIdKGo TOhq1us5uHwClQ9mK7KrhddDUkX6m9npbf0o0FFovoxeldk4ejjDsqrCU4/pOg74FngAiLmuOXc7 wIZV0ui/G9/HyAmo++EpJjgu+tOz3XKq5w3z7YF2ILtY4BKE4tVX372ReE1eCVgK7S30r06NOMf4 PKA1tn+phWf8Nbx+6o3P5jhNmWg3hqQnaGAcL0SOYNpGanfbLzf8JIQsiJupsGgBDB/p6HsyUn8X p2NLLIXsCLmteEIIWhohJ73ofyCy92VWbManwWv4/FDk2HCOEkNOV32jiIwPh8vlgxSNSFEncxMX IWeYZ7eilIJjKIwnIYOJ9jEJq7yhwzZZCGrBjMGcquftdw6wRfHovpNHYo4sf19gsbVaZ1GWS4Df /jPniJKnUt4JYlHmj7SBwU8brsu4vQ87OhDRHbJMOrfr0iWNq+MzoKEE5zQLDwS+0SfyuezT/rxO bWGYGinYVy0S4KEJAccA/2ZXH+TTmFgK6Z3ffi5z8s/wNNwtyYV66jIs5W7yfyZdNBGVV4oMtIhZ ANQZGQt2IKParLD3T9onRFJIspOQZwVmmU0ZFydZpG9fN4ByQ6+jAj3ud4nNGWv+M3VD02pn933j Ip8bQF+H+GAlSI1Nna0Icw26xJib7YdZbgyHwv50c+JZWJO/n815uaMerT6SeQZz4w5BLkmm8Mgb nSBnBJ1wtBdFyNW9v9ZHzuyUOowBbdrprPhkGc1ZikbAx5NjCZ55yNGX2/9oazyEHollK/+tRbhO 9f/LPcAOyHJ4J1sN8eAsCunGk2ouy/qSLgfjfNRByBGOIcuLSLbgMN3FODBZK/dQRvEiuL33fEj3 wsW7PwNfPBoN1fbLdxzrhKAFsZv87K2GxMfTiivo+3f2CFnV/4LKW40G4QPU3jrwQfFHYRFjKfbn Fj2gqSDFHxMe5lfNbF0a5NX4ies8myaSEFkjnHvk8K7ImWmZPGuUR7AAIfRIC7a1Nd2VoOOf1diC tB3tQ81AggAnfSk2zvqZLuOxl6PC6qkshER6qNDYuy8F3exJlVLh5UXyJ+i1Ucs0rxRcYN9emRn2 GVFT7FuXXysTqkARdkeauYjHnh8cXJfQetVbWVxQmpNc6Z/viTRLfczDCVoZgsc88v8M0Sgc12cm KvTfDmbai+hNokrd12gEZZajLrrRO5UUu/Dkn5Dmkn/Gb6D86cFjLMdhYb9cEDfe563BAhR6jE8C c+FGekADRglH4bF9hrF/itNgvA== `protect end_protected
bsd-2-clause
024d4c78e75d62ea62ebcc3c11f2df1c
0.94369
1.840914
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined_MIPS32/Controller.vhd
1
34,210
--Copyright (C) 2017 Siavoosh Payandeh Azad library IEEE; use IEEE.std_logic_1164.all; USE IEEE.std_logic_unsigned.ALL; USE IEEE.NUMERIC_STD.ALL; use work.pico_cpu.all; -- There are 4 stages of pipeline: -- * Fetch: we read the instruction from memory, since the memory will respond in 1 -- clock cycle, we need to pass the input of the PC register to be on time! -- * Decode/REGFILE: here we extract the registers used by the instructions -- * Execute: we execute ALU operations here -- * WB: we write into the REGFILE, in cases that we read from Memory and want to write into REGFILE, -- since the ALU generates the address, We will write into the REGFILE during WB but the value -- be inside the register in the next clock cycle, however, the REGFILE has a bypass mechanism -- that can push this value to output as soon as it gets it! ----------------------------------- -- Regarding the jumps, we assume that the compiler always executes 1 instruction -- after the jump! entity ControlUnit is generic (BitWidth: integer; InstructionWidth: integer); port( rst : in std_logic; clk : in std_logic; ---------------------------------------- Instr_In : in std_logic_vector (InstructionWidth-1 downto 0); Instr_Add : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- MemRdAddress : out std_logic_vector (BitWidth-1 downto 0); MemWrtAddress : out std_logic_vector (BitWidth-1 downto 0); Mem_RW : out std_logic_vector (3 downto 0); MEM_IN_SEL : out MEM_IN_MUX; ---------------------------------------- IO_DIR : out std_logic; IO_RD : in std_logic_vector (BitWidth-1 downto 0); IO_WR : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- DPU_OV : in std_logic; DataToDPU_2 : out std_logic_vector (BitWidth-1 downto 0); DPU_ALUCommand : out ALU_COMMAND; DPU_Mux_Cont_2 : out DPU_IN_MUX; ---------------------------------------- RFILE_data_sel : out RFILE_IN_MUX; RFILE_in_address: out std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); RFILE_WB_enable : out std_logic_vector (3 downto 0); RFILE_out_sel_1 : out std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); RFILE_out_sel_2 : out std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); Data_to_RFILE : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- DPU_RESULT : in std_logic_vector (2*BitWidth-1 downto 0); Result_ACC : in std_logic_vector (2*BitWidth-1 downto 0) ); end ControlUnit; architecture RTL of ControlUnit is --------------------------------------------- -- Signals and Types --------------------------------------------- signal Instr_F, Instr_D, Instr_E, Instr_WB :Instruction := NOP; signal PC_in, PC_out : std_logic_vector (BitWidth-1 downto 0):= (others => '0'); signal PC_jmp_in, PC_jmp_out : std_logic_vector (BitWidth-1 downto 0):= (others => '0'); signal InstrReg_out_D, InstrReg_out_E, InstrReg_out_WB: std_logic_vector (InstructionWidth-1 downto 0) := (others => '0'); signal arithmetic_operation : std_logic; signal IO_WR_in, IO_WR_in_FF : std_logic_vector(BitWidth-1 downto 0); signal IO_DIR_in, IO_DIR_FF :std_logic; signal address_error : std_logic; signal Illigal_opcode : std_logic; signal flush_F,flush_F2, flush_D : std_logic; signal trap : std_logic; type RFILE_type is array (0 to 31) of std_logic_vector(BitWidth-1 downto 0) ; signal cp0_control, cp0_control_in : RFILE_type := ((others=> (others=>'0'))); signal LOW_FF : std_logic_vector (BitWidth-1 downto 0); --------------------------------------------- -- Aliases --------------------------------------------- alias LOW : std_logic_vector is DPU_RESULT(31 downto 0); alias HIGH : std_logic_vector is DPU_RESULT(63 downto 32); alias SPECIAL_F : std_logic_vector (5 downto 0) is Instr_In (31 downto 26); alias opcode_F : std_logic_vector (5 downto 0) is Instr_In (5 downto 0); alias MF : std_logic_vector (4 downto 0) is Instr_In (25 downto 21); alias BRANCH_FIELD: std_logic_vector (4 downto 0) is Instr_In (20 downto 16); alias rs_wb : std_logic_vector (4 downto 0) is InstrReg_out_WB (25 downto 21); alias rt_wb : std_logic_vector (4 downto 0) is InstrReg_out_WB (20 downto 16); alias rd_wb : std_logic_vector (4 downto 0) is InstrReg_out_WB (15 downto 11); alias rs_ex : std_logic_vector (4 downto 0) is InstrReg_out_E (25 downto 21); alias rt_ex : std_logic_vector (4 downto 0) is InstrReg_out_E (20 downto 16); alias rd_ex : std_logic_vector (4 downto 0) is InstrReg_out_E (15 downto 11); alias sa_ex : std_logic_vector (4 downto 0) is InstrReg_out_E (10 downto 6); alias rs_d : std_logic_vector (4 downto 0) is InstrReg_out_D (25 downto 21); alias rt_d : std_logic_vector (4 downto 0) is InstrReg_out_D (20 downto 16); alias rd_d : std_logic_vector (4 downto 0) is InstrReg_out_D (15 downto 11); alias INST_INDEX_EX : std_logic_vector (25 downto 0) is InstrReg_out_E (25 downto 0); alias IMMEDIATE_EX : std_logic_vector (15 downto 0) is InstrReg_out_E (15 downto 0); alias OFFSET_EX : std_logic_vector (15 downto 0) is InstrReg_out_E (15 downto 0); alias BASE_D : std_logic_vector (4 downto 0) is InstrReg_out_D (25 downto 21); alias SR : std_logic_vector (BitWidth-1 downto 0) is cp0_control(12); alias Cause : std_logic_vector (BitWidth-1 downto 0) is cp0_control(13); alias EPC : std_logic_vector (BitWidth-1 downto 0) is cp0_control(14); begin --------------------------------------------- -- Clock Process --------------------------------------------- CLOCK_PROC:process (clk,rst) begin if rst = '1' then PC_out <= ZERO32-4; InstrReg_out_D <= (others=> '0'); InstrReg_out_E <= (others=> '0'); InstrReg_out_WB <= (others=> '0'); Instr_D <= NOP; Instr_E <= NOP; Instr_WB <= NOP; IO_WR_in_FF <= (others=> '0'); IO_DIR_FF <= '0'; cp0_control <= ((others=> (others=>'0'))); PC_jmp_out <= (others=> '0'); LOW_FF <= (others=> '0'); elsif clk'event and clk='1' then IO_WR_in_FF <= IO_WR_in; IO_DIR_FF <= IO_DIR_in; PC_out <= PC_in; InstrReg_out_D <= Instr_In; InstrReg_out_E <= InstrReg_out_D; InstrReg_out_WB <= InstrReg_out_E; Instr_D <= Instr_F; Instr_E <= Instr_D; if flush_F = '1' or flush_F2 = '1' then Instr_D <= NOP; InstrReg_out_D <= (others => '0'); end if; if flush_D = '1' then Instr_E <= NOP; InstrReg_out_E <= (others => '0'); end if; Instr_WB <= Instr_E; cp0_control <= cp0_control_in; PC_jmp_out <= PC_jmp_in; LOW_FF <= LOW; end if; end process; -- PC_in is used since we are using the same RAM for Instruction as well! (it returns the data after 1 clk) Instr_Add <= PC_in; -------------------------------------------------------------------------------- --GPIO STUFF -------------------------------------------------------------------------------- -- TODO: we need to map the IO-regs somewhere! IO_DIR <= IO_DIR_FF; IO_WR <= IO_WR_in_FF; -------------------------------------------------------------------------------- --Exception handling -------------------------------------------------------------------------------- EXCEPTION_HANDLING: process(DPU_OV, PC_out, cp0_control, Instr_E, Illigal_opcode)begin flush_F <= '0'; flush_D <= '0'; cp0_control_in(12) <= cp0_control(12); --SR cp0_control_in(13) <= cp0_control(13); --Cause cp0_control_in(14) <= cp0_control(14); --EPC if Illigal_opcode = '1' then cp0_control_in(14) <= PC_out; --EPC <= PC cp0_control_in(13)(1 downto 0) <="01"; --cause register cp0_control_in(12) <= std_logic_vector(shift_left(unsigned(cp0_control(12)), 4)); flush_F <= '1'; -- we flush the Fetch end if; if DPU_OV = '1' and (Instr_E = ADD or Instr_E = ADDI or Instr_E = SUB)then cp0_control_in(14) <= PC_out; --EPC <= PC cp0_control_in(13)(1 downto 0) <="10"; --cause register cp0_control_in(12) <= std_logic_vector(shift_left(unsigned(cp0_control(12)), 4)); flush_F <= '1'; -- we flush the Fetch flush_D <= '1'; -- here we flush the decode stage (it will be replaced with ) end if; if Instr_E = SYSCALL then cp0_control_in(14) <= PC_out; --EPC <= PC cp0_control_in(13)(1 downto 0) <="11"; --cause register cp0_control_in(12) <= std_logic_vector(shift_left(unsigned(cp0_control(12)), 4)); --status_reg flush_F <= '1'; -- we flush the Fetch flush_D <= '1'; -- here we flush the decode stage (it will be replaced with ) end if; if Instr_E = ERET then cp0_control_in(12) <= std_logic_vector(shift_right(unsigned(cp0_control(12)), 4)); --status_reg end if; if Instr_E = MTC0 then cp0_control_in(to_integer(unsigned(rd_wb))) <= LOW; end if; end process; -------------------------------------------------------------------------------- --Instruction Decoding -------------------------------------------------------------------------------- DEC_SIGNALS_GEN: process(Instr_D, rs_ex, rt_ex) begin RFILE_out_sel_1 <= (others => '0'); RFILE_out_sel_2 <= (others => '0'); -----------------------Arithmetic------------------------------------------- if Instr_D = ADD or Instr_D = ADDU or Instr_D = SUB or Instr_D = SUBU then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rt_d; elsif Instr_D = ADDI or Instr_D = ADDIU or Instr_D = CLO or Instr_D = CLZ then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rs_d; -----------------------LOGICAL--------------------------------------------- elsif Instr_D = AND_inst or Instr_D = OR_inst or Instr_D = NOR_inst or Instr_D = XOR_inst then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rs_d; elsif Instr_D = ANDI or Instr_D = ORI or Instr_D = XORI then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rs_d; -----------------------SHIFT AND ROTATE------------------------------------- elsif Instr_D = SLL_inst or Instr_D = SRL_inst or Instr_D = SRA_inst then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rt_d; elsif Instr_D = SLLV or Instr_D = SRLV or Instr_D = SRAV then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rs_d; -----------------------JUMP and BRANCH-------------------------------------- elsif Instr_D = J or Instr_D = JAL or Instr_D = JALR or Instr_D = JR or Instr_D = BEQ or Instr_D = BNE or Instr_D = BGEZ or Instr_D = BGEZAL or Instr_D = BLEZ or Instr_D = BGTZ or Instr_D = BLTZ or Instr_D = BLTZAL then if Instr_D = JALR or Instr_D = JR or Instr_D = BGEZ or Instr_D = BGEZAL or Instr_D = BGTZ then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rs_d; elsif Instr_D = BEQ or Instr_D = BNE then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rs_d; elsif Instr_D = BLEZ or Instr_D = BLTZ or Instr_D = BLTZAL then RFILE_out_sel_1 <= "00000"; -- we use R0 here! RFILE_out_sel_2 <= rs_d; end if; -----------------------MULTIPLICATION AND DIVISION-------------------------- elsif Instr_D = MULTU or Instr_D = MULT or Instr_D = MUL or Instr_D = DIV or Instr_D = DIVU or Instr_D = MADD or Instr_D = MADDU or Instr_D = MSUB or Instr_D = MSUBU then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rs_d; ----------------------ACCUMULATOR ACCESS ----------------------------------- elsif Instr_D = MTHI or Instr_D = MTLO then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rs_d; ----------------------LOAD AND STORE --------------------------------------- elsif Instr_D = LB or Instr_D = LBU or Instr_D = LH or Instr_D = LHU or Instr_D = LW or Instr_D = LWL or Instr_D = LWR then RFILE_out_sel_1 <= BASE_D; RFILE_out_sel_2 <= BASE_D; elsif Instr_D = SB or Instr_D = SH or Instr_D = SW or Instr_D = SWL or Instr_D = SWR then RFILE_out_sel_1 <= BASE_D; RFILE_out_sel_2 <= rt_d; ----------------------conditional move ----------------------------------- elsif Instr_D = SLT or Instr_D = SLTU then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rs_d; elsif Instr_D = MOVN or Instr_D = MOVZ then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= "00000"; -- we use R0 here! elsif Instr_D = SLTI or Instr_D = SLTIU then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rs_d; ------------------------CO-PROCESSOR 0-------------------------------------- elsif Instr_D = MTC0 then RFILE_out_sel_1 <= rt_d; RFILE_out_sel_2 <= rt_d; -----------------------SYSCALL AND TRAPS------------------------------------ elsif Instr_D = SYSCALL then RFILE_out_sel_1 <= "00010"; RFILE_out_sel_2 <= "00010"; elsif Instr_D = TEQ or Instr_D = TGE or Instr_D = TGEU then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rt_d; elsif Instr_D = TGEI or Instr_D = TGEIU or Instr_D = TEQI then RFILE_out_sel_1 <= rs_d; RFILE_out_sel_2 <= rs_d; end if; end process; -------------------------------------------------------------------------------- --Execution -------------------------------------------------------------------------------- EX_SIGNALS_GEN:process(Instr_E, IMMEDIATE_EX, DPU_RESULT) begin -- DO NOT CHANGE THE DEFAULT VALUES! trap <= '0'; address_error <= '0'; MemWrtAddress <= (others => '0'); MemRdAddress <= (others => '0'); Mem_RW <= "0000"; DataToDPU_2 <= (others => '0'); DPU_ALUCommand <= ALU_PASS_A; DPU_Mux_Cont_2 <= RFILE; -----------------------Arithmetic------------------------------------------- if Instr_E = ADD then DPU_ALUCommand <= ALU_ADD; elsif Instr_E = ADDU then DPU_ALUCommand <= ALU_ADDU; elsif Instr_E = SUBU then DPU_ALUCommand <= ALU_SUBU; elsif Instr_E = SUB then DPU_ALUCommand <= ALU_SUB; elsif Instr_E = ADDI then DPU_ALUCommand <= ALU_ADD; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & IMMEDIATE_EX; if IMMEDIATE_EX(15) = '0' then DataToDPU_2 <= ZERO16 & IMMEDIATE_EX; end if; elsif Instr_E = ADDIU then DPU_ALUCommand <= ALU_ADDU; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & IMMEDIATE_EX; if IMMEDIATE_EX(15) = '0' then DataToDPU_2 <= ZERO16 & IMMEDIATE_EX; end if; elsif Instr_E = LUI then -- RFILE by default is giving R0 out DPU_ALUCommand <= ALU_OR; -- we OR the data from control unit with 0 DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= IMMEDIATE_EX & ZERO16; elsif Instr_E = CLO then DPU_ALUCommand <= ALU_CLO; elsif Instr_E = CLZ then DPU_ALUCommand <= ALU_CLZ; -----------------------SHIFT AND ROTATE------------------------------------- elsif Instr_E = SLL_inst or Instr_E = SRL_inst or Instr_E = SRA_inst then DataToDPU_2 <= ZERO16 & "00000000000" & sa_ex; DPU_Mux_Cont_2 <= CONT; case( Instr_E ) is when SLL_inst => DPU_ALUCommand <= ALU_SLL; when SRL_inst => DPU_ALUCommand <= ALU_SLR; when others => DPU_ALUCommand <= ALU_SAR; -- Instr_E = SRA_inst end case; elsif Instr_E = SLLV or Instr_E = SRLV or Instr_E = SRAV then case( Instr_E ) is when SLLV => DPU_ALUCommand <= ALU_SLL; when SRLV => DPU_ALUCommand <= ALU_SLR; when others => DPU_ALUCommand <= ALU_SAR; -- Instr_E = SRAV end case; -----------------------LOGICAL---------------------------------------------- elsif Instr_E = ANDI or Instr_E = ORI or Instr_E = XORI then DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ZERO16 & IMMEDIATE_EX; case( Instr_E ) is when ORI => DPU_ALUCommand <= ALU_OR; when ANDI => DPU_ALUCommand <= ALU_AND; when others => DPU_ALUCommand <= ALU_XOR; --Instr_E = XORI end case; elsif Instr_E = AND_inst then DPU_ALUCommand <= ALU_AND; elsif Instr_E = OR_inst then DPU_ALUCommand <= ALU_OR; elsif Instr_E = NOR_inst then DPU_ALUCommand <= ALU_NOR; elsif Instr_E = XOR_inst then DPU_ALUCommand <= ALU_XOR; -----------------------JUMP and BRANCH-------------------------------------- elsif Instr_E = BEQ or Instr_E = BNE then DPU_ALUCommand <= ALU_EQ; elsif Instr_E = BGTZ or (Instr_E = BGEZ or Instr_E = BGEZAL)then case( Instr_E ) is when BGTZ => DPU_ALUCommand <= ALU_COMP; when others => DPU_ALUCommand <= ALU_COMP_EQ; --BGEZ or BGEZAL end case; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= (others => '0'); elsif Instr_E = BLEZ or Instr_E = BLTZ or Instr_E = BLTZAL then case( Instr_E ) is when BLEZ => DPU_ALUCommand <= ALU_COMP_EQ; when others => DPU_ALUCommand <= ALU_COMP; --BLTZ or BLTZAL end case; ---------------CONDITION TESTING AND CONDITIONAL MOVE----------------------- elsif Instr_E = MOVN or Instr_E = MOVZ then DPU_ALUCommand <= ALU_EQ; elsif Instr_E = SLT then DPU_ALUCommand <= ALU_COMP; elsif Instr_E = SLTI then DPU_ALUCommand <= ALU_COMP; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & IMMEDIATE_EX; if IMMEDIATE_EX(15) = '0' then DataToDPU_2 <= ZERO16 & IMMEDIATE_EX; end if; elsif Instr_E = SLTU then DPU_ALUCommand <= ALU_COMPU; elsif Instr_E = SLTIU then DPU_ALUCommand <= ALU_COMPU; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & IMMEDIATE_EX; if IMMEDIATE_EX(15) = '0' then DataToDPU_2 <= ZERO16 & IMMEDIATE_EX; end if; -----------------------MULTIPLICATION AND DIVISION-------------------------- elsif Instr_E = MULTU or Instr_E = MULT or Instr_E = MUL or Instr_E = DIV or Instr_E = DIVU or Instr_E = MADD or Instr_E = MADDU or Instr_E = MSUB or Instr_E = MSUBU then case( Instr_E ) is when MULTU => DPU_ALUCommand <= ALU_MULTU; when MUL => DPU_ALUCommand <= ALU_MULT; when MULT => DPU_ALUCommand <= ALU_MULT; when MADD => DPU_ALUCommand <= ALU_MADD; when MADDU => DPU_ALUCommand <= ALU_MADDU; when MSUB => DPU_ALUCommand <= ALU_MSUB; when MSUBU => DPU_ALUCommand <= ALU_MSUBU; when DIV => DPU_ALUCommand <= ALU_DIV; when others => DPU_ALUCommand <= ALU_DIVU; -- Instr_E = DIVU end case; ----------------------ACCUMULATOR ACCESS ----------------------------------- elsif Instr_E = MTLO then DPU_ALUCommand <= ALU_MTLO; elsif Instr_E = MTHI then DPU_ALUCommand <= ALU_MTHI; ----------------------LOAD ------------------------------------------------- elsif Instr_E = LBU or Instr_E = LHU or Instr_E = LW or Instr_E = LWL or Instr_E = LWR then DPU_ALUCommand <= ALU_ADDU; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & OFFSET_EX; if OFFSET_EX(15) = '0' then DataToDPU_2 <= ZERO16 & OFFSET_EX; end if; MemRdAddress <= DPU_RESULT(CPU_Bitwidth-1 downto 0); elsif Instr_E = LB or Instr_E = LH then DPU_ALUCommand <= ALU_ADD; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & OFFSET_EX; if OFFSET_EX(15) = '0' then DataToDPU_2 <= ZERO16 & OFFSET_EX; end if; MemRdAddress <= DPU_RESULT(CPU_Bitwidth-1 downto 0); ------------------------store----------------------------------------------- elsif Instr_E = SB or Instr_E = SH or Instr_E = SW or Instr_E = SWL or Instr_E = SWR then DPU_ALUCommand <= ALU_ADDU; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & OFFSET_EX; if OFFSET_EX(15) = '0' then DataToDPU_2 <= ZERO16 & OFFSET_EX; end if; -- Address Error Generration! if (Instr_E = SH) and DPU_RESULT(0) /= '0' then address_error <= '1'; elsif (Instr_E = SW) and DPU_RESULT(1 downto 0) /= "00" then address_error <= '1'; end if; MemWrtAddress <= DPU_RESULT(CPU_Bitwidth-1 downto 0); MEM_IN_SEL <= RFILE_DATA_2; case( Instr_E ) is when SB => Mem_RW <= "0001"; when SH => Mem_RW <= "0011"; when SW => Mem_RW <= "1111"; when SWL => Mem_RW <= "1100"; when others => Mem_RW <= "0011"; -- Instr_E = SWR end case; -------------------Co-Processor 0 and SYSCALL------------------------------- elsif Instr_E = MTC0 or Instr_E = SYSCALL then DPU_ALUCommand <= ALU_PASS_A; -------------------------------TRAPS---------------------------------------- elsif Instr_E = TEQ or Instr_E = TGE or Instr_E = TGEU then case( Instr_E ) is when TEQ => DPU_ALUCommand <= ALU_EQ; when TGE => DPU_ALUCommand <= ALU_COMP_EQ; when others => DPU_ALUCommand <= ALU_COMP_EQU; --TGEU end case; if LOW = ONE32 then trap <= '1'; end if; elsif Instr_E = TGEI or Instr_E = TGEIU or Instr_E = TEQI then case( Instr_E ) is when TGEI => DPU_ALUCommand <= ALU_COMP; when TGEIU => DPU_ALUCommand <= ALU_COMPU; when others => DPU_ALUCommand <= ALU_EQ; -- TEQI end case; DPU_Mux_Cont_2 <= CONT; DataToDPU_2 <= ONE16 & IMMEDIATE_EX; if IMMEDIATE_EX(15) = '0' then DataToDPU_2 <= ZERO16 & IMMEDIATE_EX; end if; if LOW = ONE32 then trap <= '1'; end if; end if; end process; -------------------------------------------------------------------------------- --WRITE BACK -------------------------------------------------------------------------------- WB_SIGNALS_GEN: process(Instr_WB, rt_wb, PC_out, LOW,PC_jmp_out) begin -- DO NOT CHANGE THE DEFAULT VALUES! RFILE_in_address <= (others => '0'); RFILE_data_sel <= DPU_LOW; Data_to_RFILE <= (others => '0'); RFILE_WB_enable <= "0000"; -----------------------Arithmetic----------------------------------------- if Instr_WB = ADD or Instr_WB = ADDU or Instr_WB = SUB or Instr_WB = SUBU or Instr_WB = CLO or Instr_WB = CLZ then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; elsif Instr_WB = ADDI or Instr_WB = ADDIU or Instr_WB = LUI then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rt_wb; -----------------------logical-------------------------------------------- elsif Instr_WB = AND_inst or Instr_WB = OR_inst or Instr_WB = NOR_inst or Instr_WB = XOR_inst then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; elsif Instr_WB = ANDI or Instr_WB = ORI or Instr_WB = XORI then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rt_wb; -----------------------SHIFT AND ROTATE----------------------------------- elsif Instr_WB = SLL_inst or Instr_WB = SRL_inst or Instr_WB = SLLV or Instr_WB = SRLV or Instr_WB = SRA_inst or Instr_WB = SRAV then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; -----------------------MULTIPLICATION AND DIVISION------------------------ -- MULT and MULTU, MADD, MADDU, DIV and DIVU only WRITES IN ACC elsif Instr_WB = MUL then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; ----------------------ACCUMULATOR ACCESS --------------------------------- -- MTHI and MTLO doesnt have WB elsif Instr_WB = MFLO then RFILE_data_sel <= ACC_LOW; RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rs_wb; elsif Instr_WB = MFHI then RFILE_data_sel <= ACC_HI; RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rs_wb; ----------------------LOAD AND STORE ----------------------------------- elsif Instr_WB = JAL or ((Instr_WB = BGEZAL or Instr_WB = BLTZAL) and LOW = ONE32) or Instr_WB = JALR then RFILE_WB_enable <= "1111"; if Instr_WB = JAL or ((Instr_WB = BGEZAL or Instr_WB = BLTZAL) and LOW = ONE32) then RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= "11111"; --REG(31) elsif Instr_WB = JALR then RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; end if; RFILE_data_sel <= CU; --we are already in PC+8 since we are in execution cycle so the PC out --is 2*4 places ahead! Data_to_RFILE <= PC_jmp_out; ----------------------LOAD AND STORE---------------------------------------- elsif Instr_WB = LBU or Instr_WB = LB or Instr_WB = LH or Instr_WB = LW then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rt_wb; case( Instr_WB ) is when LBU => RFILE_data_sel <= FROM_MEM8; when LB => RFILE_data_sel <= FROM_MEM8_SGINED; when LHU => RFILE_data_sel <= FROM_MEM16; when LH => RFILE_data_sel <= FROM_MEM16_SGINED; when others => RFILE_data_sel <= FROM_MEM32; --Instr_WB = LW end case; elsif Instr_WB = LWL then RFILE_WB_enable <= "1100"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rt_wb; RFILE_data_sel <= FROM_MEM32; elsif Instr_WB = LWR then RFILE_WB_enable <= "0011"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rt_wb; RFILE_data_sel <= FROM_MEM32; --------------------------CO_PROCESSOR 0------------------------------------ elsif Instr_WB = MFC0 then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rt_wb; RFILE_data_sel <= CU; Data_to_RFILE <= cp0_control(to_integer(unsigned(rd_wb))); -------------CONDITION TESTING AND CONDITIONAL MOVE------------------------- elsif Instr_WB = MOVZ and LOW_FF = ONE32 then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; RFILE_data_sel <= R2; elsif Instr_WB = MOVN and LOW_FF = ZERO32 then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; RFILE_data_sel <= R2; elsif Instr_WB = SLT or Instr_WB = SLTI or Instr_WB = SLTU or Instr_WB = SLTIU then RFILE_WB_enable <= "1111"; RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0) <= rd_wb; RFILE_data_sel <= CU; if LOW_FF = ONE32 then Data_to_RFILE <= "00000000000000000000000000000001"; else Data_to_RFILE <= (others => '0'); end if; end if; end process; -------------------------------------------------------------------------------- --PC handling -------------------------------------------------------------------------------- PC_HANDLING: process(PC_out,Instr_E, LOW, IMMEDIATE_EX, EPC, PC_jmp_out)begin PC_in <= PC_out + 4; flush_F2 <= '0'; PC_jmp_in <= PC_jmp_out; if Instr_E = SYSCALL then PC_in <= LOW; -- supposed to be contents of R2 elsif Instr_E = ERET then PC_in <= EPC; elsif Instr_E = J then flush_F2 <= '1'; PC_in <= PC_out(31 downto 28) & InstrReg_out_E(25 downto 0) & "00"; elsif Instr_E = JR then -- we are stroing PC in PC_jmp because we need WB for LINK. -- and by that time we have overwritten PC! PC_jmp_in <= PC_out; flush_F2 <= '1'; PC_in <= LOW; elsif Instr_E = JAL then -- we are stroing PC in PC_jmp because we need WB for LINK. -- and by that time we have overwritten PC! PC_jmp_in <= PC_out; flush_F2 <= '1'; PC_in <= PC_out(31 downto 28) & INST_INDEX_EX & "00"; elsif Instr_E = BEQ or Instr_E = BGTZ or Instr_E = BGEZ or Instr_E = BGEZAL or Instr_E = BLEZ or Instr_E = BLTZ or Instr_E = BLTZAL then if LOW = ONE32 then flush_F2 <= '1'; -- we are stroing PC in PC_jmp because we need WB in case of -- BGEZAL and BLTZAL. and by that time we have overwritten PC! PC_jmp_in <= PC_out; if IMMEDIATE_EX(15) = '0' then PC_in <= PC_out +(ZERO14 & IMMEDIATE_EX & "00")-1; else PC_in <= PC_out +(ONE14 & IMMEDIATE_EX & "00")-1; end if; end if; elsif Instr_E = BNE then if LOW = ZERO32 then flush_F2 <= '1'; if IMMEDIATE_EX(15) = '0' then PC_in <= PC_out +(ZERO14 & IMMEDIATE_EX & "00")-1; else PC_in <= PC_out +(ONE14 & IMMEDIATE_EX & "00")-1; end if; end if; end if; end process; -------------------------------------------------------------------------------- -- Instr decoder -------------------------------------------------------------------------------- INST_DECODER: process (SPECIAL_F, opcode_F) begin Instr_F <= NOP; Illigal_opcode <= '0'; case SPECIAL_F is when "000000" => case(opcode_F) is when "000000" => Instr_F <= SLL_inst; when "000010" => Instr_F <= SRL_inst; when "000011" => Instr_F <= SRA_inst; when "000100" => Instr_F <= SLLV; when "000110" => Instr_F <= SRLV; when "000111" => Instr_F <= SRAV; when "001000" => Instr_F <= JR; when "001001" => Instr_F <= JALR; when "001010" => Instr_F <= MOVZ; when "001011" => Instr_F <= MOVN; when "001100" => Instr_F <= SYSCALL; when "010000" => Instr_F <= MFHI; when "010001" => Instr_F <= MTHI; when "010010" => Instr_F <= MFLO; when "010011" => Instr_F <= MTLO; when "011000" => Instr_F <= MULT; when "011001" => Instr_F <= MULTU; when "011010" => Instr_F <= DIV; when "011011" => Instr_F <= DIVU; when "100000" => Instr_F <= ADD; when "100001" => Instr_F <= ADDU; when "100010" => Instr_F <= SUB; when "100011" => Instr_F <= SUBU; when "100100" => Instr_F <= AND_inst; when "100101" => Instr_F <= OR_inst; when "100110" => Instr_F <= XOR_inst; when "100111" => Instr_F <= NOR_inst; when "101010" => Instr_F <= SLT; when "101011" => Instr_F <= SLTU; when "110000" => Instr_F <= TGE; when "110001" => Instr_F <= TGEU; when "110100" => Instr_F <= TEQ; when others => Illigal_opcode <= '1'; end case; when "000001" => case(BRANCH_FIELD) is when "00000" => Instr_F <= BLTZ; when "00001" => Instr_F <= BGEZ; when "01000" => Instr_F <= TGEI; when "01001" => Instr_F <= TGEIU; when "01100" => Instr_F <= TEQI; when "10000" => Instr_F <= BLTZAL; when "10001" => Instr_F <= BGEZAL; when others => Illigal_opcode <= '1'; end case; when "000010" => Instr_F <= J; when "000011" => Instr_F <= JAL; when "000100" => Instr_F <= BEQ; when "000101" => Instr_F <= BNE; when "000110" => Instr_F <= BLEZ; when "000111" => Instr_F <= BGTZ; when "001000" => Instr_F <= ADDI; when "001001" => Instr_F <= ADDIU; when "001010" => Instr_F <= SLTI; when "001011" => Instr_F <= SLTIU; when "001100" => Instr_F <= ANDI; when "001101" => Instr_F <= ORI; when "001110" => Instr_F <= XORI; when "001111" => Instr_F <= LUI; when "010000" => if opcode_F = "011000" then Instr_F <= ERET; elsif MF = "00000" then Instr_F <= MFC0; elsif MF = "00100" then Instr_F <= MTC0; end if; when "011100" => case(opcode_F) is when "000000" => Instr_F <= MADD; when "000001" => Instr_F <= MADDU; when "000010" => Instr_F <= MUL; when "000100" => Instr_F <= MSUB; when "000101" => Instr_F <= MSUBU; when "100000" => Instr_F <= CLZ; when "100001" => Instr_F <= CLO; when others => Illigal_opcode <= '1'; end case; when "100000" => Instr_F <= LB; when "100001" => Instr_F <= LH; when "100010" => Instr_F <= LWL; when "100011" => Instr_F <= LW; when "100100" => Instr_F <= LBU; when "100101" => Instr_F <= LHU; when "100110" => Instr_F <= LWR; when "101000" => Instr_F <= SB; when "101001" => Instr_F <= SH; when "101010" => Instr_F <= SWL; when "101011" => Instr_F <= SW; when "101110" => Instr_F <= SWR; when others => Illigal_opcode <= '1'; end case; end process; end RTL;
gpl-2.0
60d686cc47d236e5a16d7ae958ef129e
0.493628
3.557612
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ddr3_ctrl_wb.vhd
2
10,270
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library work; use work.ddr3_ctrl_pkg.all; entity ddr3_ctrl_wb is generic ( g_BYTE_ADDR_WIDTH : integer := 30; g_MASK_SIZE : integer := 4; g_DATA_PORT_SIZE : integer := 32 ); port ( ---------------------------------------------------------------------------- -- Reset input (active low) ---------------------------------------------------------------------------- rst_n_i : in std_logic; ---------------------------------------------------------------------------- -- Status ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- DDR controller port ---------------------------------------------------------------------------- ddr_cmd_clk_o : out std_logic; ddr_cmd_en_o : out std_logic; ddr_cmd_instr_o : out std_logic_vector(2 downto 0); ddr_cmd_bl_o : out std_logic_vector(5 downto 0); ddr_cmd_byte_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH - 1 downto 0); ddr_cmd_empty_i : in std_logic; ddr_cmd_full_i : in std_logic; ddr_wr_clk_o : out std_logic; ddr_wr_en_o : out std_logic; ddr_wr_mask_o : out std_logic_vector(g_MASK_SIZE - 1 downto 0); ddr_wr_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); ddr_wr_full_i : in std_logic; ddr_wr_empty_i : in std_logic; ddr_wr_count_i : in std_logic_vector(6 downto 0); ddr_wr_underrun_i : in std_logic; ddr_wr_error_i : in std_logic; ddr_rd_clk_o : out std_logic; ddr_rd_en_o : out std_logic; ddr_rd_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); ddr_rd_full_i : in std_logic; ddr_rd_empty_i : in std_logic; ddr_rd_count_i : in std_logic_vector(6 downto 0); ddr_rd_overflow_i : in std_logic; ddr_rd_error_i : in std_logic; ---------------------------------------------------------------------------- -- Wishbone bus port ---------------------------------------------------------------------------- wb_clk_i : in std_logic; wb_sel_i : in std_logic_vector(g_MASK_SIZE - 1 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_addr_i : in std_logic_vector(31 downto 0); wb_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); wb_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); wb_ack_o : out std_logic; wb_stall_o : out std_logic ); end entity ddr3_ctrl_wb; architecture behavioral of ddr3_ctrl_wb is -------------------------------------- -- Constants -------------------------------------- constant c_DDR_BURST_LENGTH : unsigned(5 downto 0) := TO_UNSIGNED(16, 6); constant c_READ_STALL_ASSERT : unsigned(6 downto 0) := TO_UNSIGNED(54, 7); constant c_READ_STALL_NEGATE : unsigned(6 downto 0) := TO_UNSIGNED(42, 7); constant c_WRITE_STALL_ASSERT : unsigned(6 downto 0) := TO_UNSIGNED(52, 7); constant c_WRITE_STALL_NEGATE : unsigned(6 downto 0) := TO_UNSIGNED(42, 7); constant c_ADDR_SHIFT : integer := log2_ceil(g_DATA_PORT_SIZE/8); constant c_STALL_TIME : unsigned(3 downto 0) := TO_UNSIGNED(15, 4); -------------------------------------- -- Signals -------------------------------------- signal ddr_wr_ack : std_logic; signal ddr_rd_ack : std_logic; signal ddr_rd_en : std_logic; signal ddr_cmd_en : std_logic; signal ddr_cmd_full : std_logic; signal wb_stall : std_logic; signal wb_stall_d : std_logic; signal wb_stall_dd : std_logic; signal wb_we_d : std_logic; signal wb_addr_d : std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); signal wb_stall_restart : std_logic; signal addr_shift : std_logic_vector(c_ADDR_SHIFT-1 downto 0); -------------------------------------- -- Counter -------------------------------------- signal wb_stall_cnt : unsigned(3 downto 0); signal ddr_burst_cnt : unsigned(5 downto 0); signal ddr_burst_cnt_d : unsigned(5 downto 0); signal read_cnt : unsigned(7 downto 0); signal write_cnt : unsigned(7 downto 0); begin -- Tie offs ddr_wr_clk_o <= wb_clk_i; ddr_rd_clk_o <= wb_clk_i; ddr_cmd_clk_o <= wb_clk_i; wb_ack_o <= ddr_wr_ack or ddr_rd_ack; -------------------------------------- -- Wishbone write -------------------------------------- p_wb_write : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then ddr_wr_en_o <= '0'; ddr_wr_ack <= '0'; ddr_wr_data_o <= (others => '0'); ddr_wr_mask_o <= (others => '0'); elsif rising_edge(wb_clk_i) then if (wb_cyc_i = '1' and wb_stb_i = '1' and wb_we_i = '1') then ddr_wr_data_o <= wb_data_i; ddr_wr_mask_o <= not(wb_sel_i); ddr_wr_en_o <= '1'; ddr_wr_ack <= '1'; else ddr_wr_data_o <= (others => '0'); ddr_wr_mask_o <= (others => '0'); ddr_wr_en_o <= '0'; ddr_wr_ack <= '0'; end if; end if; end process p_wb_write; -------------------------------------- -- Wishbone read -------------------------------------- ddr_rd_en_o <= ddr_rd_en; p_wb_read : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then ddr_rd_en <= '0'; ddr_rd_ack <= '0'; wb_data_o <= (others => '0'); elsif rising_edge(wb_clk_i) then if (wb_cyc_i = '1' and ddr_rd_empty_i = '0') then ddr_rd_en <= '1'; else ddr_rd_en <= '0'; end if; if (ddr_rd_en = '1' and ddr_rd_empty_i = '0') then ddr_rd_ack <= '1'; wb_data_o <= ddr_rd_data_i; else ddr_rd_ack <= '0'; wb_data_o <= (others => '1'); end if; end if; end process p_wb_read; -------------------------------------- -- DDR Control -------------------------------------- addr_shift <= (others => '0'); ddr_cmd_en_o <= ddr_cmd_en; p_ddr_ctrl : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then ddr_burst_cnt <= (others => '0'); ddr_cmd_en <= '0'; ddr_cmd_byte_addr_o <= (others => '0'); ddr_cmd_instr_o <= (others => '0'); ddr_cmd_bl_o <= (others => '0'); wb_addr_d <= (others => '0'); wb_we_d <= '0'; wb_stall_restart <= '1'; read_cnt <= (others => '0'); write_cnt <= (others =>'0'); elsif rising_edge(wb_clk_i) then if (wb_cyc_i = '1' and wb_stb_i = '1') then if (ddr_burst_cnt = c_DDR_BURST_LENGTH) then ddr_burst_cnt <= TO_UNSIGNED(1, 6); ddr_cmd_en <= '1'; else ddr_burst_cnt <= ddr_burst_cnt + 1; ddr_cmd_en <= '0'; end if; elsif (wb_cyc_i = '1' and wb_stb_i = '0' and ddr_burst_cnt > 0 and wb_stall_dd = '0') then ddr_burst_cnt <= TO_UNSIGNED(0, 6); ddr_cmd_en <= '1'; else ddr_cmd_en <= '0'; end if; ddr_cmd_bl_o <= STD_LOGIC_VECTOR(ddr_burst_cnt - 1); ddr_burst_cnt_d <= ddr_burst_cnt; if (wb_stb_i = '1') then wb_addr_d <= wb_addr_i; wb_we_d <= wb_we_i; end if; if (ddr_burst_cnt = 0) then ddr_cmd_byte_addr_o <= wb_addr_i(g_BYTE_ADDR_WIDTH-c_ADDR_SHIFT-1 downto 0) & addr_shift; ddr_cmd_instr_o <= "00" & not(wb_we_i); elsif (ddr_cmd_en = '1') then ddr_cmd_byte_addr_o <= wb_addr_d(g_BYTE_ADDR_WIDTH-c_ADDR_SHIFT-1 downto 0) & addr_shift; ddr_cmd_instr_o <= "00" & not(wb_we_d); end if; if (wb_we_i = '0') then if (ddr_cmd_en = '1' and ddr_rd_ack = '0') then read_cnt <= read_cnt + ddr_burst_cnt_d; elsif (ddr_cmd_en = '1' and ddr_rd_ack = '1') then read_cnt <= read_cnt + ddr_burst_cnt_d - 1; elsif (ddr_cmd_en = '0' and ddr_rd_ack = '1' and read_cnt > 0) then read_cnt <= read_cnt - 1; end if; else if (ddr_cmd_en = '1' and ddr_wr_ack = '0' and write_cnt >= ddr_burst_cnt_d) then write_cnt <= write_cnt - ddr_burst_cnt_d; elsif (ddr_cmd_en = '1' and ddr_wr_ack = '1' and write_cnt >= ddr_burst_cnt_d ) then write_cnt <= (write_cnt - ddr_burst_cnt_d) + 1; elsif (ddr_cmd_en = '0' and ddr_wr_ack = '1') then write_cnt <= write_cnt + 1; end if; end if; if (wb_stall = '1') then wb_stall_restart <= '0'; elsif (wb_stb_i = '1' ) then wb_stall_restart <= '1'; end if; end if; end process p_ddr_ctrl; -------------------------------------- -- Stall proc -------------------------------------- wb_stall_o <= wb_stall; p_wb_stall : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then wb_stall <= '0'; wb_stall_d <= '0'; wb_stall_dd <= '0'; ddr_cmd_full <= '0'; wb_stall_cnt <= (others => '0'); elsif rising_edge(wb_clk_i) then ddr_cmd_full <= ddr_cmd_full_i; if (ddr_cmd_full = '1' or read_cnt > c_READ_STALL_ASSERT or unsigned(ddr_wr_count_i) > c_WRITE_STALL_ASSERT) then wb_stall <= '1'; elsif (ddr_cmd_full = '0' and read_cnt < c_READ_STALL_NEGATE and unsigned(ddr_wr_count_i) < c_WRITE_STALL_NEGATE) then wb_stall <= '0'; end if; wb_stall_d <= wb_stall; wb_stall_dd <= wb_stall_d; end if; end process p_wb_stall; end architecture behavioral;
gpl-3.0
890de148a5a0e736693963d369cd4180
0.437196
3.276962
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/reset_builtin.vhd
2
19,078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ajzpeFUFgKoB6h0E3ZH2sDZ9KLEs5ReaN3r5GJvWNisX/AjnaNc4yNL2irL3QoIXF/VZbEYB/rXt if7WZyXwTg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G/79apBhlTwjOJfXcSm6qRmyeWKYslw3TBn/chKsJ5jLAmyjxwYoyyPL9AQdekxg4P1+Hl1LMbcy ZywRsmuS7kaD3sTzkKhSuJYiY6/Sq0lzc/QFhJo/E08IezrX7sUJNXfoUaFJ5gM+xH7IY6INp39X W/Jpwt4tTn8+gBU1UtM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gmfIi/i39EoK7fDMpBZGhPU/0EGraZQOh32Jy5REvWAEqzGME+e1+xSX00hyZQyhegEsuPKmOgaQ /dRoFJnWI3KzlxmI6U+EcaXwfEZdU2jW2+xhS2Bo6J7FZih8Y/FFXxqbU1JBuHLrn6AWMbPjGofQ yMlRPzsD3lGa2sF8UJKMGMwdsPa5qkAU1OO2itHFmBQzR78lVM407RqxT2wz0e6w9KMuT/Qg85eQ x7ElrTI6pOP7RycH3ZSsNWbDZxfKL6V4e2cxle9lkaJaEU7fm0HE1BXLQeiFwuYg4I25FHOU4kxk Ga296DcPgH6QUsACTwZr1xZD8li3PnuTXxpoAg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qgl/W58tXJUEg8rUnZK4Xv3BfLiedz/cJjmewZdLORFgKFSJWc11ne0S3qbyyWdKy97CgE3mJ2po uC+jYEVvbO9QQceq5xbYqUGczQyBoW/rtB215crCX9ize8UYt6xxbwufqNjZ1PdGODqCDMitJCNg Pd4+KIXD1M92uCtkdwM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RJW3xIyOy7mn4asreqTFwSlBnn26OBAM9w4M/Gv+Tg2Sow4h/2YnRvC7iJbwNwcQkEoI+70izWkx CYNZ3kwbUxMzNxD8uydgQeN/sYKtfJ+V/YTLRlFqF5YB/Lh+hHd6cH58Dd3kLU4l+pN5yNtTj1yb ybFS2H+AiBNhf2GzVOFuWbr9jDFeaZswHd4eirxva4QGA5cb7t4uy1REdKyCr9beDYaVwhKwmkzD svC1At2hJF98HYG7wknZ7VcfhL8lJjjd3zsOZEg2FQybm0XrkzsL2QfC/p/Dl2hgFq++OS9xI37o DA4RlPGp7lljR39Z92xmN1YPzQBJZBj+cqh9Ug== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block tohbbUsgWnl0QAkMU+B02lGYWbpH/PbrsDEmUAPYppapeNgzrqxStpkCmAULEFNWzX1HHBnntaZ5 IN7I5whWonbzCyL7Czu5++t+yqnELMHINNLLOLZURCtUKpafxXEZWENqUhP+o5JXGxsk6Nu1k7AK BfP2FseFSEK1+0mtUo9/0xvbIfFpfB/WALi236FnPN9vj3lBTO1QJTeO2gJZH4Nmd4FnokKKm1mQ Oq1RJ94HRRBwrqEwPsFEQ6z8xi97o2gFZKSgndmrE4OQhly3YWI0GnkiJLgnyV32g7hBqjuHdqH+ Vjn0eGJTjXqwOigYuW2DXiQ41rSt1u4T2+T73vmsFlV68s9KtmkZr5tybbJq0OSQ3ENv9+QRdgRD a/y2oTJo6bFDhOEIxXCOaRz8803WShyHd8hnH9jByyjPKSy71HeXF7gPSLIiDAP/epywcJzKjItm nrGZYvV9CajRrqSnG0E9MAaexE2QaRiFm0oh7Kt7WbCaYk343dBvfWNucrR28dN1rsYMgL1D8vjS 2mm3DzgMk+dxNXp40FEIIkd+FKaXKLHjPvao2bT6NVxWZQegzSMuplCGRm4l9Q06in19lM7VZgSd k/8Xff0GGmnsb+Co/yYCJC3TcZw6HJeLCvA+sDa0p2HvqNlzJDlCRzzqWEQrSz8/M+ecPKfucihU JBp9q3+AZiq82/KOQRhDjKLtKu3bt+8L9EkzQrGM/J2Lbl4YKE6NqIpGtYC9MpKLaEp8PIyg/Auu 85IWfrITY4TQOPNEEL3goQZ3GyRJj8LNNM49JpBtxGNTPNYs/VlgZjDilD/qU9dIj74R0+XCxhs3 8yBL5iM3lFfV/CV1pbPnW0Qwtj3EtP6iNpL6+TOd39zCv2lhBYVZ0ASM43CpSyseboziu6gT8kKF Yd2/bk9V90xPoru8oybhzWB9tvFh9CWVHJruHTPjOITqGILcn/sYimesUuILaWBj8RU6Gn6CToRh XZTPGUOGtZbGI4cgw2r9hhrSiLsiPFoJMPtdJLe8vSlMhTSFlP9g2jUpSvk1TDX6rVSCOabLMEJn g65VKUj8jHGAeJw8udsSSBZ/TZDdSPzSyFhb0Gc70BW1Mv/9wvMvqOqDAJVrk4piUJo67L0ZVSC/ jT+yJwam7ghhUxJGUrLsVxeYMyhe6gyB3lsWs3tYcxETmbQLqDGzka60IlchoH/CmjQlyIqkVQr3 1k9krWNXk1cKmPLr7mOXE84LoAmOXLwjMhclfiIVUbGnZ0vt0Mjic5kWgu2px//Yn7wLwdpgRJpy aviQXKLAhDFU43VntitbLJ4H0VZLGVo2QsG/xQ4l8MTZjUd/D+ys9vD3lZiWL2Mk2fEGxQmI1iI8 lk3/oBLilC6k2HFfQXVlduo+Pbcto9jNxXVlO1VeiozE+IY/J1c+byJ1YD2T6VtRSG/ydxbwotUE NN5q+QOQ7oaknJ/BsLykz3g17vf+oWy077DyRiUBHxYLTx6gSGn3rDX5XtK7NBoXvdrIzWtuD/VB liTWZPfnPqgbaG/zThUH1Ys4TD8Vm3N/W8PRXObC/41JZsIdAWtJHlKpdjpisOAhCQGmrwCU8wbj RXf23XDf9J3xQCpRni6+/OY4vv87CCs6W/QgjmMT1uWfFIDggOAdDzFW3OFUi59dqPfEmMHZHL4S PAhfyDswuclN00fws8kfpL5foY94Ium9Xe/XtWfllgQrm0uVQOk9A1AU4jyYQ5k6AtP78zcfLTuF LcbWA8//6hfDS3OhRgf4lOO4O5JcnHtRoaxFraom/hc+FwEZXY6pRuS9MNyS9PMpCi84OLG3gxGX JxyHrDpWSoOayhWhg0FejHmbFdrDtwQ1ahwoxEYrbqYHEHYEs2pULhc4lR1XXRL3qTUPl+Tj+XT5 VyR6xTlNatTfE0+Q33DRV/3d9KXxOSzP18kaWGyOqWcj4kN8FdDtevh4N8DATLryVgLYUvfq9dUa jtKwITN3to1uxj2wWFtUo3QEkdNX3VednP0wIr22G4WYvsIwXoSTG0DlAQ1enQExfHomi7XISnRK DNwigdF9svVJdxAMz6zg0/JHDUUnc8DkSHtCwSs9zpaQKjW4llaT+NBRwh4t1CwBUHPr3Eu0xzKt QPosJAJwWBPflrDa2l4rBfo6XUQXYl+B4aSLpyM4a7YVejUHj9ibE1Ct2VF3SxrDzgXSM/GPDdcu 94J0cRTpi3i6zLECoqGM1FRYgxyDMKPE9jPGCZ7jfWqEjaA8q0vF5VoE0cof1Finhj3UaCEMdinX chsP+uAZ68wchGLz15/NGf1fczS+eV5SAJUt/rsbRiN7dB+S6gH57TtxP10xt3LrsS9nq/L8i62W zUTu7MrlNmEViKaK4oUm3KpBWoYC3XzO+e+kGkQztvVpwQr2KQt/bUPzN/94NdSM6TTaVbtFcLLy SivATOQU2wIcdDNbEHfydkbpyGGNl+6kUDDo+xaoGiAzTmAaeGwbOJzjhhSOCDAyqQc/Tz20G0UB 5QGHZI0pN8+7Rposk1OqBzASe5GW6bVyLaSUYmTT5slGPDMn1vZ8v2FaAq/G/Kq8wWHTg7Oc4uOc Xp/UOvluI1kUr7g3D6bCfEuIzBfaCn0yQCw/KX7rIIiwTUocXQPV6aGH9kj1/XzXlaseCNqlVHhk HatbqKIwZtTSDFHiwz5d9l9ZCFXUWoDn+pnLK9VKjLDBWBo4r2nII2QBTNJfFBHXnZijU4eJCaJt GCTr+YVvR+kuNC/QyA5nr7i9nHRFxVhLKRQDSCN0WutvC1bpscCY29pkIK9tT7FUg1OEkcmWbjAB zSITN0y98T9RTl7FUzr2WFPAfk4lHMUkWmToDQVn8qYdawvg9az0OcLm7Qcsr4m5XAQYOq7qkVzN d2nrQhhzYL0OodnqCyb/yzaFzzxXC+nWCC/pf7wj+d4HRivP+albft4Rzw/Bz7i66MMWCBcrcmsX pow1gOPPUS+/kIkauUX1OKlGuIpe7VwynXgPYcUQkYVRnCbQQBR8CA/uTSZjHe1hSNbqGe2XKtiu ijSx9u92nwY3G+GHNgjKKHP7AL1T4rIxKT68d7TtxKG72QtLXv+fs6heepRGMQwnqCqb/Z9tjMXm DvFGAMt7yGwGyc8PR0OnUvdeD+aSnxXtfh9jiGBDOv+btZf3wtQDDl0SStwUDNkjYkY77xnbaN+n MPSzliWsxaA4dL68KgjYzLIsrVlnIPoGxcDCcIRUYNJDMZjJ3Ru5KAcWcsNXgcfDMavpNizJ5O1W qV2ULNTs8z7tIsaOfdpJGBReLvlLIAiwjB6x3bEGxsocC3O9FL+7fVfc4bSW5rFBe+n3FMLtpyH/ zobFCCAHsGktWpc7CDSS5wg+VJ3x5J+CDkobjYz3NwUDkR6RTgn5ZubIh2AgUa2hV3X+Q/wPvSf1 uLwWsmACmwGlvMVyTnnseSoI9NernjNKvNKtx+FKWieEMGwjy4KbvTloMGCNU9daNFzHaUIOR7aZ 6BneJZe4RP7p1hCyTKjm9/zOgeCVmxd5hNffzIFSRFGh1FtCaANXTm/vgNeCnVp2OymgI5a1AZut oF0r2iTZTMEfhN3MDpevlHEoPUAWPcxzI+Nryeu1wM5pazlzh2betYK6TElVAZ08qhylLxLb4Mzv TTn5xPhwlI+cN8tDpOIzqaEBoJb0kw8vMJHXQOssf4Y/AkL4Qjzu8iPCHQyhH5wnnqzuiMi2klzj EMUq1qRBlXe7JxuSxC9JedS15giWaNUyvhfE65H45GagzH3HdSOVfTFgpmuQ4rICsiKEsP4kcbH9 U1gD7sDbo5y5+2KfNEHI2vc3ZIzG3XN1UGbMrwPGJfqgW9q2AaZmPcr/8fzxMwZxi/XbA2mqVGnQ scdriZqJ+whxLQQZG0bTKRexgx2YgBK0ivrozFmJAWrghe0YHXy0BxBTNhRRNvZN4NfdYywM6fDN efX8Tnthz4fSbZEPBnB6WVTQ+oyoyiJVOWshOST6NxSIM6W3uceniTr1///9T/E969S5Y6Dh1WlT /RYrN2MmkswCeygR8F3FxcLxCN38KcUcTZsjkyUvkdTAc6V5k4OrYcdN5C8UdHZ6h87l0B5ZUhe7 sJQJDO6HmTyL1f2LI2wR9EvKSaKM69xKXZ3xW1oCYioYcePMyYsoDCCFKtJMPj/MsFhJFGLkLgfO q+TQjCv9cGo1+DWjxV/JxNZUubJRirDpDA4zcWiuFNqGFrpCAaXbVxPS6oJ0JBoK+g3EXtgLjqBR lauWXwVfDUhC459W0NNoY2BCSYmcsNYdd4vFwyH56R0nuwqZSHZrZPNwbmQxhGv4IGtMD8GdLw23 L6as8gakG9I+0iFZUGCIigibVEB9jb9Q+l/gZUI9EdSt2tCHBO2cHlGygd7KTK/UsN8qwZQMUoHs ctzaFUO3OXLBUmX7keupRtiWwNNLCE47u5TwsmVoWSBBNnWXKOizYowyq+dl8QjP4zQSulfgxJaI 4eLquf1xwfEPuq72swY5UyXMsXvW8hDV8823glpC6gDEXK7VuNk3O0BuYaZcoTotb4lgn6ePOUZb Je3JifVEj7qwV8Wfp2NDdxOn1wpHAMjvyKAAuNuMHxTEiVngt9fA7jvYjrUOogdZCbUOAappovUu iRTw1t2lIrOX8gZ7sPCJKSBRDc1mIdp7iEyvRU4UHnaKPNY+y1xtzB9eC1piIVGH8gQ9Z3jk7xiT Zbm/2JODOBmjWJoBR143jtlHV+SYFe8J7XK4AvVCTT8PoQpv6urxgVr53cjqV6jDCeRRoVAMlMV+ 9sYqaIJkrJsinj08ffbTlUhX1qcfGsOd2+zROm0Zlv/md45O+Bkcsm0RzD+GYIhvFwz17su95CnT kwy7i+4mAhVfH2wfD6qgqnc6Ki1FrPv3E0dyhYygwDYm5Sa8/+7Y2OTNg9QJ6GCQgEk3K2cjuXUN oaEwN5mJuZVL8DUQJwm8X8SGzGov33y8DgKkidw4Sdd2x1/crampqigufqTRE9KprhsQ8Nek5c2x 8glpnBMErhP4Don3lJEGOXY4HZ9Y/phu5C0BvWrJ8OYDpWFiyEMdLPUerKsONQipYQ2alnseYZ6N PLmNLaqA9bXZYFXcFTPtuRi1T7o/wCJqQ7VObXsm9x96JD9EzW9KbtehQBj3KGC/HArZX3q5WwA6 zGQNkpAWNpwz71mTC+4GWjqWFsyQBjAEd8M4BzG8qhgzLHLzM2/CJQuPYQuP3KEofRoKWVDtGKGI szQ6tImiHEmds3QFZr4leNfZmWDHB5FLS4OkfyQj3GrspcLjpA9mZwdUSYcwQ4ZfGbPFOp0DULtw 8HnnNyiIs4Hu0hEJCnXJ25xEeL6aSm9fg2DNAzWckLf5MnM3gvXbp9MVAJOcvpf9gqzgMHIzdXpe SvAAcjuH4zJRehcn8aSVwB8KxeCv+nxzeJNhiCtDZoBko2wNxoz+gVMmkqCI38BzQ4KA5fbTAGa/ bhhGxn1qs88NFK6j8KmDT6+gZKWhzIdYii8xUT6RNNgURCqVMbhKSpWq7YvWk1KlF4qKSrkXJnz3 K/SZWsBnMzatTX0AmqHIJy5EbI1ABpd0DiESaVbx3gcTU3z+KpaZeltVbVjPlLYzhv52t6Q3WXR9 nIIYRl/3bFYKEHZxkx8bYIWH65CSof/X4YhvmznDobtvDvC++KxOvrj1mmedj+65OSuHk4/M49VF ahQRIjaGvQuPvM4FEyLjTkO5ZLgm3CvEBQ3XPYNqQhgj0FYKIBgFiZ1KbRcITaRdKstXvaHkLzbv LAN87jpJDLAqlkBX1lRL4jNoGBic80Sm3TnKsJZtxLyPwa39ic5Bzsm+TaRk291+4m/SLJk6hc5q 1iyqyYUSETznfKzA1AaC1UOWomsQnvS/GVUVXFCnyPGVoGDxOopT02WueScA/2MKRolEka1cGoCG H9WJSARTdS1SIr87/+axgZ0TcSRajNrKtgpLyMiyR7YbtPCUSwfftVLaJjpO1AGRT3mG3rP2IhMJ u0DueFNS3W6P4QYENYsV6iOCyjusYG4YaEdxGWFJCMfjsD5szW+UJGgT4UnRVqK55oNIwg8kaeSp 8kddtVRiP5L1Du8d3P5F2YmlGDXDrGnbL5DdyYLGnN/54W2IFFyqQkopRkK69L+qnWfpp9hQEskW 1MBXkIPDcq+/Rh+MJmcmLNEhq1sTYfkPSVrV2R3HVUIS3DTGQ9T2c1QBa/uDWA3xAZJvNBi6bXBY gqYcsBykWX7kFbZd6Kc9VuscEmdyqDLO2ymP8n+uQidghVc67tW9ZOOiY8+TLxU5OrfJ+mrDtOFS WB8RXOPNG8n6uLDEJSpzpLYr8ED/fmAbFlhbc0mP8aB4URvn0Hpse22a5cuhruAyQy2829BM9zZt CH9RBF9SuuPxREVeAJKVyl+fsuM/X7FI9IDOwvAggVmgZRfnnHGknLAlt2hBtVPNsGUGwQi/gYVD V9LpTDleeJEiztGigpnFMAU9X9sNn9X+AYGwmJzOUPRAB7kckUbzE6CVf+ShLsJVmMJnQ829Q3BM u1IiYKh+yKv27deSx7fRbUAI500/veTTJYrU2eos6jrgVReNR9YD76UPb1MvuqggYkoe3z9xV2wa 7+PVwSUywTxmwxB+DjH5XIpGlvza9s/MbrJq+IxAl5ZzhPCicVTTmNjHaxJ2LZ/vZy5xSder+3iq nI9Hi5g5ros4EqWN8oznoXKQWQQzW6cTyus/E6qPQBgZIbbWdN2/qjttDfFNMZTQHDQXTFXfOpmR xIE7NJMSbDz01Z4ylMw8BnUjJEgE5O1tCAF5pM2rujEEy8WPcn2wJ9wNChf8wiSrB8DLdg+MQu76 es6E9MI7luVQl+OnvWyOOaZbEuvSAbOkibqH+1bSeKU0S4b57hz3WtU7lVD0vpryTH1uM9Ny7Oyp WWmr6B01X9AQDOdnnvRJ9qvFhbBH4SH04BKgdCVAuRX+XrbxKq4Esu7t08ge+SDMhXveq6b2siqB W9W5gONa/XVF7PYU5tkOoLJNyGwMBg/83AhYd0RyIP98HQ4hr4DcRDZWSkN3CqKui6wqKPNnB5J1 58pH0lOmu05HpMp93DrJOv+VGWSrbd/hlIXZjPmVNJXz7rl3SM6DgaqsLC6Y17qt+3a4RHe9OuZD LtF2ho4gklEEjFLSaH4C9hhzKNgC4G+Ajfo7aJuwg/OBJ8ZHi8wVhpLuOSTMchDpJlx0ugn6UD2e VGjZcXu86id3JIydrdqFq5rT4EyIgTcz22yrWJkUwSxt9WFNJcA6pIAGvIHU6FUvChrnx2mLIuwy Kj2P5B7hlBr69OjvKXAqi5sNAFDTKx0TcedFk8TAvuUjig+pv4Q1roBZKzkOIztAYLYXTH1dYz6H FLLN7e0Q9UeZ3T/V0RxCkZ2T1fx56JvZoZ7Ym5CXAmTyN9ybNsqm3hRsCkFgRP5XdQu1vE80t9Vq 6LfWv17ZmrecDZ4Yfvxm1JQbArEH033pcKtRiwqfu+a6X/JUelTBwG7Nmpakze6SqpFKNphohWP8 aD25XC9FxZW2irBwmj2xCEeIbnuqXL8DPMwGATcWyf+dnkmFEDPRTyLS9sFblzEjK7795AocFPc/ 2Kr66yN92CC3hS3A3AgqA+XPN5dCccEYuX1zRKAITXCGxVfKqAY6WHQOF3QqnXo2pVkfqfMfVtWj WVarZJ0wJCLrxFIIPLPojOKqvtVvVTdyqmnG/jMCGXqqDtC2QDu1lbcy3gyNLN+WHXlvg3MmhCmI 2nkcelRx8Xjs7dPgNf0oS/du9wKTaI/N8DwbVwhRhMNEXJrw3SB4VtqYscg+AGfnatsX36ATB9qj FA43tzSZsRw9CjH7XegcofZo/R3Jt08gsoShxIWj/fSoH2UrzZXdJikR0wuxUG2v/+HHHWlfBmop 2Hp3ZPaFYHQnkmlylSfD9xJPKM8GE8ajBRj6wE9Qfw9/x4TWdpyZCmYIyRGDkMQulfkLXebuoDPg o4NSYLm2grjskl9Ae9BQukjYxk04DpkXQikU3+5apzbtOt1Hs3SZ2sD5Mi1h/92KNkIaLwJ/xiic APar1BK4uaGgOlXNZsIRBeP/n42V5nRHjVTirRWi2+IorcaPXahT1qZFpOTZk/87ofA3uMRrJ9o5 Um063K9zdaibrNUbEntChMgd0ik81QZg6W0aosMKwxQMuD02BJIvODWMFeg419FR0j/DO1x8tuoS nFw5Df335exaaETJvvJP23z51rNLIASgqGuL87wlVnAa/9Apwmgm+oMOofBOXges1lrayRDJIoLw 6n2Dy63EAdWk56v3oXLXfN2K8NuT9CnDTlcUtTOM1iFQweUx2BwyWR9SfGnz4MOZpS1Yen8vqFkJ WxUYLk8WQIeQGVPYNQl43PQy40nw3XpgHlUezMQVus99pZqSF0jcETpXpaC97RNgwJLn0uFlbVi1 7G4RuFwwDe02WV9K/wU1RJ7t+yr2D3/hg/IyytcvvvBc7fRQiinVavo+yTQ+L0yg7EiI+u50x7N5 9M4B0nzlus2dpz0jWtk06id9pe7FZqkIcX4AETEp7ICnW/f+45nekpCEh+tleF4pJxSIRjI3+AsL e7AQjpcWzM46lR9SyF0Q0+HniSDyLUmj97HtcQSXknDpDCkwGDQz0o9cyT7/fflIWf8x0zkjQai6 wY3Is0ImcELkXQrhjG2F6UwGVly0kBLAA85V371QstOWqgFtguZKERLducO1LCkC7xl+26G36u+d 0sihxHPxigGibWJlo8JLPSX6Ac+zJKByFlOrCtgXHgQI9c02MtQEq5tqDUWscAEg0KTcQ3eVcl4Y Myvl7eiAsDesZ4xnCaj5+YlnoYCTuYGF/fCRtDxEX+mmcbuygdF0CQBscX8t3+NKKZfw3TesCBYp PsY8dxqGcaVvIsxQZcoWyvvRaO4R2O/YoZTKAqaqNBeFuai9NjPuqoK9TZw2AebtqnDpu7bwIEeK dG749upHKwEgK5ZX7wTa0ePdYXO2mkrOdMjMvEDDe0rkJCXZ1VW9Ah5xvoI/83bitQ+60o0Ba1TJ AT438klH8JQFIsK0xnG2sUHU794QlD4joqWwMuld9JUWGbe6gnwZ9I3jjG/gKNsSuTH3m4cs7N/x XUqB42uc1+qJBHcFvhQISvcQwDfk+N4c5i6tGf6+GOtqOcJRHxdZ6WIwOS1KYzIx+cwpRR+Iwe02 0XsyRhWhHadHDk+JRyTLiZ0kY4AcezzGBB57Gc/EK0aQAS0s2MIlqE/XhHpcorYBVe0b2SPHcVms pO9Mhbz8ghMl7S1gJ5ffe7Unr+QSAlh6KoRz4SEoqxgztB4ErB0ZHGXXXacHOpTRI1ugeWWSTUKm k/+GFReqtxjiHBZ9OZpiUEebnrKiNwRZDXKrXQmBm1IzUEsmx9y9tdIlwdALNGycf1FnqEfeYluO erXuXhjRZscLO5WgSxf5tGIw6n54WR3N9FRHKg73E+gGgtT6Tklo0VfiBl6d73HSaFg7C2DwYQVs 4rCGr0Dm8rVKruKFHYLcWOLZfnW0m/n86rE8v5r5SpVD9kxJs5IPJnxFrAAKCkpeLcRu8WFtY9IZ ynhWxvMU8cBmfXEdF9u/91q56WuEBaUknt/cEXZkUv/qLNGGrcrTsVToQpaC7BCdzh6Lo5cIPZQI PwPiOoRKeG49lYJq0RnUvImqK65F59TipJMttCA9eYEk8mvrlbR4x86y+r6TqDKR0bhfVfPtpEFx qJPBcN/RZXnNT4OufX3LjQ9oahHLC9t2buyPd2aYk2gyrrr4kv1ikSMPR0JAF1VWUYpmpnndZpWb SwBLwLpe6CSsAogUZZOq4CBX0P8jhN4j9xU+mgRq8TAv7SnBOgCp+32cuy3k0An7zfF+3QImGQkP DXVvjYOaYIl5NCT4Zf4RT7W060SQZ80zHnRaWX3g8js6aZti1CTJMYgA8LbZMnMATiQ/pflX5LIM hXpEITcCOWELspsajpX9fo1FiQLHCX9UPqwkyWQ6HFcgqpxo/5Vt/CB1X+N0PHSmAZ6CrNOBqMjS lt6uQ9yHVDWf0yB/QuF/RxKdr/5h8KZMBW8TTnyYVr5smVvS/5FmDJYnXCRmB66gYaraw3Jx+S6/ pfyqTFVxKeUEKpygi3KXUSyLNBEpL8S8QOmzJ4xmtyVP/TipFTSP3P2FgR/0SsEdrz/VpMqOjadP apnivIhbSLW5HB9Sl+FBBFuip5qVBeYsIGLR1sNybjP0xP2QKtfL5yWpsd0PwFO/afwyaSLlR9xF QIMb6NP94GvZ/kDgbIE/KsY+Kqqc1vzvGU4WbKu38zIslDdEAwK7Ny8QN045QUY7iDNOb8UTGRb2 A5/ExHPTca7o1BDLw8WT3vJlW24WdnXoy02+WeSzLnDOnOQcoQAJWGQiPkkW8r2BouifvOowwdKc BYutpe9Mcul/ldE+Ig//rzjb15sAlYfYWsl6AziS071LapSXz7MK813VfzJW8Hq3ey+HiFXQQnZN bNvZBu3x5wPeK233Up+dH/a9Jtb6C7gkk1EWerlFEOusxaP6MyQSTYdgCocsZMOiNUGWev27cvCc 3IpWGvuuKmePj2RZcP9AnqFOKb5W4XyJ3OMo9BrPom3p43XRMJQg2Pj7h7NxunlFUdAgtCRCj1IQ myEzD4JTbggcVZJlnXPMVRzVWEwRf3+q5dBYzjHP9+pOr+1OcBEKP/JaF75XR4f8EqAaNnm+m7F8 vEneID/rYI5XOvq/Wgg9EVHN0itCpwLmebqtSo9p1J1Et+fgCmZLpWSPBi8QgDPemf6t9Hzg2QDH 4DCcJreH9+eNnHtpD0tJC3VBccrSxwVJEON5ly2VDTUIownkiwmkpZRUFxRuVDkk8VC7FGbdJpvm OoaXdLlO90f55fNx7dOFrLBQqMzEaODuu6FF4bZDJJW1DHsP4ERN4VHMI1XdicDfHMR0uhxqabrV LIwWHY1C1IVquAkkMwzpbl2JWdlwg3jAWgWlfOms3GRP792WnZXwyQbNwXYV9NLSFbsAyV8T/AZy U2Bru9gMzgY71l9Wbrm2G51EW7R3BmT88e8i7fJRLnqRxPC9DmNEa1M6uw7FVrkxby/sxCGNYLZq +Q5/G/R5kMuoqa1fDJqFAjC+Pfwi8CmUEIETNjgcWQoEAl38Z0icr+519ANW1fFExtVMQkgf2Tb9 8JsWoCDjT9L4RcU2tm1/gruq3J7pzE5zYcfJFM++GhTnOM138U0EZiytHo2MYV0MFb96xoCqjuTX wUBuVa40ryZo6+YcTFZb3wKjY28ryNxYkaYvODtZmr4Xc5ED5jk9DjUrQgH/Ph3qEuP6Bm5Zxg6f II6payal4Iaafd6JQFasWDeuD4CNjAXb67IkAtHIQ9fM04e5m0ayAHlDu3OEk0L/dkZmgQO7dHJx 4fiN7AwSog40zoa3CPPXU8KXFNqwVI8jG674/wu1qQcVPfoqGx3p0CSCwmmQsNJ/ksvBterUSLoE uN7fp2CgObKYiMyvEf6qF5//wF+kQKNXFZwV/t+HOpCDmeN37UbyivvzPcM1150lEbQQVrNg1D/W jixUrDLPS97Z6Z8+zxrVEFc6h8BBGxflZo+G80JFFO63gbkSnmA6gfnvuwC3USETfrUhh4L3CKrM tRsbNc5pCNnNQlSgb7VFzThQOaHm6BoHzdkGg84BVCKSfYMdnLAajIhrhkhutrii3QxZ24EDoAML j6ZhJRsjxpEjQPDzpQt8FFaHzPnoYHJYaNA+P8jTUruaR6agQpqptR+D0tIpJoVq7b7q+5UAtbj9 cBa1OGncteJjK41bfKts+smGLRQ4m4s+cYoKhS9UzkBdlQ48wQtnMlEvXXod07oOFk/K9zFlGel8 gi4tqXRTFW0UcRT7neDaT2JZaIqx6Iv/EBrBq8lhDNNGJ3ltK8BKKmoDqsLUM3qj3oOor3kUgX7p JSL5HKRb30lnpCWUJEiqCzSdPW0ty03OeOstaxrUU2e66+cVfwRPVnnBG1ODgT1oiyieHgIMh/QA wnSoPHLpZThYsJKu4WV3x/1Ig1B06yez/ZvIsWAy+MHGTjrE/J21+eHuIaJguSwepg+IqHfqE0P+ F7WhRZoXg+F6MNW4O8guLASyI6DWuXnAno6ABMN+XATUf1uG7OFRUhLQJxYf06gyL22IfQ7mmTul pfZ+4Dw6CnGPR+DHz8BTJTV+KWTbad1aiuQJpmtSAQgOVo/X2AzJ9kGwRjgWxD3dgi41t0/secNP dOAUMzI1NTl7F6Afmqw9r2Mk/M+f9pQo9IQcbloW0a3oveweRvAA7LqhtN1yFoxBEzjdHvo5vttw 32maezuQJj+D86yAzIm7kmmDxVELEnLkcZqtil9RpXeQd3lLGX0rldk+x64iYCaxGIwW4hL4S1Q8 Yfa7KCs5gKlcnBl9sC7g4P9YmcQrhBtHOQ5QfdMZQitLngLhNrn1xwzPRzj2sgAOQ3jL7b6fY7A5 ulEpcnNrkCZ2AiW5ISZFP21g2W40Gq0rqc4VNNwNJS+BdVQUKWgOX2YXpAQcMmLFBTm/yRlAfhvu LJ1F5+Y37z3NIlEhRTuuTvZu9LDwafBrUNYLzJ8MsUDssZHtui4trJ4jNQej23YJVW6aacrIqCZa NJ4DmWjNnzyOKEgxBfeiFbRFDmaG52CV13OUFIxPzrtQqEqqNns7dtwB7s7PBBaCiVU+1f1he539 To7l8iLRmhFmG3VPqish3/6HViIcKcDB4aAPmphGpyptMiU3FpMztOOmf6e7Dss0N4Gab/I10Ub5 voeQtu2KCkrRZcz9alecv9qgTasEynEjyIskUzI4C993ebfmvtWFqHGbz2mR1PFT+8usYzoYdN8q cB2dZ30BI5LQGABkDqNTSb8ZEgI+gfAK7jHGzATPwv+ytzebRhJpE6j0xJwRhvMIG5SsVG4Wm3g9 2QV7JBuixlGPep2pOIBD7KyzNEWa2qRruOU4Qzysyiwg1X2BOxHFKxfq7sRRnGd+hW4X6lATA8+O 9EFNnUrKEhPNj1f0Iuhkj/ShsOorUPndmzbr114A3+/L0lO8IyhtJrd/WwqKngxlOH+MNxbF8r/d QZlpGb7nAavYi1j0Oe0wqKY0yf16p822KXTOwOcIySoSH0icoKcNPXgJom/7127vGserNQqujwHH /db4LPPtds/7AnihzVhrXPoCCdjdt1kHO+MgvPr7ftsZ3RQCIjtaZXlJ7gOXGvd6L6/1uyZkz9Fb 3UryynZvlmFN+Mt8FDy64wdLSaKyZRWQNDSzim9jYr3qXjAD7hXvIlqkjqkzKKI02bQN40db8t5A 0IHT1ZM7CgleRA8xnccppkRtMSOEIdWfeeCH5GfIjdZfgDjH+JGvve4blWmyIv7UFMu0mY9dAY2I ErqqaN32P2PO69T8+/UuJgYlN7DoJArZ371VP1tzlkafWwJA4znqFjQsmL88JjWHrMonCFcrsupw kSgMj8cpuX5gBieF8zNTviKlWGMixdMmfWVOqDyS2K4ARxRkUbkjLoofkmotVd1nJ2v3lwRdrANT AO1A/ZrG+etsgyfXe9oFSPTNPNjEaegGLsB7YQbd8tJo6CEeAgjndEyB60bePbR1q5DZ+udBLqvK DrNe7a/zhm69D4BH0o0rZVdGKYMY7MFWjbwFdXSk2AxfVHjFsGEvh/qf3Y1XEfxa+E5hL8CEzyFA lcBbGG2+qWmOwaj75K/TykIyTH31N8hJZuhZxfqKc8kyjOCcBuWH+kAAUwQakxeItYkGMx3n73D6 U6NiONxoh+liP46zgY4LRj5Adlv6+D2XKv6oB+/2x9q0IF1OsKcJ7n4BftTPd2GQAkEU8qpt19ff NzFGk+dxz5//Tilv+ISj7+Mo3LQ3pzZJEeJ3sMP4W3WSeU52S6hsbDBaPnZGSBc+gyvmikNJW6Er HTllnHTx83ZqG+OIlpUCLu4RwKKwsBNW8qObceOoZc4Tvammnjh75hIDc1Vch9ICbX9TjFXa/yDn O0EktRS/mX7V4urcuIZkacKyBn070NalCIEpDnEFtzyFtKWQN7umfXqTzXGzFVpJbla5cX/m3nQN ZstzQpEEEjK4+qGmHiRPAJwpXZmseL10GnOLjWbTi/mVGjlREgJfwCyOXE5a+gZWhOr8YUgZKRZ4 FvV3JnHI453Jw4Xp7qYVVN8OtvQeKIyHIrUeV9M0t112K87+PYmMYJH30pva19TOg3Utmh5MR7ac 4iuuaL9nUjfDAsDr5DxW7ioMRV6QEDERGYBg3W/ZLvhOp3as4DEvZhpKKTjtTXWNrgiNtla7qmrs bryAnocE18GTBsP55Jf/kmdhYKlt87QylwpKszfw0E03Q3u6d3TwEcWYvQtRuhzdgph8SI5lbmvh UsvKQ1b1/9xysfP58mFfI6RWSdnhAOZel1Jc8rNOd13fUTnkeqvYBOp4c/zoe9TDnvLroklR95Q1 3x6+usQerXidrBcXT1wBCTSx8AGBJYkmgLs9CyESQalgY1NRz8G/5j/I3bHYnEdbLsJ77dOmxUmN X1N1gXNYdjmKyLDHHQ7Vf8AnVmsIp/0nhIdDSyYfGt9MLUCxCm37yMTX6A5aELrmFZNyuafe3oQz 6+vG5YKcq9Lk20dDWHipfKCWX/8lBM+CCI9My114n2MZTv+Bs/e1wC0UhJYHAyp/mehsIE6Wf4O3 gKmG+1xbW//eTou8/aBchk/FXA8Oy+4d1HPZyCiYMOySchudjESRW6hcyBiw+k9GBlC1Va3zO/tJ IabP21DihJ+ydMYL9AJPClRFGJ5J6Sh/48NhpIfnKJAFeVP70RP4QU7tVViJ9ulc+bWyJSpc56G4 uLwAPAYk1ZOoFhR4hXGpgeCRQJLjB2XjIII2p2JcWYKHa4O+rzn10iQvnlBwRuuJb81QyqEVd1UN pwtgR9yd5muEj1bn3OK6OQ6FG2KS0ciUzu4P/kskuqenMrI4EfEdkiCDUq3AG7IL+066eSXVgSCe aZqS6Rt7W8R4PX2amb6fHbGQfhP7tRIXE0kChQxqaUH4bxwZwZ9f9eUnKydXtTT+NEKxrZYGOXR3 rSqBYBy3q/VMof4H3wv/dFwZwqbAcbi+Sf6oBjVWuE01mJnnlToWfDpDFDEsHj78wLeKIRx+5O26 bDksJA0XxgE1CaMxxShjSGiV7xkuoBaNi/NcnokOOjbgWTji/m44HRyMHao4kJo7X4zvJ450oxV7 lcm2Cg6bGimPf6WHbMm0/Y1ML+Q8y6eRcUX+RAThTV8csF//1ggtdjftGkl4fhZwjc86MPluk6MR kclAuIAUkn0eRX/37SOQc98KgdE25Em/5/NatbbgB+YSC/01iMi6iCTBbbd6Ft2OSuQy0qsBAJoq 7auTZPmS7GjiwXipZwZti7I+SPalKO7gJ95MFri5pYXZppEel5gIOOtqwAwCqlKGNwIymn7v33tH T7txKv7jJyFn2TgDmjOMRnHk1BQUjafy2kpXJFjeLI6lZ6uHZUVRs1g9KJThQoD056ym+ekwcDXu THvghkJfldqno35RGz/KysM7OuHuxDe3oSsvuGT/0J8fxx477SO4Q5T4HgI5fekKSKDb3LQZf4Pk fOUwEouoeXpUS9lap1kdVTksLoIKhZfagdQYo3sKdQsTN9kJbLsc9jhpWb2f7lfqxTpbuJw4naWs zW9ypUTEulCJBNtqQQZakmYky+4CRys6ObnXrR6qQXZryQH9XQM8q4dipUCF1R2bYEk2poF/nZLd n6LZe+SUbeRrFvC5qEapT85/rGnqPvuyh7C13ZITh8f87HkBJ0+ZRnVqorkgq+W9nEpld+6q417A WX9jQ0odIzY/i9uE133tRdQszzNh9PfEaLsoQ8W6SZ7t+TXcNKp4SqJHhlaJL/KNc2iiFMYAwECV kjzuP9Eme6j+hq3Vq/oF5VzVojWmazPrcstCKnz7gZMbw2U8MIcdSmc++W9A5aeEx7Tp57wBlpmU 2HDPD/IPB53qTmeDs/CSW8+rZwU1hNWTEiqxitw6N3veet+brL96qWFLRr57bEDDMptxpeI8/KKA doQB4cE4JqFfKSD5QR3UG2vdZe5wufRxp0FU69jZmz3pnZIl4Pv1MbPuGX2Oavh9Ymn5jr2sUS2i Jubjd1Y35cOfQgO4fpRG3dwmPsKNfumrHbk4EmweWwHIeDo8G9BE3RMa+FoxIdgvQwktNOHuA5+0 1kiWJs+Yns8HJJcuAq1L9hOYZfYRnXM9a65L+KMrTSGu+0TagyxbFWF3YlDpZbiLLcNZ9zNeNSPv jM064LeLfuGWLZ/XnkhPwn57SbXIf5vH6eppikIR/W29t8V1uA004BkmGnyIj7IsNVxhSRilMaLo B9N7GQvgAmyDRs7aN30DSLu8qrwi7veSh1CaHBpegT4LAg7FvtxEoXz7MKaN5Xcn9OQWAaEjfXSD sjJq4sy1eoxXUySWYkiDiITvIVigl+VTj2ScixZ9rW5cAsRWW9AtMM+LaYcuVfD3UutwklMKXOsj Ea04kf8sCx7771G70k/t `protect end_protected
bsd-2-clause
d781be738a5b2f64a51d627f6be9e59b
0.939774
1.860542
false
false
false
false
Yarr/Yarr-fw
rtl/kintex7/ddr3k7-core/ddr3_write_core.vhd
1
15,010
---------------------------------------------------------------------------------- -- Company: LBNL -- Engineer: Arnaud Sautaux -- -- Create Date: 07/27/2017 10:50:41 AM -- Design Name: ddr3k7-core -- Module Name: ddr3_write_core - Behavioral -- Project Name: YARR -- Target Devices: xc7k160t -- Tool Versions: Vivado v2016.2 (64 bit) -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ddr3_write_core is generic ( g_BYTE_ADDR_WIDTH : integer := 29; g_MASK_SIZE : integer := 8; g_DATA_PORT_SIZE : integer := 64; g_NOT_CONSECUTIVE_DETECTION : boolean := false ); Port ( ---------------------------------------------------------------------------- -- Reset input (active low) ---------------------------------------------------------------------------- rst_n_i : in std_logic; wb_clk_i : in STD_LOGIC; wb_sel_i : in STD_LOGIC_VECTOR (g_MASK_SIZE - 1 downto 0); wb_stb_i : in STD_LOGIC; wb_cyc_i : in STD_LOGIC; wb_we_i : in STD_LOGIC; wb_adr_i : in STD_LOGIC_VECTOR (32 - 1 downto 0); wb_dat_i : in STD_LOGIC_VECTOR (g_DATA_PORT_SIZE - 1 downto 0); wb_dat_o : out STD_LOGIC_VECTOR (g_DATA_PORT_SIZE - 1 downto 0); wb_ack_o : out STD_LOGIC; wb_stall_o : out STD_LOGIC; ddr_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0); ddr_cmd_o : out std_logic_vector(2 downto 0); ddr_cmd_en_o : out std_logic; ddr_wdf_data_o : out std_logic_vector(511 downto 0); ddr_wdf_end_o : out std_logic; ddr_wdf_mask_o : out std_logic_vector(63 downto 0); ddr_wdf_wren_o : out std_logic; ddr_rdy_i : in std_logic; ddr_wdf_rdy_i : in std_logic; ddr_ui_clk_i : in std_logic; ddr_req_o : out std_logic; ddr_gnt_i : in std_logic ); end ddr3_write_core; architecture Behavioral of ddr3_write_core is -------------------------------------- -- Components -------------------------------------- COMPONENT fifo_605x32 PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(604 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(604 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -------------------------------------- -- Constants -------------------------------------- constant c_write_wait_time : unsigned(7 downto 0) := TO_UNSIGNED(15, 8); constant c_register_shift_size : integer := 8; -------------------------------------- -- Types -------------------------------------- type data_array is array (0 to c_register_shift_size-1) of std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); type mask_array is array (0 to c_register_shift_size-1) of std_logic_vector(g_MASK_SIZE - 1 downto 0); type addr_array is array (0 to c_register_shift_size-1) of std_logic_vector(g_BYTE_ADDR_WIDTH - 1 downto 0); type row_array is array (0 to c_register_shift_size-1) of std_logic_vector(c_register_shift_size-1 downto 0); -------------------------------------- -- Signals -------------------------------------- signal rst_s : std_logic; signal wb_sel_s : std_logic_vector(g_MASK_SIZE - 1 downto 0); signal wb_cyc_s : std_logic; signal wb_stb_s : std_logic; signal wb_we_s : std_logic; signal wb_adr_s : std_logic_vector(32 - 1 downto 0); signal wb_dat_s : std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); signal wb_ack_s : std_logic; signal wb_stall_s : std_logic; signal wb_wr_data_shift_a : data_array; signal wb_wr_data_shift_next_a : data_array; signal wb_wr_data_shift_s : std_logic_vector(511 downto 0); signal wb_wr_mask_shift_a : mask_array; signal wb_wr_mask_shift_next_a : mask_array; signal wb_wr_valid_shift_s : std_logic_vector(c_register_shift_size-1 downto 0); signal wb_wr_valid_shift_next_s : std_logic_vector(c_register_shift_size-1 downto 0); signal wb_wr_addr_shift_a : addr_array; signal wb_wr_addr_shift_next_a : addr_array; signal wb_wr_shifting_s : std_logic; signal wb_wr_aligned : std_logic_vector(c_register_shift_size-1 downto 0); signal wb_wr_row_a : row_array; signal wb_wr_global_row_s : std_logic_vector(c_register_shift_size-1 downto 0); signal wb_wr_first_row_s : std_logic_vector(c_register_shift_size-1 downto 0); signal wb_wr_several_row_s : std_logic; signal wb_wr_flush_v_s : std_logic_vector(c_register_shift_size-1 downto 0); signal wb_wr_shift_flush_s : std_logic; signal wb_wr_shift_flush_1_s : std_logic; signal fifo_wb_wr_mask_s : std_logic_vector(63 downto 0); signal fifo_wb_wr_addr_s : std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0); signal fifo_wb_wr_din_s : std_logic_vector(604 downto 0); signal fifo_wb_wr_wr_s : std_logic; signal fifo_wb_wr_rd_s : std_logic; signal fifo_wb_wr_rd_d : std_logic; signal fifo_wb_wr_dout_s : std_logic_vector(604 downto 0); signal fifo_wb_wr_full_s : std_logic; signal fifo_wb_wr_empty_s : std_logic; -------------------------------------- -- Counter -------------------------------------- signal wb_write_wait_cnt : unsigned(7 downto 0); begin rst_s <= not rst_n_i; -------------------------------------- -- Wishbone input delay -------------------------------------- p_wb_in : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then wb_sel_s <= (others =>'0'); wb_cyc_s <= '0'; wb_stb_s <= '0'; wb_we_s <= '0'; wb_adr_s <= (others =>'0'); wb_dat_s <= (others =>'0'); elsif rising_edge(wb_clk_i) then wb_sel_s <= wb_sel_i; wb_cyc_s <= wb_cyc_i; wb_stb_s <= wb_stb_i; wb_we_s <= wb_we_i; wb_adr_s <= wb_adr_i; wb_dat_s <= wb_dat_i; end if; end process p_wb_in; -------------------------------------- -- Wishbone ouput -------------------------------------- wb_ack_o <= wb_ack_s; wb_dat_o <= (others => '0'); detection_gen : if (g_NOT_CONSECUTIVE_DETECTION = true) generate wb_stall_s <= fifo_wb_wr_full_s or wb_wr_several_row_s; end generate; no_dectection_gen : if (g_NOT_CONSECUTIVE_DETECTION = false) generate wb_stall_s <= fifo_wb_wr_full_s; end generate; wb_stall_o <= wb_stall_s; -------------------------------------- -- Wishbone write process -------------------------------------- p_wb_write : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then wb_write_wait_cnt <= c_write_wait_time; wb_wr_shift_flush_1_s <= '0'; wb_wr_valid_shift_s <= (others => '0'); for i in 0 to c_register_shift_size-1 loop wb_wr_addr_shift_a(i) <= (others => '1'); wb_wr_data_shift_a(i) <= (others => '0'); wb_wr_mask_shift_a(i) <= (others => '0'); end loop; wb_ack_s <= '0'; elsif rising_edge(wb_clk_i) then wb_wr_shift_flush_1_s <= wb_wr_shift_flush_s; if (wb_cyc_s = '1' and wb_stb_s = '1' and wb_we_s = '1') then wb_ack_s <= '1'; wb_write_wait_cnt <= c_write_wait_time; else wb_ack_s <= '0'; if(wb_wr_valid_shift_s /= (wb_wr_valid_shift_s'range => '0')) then if (wb_write_wait_cnt /= 0) then wb_write_wait_cnt <= wb_write_wait_cnt - 1; end if; end if; end if; if(wb_wr_shift_flush_s = '1') then wb_write_wait_cnt <= c_write_wait_time; end if; wb_wr_addr_shift_a <= wb_wr_addr_shift_next_a; wb_wr_data_shift_a <= wb_wr_data_shift_next_a; wb_wr_mask_shift_a <= wb_wr_mask_shift_next_a; wb_wr_valid_shift_s <= wb_wr_valid_shift_next_s; end if; end process p_wb_write; p_wb_write_rtl : process (wb_write_wait_cnt,wb_wr_addr_shift_a,wb_wr_valid_shift_s,wb_wr_shift_flush_s,wb_wr_first_row_s,wb_wr_row_a,wb_wr_aligned,wb_wr_global_row_s) begin fifo_wb_wr_addr_s <= (others => '0'); wb_wr_first_row_s <= (others => '0'); for i in (c_register_shift_size-1) downto 0 loop if wb_wr_global_row_s(i) = '1' then fifo_wb_wr_addr_s <= wb_wr_addr_shift_a(i)(g_BYTE_ADDR_WIDTH-1 downto 3) & "000" ; wb_wr_first_row_s <= wb_wr_row_a(i); end if; end loop; if((wb_wr_global_row_s /= wb_wr_first_row_s) and (wb_wr_global_row_s /= (wb_wr_global_row_s'range => '0'))) then wb_wr_several_row_s <= '1'; else wb_wr_several_row_s <= '0'; end if; end process p_wb_write_rtl; p_wb_write_shift: process (wb_wr_shifting_s,wb_wr_addr_shift_a,wb_wr_data_shift_a,wb_wr_mask_shift_a,wb_wr_valid_shift_s,wb_adr_s,wb_dat_s,wb_sel_s,wb_wr_flush_v_s) begin if(wb_wr_shifting_s = '1') then wb_wr_addr_shift_next_a(c_register_shift_size-1) <= wb_adr_s(g_BYTE_ADDR_WIDTH-1 downto 0); wb_wr_data_shift_next_a(c_register_shift_size-1) <= wb_dat_s; wb_wr_mask_shift_next_a(c_register_shift_size-1) <= wb_sel_s; wb_wr_valid_shift_next_s(c_register_shift_size-1) <= wb_cyc_s and wb_stb_s and wb_we_s; for i in 1 to c_register_shift_size-1 loop wb_wr_addr_shift_next_a(i-1) <= wb_wr_addr_shift_a(i); wb_wr_data_shift_next_a(i-1) <= wb_wr_data_shift_a(i); wb_wr_mask_shift_next_a(i-1) <= wb_wr_mask_shift_a(i); if wb_wr_flush_v_s(i) = '0' then wb_wr_valid_shift_next_s(i-1) <= wb_wr_valid_shift_s(i); else wb_wr_valid_shift_next_s(i-1) <= '0'; end if; end loop; else for i in 0 to c_register_shift_size-1 loop wb_wr_addr_shift_next_a(i) <= wb_wr_addr_shift_a(i); wb_wr_data_shift_next_a(i) <= wb_wr_data_shift_a(i); wb_wr_mask_shift_next_a(i) <= wb_wr_mask_shift_a(i); if wb_wr_flush_v_s(i) = '0' then wb_wr_valid_shift_next_s(i) <= wb_wr_valid_shift_s(i); else wb_wr_valid_shift_next_s(i) <= '0'; end if; end loop; end if; end process p_wb_write_shift; wb_wr_shifting_s <= --'0' when wb_wr_several_row_s = '1' else '1' when wb_cyc_s = '1' and wb_stb_s = '1' and wb_we_s = '1' else --and wb_stall_s = '0' else '1' when wb_write_wait_cnt = 0 else '0'; wb_wr_global_row_s <= wb_wr_aligned and wb_wr_valid_shift_s; wb_wr_flush_v_s <= wb_wr_first_row_s; wb_wr_shift_flush_s <= '1' when wb_wr_flush_v_s /= (wb_wr_flush_v_s'range => '0') else '0'; wr_mask_match_g:for i in 0 to c_register_shift_size-1 generate wb_wr_aligned(i) <= '1' when wb_wr_addr_shift_a(i)(2 downto 0) = std_logic_vector(to_unsigned(i,3)) else '0'; wr_row_g:for j in 0 to c_register_shift_size-1 generate wb_wr_row_a(i)(j) <= '1' when wb_wr_addr_shift_a(i)(g_BYTE_ADDR_WIDTH-1 downto 3) = wb_wr_addr_shift_a(j)(g_BYTE_ADDR_WIDTH-1 downto 3) and wb_wr_aligned(i) = '1' and wb_wr_aligned(j) = '1' and wb_wr_valid_shift_s(i) = '1' and wb_wr_valid_shift_s(j) = '1' else '0'; end generate; fifo_wb_wr_mask_s((i)*8+7 downto (i)*8) <= wb_wr_mask_shift_a(i) when wb_wr_flush_v_s(i) = '1' else (others=>'0'); end generate; wb_wr_data_shift_s <= wb_wr_data_shift_a(7) & wb_wr_data_shift_a(6) & wb_wr_data_shift_a(5) & wb_wr_data_shift_a(4) & wb_wr_data_shift_a(3) & wb_wr_data_shift_a(2) & wb_wr_data_shift_a(1) & wb_wr_data_shift_a(0); fifo_wr_data_in : process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then fifo_wb_wr_din_s <= (others => '0'); fifo_wb_wr_wr_s <= '0'; elsif rising_edge(wb_clk_i) then fifo_wb_wr_din_s <= fifo_wb_wr_addr_s & fifo_wb_wr_mask_s & wb_wr_data_shift_s; fifo_wb_wr_wr_s <= wb_wr_shift_flush_s; end if; end process; fifo_wb_write : fifo_605x32 PORT MAP ( rst => rst_s, wr_clk => wb_clk_i, rd_clk => ddr_ui_clk_i, din => fifo_wb_wr_din_s, wr_en => fifo_wb_wr_wr_s, rd_en => fifo_wb_wr_rd_s, dout => fifo_wb_wr_dout_s, full => fifo_wb_wr_full_s, empty => fifo_wb_wr_empty_s ); -------------------------------------- -- DDR CMD -------------------------------------- ddr_cmd_en_o <= fifo_wb_wr_rd_s; ddr_addr_o <= fifo_wb_wr_dout_s(604 downto 576); ddr_cmd_o <= "000"; -------------------------------------- -- DDR Data out -------------------------------------- ddr_wdf_wren_o <= fifo_wb_wr_rd_s; ddr_wdf_end_o <= fifo_wb_wr_rd_s; ddr_wdf_data_o <= fifo_wb_wr_dout_s(511 downto 0); ddr_wdf_mask_o <= not fifo_wb_wr_dout_s(575 downto 512); ddr_req_o <= not fifo_wb_wr_empty_s; -------------------------------------- -- DDR Data in -------------------------------------- fifo_wb_wr_rd_s <= ddr_wdf_rdy_i and ddr_rdy_i and ddr_gnt_i and (not fifo_wb_wr_empty_s); end Behavioral;
gpl-3.0
f92caa50eca210bd2cab1c65c784fa16
0.462891
3.208636
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/code_examples/timestamp.vhdl
1
6,175
-- -- PowerPC 405 APU FCM "timestamp" -- record a time (counter value) of User Defined Instruction execution -- -- Marek Peca <[email protected]> 07/2008 -- KRT FEL CVUT http://dce.felk.cvut.cz/ -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.RAMB16; entity timestamp is port ( reset: in std_logic; -- APU i/f: CPMFCMCLK: in std_logic; APUFCMFLUSH: in std_logic; APUFCMDECODED: in std_logic; APUFCMINSTRVALID: in std_logic; APUFCMDECUDIVALID: in std_logic; APUFCMDECUDI: in std_logic_vector (2 downto 0); APUFCMWRITEBACKOK: in std_logic; APUFCMRADATA: in std_logic_vector (31 downto 0); APUFCMRBDATA: in std_logic_vector (31 downto 0); FCMAPUDONE: out std_logic; FCMAPUSLEEPNOTREADY: out std_logic; -- BRAM slave i/f: BRAM_Rst_B: in std_logic; BRAM_Clk_B: in std_logic; BRAM_EN_B: in std_logic; BRAM_WEN_B: in std_logic_vector (7 downto 0); BRAM_Addr_B: in std_logic_vector (31 downto 0); BRAM_Dout_B: in std_logic_vector (63 downto 0); BRAM_Din_B: out std_logic_vector (63 downto 0); -- etc. debug: out std_logic_vector (3 downto 0) ); end timestamp; architecture timestamp_fcm of timestamp is type state_type is (IDLE, WAIT_OPERAND); -- global signal clock: std_logic; -- FSM signal state, next_state: state_type; signal counter: std_logic_vector (31 downto 0); signal addr_counter: std_logic_vector (9 downto 0); signal save_udi_code: std_logic; signal udi_code: std_logic_vector (2 downto 0); -- BRAM signal wea: std_logic; signal dia0, dia1: std_logic_vector (31 downto 0); signal addra: std_logic_vector (9 downto 0); begin clock <= CPMFCMCLK; dia0 <= counter; dia1 <= APUFCMRADATA; addra <= addr_counter; -- debug(0) <= addr_counter(0); -- debug(1) <= APUFCMDECUDIVALID; -- debug(2) <= APUFCMWRITEBACKOK; -- debug(3) <= wea; debug(0) <= CPMFCMCLK; debug(1) <= APUFCMDECODED; debug(2) <= APUFCMDECUDIVALID; debug(3) <= APUFCMWRITEBACKOK; seq: process () begin wait until clock'event and clock = '1'; if reset = '1' then state <= IDLE; counter <= X"00000000"; addr_counter <= "0000000000"; else if save_udi_code = '1' then udi_code <= APUFCMDECUDI; end if; state <= next_state; counter <= counter + 1; if wea = '1' then addr_counter <= addr_counter + 1; end if; end if; end process; comb_apu: process (state, udi_code, APUFCMFLUSH, APUFCMINSTRVALID, APUFCMDECUDIVALID, APUFCMWRITEBACKOK, APUFCMDECUDI) begin save_udi_code <= '0'; wea <= '0'; FCMAPUSLEEPNOTREADY <= '0'; FCMAPUDONE <= '0'; case state is when IDLE => if APUFCMFLUSH = '1' then next_state <= IDLE; elsif (APUFCMINSTRVALID and APUFCMDECODED and APUFCMDECUDIVALID) = '1' then if APUFCMWRITEBACKOK = '1' then -- operands are ready if APUFCMDECUDI = "000" then wea <= '1'; FCMAPUDONE <= '1'; end if; else save_udi_code <= '1'; next_state <= WAIT_OPERAND; end if; end if; when WAIT_OPERAND => FCMAPUSLEEPNOTREADY <= '1'; if APUFCMFLUSH = '1' then next_state <= IDLE; elsif APUFCMWRITEBACKOK = '1' then if udi_code = "000" then wea <= '1'; FCMAPUDONE <= '1'; end if; end if; next_state <= IDLE; end case; end process; -- comb_action: process (action, action_udi_code) -- -- following block causes "gated clock" warning -- -- after FCMAPUDONE removal, everything seems to be OK -- -- what is strange: the same construct above at FCMAPUSLEEPNOTREADY -- -- causes no warning -- begin -- wea <= '0'; -- FCMAPUDONE <= '0'; -- if (action = '1') and (action_udi_code = "111") then -- wea <= '1'; -- FCMAPUDONE <= '1'; -- end if; -- end process; bram0: RAMB16 generic map ( INVERT_CLK_DOA_REG => false, INVERT_CLK_DOB_REG => false, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", READ_WIDTH_A => 36, READ_WIDTH_B => 36, WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 36, WRITE_WIDTH_B => 36 ) port map ( DOA => open, DOB => BRAM_Din_B(31 downto 0), ADDRA(14 downto 5) => addra, ADDRA(4 downto 0) => "00000", ADDRB(14 downto 2) => BRAM_Addr_B(12 downto 0), ADDRB(1 downto 0) => "00", CASCADEINA => '0', CASCADEINB => '0', CLKA => clock, CLKB => BRAM_Clk_B, DIA => dia0, DIB => BRAM_Dout_B(31 downto 0), DIPA => "0000", DIPB => "0000", ENA => '1', ENB => BRAM_EN_B, REGCEA => '1', REGCEB => '1', SSRA => '0', SSRB => BRAM_Rst_B, WEA(0) => wea, WEA(1) => wea, WEA(2) => wea, WEA(3) => wea, WEB => BRAM_WEN_B(3 downto 0) ); bram1: RAMB16 generic map ( INVERT_CLK_DOA_REG => false, INVERT_CLK_DOB_REG => false, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", READ_WIDTH_A => 36, READ_WIDTH_B => 36, WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 36, WRITE_WIDTH_B => 36 ) port map ( DOA => open, DOB => BRAM_Din_B(63 downto 32), ADDRA(14 downto 5) => addra, ADDRA(4 downto 0) => "00000", ADDRB(14 downto 2) => BRAM_Addr_B(12 downto 0), ADDRB(1 downto 0) => "00", CASCADEINA => '0', CASCADEINB => '0', CLKA => clock, CLKB => BRAM_Clk_B, DIA => dia1, DIB => BRAM_Dout_B(63 downto 32), DIPA => "0000", DIPB => "0000", ENA => '1', ENB => BRAM_EN_B, REGCEA => '1', REGCEB => '1', SSRA => '0', SSRB => BRAM_Rst_B, WEA(0) => wea, WEA(1) => wea, WEA(2) => wea, WEA(3) => wea, WEB => BRAM_WEN_B(7 downto 4) ); end timestamp_fcm; -- EOF
gpl-3.0
9930c78144d186e42d06a6106f1beadb
0.560324
3.309218
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/conditional_expressions/rule_500_test_input.fixed_lower.vhd
1
400
architecture rtl of fifo is begin process begin var1 := '0' when rd_en = '1' else '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0' when rd_en = '1' else '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0' WHEN rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
gpl-3.0
2a09e2a08acf3e745f2466e66f94075e
0.54
2.564103
false
false
false
false
okaxaki/vm2413
Operator.vhd
2
3,716
-- -- Operator.vhd -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use WORK.VM2413.ALL; entity Operator is port ( clk : in std_logic; reset : in std_logic; clkena : in std_logic; slot : in SLOT_TYPE; stage : in STAGE_TYPE; rhythm : in std_logic; WF : in WF_TYPE; FB : in FB_TYPE; noise : in std_logic; pgout : in PGOUT_TYPE; egout : in DB_TYPE; faddr : out CH_TYPE; fdata : in SIGNED_LI_TYPE; opout : out SIGNED_DB_TYPE ); end Operator; architecture RTL of Operator is component AttackTable port ( clk : in std_logic; addr : in DB_TYPE; data : out DB_TYPE ); end component; component SineTable port ( clk : in std_logic; wf : in std_logic; addr : in integer range 0 to (2 ** (PGOUT_TYPE'high+1) - 1); data : out SIGNED_DB_TYPE ); end component; signal addr : integer range 0 to (2 ** (PGOUT_TYPE'high+1) - 1); signal data : SIGNED_DB_TYPE; begin SINTBL : SineTable port map ( clk, WF, addr, data ); process(clk, reset) variable modula : std_logic_vector(LI_TYPE'high + 2 downto 0); variable opout_buf : SIGNED_DB_TYPE; begin if reset='1' then opout <= ( sign=>'0', value=>(others=>'0') ); elsif clk'event and clk='1' then if clkena = '1' then if stage = 0 then -- periodic noise if rhythm = '1' and ( slot = 14 or slot = 17 ) then -- HH or CYM if noise = '1' then addr <= 127; -- phase of max value else addr <= 383; -- phase of min value end if; elsif rhythm = '1' and slot = 15 then -- SD if pgout(pgout'high) = '1' then addr <= 127; -- phase of max value else addr <= 383; -- phase of min value end if; elsif rhythm = '1' and slot = 16 then -- TOM addr <= CONV_INTEGER(pgout); else if slot mod 2 = 0 then if FB = "000" then modula := (others => '0') ; else modula := "0" & fdata.value & "0"; modula := SHR( modula, "111" - FB ); end if; else modula := fdata.value & "00"; end if; if fdata.sign = '0' then addr <= CONV_INTEGER(pgout + modula(pgout'range)); else addr <= CONV_INTEGER(pgout - modula(pgout'range)); end if; end if; elsif stage = 1 then -- Wait for sine and attack table. elsif stage = 2 then -- output if ( ( '0'&egout ) + ('0'&data.value) ) < "10000000" then opout_buf := ( sign=>data.sign, value=> egout + data.value ); else opout_buf := ( sign=>data.sign, value=> (others=>'1') ); end if; -- read feedback data for the next slot if slot mod 2 = 1 then if slot/2 = 8 then faddr <= 0; else faddr <= slot/2 + 1; end if; else faddr <= slot/2; end if; opout <= opout_buf; elsif stage = 3 then -- wait for feedback data. end if; end if; end if; end process; end RTL;
mit
f34637ef0cc7dc71025d5d05c75d1963
0.445102
3.965848
false
false
false
false
Jorge9314/ElectronicaDigital
Impresora2D/TB_Utilidad_RS232.vhd
1
3,908
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY TB_Utilidad_RS232 IS END TB_Utilidad_RS232; ARCHITECTURE behavior OF TB_Utilidad_RS232 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Utilidad_RS232 PORT( clk : IN std_logic; Recibo : IN std_logic; Devuelvo : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal Recibo : std_logic := '0'; --Outputs signal Devuelvo : std_logic; -- Clock period definitions constant clk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Utilidad_RS232 PORT MAP ( clk => clk, Recibo => Recibo, Devuelvo => Devuelvo ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin ------------------------------------------------------------- -- Recibo un 11111111 con paridad 0 ------------------------------------------------------------- -- IDLE -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Recibo <= '0'; wait for 0.10416 ms; -- 8 BITS DE INFORMACION -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Recibo <= '0'; wait for 0.10416 ms; -- BIT DE PARADA -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; ------------------------------------------------------------- -- Recibo un 01111110 con paridad 1 MALO!!!!!! ------------------------------------------------------------- -- IDLE -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Recibo <= '0'; wait for 0.10416 ms; -- 8 BITS DE INFORMACION -- Recibo <= '0'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Recibo <= '1'; wait for 0.10416 ms; -- BIT DE PARADA -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; ------------------------------------------------------------- -- Recibo un 10110110 con paridad 1 ------------------------------------------------------------- -- IDLE -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Recibo <= '0'; wait for 0.10416 ms; -- 8 BITS DE INFORMACION -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Recibo <= '1'; wait for 0.10416 ms; -- BIT DE PARADA -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; wait; end process; END;
gpl-3.0
b1925a3010c5c7804f33172ee89e8931
0.455476
3.452297
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_pcc.vhd
1
105,202
------------------------------------------------------------------------------- -- axi_datamover_pcc.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_pcc.vhd -- -- Description: -- This file implements the DataMover Predictive Command Calculator (PCC). -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_pcc.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- -- DET 5/9/2011 Initial version for EDK 13.3 -- ~~~~~~ -- - Added Start Offset output port (mstr2dre_strt_offset) to support the -- new packing/unpacking functions in the Store and Forward modules. Also -- added the parameter C_STRT_SF_OFFSET_WIDTH to size the new port. -- - Added the C_IS_MM2S parameter to support MM2S DRE control via DRE -- Control port out. The Dest and SRC DRE alignemnts need to be swapped -- depending on a MM2S or S2MM instance. -- ^^^^^^ -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- DET 6/23/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added expanded addressing support up to 64 bits -- ^^^^^^ -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Fixed Lint reported excesive line length for line 287. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_strb_gen2; ------------------------------------------------------------------------------- entity axi_datamover_pcc is generic ( C_IS_MM2S : Integer range 0 to 1 := 0; -- This parameter tells the PCC module if it is a MM2S -- instance or a S2MM instance. -- 0 = S2MM Instance -- 1 = MM2S Instance C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE Aligment output ports C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Tag field in the input command C_BTT_USED : Integer range 8 to 23 := 16; -- Sets the width of the used portion of the BTT field -- of the input command C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates if the Indeterminate BTT mode is enabled C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32; -- Indicates the Native transfer width to use for all -- transfer calculations. This will either be the DataMover -- input Stream width or the AXI4 MMap data width depending -- on DataMover parameterization. C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 -- Indicates the width of the starting address offset -- bus passed to Store and Forward functions ); port ( -- Clock and Reset input ---------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------------- -- Master Command FIFO/Register Interface -------------------------------------------- -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- -------------------------------------------------------------------------------------- -- Address Channel Controller Interface ----------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- --------------------------------------------------------------------------- -- Data Channel Controller Interface ------------------------------------------------ -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap data -- -- width). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_drr : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_sequential : Out std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- -- mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the MM2S DRE -- -- mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the MM2S DRE -- ------------------------------------------------------------------------------------- -- Output flag indicating that a calculation error has occured ---------------------- -- calc_error : Out std_logic; -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------- -- Special DRE Controller Interface -------------------------------------------- -- dre2mstr_cmd_ready : In std_logic ; -- -- Indication from the S2MM DRE Controller that it can -- -- accept another command. -- -- mstr2dre_cmd_valid : out std_logic ; -- -- The next command valid indication to the S2MM DRE -- -- Controller. -- -- mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The source (S2MM Stream) alignment for the S2MM DRE -- -- mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The destinstion (S2MM MMap) alignment for the S2MM DRE -- -- mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; -- -- The BTT value output to the S2MM DRE. This is needed for -- -- Scatter operations. -- -- mstr2dre_drr : out std_logic ; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : out std_logic ; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2dre_cmd_cmplt : Out std_logic ; -- -- The last child tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : out std_logic ; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- ------------------------------------------------------------------------------------- -- Store and Forward Support Start Offset --------------------------------------------- -- mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) -- -- Relays the starting address offset for a transfer to the Store and Forward -- -- functions incorporating upsizer/downsizer logic -- --------------------------------------------------------------------------------------- ); end entity axi_datamover_pcc; architecture implementation of axi_datamover_pcc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declarations ------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 1 => temp_dbeat_residue_width := 0; when 2 => temp_dbeat_residue_width := 1; when 4 => temp_dbeat_residue_width := 2; when 8 => temp_dbeat_residue_width := 3; when 16 => temp_dbeat_residue_width := 4; when 32 => temp_dbeat_residue_width := 5; when 64 => temp_dbeat_residue_width := 6; when others => -- 128-byte transfers temp_dbeat_residue_width := 7; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_burstcnt_offset -- -- Function Description: -- Calculates the bit offset from the residue bits needed to detirmine -- the load value for the burst counter. -- ------------------------------------------------------------------- function funct_get_burst_residue_width (max_burst_len : integer) return integer is Variable temp_burst_residue_width : Integer := 0; begin case max_burst_len is when 256 => temp_burst_residue_width := 8; when 128 => temp_burst_residue_width := 7; when 64 => temp_burst_residue_width := 6; when 32 => temp_burst_residue_width := 5; when 16 => temp_burst_residue_width := 4; when 8 => temp_burst_residue_width := 3; when 4 => temp_burst_residue_width := 2; when others => -- assume 2 dbeats temp_burst_residue_width := 1; end case; Return (temp_burst_residue_width); end function funct_get_burst_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_axi_size -- -- Function Description: -- Calculates the AXI SIZE Qualifier based on the data width. -- ------------------------------------------------------------------- function func_get_axi_size (native_dwidth : integer) return std_logic_vector is Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Variable temp_size : std_logic_vector(2 downto 0) := (others => '0'); begin case native_dwidth is when 8 => temp_size := AXI_SIZE_1BYTE; when 16 => temp_size := AXI_SIZE_2BYTE; when 32 => temp_size := AXI_SIZE_4BYTE; when 64 => temp_size := AXI_SIZE_8BYTE; when 128 => temp_size := AXI_SIZE_16BYTE; when 256 => temp_size := AXI_SIZE_32BYTE; when 512 => temp_size := AXI_SIZE_64BYTE; when others => -- 1024 bit dwidth temp_size := AXI_SIZE_128BYTE; end case; Return (temp_size); end function func_get_axi_size; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_ls_index -- -- Function Description: -- Calculates the Ls index of the Store and Forward -- starting offset bus based on the User Stream Width. -- ------------------------------------------------------------------- function funct_get_sf_offset_ls_index (stream_width : integer) return integer is Variable lvar_temp_ls_index : Integer := 0; begin case stream_width is when 8 => lvar_temp_ls_index := 0; when 16 => lvar_temp_ls_index := 1; when 32 => lvar_temp_ls_index := 2; when 64 => lvar_temp_ls_index := 3; when 128 => lvar_temp_ls_index := 4; when 256 => lvar_temp_ls_index := 5; when 512 => lvar_temp_ls_index := 6; when others => -- 1024 lvar_temp_ls_index := 7; end case; Return (lvar_temp_ls_index); end function funct_get_sf_offset_ls_index; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_BTT_WIDTH : integer := C_BTT_USED; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1; Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2; Constant CMD_DSA_WIDTH : integer := 6; Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1; Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; ---------------------------------------------------------------------------------------- -- Command calculation constants Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH); Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8; Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN; Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT; Constant LEN_WIDTH : integer := 8; -- 8 bits fixed Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1; Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT); Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN); Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH; Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH); Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH); Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH); Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH); Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH); Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0'); Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH; Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH); Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH); Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH; Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH; Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH); Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH); Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1; -- Type Declarations -------------------------------------------- type PCC_SM_STATE_TYPE is ( INIT, WAIT_FOR_CMD, CALC_1, CALC_2, CALC_3, WAIT_ON_XFER_PUSH, CHK_IF_DONE, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT; Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT; signal sig_sm_halt_ns : std_logic := '0'; signal sig_sm_halt_reg : std_logic := '0'; signal sig_sm_ld_xfer_reg_ns : std_logic := '0'; signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0'; signal sig_sm_pop_input_reg_ns : std_logic := '0'; signal sig_sm_pop_input_reg : std_logic := '0'; signal sig_sm_ld_calc1_reg_ns : std_logic := '0'; signal sig_sm_ld_calc1_reg : std_logic := '0'; signal sig_sm_ld_calc2_reg_ns : std_logic := '0'; signal sig_sm_ld_calc2_reg : std_logic := '0'; signal sig_sm_ld_calc3_reg_ns : std_logic := '0'; signal sig_sm_ld_calc3_reg : std_logic := '0'; signal sig_parent_done : std_logic := '0'; signal sig_ld_xfer_reg : std_logic := '0'; signal sig_ld_xfer_reg_tmp : std_logic := '0'; signal sig_btt_raw : std_logic := '0'; signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_is_zero_reg : std_logic := '0'; -- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0'); -- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0'); -- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0'); -- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0'); ---------------------------------------------------------------------------------------- -- Burst Buster signals signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_last_xfer_valid_im1 : std_logic := '0'; signal sig_brst_cnt_eq_zero_im0 : std_logic := '0'; signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0'; signal sig_brst_cnt_eq_one_im0 : std_logic := '0'; signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0'; signal sig_brst_residue_eq_zero : std_logic := '0'; signal sig_brst_residue_eq_zero_reg : std_logic := '0'; signal sig_no_btt_residue_im0 : std_logic := '0'; signal sig_no_btt_residue_ireg1 : std_logic := '0'; signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); -- Input command register signal sig_push_input_reg : std_logic := '0'; signal sig_pop_input_reg : std_logic := '0'; signal sig_input_burst_type_reg : std_logic := '0'; signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_input_drr_reg : std_logic := '0'; signal sig_input_eof_reg : std_logic := '0'; signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_input_reg_empty : std_logic := '0'; signal sig_input_reg_full : std_logic := '0'; -- Output qualifier Register -- signal sig_ld_output : std_logic := '0'; signal sig_push_xfer_reg : std_logic := '0'; signal sig_pop_xfer_reg : std_logic := '0'; signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_type_reg : std_logic := '0'; signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_drr_reg : std_logic := '0'; signal sig_xfer_eof_reg : std_logic := '0'; signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_is_seq_reg : std_logic := '0'; signal sig_xfer_cmd_cmplt_reg : std_logic := '0'; signal sig_xfer_calc_err_reg : std_logic := '0'; signal sig_xfer_reg_empty : std_logic := '0'; signal sig_xfer_reg_full : std_logic := '0'; -- Address Counter signal sig_ld_addr_cntr : std_logic := '0'; signal sig_incr_addr_cntr : std_logic := '0'; signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); -- misc signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0); signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_slice : std_logic := '0'; signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_drr_slice : std_logic := '0'; signal sig_cmd_eof_slice : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_calc_error_pushed : std_logic := '0'; -- PCC2 stuff signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_len_eq_0_im2 : std_logic := '0'; signal sig_first_xfer_im0 : std_logic := '0'; signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsh_rollover : std_logic := '0'; signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); signal sig_ld_btt_cntr : std_logic := '0'; signal sig_decr_btt_cntr : std_logic := '0'; signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; signal sig_btt_lt_b2mbaa_im0 : std_logic := '0'; signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0'; signal sig_btt_eq_b2mbaa_im0 : std_logic := '0'; signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0'; signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0'; -- Unaligned start address support signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_aligned_im0 : std_logic := '0'; signal sig_addr_aligned_ireg1 : std_logic := '0'; -- S2MM DRE Support signal sig_cmd2dre_valid : std_logic := '0'; signal sig_clr_cmd2dre_valid : std_logic := '0'; signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_dre_eof_reg : std_logic := '0'; -- Long Timing path breakup intermediate registers signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_len_eq_0_ireg3 : std_logic := '0'; signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsh_rollover_im3 : std_logic := '0'; signal sig_mmap_reset_reg : std_logic := '0'; ---------------------------------------------------------- begin --(architecture implementation) -- Assign calculation error output calc_error <= sig_calc_error_reg; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and sig_input_reg_empty and not(sig_calc_error_pushed); -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_xfer_tag_reg ; mstr2addr_addr <= sig_xfer_addr_reg; mstr2addr_len <= sig_xfer_len_reg ; mstr2addr_size <= sig_xfer_size ; mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported mstr2addr_cmd_valid <= sig_cmd2addr_valid; mstr2addr_calc_error <= sig_xfer_calc_err_reg; mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_xfer_tag_reg ; mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_xfer_len_reg ; mstr2data_strt_strb <= sig_xfer_strt_strb_reg; mstr2data_last_strb <= sig_xfer_end_strb_reg ; mstr2data_drr <= sig_xfer_drr_reg ; mstr2data_eof <= sig_xfer_eof_reg ; mstr2data_sequential <= sig_xfer_is_seq_reg ; mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; mstr2data_cmd_valid <= sig_cmd2data_valid ; mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE mstr2data_calc_error <= sig_xfer_calc_err_reg ; -- Assign the DRE Controller Qualifiers mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE ------------------------------------------------------------ -- If Generate -- -- Label: DO_MM2S_CASE -- -- If Generate Description: -- Assigns the auxillary DRE Control Source and Destination -- ports for the MM2S use case. -- ------------------------------------------------------------ DO_MM2S_CASE : if (C_IS_MM2S = 1) generate begin mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE end generate DO_MM2S_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: DO_S2MM_CASE -- -- If Generate Description: -- Assigns the auxillary DRE Control Source and Destination -- ports for the S2MM use case. -- ------------------------------------------------------------ DO_S2MM_CASE : if (C_IS_MM2S = 0) generate begin mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE end generate DO_S2MM_CASE; -- Store and Forward Support Start Offset (used by Packer/Unpacker logic) mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX); -- Start internal logic. -- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines) sig_cmd_user_slice <= cache2mstr_command(7 downto 4); sig_cmd_cache_slice <= cache2mstr_command(3 downto 0); sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX); sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX); sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX); sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX); -- Check for a zero length BTT (error condition) sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; sig_xfer_size <= SIZE_TO_USE; ----------------------------------------------------------------- -- Reset fanout control ----------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_REG -- -- Process Description: -- Registers the input reset to reduce fanout. This module -- has a high number of register bits to reset. -- ------------------------------------------------------------- IMP_RESET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_mmap_reset_reg <= mmap_reset; end if; end process IMP_RESET_REG; ----------------------------------------------------------------- -- Input xfer register design sig_push_input_reg <= not(sig_sm_halt_reg) and cmd2mstr_cmd_valid and sig_input_reg_empty and not(sig_calc_error_reg); sig_pop_input_reg <= sig_sm_pop_input_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_QUAL -- -- Process Description: -- Implements the input command qualifier holding register -- ------------------------------------------------------------- REG_INPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_input_reg = '1' or sig_calc_error_pushed = '1') then sig_input_cache_type_reg <= (others => '0'); sig_input_user_type_reg <= (others => '0'); sig_input_burst_type_reg <= '0'; sig_input_tag_reg <= (others => '0'); sig_input_dsa_reg <= (others => '0'); sig_input_drr_reg <= '0'; sig_input_eof_reg <= '0'; sig_input_reg_empty <= '1'; sig_input_reg_full <= '0'; elsif (sig_push_input_reg = '1') then sig_input_cache_type_reg <= sig_cmd_cache_slice; sig_input_user_type_reg <= sig_cmd_user_slice; sig_input_burst_type_reg <= sig_cmd_type_slice; sig_input_tag_reg <= sig_cmd_tag_slice; sig_input_dsa_reg <= sig_cmd_dsa_slice; sig_input_drr_reg <= sig_cmd_drr_slice; sig_input_eof_reg <= sig_cmd_eof_slice; sig_input_reg_empty <= '0'; sig_input_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_INPUT_QUAL; ---------------------------------------------------------------------- -- Calculation Error Logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_FLOP -- -- Process Description: -- Implements the flop for the Calc Error flag, Once set, -- the flag cannot be cleared until a reset is issued. -- ------------------------------------------------------------- IMP_CALC_ERROR_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_reg <= '0'; elsif (sig_push_input_reg = '1' and sig_calc_error_reg = '0') then sig_calc_error_reg <= sig_btt_is_zero; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_PUSHED -- -- Process Description: -- Implements the flop for generating a flag indicating the -- calculation error flag has been pushed to the addr and data -- controllers. -- ------------------------------------------------------------- IMP_CALC_ERROR_PUSHED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_pushed <= '0'; elsif (sig_push_xfer_reg = '1' and sig_calc_error_pushed = '0') then sig_calc_error_pushed <= sig_calc_error_reg; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_PUSHED; --------------------------------------------------------------------- -- Strobe Generator Logic sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3 When (sig_first_xfer_im0 = '1') Else (others => '1'); sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3 When (sig_xfer_len_eq_0_ireg3 = '1' and sig_first_xfer_im0 = '1') else sig_xfer_end_strb_ireg3 When (sig_last_xfer_valid_im1 = '1') Else (others => '1'); ---------------------------------------------------------- -- Intermediate registers for STBGEN Fmax path ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_STBGEN_REGS -- -- Process Description: -- Intermediate registers for Strobegen inputs to break -- long timing paths. -- ------------------------------------------------------------- IMP_IM_STBGEN_REGS : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_strbgen_addr_ireg2 <= (others => '0'); sig_strbgen_bytes_ireg2 <= (others => '0'); sig_finish_addr_offset_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ; sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ; sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1; else null; -- hold state end if; end if; end process IMP_IM_STBGEN_REGS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_STBGEN_OUT_REGS -- -- Process Description: -- Intermediate registers for Strobegen outputs to break -- long timing paths. -- ------------------------------------------------------------- IMP_IM_STBGEN_OUT_REGS : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_xfer_strt_strb_ireg3 <= (others => '0'); sig_xfer_end_strb_ireg3 <= (others => '0'); sig_xfer_len_eq_0_ireg3 <= '0'; elsif (sig_sm_ld_calc3_reg = '1') then sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2; sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ; sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ; else null; -- hold state end if; end if; end process IMP_IM_STBGEN_OUT_REGS; ------------------------------------------------------------ -- Instance: I_STRT_STRB_GEN -- -- Description: -- Strobe generator instance. Generates strobe bits for -- a designated starting byte lane and the number of bytes -- to be transfered (for that data beat). -- ------------------------------------------------------------ I_STRT_STRB_GEN : entity axi_datamover_v5_1.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 0 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 ) port map ( start_addr_offset => sig_strbgen_addr_ireg2 , end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 num_valid_bytes => sig_strbgen_bytes_ireg2 , strb_out => sig_xfer_strt_strb_im2 ); -- The ending address offset is 1 less than the calculated -- starting address for the next sequential transfer. sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) - STRBGEN_ADDR_SLICE_1); ------------------------------------------------------------ -- Instance: I_END_STRB_GEN -- -- Description: -- End Strobe generator instance. Generates asserted strobe -- bits from byte offset 0 to the ending byte offset. -- ------------------------------------------------------------ I_END_STRB_GEN : entity axi_datamover_v5_1.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 1 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ) port map ( start_addr_offset => STRBGEN_ADDR_0 , end_addr_offset => sig_last_addr_offset_im2 , num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1 strb_out => sig_xfer_end_strb_im2 ); ----------------------------------------------------------------- -- Output xfer register design sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty); -- Data taking xfer after Addr and DRE sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or -- Addr taking xfer after Data and DRE (sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or -- DRE taking xfer after Data and ADDR (sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or -- data and Addr taking xfer after DRE (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or -- Addr and DRE taking xfer after Data (sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or -- Data and DRE taking xfer after Addr (sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or -- Addr, Data, and DRE all taking xfer (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_OUTPUT_QUAL -- -- Process Description: -- Implements the output xfer qualifier holding register -- ------------------------------------------------------------- REG_OUTPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_pop_xfer_reg = '1' and sig_push_xfer_reg = '0')) then -- sig_xfer_cache_reg <= (others => '0'); -- sig_xfer_user_reg <= (others => '0'); -- sig_xfer_addr_reg <= (others => '0'); -- sig_xfer_type_reg <= '0'; -- sig_xfer_len_reg <= (others => '0'); -- sig_xfer_tag_reg <= (others => '0'); -- sig_xfer_dsa_reg <= (others => '0'); -- sig_xfer_drr_reg <= '0'; -- sig_xfer_eof_reg <= '0'; -- sig_xfer_strt_strb_reg <= (others => '0'); -- sig_xfer_end_strb_reg <= (others => '0'); -- sig_xfer_is_seq_reg <= '0'; -- sig_xfer_cmd_cmplt_reg <= '0'; -- sig_xfer_calc_err_reg <= '0'; -- sig_xfer_btt_reg <= (others => '0'); -- sig_xfer_dre_eof_reg <= '0'; sig_xfer_reg_empty <= '1'; sig_xfer_reg_full <= '0'; elsif (sig_push_xfer_reg = '1') then -- if (sig_input_burst_type_reg = '0') then -- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh; -- else -- sig_xfer_addr_reg <= sig_xfer_address_im0 ; -- end if; -- sig_xfer_type_reg <= sig_input_burst_type_reg ; -- sig_xfer_cache_reg <= sig_input_cache_type_reg ; -- sig_xfer_user_reg <= sig_input_user_type_reg ; -- sig_xfer_len_reg <= sig_xfer_len_im2 ; -- sig_xfer_tag_reg <= sig_input_tag_reg ; -- sig_xfer_dsa_reg <= sig_input_dsa_reg ; -- sig_xfer_drr_reg <= sig_input_drr_reg and -- sig_first_xfer_im0 ; -- sig_xfer_eof_reg <= sig_input_eof_reg and -- sig_last_xfer_valid_im1 ; -- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ; -- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ; -- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ; -- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or -- sig_calc_error_reg ; -- sig_xfer_calc_err_reg <= sig_calc_error_reg ; -- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ; -- sig_xfer_dre_eof_reg <= sig_input_eof_reg ; sig_xfer_reg_empty <= '0'; sig_xfer_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_OUTPUT_QUAL; -- if (sig_input_burst_type_reg = '0') then -- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh; -- else sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else sig_addr_cntr_lsh_kh ; -- end if; sig_xfer_type_reg <= sig_input_burst_type_reg ; sig_xfer_cache_reg <= sig_input_cache_type_reg ; sig_xfer_user_reg <= sig_input_user_type_reg ; sig_xfer_len_reg <= sig_xfer_len_im2 ; sig_xfer_tag_reg <= sig_input_tag_reg ; sig_xfer_dsa_reg <= sig_input_dsa_reg ; sig_xfer_drr_reg <= sig_input_drr_reg and sig_first_xfer_im0 ; sig_xfer_eof_reg <= sig_input_eof_reg and sig_last_xfer_valid_im1 ; sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ; sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ; sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ; sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or sig_calc_error_reg ; sig_xfer_calc_err_reg <= sig_calc_error_reg ; sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ; sig_xfer_dre_eof_reg <= sig_input_eof_reg ; -------------------------------------------------------------- -- BTT Counter Logic sig_ld_btt_cntr <= sig_ld_addr_cntr; -- sig_decr_btt_cntr <= sig_incr_addr_cntr; -- above signal is using the incr_addr_cntr signal and hence cannot be -- used if burst type is Fixed sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BTT_CNTR -- -- Process Description: -- Bytes to transfer counter implementation. -- ------------------------------------------------------------- IMP_BTT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_btt_cntr_im0 <= (others => '0'); elsif (sig_ld_btt_cntr = '1') then sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice); Elsif (sig_decr_btt_cntr = '1') Then sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH); else null; -- hold current state end if; end if; end process IMP_BTT_CNTR; -- Convert to logic vector for the S2MM DRE use -- The DRE will only use this value prior to the first -- decrement of the BTT Counter. Using this saves a separate -- BTT register. sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0); -- Rip the Burst Count slice from BTT counter value sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX); sig_brst_cnt_eq_zero_im0 <= '1' When (sig_burst_cnt_slice_im0 = BRST_CNT_0) Else '0'; sig_brst_cnt_eq_one_im0 <= '1' When (sig_burst_cnt_slice_im0 = BRST_CNT_1) Else '0'; -- Rip the BTT residue field from the BTT counter value sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0); -- Check for transfer length residue of zero prior to subtracting 1 sig_no_btt_residue_im0 <= '1' when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0) Else '0'; -- Unaligned address compensation -- Add the number of starting address offset byte positions to the -- final byte change value needed to calculate the AXI LEN field sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0); sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 + RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH); -- adjust the address increment down by 1 byte to compensate -- for the LEN requirement of being N-1 data beats sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE; -- Rip the new transfer length value sig_xfer_len_im2 <= STD_LOGIC_VECTOR( RESIZE( sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto DBEAT_RESIDUE_WIDTH), LEN_WIDTH) ); -- Check to see if the new xfer length is zero (1 data beat) sig_xfer_len_eq_0_im2 <= '1' when (sig_xfer_len_im2 = XFER_LEN_ZERO) Else '0'; -- Check for Last transfer condition --sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and --sig_no_btt_residue_im0 and sig_no_btt_residue_ireg1 and -- sig_addr_aligned_im0) or -- always the last databeat case sig_addr_aligned_ireg1) or -- always the last databeat case -- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining ((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining -- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0))); (sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1))); ---------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------- -- -- General Address Counter Logic (applies to any address width of 32 or greater -- The address counter is divided into 2 16-bit segements for 32-bit address support. As the -- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit -- addressing. -- ---------------------------------------------------------------------------------------------------- -- Rip the LS bits of the LS Address Counter for the StrobeGen -- starting address offset sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0)); -- Check if the calcualted address increment (in bytes) is greater than the -- number of bytes that can be transfered per data beat sig_addr_incr_ge_bpdb_im1 <= '1' When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH)) Else '0'; -- If the calculated address increment (in bytes) is greater than the -- number of bytes that can be transfered per data beat, then clip the -- strobegen byte value to the number of bytes per data beat, else use the -- increment value. sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)) when (sig_addr_incr_ge_bpdb_im1 = '1') else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0)); -------------------------------------------------------------------------- -- Address Counter logic sig_ld_addr_cntr <= sig_push_input_reg; -- don't increment address cntr if type is '0' (non-incrementing) sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and -- sig_input_burst_type_reg; sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0); sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) - RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH); sig_addr_aligned_im0 <= '1' when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0) Else '0'; -- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less -- than or equal to the remaining bytes to transfer. If it is, then at least -- two tranfers have to be scheduled. sig_btt_lt_b2mbaa_im0 <= '1' when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and (sig_brst_cnt_eq_zero_im0 = '1')) Else '0'; sig_btt_eq_b2mbaa_im0 <= '1' when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and (sig_brst_cnt_eq_zero_im0 = '1')) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_REG1 -- -- Process Description: -- Intermediate register stage 1 for Address Counter -- derivative calculations. -- ------------------------------------------------------------- IMP_IM_REG1 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_bytes_to_mbaa_ireg1 <= (others => '0'); sig_addr_aligned_ireg1 <= '0' ; sig_btt_lt_b2mbaa_ireg1 <= '0' ; sig_btt_eq_b2mbaa_ireg1 <= '0' ; sig_brst_cnt_eq_zero_ireg1 <= '0' ; sig_brst_cnt_eq_one_ireg1 <= '0' ; sig_no_btt_residue_ireg1 <= '0' ; elsif (sig_sm_ld_calc1_reg = '1') then sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ; sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ; sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ; sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ; sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0; sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ; sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ; else null; -- hold state end if; end if; end process IMP_IM_REG1; -- Select the address counter increment value to use sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) --When (sig_btt_lt_b2mbaa_im0 = '1') When (sig_btt_lt_b2mbaa_ireg1 = '1') --else sig_bytes_to_mbaa_im0 else sig_bytes_to_mbaa_ireg1 when (sig_first_xfer_im0 = '1') else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH); -- calculate the next starting address after the current -- xfer completes sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1; -- Predict next transfer's address offset for the Strobe Generator sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0)); sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- Determine if an address count lsh rollover is going to occur when -- jumping to the next starting address by comparing the MS bit of the -- current address lsh to the MS bit of the predicted address lsh . -- A transition of a '1' to a '0' is a rollover. sig_addr_lsh_rollover_im3 <= '1' when ( (sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and (sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0') ) Else '0'; ---------------------------------------------------------- -- Intermediate registers for reducing the Address Counter -- Increment timing path ---------------------------------------------------------- -- calculate the next starting address after the current -- xfer completes using intermediate register values sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2; sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_ADDRINC_REG -- -- Process Description: -- Intermediate registers for address counter increment to -- break long timing paths. -- ------------------------------------------------------------- IMP_IM_ADDRINC_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_incr_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1; else null; -- hold state end if; end if; end process IMP_IM_ADDRINC_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_PREDICT_ADDR_REG -- -- Process Description: -- Intermediate register for predicted address to break up -- long timing paths. -- ------------------------------------------------------------- IMP_IM_PREDICT_ADDR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_predict_addr_lsh_ireg3 <= (others => '0'); elsif (sig_sm_ld_calc3_reg = '1') then sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2; else null; -- hold state end if; end if; end process IMP_IM_PREDICT_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_ADDR_STUFF -- -- Process Description: -- Implements a general register for address counter related -- things. -- ------------------------------------------------------------- REG_ADDR_STUFF : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_adjusted_addr_incr_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1; else null; -- hold state end if; end if; end process REG_ADDR_STUFF; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_LSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_lsh_im0 <= (others => '0'); sig_addr_cntr_lsh_kh <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0)); sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice; Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3; else null; -- hold current state end if; end if; end process IMP_LSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_MSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_im0_msh <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1') then sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE; else null; -- hold current state end if; end if; end process IMP_MSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FIRST_XFER_FLOP -- -- Process Description: -- Implements the register flop for the first transfer flag. -- ------------------------------------------------------------- IMP_FIRST_XFER_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_incr_addr_cntr = '1') then sig_first_xfer_im0 <= '0'; elsif (sig_ld_addr_cntr = '1') then sig_first_xfer_im0 <= '1'; else null; -- hold current state end if; end if; end process IMP_FIRST_XFER_FLOP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_32 -- -- If Generate Description: -- Implements the Address segment merge logic for the 32-bit -- address width case. The address counter segments are split -- into two 16-bit sections to improve Fmax convergence. -- -- ------------------------------------------------------------ GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate begin -- Populate the transfer address value by concatonating the -- address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); end generate GEN_ADDR_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_32_LE_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 32 bits and less than -- or equal to 48 bits. In this case, an additional counter segment -- is implemented (segment 3) that is variable width of 1 -- to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and C_ADDR_WIDTH <= 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; begin -- Populate the transfer address value by concatonating the -- 3 address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- See if the MSH (Segment 2) of the Adress Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter MSH (Segment 2) is at max value and will rollover -- at the next increment interval for the counter. Registering -- this signal and using it for the Seg 3 increment logic only -- works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 counter to go to -- max and the next increment operation that can bump segment 3. -- ------------------------------------------------------------- IMP_SEG2_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; end if; end if; end process IMP_SEG2_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; end generate GEN_ADDR_GT_32_LE_48; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 48 bits and less than -- or equal to 64. In this case, an additional 2 counter segments -- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits -- and segment 4 is variable width of 1 to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48; Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH); Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; signal lsig_acntr_seg3_eq_max : std_logic := '0'; signal lsig_acntr_seg3_eq_max_reg : std_logic := '0'; signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0'); begin -- Populate the transfer address value by concatonating the -- 4 address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) & STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- See if the MSH (Segment 2) of the Address Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX) Else '0'; -- See if the Segment 3 of the Address Counter is at a max value lsig_acntr_seg3_eq_max <= '1' when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_3_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter segments 2 and 3 are at max value and will rollover -- at the next increment interval for the counter. Registering -- these signals and using themt for the Seg 3/4 increment logic -- only works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 or 3 counter to go -- to max and the next increment operation. -- ------------------------------------------------------------- IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; lsig_acntr_seg3_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max; end if; end if; end process IMP_SEG2_3_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG4_ADDR_CNTR -- -- Process Description: -- Segment 4 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG4_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg4_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto SEG4_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1' and lsig_acntr_seg3_eq_max_reg = '1') then lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE; else null; -- hold current state end if; end if; end process IMP_SEG4_ADDR_CNTR; end generate GEN_ADDR_GT_48; -- Addr and data Cntlr FIFO interface handshake logic ------------------------------ sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DRE_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the DRE Module (S2MM DRE Only). -- -- Note that the S2MM DRE only needs to be loaded with a command -- for each parent command, not every child command. -- ------------------------------------------------------------- CMD2DRE_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2dre_valid = '1') then sig_cmd2dre_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1' and sig_first_xfer_im0 = '1') then sig_cmd2dre_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DRE_VALID_FLOP; ------------------------------------------------------------------------- -- PCC State machine Logic ------------------------------------------------------------- -- Combinational Process -- -- Label: PCC_SM_COMBINATIONAL -- -- Process Description: -- PCC State Machine combinational implementation -- ------------------------------------------------------------- PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state , sig_parent_done , sig_push_input_reg , sig_pop_xfer_reg , sig_calc_error_pushed) begin -- SM Defaults sig_pcc_sm_state_ns <= INIT; sig_sm_halt_ns <= '0'; sig_sm_ld_xfer_reg_ns <= '0'; sig_sm_pop_input_reg_ns <= '0'; sig_sm_ld_calc1_reg_ns <= '0'; sig_sm_ld_calc2_reg_ns <= '0'; sig_sm_ld_calc3_reg_ns <= '0'; case sig_pcc_sm_state is -------------------------------------------- when INIT => sig_pcc_sm_state_ns <= WAIT_FOR_CMD; sig_sm_halt_ns <= '1'; -------------------------------------------- when WAIT_FOR_CMD => If (sig_push_input_reg = '1') Then sig_pcc_sm_state_ns <= CALC_1; sig_sm_ld_calc1_reg_ns <= '1'; else sig_pcc_sm_state_ns <= WAIT_FOR_CMD; End if; -------------------------------------------- when CALC_1 => sig_pcc_sm_state_ns <= CALC_2; sig_sm_ld_calc2_reg_ns <= '1'; -------------------------------------------- when CALC_2 => sig_pcc_sm_state_ns <= CALC_3; sig_sm_ld_calc3_reg_ns <= '1'; -------------------------------------------- when CALC_3 => sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH; sig_sm_ld_xfer_reg_ns <= '1'; -------------------------------------------- when WAIT_ON_XFER_PUSH => if (sig_pop_xfer_reg = '1') then sig_pcc_sm_state_ns <= CHK_IF_DONE; else -- wait until output register is loaded sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH; end if; -------------------------------------------- when CHK_IF_DONE => If (sig_calc_error_pushed = '1') then -- Internal error, go to trap sig_pcc_sm_state_ns <= ERROR_TRAP; sig_sm_halt_ns <= '1'; elsif (sig_parent_done = '1') Then -- done with parent command sig_pcc_sm_state_ns <= WAIT_FOR_CMD; sig_sm_pop_input_reg_ns <= '1'; else -- Still breaking up parent command sig_pcc_sm_state_ns <= CALC_1; sig_sm_ld_calc1_reg_ns <= '1'; end if; -------------------------------------------- when ERROR_TRAP => sig_pcc_sm_state_ns <= ERROR_TRAP; sig_sm_halt_ns <= '1'; -------------------------------------------- when others => sig_pcc_sm_state_ns <= INIT; end case; end process PCC_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: PCC_SM_REGISTERED -- -- Process Description: -- PCC State Machine registered implementation -- ------------------------------------------------------------- PCC_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_pcc_sm_state <= INIT; sig_sm_halt_reg <= '1' ; sig_sm_pop_input_reg <= '0' ; sig_sm_ld_calc1_reg <= '0' ; sig_sm_ld_calc2_reg <= '0' ; sig_sm_ld_calc3_reg <= '0' ; else sig_pcc_sm_state <= sig_pcc_sm_state_ns ; sig_sm_halt_reg <= sig_sm_halt_ns ; sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns; sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ; sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ; sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ; end if; end if; end process PCC_SM_REGISTERED; ------------------------------------------------------------------ -- Transfer Register Load Enable logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: LD_XFER_REG_FLOP -- -- Process Description: -- Sample and Hold FLOP for signaling a load of the output -- xfer register. -- ------------------------------------------------------------- LD_XFER_REG_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_xfer_reg = '1') then sig_ld_xfer_reg <= '0'; Elsif (sig_sm_ld_xfer_reg_ns = '1') Then sig_ld_xfer_reg <= '1'; else null; -- hold current state end if; end if; end process LD_XFER_REG_FLOP; LD_XFER_REG_FLOP1 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_xfer_reg = '1') then sig_ld_xfer_reg_tmp <= '0'; Elsif (sig_sm_ld_xfer_reg_ns = '1') Then sig_ld_xfer_reg_tmp <= '1'; else null; -- hold current state end if; end if; end process LD_XFER_REG_FLOP1; ------------------------------------------------------------------ -- Parent Done flag logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: PARENT_DONE_FLOP -- -- Process Description: -- Sample and Hold FLOP for signaling a load of the output -- xfer register. -- ------------------------------------------------------------- PARENT_DONE_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_input_reg = '1') then sig_parent_done <= '0'; Elsif (sig_ld_xfer_reg_tmp = '1') Then sig_parent_done <= sig_last_xfer_valid_im1; else null; -- hold current state end if; end if; end process PARENT_DONE_FLOP; end implementation;
bsd-2-clause
1c671df6f71d51384a80fe47d7587b23
0.457111
4.279461
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/block/block_test_input.vhd
1
501
entity BLOCK_EXAMPLE is end entity BLOCK_EXAMPLE; architecture RTL of BLOCK_EXAMPLE is begin -- correct block format BLK : block is signal private : integer; type int_array is array (natural range <>) of integer; constant vals : int_array(1 downto 0) := ( 3, 10 ); begin private <= vals(1); U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end block BLK; end architecture RTL;
gpl-3.0
28f2c170e215ad02e8424373be867d81
0.58483
3.455172
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/dec_scaler.vhd
1
9,914
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MqIG2CXLPs5bDYJJh/hAJdbbFxHABJxbY9uy8FOoW2PHf0tRUw6N2SzdVI5Ukd0jm3RWXV8MKzH6 cGiFiFx3bQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jUHd+XR2p4VcLJqVw+6h4mJH0uusUFXObZD4rTpg3DswVmt+yO/rSi3Nfsfkp7O61qM+dJi3mYk+ emiOGQwOxrVhRwLxeNcfp0F8IeEG6X9w8swImzvAd8muDqBQHeowKkloGn527853ePx7TveTfgxV kHdXCIuf4dY7d9g7qvA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AC3Ug//RBVAfPEb2ht03qaxUmNRb289DltfI9V78qW9aH8nQCTRVncFbi0IWSynK9zekjWUiwv7p 5oHiem+7232FtsSP0x6sGT0eY9n6477z14sFiiNwOKqc2CKCJkmhj5If815Lsi/HrjKoufxGHnjP ZGvLeiKX8HNitoPdGkrvYTIUHMwEv6vHtB0p2ilz+Q8jTjDKwmF/U4lF3S08lDgB5ITMSS0yGLqc golPS/bMxl7GdUb0DDdhkRrelPgjgkdedtcpXyV6hpVjSrqBMyRIzc7iLehFsq9VNfqvjNXaD4bD JRWqweiLJPYPnI8a4Xka0K41943ZFuScz8IsLw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RVCPwo5O4R7+Ot9beOFM4cvjf/KX6hM9I0YJyPDnZKDpnB/ebu4KtpFf3i3wc1EJxpzF0jI8U/yR NIE3zclR0r161SGt0lYwDRkkko7781e5e9L2V6ZnbwIX88cauqvgXblyEBkCpxJaw4CqhkWNvKO/ Jvpcn5DXhnERRsVXVB0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S/ZR18p0qS7JJ4AFtuIEwHiSXQHlb2Rnlv+crb0EH60aeI2vFBtXpUCJpXu0InfFJkoni5XSulcq YqZmJ7HtbOEGEzQrPYWpvwFAS4zKXjQnIp29Xyb2XHBka5wzPVh0Np0zzTT2naXABjZTJP/L1IZQ ROzvYiiNzUl4wMJSr5m0IoWbm6W+yTM6nZgem/N3QJ7qSqixDUxH3UrjI3MBEizMrBG8kMSjkW1L JjVIeIc/osjA+LeD+kta//irHw9EKi1E1h5yzu9FIqnk5GTKtSYA8KnWDXbpGmA0q/PWOYq8UF8p QotLasL6Nv/VjFUMhycBRvpOfxWQL2+zyey4qg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600) `protect data_block 6dbSIOx7BLDLKUrrEyXlGydcQ4U22KJoUhSsywGcFun4f163UHsNbOdA/Rj5m8X68Z06pqG6Nobb ky335uyY7OsrWLBodvrXyWE3Umu5M0xw2z9sp27gHKkMwQMsbqAItOJH3NUzw8CctQqDfs9HFt0D oXvPJreAuy1QKrRKvh++RgWkJagoFyAynQ4Q+9maaiPQ4gICsQ2a0Wa02kCsquRGxD6s+zm/kUUr d0VtrYNrAJSESqGtrjTzDBCML7nyoK0JN3fuh1VoHCRijffUqsxDNEv2OuKmWc4b4c2AtOv/qsgy rcPj230IhFhXe9qkFnq2uojaXtoUHRwLzY03kY4cmt5Xsk59IwxFU8QcWteZc2GiwT7o0Dgj0jVk 60HyTa3iA8rZyzwZXtfco4BXKjeN2Y7UZbumkmrLy0Et9U9XIRUDoUCF9XzWhCOHnxGnDsC2prTe y9yN6951ee0vXYs/5JYg3iCSJdH03h9mgJpDNFjLl4gqM5WEPo0WrngQyHGL7WJA9xyO0AfRvm6A F10TlfObHaVTm7MymbszxdB/bwDcgqQSWIFTaJ45l0/goSFbpUHNSn3K0gll6g94ueHdczkaTdb1 LFcfxNEQlXtsYFEo9jM17OTkXiqQvF3R8xJX8q0kiqTRs5WadxImbqv8UPJsec/ptuYdEmj0pB3w LpFRjkio1Wq1JemTXUhcvQCreS1WSaClX5LuU1tPQzOVO9A6hiyL/YUG+2dp3H0M2j77NZBb2lG+ Cx2KFgCO/yzlMD6I7LI34faJC6N1Sn78j+l3P3+GNz5I45Zc9p3M82v+q0EQTGl6W066EQvsDZHe M5oZ/1/+l0ckISwCbCbYZzMhpG8rgslzVWACINOej5xazmtj1L7N1qZYzla5sdj9JvCdO76Kipg1 5gCV8nMPlwwcqKIVrPopRgG1eF/4fWjWbBNVOb4Y0oWlaSU8xLibutFNqZC/A6wZjc633J9H781z cX+OdWMgll5J//BGamgfhbsj3wzOaPiFILOY2BChVKkdJgWa9bEQVSzYJtF0QTprrSnWdKZGREbc 6213t9gt9AfdV7OBYRYv9RMnQsjr8lurm0SpUZ7pdSpLIHmnk58MI1b+JGQcQDJMbrlPfLNc66uw 4Pim6gBGYJ59SE4o8/kX5bLbL97ObFju0itzhaoAj5d6aKLfs90Bm1+RzKP+XkHpcTSShj3UzAn9 5DH4OZhzvJ3z2m5/eFzNJCwSlg5m7za9BlliRBEhuE/cSOR9fqJ72+zvBDKCZu/XdDK+rn5tipQF GKOfYc1uDOTMYZzqHvNVH/CG7fEHdeapw2eGtxW76y67c+Z+ttxY75sMDGCGK695Nr6uVPWKLehE +Bb4xg4DuNHyoBfnSug1NoaoalNL4/siaFPiLWQFRbnhGbgDDGc3Vp5GsGDybcJDDGn8Dbhcby5m 7Ot7u76YHOu+FjS8cbPmFk42NubRkQCiKwV8llOZfZ0BXTF2AG6WCNODMSrzP88AvlEeS+fg4/dG o67mVpw9AhBGAkHOuVHyAnN1WPcs/NdkKPzBDpll/3NqHU2kx07Y6JbHygms4SoZ4qGkUKLudwXx gp63kUJHkxA1sWPvzmLjutwlHUmj26iRsqMgxAc2EqlIsACmtCaZz4HL2SV3pbs+ZKpwk8EOv/MI QZBg6+vvh1r0Vhgf6BJkLdk/bL5L8xHmfAfJ5JoCnjxzx9BcXw/T/QQsEPfqV0MV7iTLHAvh7F+Q mXr+AifRyHnLF07YUO9SUgbP2R2nWLkIZJ3oGi0tBIqaf56FregLzf7FdzY68tA2bSRQ1m/OBDW1 fEAoZMqpSPEdGetjqoOdo06+METj2s29YsoUdQG6MPvOwsnGLsBnBC5mL7FaMb77oKQGAAN773MK SCh1u/VLEmV55nW3jweUezN3qm8AGhBZzBTrtKCLgDzuG37U8sNQMCick1ZGN3+EVf+mdPfY0Imo waT4q64c6AtLzwHrkmGjHW4RcfYJW4pwCKxj+dFwdhOQncGdANfZqSQn9RtqNDiT7leg95KsoMMp U98qjUcJcu/a3wh/jsMpTk+isbAogjzIKdffyeUJ8F4jLqbhzkt1NYIFtVrXxIJNM+qWjC0RsX9l 8Perxhk9Fe6A1nacAHQh2yINnfFWUiV2OfQ4DRqMKFMV3rf3pdhJQCx/bl57ds9gNDE3SYML1bkS 4u0fWNzyvEu8b+joGGs/AfcpI3eNeyx4/KC6p9ERcawQO/XTj/U3mMx+tBvY53smsSUGNcuKMn2S CmfbYxPNjrJqC3jnV8NsbZ2Imxczgh0uu5+9zRpugrL+Z0CEEKKBblsyhnRJEeuK7x2gs1YT9vPK xOTUACXoP6jhi7/J5qU1ZpN1w2ZxhoKShzvO4fMaGdAGA+o0O2YrPFLp9c6esszKcH5FMPvwI4/y SCN1hMG1tjdIohXJcSu9mzs4OmtQDnzJRjm7D6i6UeB0O8BreroiaR3sBBUiB2qqEoqKtthcpPU3 zw/PvK61pR88ehwKeY37RZdPy1aQf/sFwFU+d4nZABjDbRHM+crbTO61eQvPoNClDX1pvAsKVSeB OYquAK4cEhVRND7/GnV82e/skBmOVS4XJLaDPL5X7YHkF1mKLWG5faFViLP0DDGiwG9j1CQC5jKQ 0Ke4KQsb+/oRtc0fDrd5iBuxfLccsXm7DojJ4HHtP8vxsllRCanFniATh+OpJRppmMO+AFpcJesM bKXqyDhsxsfusEK48GaMZj76/JCB4zHNghH0EtRkOX3g6yWAbV2gGnjxOBHJlCkUHn76bMg3XYb3 5U4G5+MR0aIXy3V170tnTz4cHSIA5Hr7EZYhQbCuCgC9SKRShaA7pOk/HAXF9eQl2dx+wugXlqU8 vVoALeGNCqbqyhwZ106XPJECEqrfcQDaX4zFTOXyus4FAXhYgw3tD9rsKLPhhFnfZkCa27XKwS2m vAfC1O9VBYD8DYW78RbA/c/cCwXTomXsLq2/PDzxR1z1t7HawOs8MjHNEkVxazgG/qLjEwEy7r3B cBqxFGyMj4rCLREKqRNyFFIRg2ODT2JWkaBEVa0xMnWAg5jJiDsklk7X1AA7fuAdMdI1oPVErd9T KPYpGZNguZKZx3etUfmPP2BWheLOP44ZD0WA/glbHzOhzvCjHhsIjMSLgCRvHWyyAGPXUl+WTe5B a0aVYzDTLybR5WcmVr9LR+kF7L5GdcCHLxQ83slXg1Kz733iiQ3cCEgiB6XDVLKK8HcJJsToonDj aKJIH66ClZbj1bbeZ5AighfOdobzbqEXnCvLXy8TCPvRPv62QhR/4E3hc25bWgyrmi9IQe6GcbCo /Q0h73Ilk4Ny1SNtE1fcYAlMks3nc5vVAdkSy5tAAv/fySc80S1yba6B2L6rvj5MlNbHUQd28zes CW8FGiwwprn4VMZd7DGMjtPByvzZ/2+SO0BcyCNvYwyY2yDZZlPbX3YmGCKROOsZSABMdq38r1Qr 7UzuAoBkfrqtWu3yl6MOTYCGP6YFeJ8JXKVDjP9TWzs5i1e8STfHv2fJqpcceQGI3EtZWBD0FuTf rn9KOuSWyXFD2EPsCjuZKXnpqoaPghIRkIrFM00Y2vJ2lYdhP8z6DThOpP0BHepluDNvq7+BngVP h4061ElZJOamGqtPBU8myRtxhSN6VKwN828RCexjTJxAVIBhv4IYD5wKdQ5w2nlZz0xl/lAGP9yK zRJdO4y+Y6Z3Duif8jzy3+7tVEKQkLOCA5N0itEXdfDrSy9e39ZzH/kTAvth3UQjN8B+BDWwsefm e59qyghKs7YG8bk7MSpfmQojdRFojx7V0TOAprg9a2nGScY60lmHB1qmSIAheqxdHyl3dv5Ch8uU 8chQHk3oj/94zzLjbWtIxzPSXdGoFNnAELDsOIgrwdYhKPEOb+o+tN7mdesy2Q2sMOGo9nabr/95 vVmom6/V93MGCI26QQpG2tydF5A+awDAisKVMQB8GpdBKL4WENFuR1y86WJI7Mxs40k5C/4wkh3G wlaE+Fr8a55FVzG7MiOPfJuOctmcjnhcgBBxbIjSYNe1bB5Xgs7xl8gDe5Vvq1+XPJoE50anEuNb uxuFmK+Qf6Gx69RX906EcEVnfNaYIPhwy1FsI9n6qYm1NisJWtcCDe4rBsF6DUvBZks0s8WUMAxP kfswGpHgQDRwDGwi0x+/PxV3j+kQGMmnuGPXnV6iIuxZVDzaTzCNdW2F9cdgSO2bjbKRURYwpbBP kcrZk1QPFCU87HKaucwKq5TyrSGiJp44+Vx2D6bMbnh1qxSTh9vDVhEoVh6dHec8s+1CyN7J8JRC WzfJi05yrgE3jv6QRN3BWC+xWujXXI9wqdgBOEKWIHP2nhFpgg7e6JZR6Jl+9hbLdDB1qvZosbDA /oEKm7+/rt2OxzJGJzj9DqaR/yQHe3Uz8YZsvvsJICioxudy5VeiXI6YrM+lmZOpPLmvRmOSzgu7 q7Zm6Cwmk2FOE4KeinGJTD13kYg1nBCBXo3zLqAnRGlUQwg71SQWBUdXhPEppxvJdPP+3AIO2lie p09pM9Rmg4jhraPa5mY89+UQYpYjTT5GBdFVje5Ry2wNVkZtBcwmiqNUlzlJUEil8AHOLUztRRAc C6dK0eOPwe/Rs1XeM+Ftovs5QXVv4+IaVYHkJmOfzZRnm6rBmTedC2ooVYN4Lbt31XDbP3uN47DI xPOLf/AhjlFIiHZQrdAeZg4Ju9Gnq4YrlJ+a1g9+MT5nuvm3rWV2Jq1LrY83mH8+EpfZW3mKFj0D xa/Z4LbsqN1q2FfX0SBwyQi/eA3FStmK3Dmh0Yf2cosvCbclZ8OztjlRJ3YcV0pdVqD7izYXElOM Bsrm7EaCWg+pclfZF/RellsvIZwD2BAdhvhI12HhG4N4/+q1NgTxVfL/LHdtacxxAPncco4tT86D 1YDocQWyCWEf7G984k7/fGegIs2eSj7BPpQTghGbIt1+d7CF4oT3SsAPQ2UrYc3NVJFWJf1oLAyC mNdJ1C1ifBcEHZw76keUtntstumtGqA7cPCd1xhuvzUXWq5LjMdZklr2WEunCDr3dT88ooeBooCA jAysy6cn0yXvI5fs9foPZRc1BzsmODtXNMG+L3Lbam69+eFKxCK5fFI/NAlhNbLLnH97lFVRv+g/ dOvl6sIJftQRmNL7oyEoMDet8BrzppJqk7yx/E3uYbENInnUD5+ro2Vt+zVruSG3GqFfZLD4UXFU y3Oqyj45F138Bf50fqX0iUR0T8Wf+RJ38QoSb1Bj+fOvp9WrJeb9GKftORP5LPVyIx9bLsU8toCR l89Eh17pejElH7eiCP2327dXMPJBIoqs9VkyaWu+m7ZfvmhZxyxkmxFhrc0SlFMx9HeGVMBRGBKs ENEW/mPVO5JhemWh6RI83CYkO318acFfGIuHLXnNib2xD5s4BWWM6qVU9SOE3j8h29yZm9qY7kHy IHw1l0aGGgA7+BK9lZvp/y84nKPLYEJgjti4Hl1HJdMzSC9DwngMke4ylp9AmyRaO773CPp1EdHa 2Pbm7jICMUwZrIivMZShEM4/WloKmFjP26cuzAHoHKineBdU+SRJzu8tmwoC1cCSNFaDJC4SuINC SFr0yQRirEr1LpcS/RXuqyswuG00mxkah45T5fuqu5tUBshZma9CPBwauqPHe/1vPjG/2yMm0CV0 xyGFs9B0pdTQcTHZ/FbyWiYpN5I3+DgGvpktbre9Z7DSsiABbrmS7stVAEmligmnQRKiAszvPbjf yJsTmNCUhVYUsE51lY7lIyru1IfvEDM/pBEtSQXn1xRMnMGo8/0UxM1nRUJzjKKHuRBOMkHP5bsG UObpC01J39RqWlVSFHJTHKITjb84bI1qVTOTSfTX4H9VL0HVMdDAZml49bBDvIfJ/QlBQ93f+xem K8F5GHXWPOZjMPbEsj6rvq5SD9UUjVpo0dpDhBBuMqVscuNes21COmKQZxBanjGAy63dprp/Q//C I/jWbUZFveFw4ut1OcuNgFOjWcxPvjWVekr5+expPHp6u6ZXafvyjY/Q3QDe230ugF1/pQY7Yr4e 05cRBeaje+Iy23NuUPDTDQqZ6dNnj6+eNQmcIbVQtr1HTf9rNbaYkuuZjVNWzC+PWehYe8rWNPj6 P4H00F/Rxx9HmV5gXN/lhmjhYcK/UeNg1KxleFv0cwU+88ctXuXci2Bk1gwGz2mcwjSB8ZuMGmEO h0/9TSBb2NPntKMuwWe/o9AyspglUFm5VoBlAPJbZHbqsU6Nj3KvHJiSoFS6zy/vBWUjX2+Q57Wn e4WPbrfn2zuLH2KFoSCsQiM1qQbcgoFDflo+OS+ddvfQGeyPgliHfjQmtyZgnOTxSrGE1piR55Oz 0nXAk+uoOnUGofo8m9uMlCgXvDan4FgrS8MolBlBpiXJIfSYupQDRODjdjWvQLQUvvRWMor0d/I4 61iWsqk/aP6GofNGPKmJiKGGl9FRRAbvkyQITLjV4wxGBCgleWZ8PdaxjmjUiwgZOWq5w1feRoWb U8WVwD8JYsevYWTd6nsZip4yx5HNN+3YfjHxC27QGYHItslnZ9sS/+PjP4Mjot8g1NIZi3Lz0IZQ gNdRx1E77JL2FsIZy8+Xoqze9Tz+Lqx7MaONuqht5SZ2eB1dc0Ygi3znTv7hUg1w2hGKCD2Y67wJ YD21qniQ35Q+UK6NVjayAD9Sg9QABH4AWNYn7lKMywMJv+o4fFodqGIrG/uV3JvXjEJJvVBTBQ1h Luouhf3XCnJiyfmCQB2EKDYiBxbksn7CoOnFEwj+CeTXa2zvzhoOX3yjiejuyHNSp+Ule2hDHvD3 jm4qn9+KZLsT+e2c22aWSD21G3e/lLrfIFxPDYC17vW8CgStji2gXmhJ51pJJOp3jmxurt+77tPa n/4XmmKZJcptEWDPT2mfA3cMDIJPVkg42TCiVdyy9GGGabL3dkXveS7294aAf4IrTYBVyNrVI0DX aYIZb4vJ7wpiOglNGNAxgICemYyzfad1ewpH13uEitaODEqF7r16DCDhEL5WQUVF3YW/OBEOqexr 7f58M0Z76aJD1QtrK23+AMrN3PyGO+68UhtGSfEKyGjMxjxLmHuR3Dy1g3onuA9CZMaZmrUD4SPq 1v0ePkGwoi/P70vZK1vGTfVmafs0np6wDmTD3PSl1M18YgrNggdGP5vF+ysp80oTik3Ah+Kdfwek Z2j9LGC3A8hxc5MHeNwiqgM9atPKt/IkS+A55Uey2qx7BPmC2+9MFkqfFULoXLkOsqvZBzPRwwci BNBbgpdE3fAEovJ0vpp+sBC5UlYBo1tOg/cXf6FwyuKPfy1KzGsj1X/P57ja1RXD8eDQGC8MssbZ /LeFscA5VtyMYJqWI1Mdn6XOs6pfRh0OPAywJxAxtjH9EAxpSfVtCeloKAP8uYqEr9TrEdvW8vY0 mzOoZhfpQtmAVl13iHs= `protect end_protected
mit
8ac06ed231f605c7ebc0db0cb0487350
0.925661
1.911317
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/sim/daala_zynq_axi_dma_0_0.vhd
1
24,572
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_dma:7.1 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_dma_v7_1; USE axi_dma_v7_1.axi_dma; ENTITY daala_zynq_axi_dma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END daala_zynq_axi_dma_0_0; ARCHITECTURE daala_zynq_axi_dma_0_0_arch OF daala_zynq_axi_dma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF daala_zynq_axi_dma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_dma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_MULTI_CHANNEL : INTEGER; C_NUM_MM2S_CHANNELS : INTEGER; C_NUM_S2MM_CHANNELS : INTEGER; C_INCLUDE_SG : INTEGER; C_SG_INCLUDE_STSCNTRL_STRM : INTEGER; C_SG_USE_STSAPP_LENGTH : INTEGER; C_SG_LENGTH_WIDTH : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER; C_MICRO_DMA : INTEGER; C_INCLUDE_MM2S : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_BURST_SIZE : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_BURST_SIZE : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mm2s_cntrl_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC; m_axis_mm2s_cntrl_tready : IN STD_LOGIC; m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s2mm_sts_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_sts_tvalid : IN STD_LOGIC; s_axis_s2mm_sts_tready : OUT STD_LOGIC; s_axis_s2mm_sts_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_dma; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_dma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 10, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_MULTI_CHANNEL => 0, C_NUM_MM2S_CHANNELS => 1, C_NUM_S2MM_CHANNELS => 1, C_INCLUDE_SG => 0, C_SG_INCLUDE_STSCNTRL_STRM => 0, C_SG_USE_STSAPP_LENGTH => 0, C_SG_LENGTH_WIDTH => 14, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32, C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32, C_MICRO_DMA => 0, C_INCLUDE_MM2S => 1, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_MM2S_SF => 1, C_MM2S_BURST_SIZE => 256, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 64, C_INCLUDE_S2MM => 1, C_INCLUDE_S2MM_DRE => 0, C_INCLUDE_S2MM_SF => 1, C_S2MM_BURST_SIZE => 256, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 64, C_S_AXIS_S2MM_TDATA_WIDTH => 64, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_awready => '0', m_axi_sg_wready => '0', m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_bvalid => '0', m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axis_mm2s_cntrl_tready => '0', m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_sts_tkeep => X"F", s_axis_s2mm_sts_tvalid => '0', s_axis_s2mm_sts_tlast => '0', mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut, axi_dma_tstvec => axi_dma_tstvec ); END daala_zynq_axi_dma_0_0_arch;
bsd-2-clause
be7982e0da5e4efc3a7c522b32cf0249
0.673165
2.782471
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg.vhd
1
84,516
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- Description: This entity is the top level entity for the AXI Scatter Gather -- Engine. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v4_01_a.axi_datamover.vhd -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream out for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_INCLUDE_DESC_UPDATE : integer range 0 to 1 := 1; -- Include or Exclude Scatter Gather Descriptor Update -- 0 = Exclude Descriptor Update -- 1 = Include Descriptor Update C_INCLUDE_INTRPT : integer range 0 to 1 := 1; -- Include/Exclude interrupt logic coalescing -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_INCLUDE_DLYTMR : integer range 0 to 1 := 1; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in usec C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1; C_NUM_MM2S_CHANNELS : integer range 1 to 16 := 1; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; -- dm_resetn : in std_logic ; -- sg_ctl : in std_logic_vector (7 downto 0) ; -- -- Scatter Gather Write Address Channel -- m_axi_sg_awaddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awuser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awvalid : out std_logic ; -- m_axi_sg_awready : in std_logic ; -- -- -- Scatter Gather Write Data Channel -- m_axi_sg_wdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_wstrb : out std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- m_axi_sg_wlast : out std_logic ; -- m_axi_sg_wvalid : out std_logic ; -- m_axi_sg_wready : in std_logic ; -- -- -- Scatter Gather Write Response Channel -- m_axi_sg_bresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_bvalid : in std_logic ; -- m_axi_sg_bready : out std_logic ; -- -- -- Scatter Gather Read Address Channel -- m_axi_sg_araddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_aruser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arvalid : out std_logic ; -- m_axi_sg_arready : in std_logic ; -- -- -- Memory Map to Stream Scatter Gather Read Data Channel -- m_axi_sg_rdata : in std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rlast : in std_logic ; -- m_axi_sg_rvalid : in std_logic ; -- m_axi_sg_rready : out std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_cyclic : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- -- -- -- Channel 1 Interrupt Coalescing Signals -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ch1_ftch_aclk : in std_logic ; -- m_axis_ch1_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch1_ftch_tvalid : out std_logic ; -- m_axis_ch1_ftch_tready : in std_logic ; -- m_axis_ch1_ftch_tlast : out std_logic ; -- m_axis_ch1_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA downto 0); -- m_axis_ch1_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ch1_ftch_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic; -- -- -- Channel 1 AXI Update Stream In -- s_axis_ch1_updt_aclk : in std_logic ; -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_cyclic : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- -- -- Channel 2 Interrupt Coalescing Signals -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) ; -- ch2_update_active : out std_logic ; -- -- Channel 2 AXI Fetch Stream Out -- m_axis_ch2_ftch_aclk : in std_logic ; -- m_axis_ch2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch2_ftch_tvalid : out std_logic ; -- m_axis_ch2_ftch_tready : in std_logic ; -- m_axis_ch2_ftch_tlast : out std_logic ; -- -- m_axis_ch2_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA downto 0); -- m_axis_ch2_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ch2_ftch_tdata_mcdma_nxt : out std_logic_vector -- (31 downto 0); -- m_axis_ch2_ftch_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic; -- Channel 2 AXI Update Stream In -- s_axis_ch2_updt_aclk : in std_logic ; -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- -- -- Error addresses -- ftch_error : out std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_error : out std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic ); end axi_sg; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant AXI_LITE_MODE : integer := 2; -- DataMover Lite Mode constant EXCLUDE : integer := 0; -- Define Exclude as 0 constant NEVER_HALT : std_logic := '0'; -- Never halt sg datamover -- Always include descriptor fetch (use lite datamover) constant INCLUDE_DESC_FETCH : integer := AXI_LITE_MODE; -- Selectable include descriptor update (use lite datamover) constant INCLUDE_DESC_UPDATE : integer := AXI_LITE_MODE * C_INCLUDE_DESC_UPDATE; -- Always allow address requests constant ALWAYS_ALLOW : std_logic := '1'; -- If async mode and number of descriptors to fetch is zero then set number -- of descriptors to fetch as 1. constant SG_FTCH_DESC2QUEUE : integer := max2(C_SG_FTCH_DESC2QUEUE,C_AXIS_IS_ASYNC); constant SG_UPDT_DESC2QUEUE : integer := max2(C_SG_UPDT_DESC2QUEUE,C_AXIS_IS_ASYNC); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- DataMover MM2S Fetch Command Stream Signals signal s_axis_ftch_cmd_tvalid : std_logic := '0'; signal s_axis_ftch_cmd_tready : std_logic := '0'; signal s_axis_ftch_cmd_tdata : std_logic_vector (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover MM2S Fetch Status Stream Signals signal m_axis_ftch_sts_tvalid : std_logic := '0'; signal m_axis_ftch_sts_tready : std_logic := '0'; signal m_axis_ftch_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_ftch_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal mm2s_err : std_logic := '0'; -- DataMover MM2S Fetch Stream Signals signal m_axis_mm2s_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_mm2s_tkeep : std_logic_vector ((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_mm2s_tlast : std_logic := '0'; signal m_axis_mm2s_tvalid : std_logic := '0'; signal m_axis_mm2s_tready : std_logic := '0'; -- DataMover S2MM Update Command Stream Signals signal s_axis_updt_cmd_tvalid : std_logic := '0'; signal s_axis_updt_cmd_tready : std_logic := '0'; signal s_axis_updt_cmd_tdata : std_logic_vector (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover S2MM Update Status Stream Signals signal m_axis_updt_sts_tvalid : std_logic := '0'; signal m_axis_updt_sts_tready : std_logic := '0'; signal m_axis_updt_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_updt_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal s2mm_err : std_logic := '0'; -- DataMover S2MM Update Stream Signals signal s_axis_s2mm_tdata : std_logic_vector (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_s2mm_tkeep : std_logic_vector ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0) := (others => '1'); signal s_axis_s2mm_tlast : std_logic := '0'; signal s_axis_s2mm_tvalid : std_logic := '0'; signal s_axis_s2mm_tready : std_logic := '0'; -- Channel 1 internals signal ch1_ftch_active : std_logic := '0'; signal ch1_ftch_queue_empty : std_logic := '0'; signal ch1_ftch_queue_full : std_logic := '0'; signal ch1_nxtdesc_wren : std_logic := '0'; signal ch1_updt_active : std_logic := '0'; signal ch1_updt_queue_empty : std_logic := '0'; signal ch1_updt_curdesc_wren : std_logic := '0'; signal ch1_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch1_updt_ioc : std_logic := '0'; signal ch1_updt_ioc_irq_set_i : std_logic := '0'; signal ch1_dma_interr : std_logic := '0'; signal ch1_dma_slverr : std_logic := '0'; signal ch1_dma_decerr : std_logic := '0'; signal ch1_dma_interr_set_i : std_logic := '0'; signal ch1_dma_slverr_set_i : std_logic := '0'; signal ch1_dma_decerr_set_i : std_logic := '0'; signal ch1_updt_done : std_logic := '0'; signal ch1_ftch_pause : std_logic := '0'; -- Channel 2 internals signal ch2_ftch_active : std_logic := '0'; signal ch2_ftch_queue_empty : std_logic := '0'; signal ch2_ftch_queue_full : std_logic := '0'; signal ch2_nxtdesc_wren : std_logic := '0'; signal ch2_updt_active : std_logic := '0'; signal ch2_updt_queue_empty : std_logic := '0'; signal ch2_updt_curdesc_wren : std_logic := '0'; signal ch2_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_updt_ioc : std_logic := '0'; signal ch2_updt_ioc_irq_set_i : std_logic := '0'; signal ch2_dma_interr : std_logic := '0'; signal ch2_dma_slverr : std_logic := '0'; signal ch2_dma_decerr : std_logic := '0'; signal ch2_dma_interr_set_i : std_logic := '0'; signal ch2_dma_slverr_set_i : std_logic := '0'; signal ch2_dma_decerr_set_i : std_logic := '0'; signal ch2_updt_done : std_logic := '0'; signal ch2_ftch_pause : std_logic := '0'; signal nxtdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ftch_cmnd_wr : std_logic := '0'; signal ftch_cmnd_data : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal ftch_stale_desc : std_logic := '0'; signal ftch_error_i : std_logic := '0'; signal updt_error_i : std_logic := '0'; signal ch1_irqthresh_decr : std_logic := '0'; --CR567661 signal ch2_irqthresh_decr : std_logic := '0'; --CR567661 signal m_axi_sg_awaddr_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal m_axi_sg_awlen_int : std_logic_vector(7 downto 0) ; -- signal m_axi_sg_awsize_int : std_logic_vector(2 downto 0) ; -- signal m_axi_sg_awburst_int : std_logic_vector(1 downto 0) ; -- signal m_axi_sg_awprot_int : std_logic_vector(2 downto 0) ; -- signal m_axi_sg_awcache_int : std_logic_vector(3 downto 0) ; -- signal m_axi_sg_awuser_int : std_logic_vector(3 downto 0) ; -- signal m_axi_sg_awvalid_int : std_logic ; -- signal m_axi_sg_awready_int : std_logic ; -- -- -- Scatter Gather Write Data Channel -- signal m_axi_sg_wdata_int : std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- signal m_axi_sg_wstrb_int : std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- signal m_axi_sg_wlast_int : std_logic ; -- signal m_axi_sg_wvalid_int : std_logic ; -- signal m_axi_sg_wready_int : std_logic ; -- signal m_axi_sg_bresp_int : std_logic_vector (1 downto 0); signal m_axi_sg_bvalid_int : std_logic; signal m_axi_sg_bready_int : std_logic; signal m_axi_sg_bvalid_int_del : std_logic; signal ch2_eof_detected : std_logic; signal s_axis_ch2_updtsts_tready_i : std_logic; signal ch2_sg_idle, tail_updt_latch : std_logic; signal tail_updt : std_logic; signal ch2_taildesc_wren_int : std_logic; signal ch2_sg_idle_int : std_logic; signal ftch_error_addr_1 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; signal updt_error_addr_1 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; signal ch1_ftch_interr_set_i : std_logic := '0'; signal ch1_ftch_slverr_set_i : std_logic := '0'; signal ch1_ftch_decerr_set_i : std_logic := '0'; signal ch2_ftch_interr_set_i : std_logic := '0'; signal ch2_ftch_slverr_set_i : std_logic := '0'; signal ch2_ftch_decerr_set_i : std_logic := '0'; signal ch1_updt_interr_set_i : std_logic := '0'; signal ch1_updt_slverr_set_i : std_logic := '0'; signal ch1_updt_decerr_set_i : std_logic := '0'; signal ch2_updt_interr_set_i : std_logic := '0'; signal ch2_updt_slverr_set_i : std_logic := '0'; signal ch2_updt_decerr_set_i : std_logic := '0'; signal ftch_error_capture : std_logic := '0'; signal updt_error_capture : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_error <= updt_error_i; ftch_error <= ftch_error_i; ftch_error_capture <= ch1_ftch_interr_set_i or ch1_ftch_slverr_set_i or ch1_ftch_decerr_set_i or ch2_ftch_interr_set_i or ch2_ftch_slverr_set_i or ch2_ftch_decerr_set_i; ch1_ftch_interr_set <= ch1_ftch_interr_set_i; ch1_ftch_slverr_set <= ch1_ftch_slverr_set_i; ch1_ftch_decerr_set <= ch1_ftch_decerr_set_i; ch2_ftch_interr_set <= ch2_ftch_interr_set_i; ch2_ftch_slverr_set <= ch2_ftch_slverr_set_i; ch2_ftch_decerr_set <= ch2_ftch_decerr_set_i; updt_error_capture <= ch1_updt_interr_set_i or ch1_updt_slverr_set_i or ch1_updt_decerr_set_i or ch2_updt_interr_set_i or ch2_updt_slverr_set_i or ch2_updt_decerr_set_i or ch2_dma_interr_set_i or ch2_dma_slverr_set_i or ch2_dma_decerr_set_i or ch1_dma_interr_set_i or ch1_dma_slverr_set_i or ch1_dma_decerr_set_i; ch1_updt_interr_set <= ch1_updt_interr_set_i; ch1_updt_slverr_set <= ch1_updt_slverr_set_i; ch1_updt_decerr_set <= ch1_updt_decerr_set_i; ch2_updt_interr_set <= ch2_updt_interr_set_i; ch2_updt_slverr_set <= ch2_updt_slverr_set_i; ch2_updt_decerr_set <= ch2_updt_decerr_set_i; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then ftch_error_addr (31 downto 6) <= (others => '0'); elsif (ftch_error_capture = '1') then -- or updt_error_i = '1') then ftch_error_addr (31 downto 6)<= ftch_error_addr_1(31 downto 6); elsif (updt_error_capture = '1') then ftch_error_addr (31 downto 6)<= updt_error_addr_1(31 downto 6); end if; end if; end process; updt_error_addr <= (others => '0'); ftch_error_addr (5 downto 0) <= (others => '0'); -- Always valid therefore fix to '1' s_axis_s2mm_tkeep <= (others => '1'); -- Drive interrupt on complete set out --ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; -- CR567661 --ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; -- CR567661 ch1_dma_interr_set <= ch1_dma_interr_set_i; ch1_dma_slverr_set <= ch1_dma_slverr_set_i; ch1_dma_decerr_set <= ch1_dma_decerr_set_i; ch2_dma_interr_set <= ch2_dma_interr_set_i; ch2_dma_slverr_set <= ch2_dma_slverr_set_i; ch2_dma_decerr_set <= ch2_dma_decerr_set_i; s_axis_ch2_updtsts_tready <= s_axis_ch2_updtsts_tready_i; EOF_DET : if (C_ENABLE_MULTI_CHANNEL = 1) generate ch2_eof_detected <= s_axis_ch2_updtsts_tdata (26) and s_axis_ch2_updtsts_tready_i and s_axis_ch2_updtsts_tvalid and s_axis_ch2_updtsts_tlast; ch2_sg_idle_int <= ch2_sg_idle; TAILUPDT_LATCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or tail_updt = '1' ) then -- nned to have some reset condition here tail_updt <= '0'; elsif(ch2_sg_idle = '1' and tail_updt_latch = '1' and tail_updt = '0')then tail_updt <= '1'; end if; end if; end process TAILUPDT_LATCH; ch2_taildesc_wren_int <= ch2_taildesc_wren or tail_updt; end generate EOF_DET; NOEOF_DET : if (C_ENABLE_MULTI_CHANNEL = 0) generate tail_updt <= '0'; ch2_eof_detected <= '0'; ch2_taildesc_wren_int <= ch2_taildesc_wren; ch2_sg_idle_int <= '0'; --ch2_sg_idle; end generate NOEOF_DET; ------------------------------------------------------------------------------- -- Scatter Gather Fetch Manager ------------------------------------------------------------------------------- I_SG_FETCH_MNGR : entity axi_sg_v4_1.axi_sg_ftch_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_run_stop => ch1_run_stop , ch1_desc_flush => ch1_desc_flush , ch1_updt_done => ch1_updt_done , ch1_ftch_idle => ch1_ftch_idle , ch1_ftch_active => ch1_ftch_active , ch1_ftch_interr_set => ch1_ftch_interr_set_i , ch1_ftch_slverr_set => ch1_ftch_slverr_set_i , ch1_ftch_decerr_set => ch1_ftch_decerr_set_i , ch1_ftch_err_early => ch1_ftch_err_early , ch1_ftch_stale_desc => ch1_ftch_stale_desc , ch1_tailpntr_enabled => ch1_tailpntr_enabled , ch1_taildesc_wren => ch1_taildesc_wren , ch1_taildesc => ch1_taildesc , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_curdesc => ch1_curdesc , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control and Status ch2_run_stop => ch2_run_stop , ch2_desc_flush => ch2_desc_flush , ch2_updt_done => ch2_updt_done , ch2_ftch_idle => ch2_ftch_idle , ch2_ftch_active => ch2_ftch_active , ch2_ftch_interr_set => ch2_ftch_interr_set_i , ch2_ftch_slverr_set => ch2_ftch_slverr_set_i , ch2_ftch_decerr_set => ch2_ftch_decerr_set_i , ch2_ftch_err_early => ch2_ftch_err_early , ch2_ftch_stale_desc => ch2_ftch_stale_desc , ch2_tailpntr_enabled => ch2_tailpntr_enabled , ch2_taildesc_wren => ch2_taildesc_wren_int , ch2_taildesc => ch2_taildesc , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_curdesc => ch2_curdesc , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , ch2_eof_detected => ch2_eof_detected , tail_updt => tail_updt , tail_updt_latch => tail_updt_latch , ch2_sg_idle => ch2_sg_idle , nxtdesc => nxtdesc , -- Read response for detecting slverr, decerr early m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rvalid => m_axi_sg_rvalid , -- User Command Interface Ports (AXI Stream) s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready , s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_ftch_sts_tready => m_axis_ftch_sts_tready , m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata , m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep , mm2s_err => mm2s_err , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , updt_error => updt_error_i , ftch_error => ftch_error_i , ftch_error_addr => ftch_error_addr_1 ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Queue ------------------------------------------------------------------------------- I_SG_FETCH_QUEUE : entity axi_sg_v4_1.axi_sg_ftch_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_ASYNC => C_ASYNC , C_ENABLE_CDMA => C_ENABLE_CDMA, C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , p_reset_n => p_reset_n , ch2_sg_idle => ch2_sg_idle_int , -- Channel 1 Control ch1_desc_flush => ch1_desc_flush , ch1_cyclic => ch1_cyclic , ch1_cntrl_strm_stop => ch1_cntrl_strm_stop , ch1_ftch_active => ch1_ftch_active , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control ch2_ftch_active => ch2_ftch_active , ch2_cyclic => ch2_cyclic , ch2_desc_flush => ch2_desc_flush , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , nxtdesc => nxtdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => m_axis_ch1_ftch_aclk , m_axis_ch1_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ch1_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ch1_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ch1_ftch_tlast => m_axis_ch1_ftch_tlast , m_axis_ch1_ftch_tdata_new => m_axis_ch1_ftch_tdata_new , m_axis_ch1_ftch_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new , m_axis_ch1_ftch_tvalid_new => m_axis_ch1_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available, m_axis_ch2_ftch_tdata_new => m_axis_ch2_ftch_tdata_new , m_axis_ch2_ftch_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new , m_axis_ch2_ftch_tdata_mcdma_nxt => m_axis_ch2_ftch_tdata_mcdma_nxt , m_axis_ch2_ftch_tvalid_new => m_axis_ch2_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available, -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => m_axis_ch2_ftch_aclk , m_axis_ch2_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ch2_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ch2_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ch2_ftch_tlast => m_axis_ch2_ftch_tlast , m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); -- Include Scatter Gather Descriptor Update logic GEN_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 1 generate begin -- CR567661 -- Route update version of IOC set to threshold -- counter decrement control ch1_irqthresh_decr <= ch1_updt_ioc_irq_set_i; ch2_irqthresh_decr <= ch2_updt_ioc_irq_set_i; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; ------------------------------------------------------------------------------- -- Scatter Gather Update Manager ------------------------------------------------------------------------------- I_SG_UPDATE_MNGR : entity axi_sg_v4_1.axi_sg_updt_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_updt_idle => ch1_updt_idle , ch1_updt_active => ch1_updt_active , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , ch1_updt_interr_set => ch1_updt_interr_set_i , ch1_updt_slverr_set => ch1_updt_slverr_set_i , ch1_updt_decerr_set => ch1_updt_decerr_set_i , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_done => ch1_updt_done , -- Channel 2 Control and Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_updt_idle => ch2_updt_idle , ch2_updt_active => ch2_updt_active , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , ch2_updt_interr_set => ch2_updt_interr_set_i , ch2_updt_slverr_set => ch2_updt_slverr_set_i , ch2_updt_decerr_set => ch2_updt_decerr_set_i , ch2_updt_queue_empty => ch2_updt_queue_empty , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_done => ch2_updt_done , -- User Command Interface Ports (AXI Stream) s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_updt_cmd_tready => s_axis_updt_cmd_tready , s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_updt_sts_tready => m_axis_updt_sts_tready , m_axis_updt_sts_tdata => m_axis_updt_sts_tdata , m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep , s2mm_err => s2mm_err , ftch_error => ftch_error_i , updt_error => updt_error_i , updt_error_addr => updt_error_addr_1 ); ------------------------------------------------------------------------------- -- Scatter Gather Update Queue ------------------------------------------------------------------------------- I_SG_UPDATE_QUEUE : entity axi_sg_v4_1.axi_sg_updt_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_active => ch1_updt_active , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Channel 1 Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , -- Channel 2 Control ch2_updt_active => ch2_updt_active , -- ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , -- ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , -- Channel 2 Update Descriptor Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , -- S2MM Stream Out To DataMover s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Channel 1 AXI Update Stream In s_axis_ch1_updt_aclk => s_axis_ch1_updt_aclk , s_axis_ch1_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_ch1_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_ch1_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_ch1_updtptr_tlast => s_axis_ch1_updtptr_tlast , s_axis_ch1_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_ch1_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_ch1_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_ch1_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Channel 2 AXI Update Stream In s_axis_ch2_updt_aclk => s_axis_ch2_updt_aclk , s_axis_ch2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_ch2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_ch2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_ch2_updtptr_tlast => s_axis_ch2_updtptr_tlast , s_axis_ch2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_ch2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_ch2_updtsts_tready => s_axis_ch2_updtsts_tready_i , s_axis_ch2_updtsts_tlast => s_axis_ch2_updtsts_tlast ); end generate GEN_DESC_UPDATE; -- Exclude Scatter Gather Descriptor Update logic GEN_NO_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 0 generate begin ch1_updt_idle <= '1'; ch1_updt_active <= '0'; -- ch1_updt_ioc_irq_set <= '0';--CR#569609 ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set_i <= '0'; ch1_dma_slverr_set_i <= '0'; ch1_dma_decerr_set_i <= '0'; ch1_updt_done <= '1'; -- Always done ch2_updt_idle <= '1'; ch2_updt_active <= '0'; -- ch2_updt_ioc_irq_set <= '0'; --CR#569609 ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set_i <= '0'; ch2_dma_slverr_set_i <= '0'; ch2_dma_decerr_set_i <= '0'; ch2_updt_done <= '1'; -- Always done s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); m_axis_updt_sts_tready <= '0'; updt_error_i <= '0'; updt_error_addr <= (others => '0'); ch1_updt_curdesc_wren <= '0'; ch1_updt_curdesc <= (others => '0'); ch1_updt_queue_empty <= '0'; ch1_updt_ioc <= '0'; ch1_dma_interr <= '0'; ch1_dma_slverr <= '0'; ch1_dma_decerr <= '0'; ch2_updt_curdesc_wren <= '0'; ch2_updt_curdesc <= (others => '0'); ch2_updt_queue_empty <= '0'; ch2_updt_ioc <= '0'; ch2_dma_interr <= '0'; ch2_dma_slverr <= '0'; ch2_dma_decerr <= '0'; s_axis_s2mm_tdata <= (others => '0'); s_axis_s2mm_tlast <= '0'; s_axis_s2mm_tvalid <= '0'; s_axis_ch1_updtptr_tready <= '0'; s_axis_ch2_updtptr_tready <= '0'; s_axis_ch1_updtsts_tready <= '0'; s_axis_ch2_updtsts_tready <= '0'; -- CR567661 -- Route packet eof to threshold counter decrement control ch1_irqthresh_decr <= ch1_packet_eof; ch2_irqthresh_decr <= ch2_packet_eof; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_packet_eof; ch2_updt_ioc_irq_set <= ch2_packet_eof; end generate GEN_NO_DESC_UPDATE; ------------------------------------------------------------------------------- -- Scatter Gather Interrupt Coalescing ------------------------------------------------------------------------------- GEN_INTERRUPT_LOGIC : if C_INCLUDE_INTRPT = 1 generate begin I_AXI_SG_INTRPT : entity axi_sg_v4_1.axi_sg_intrpt generic map( C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_INCLUDE_DLYTMR => C_INCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION ) port map( -- Secondary Clock and Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , ch1_irqthresh_decr => ch1_irqthresh_decr , -- CR567661 ch1_irqthresh_rstdsbl => ch1_irqthresh_rstdsbl , -- CR572013 ch1_dlyirq_dsble => ch1_dlyirq_dsble , ch1_irqdelay_wren => ch1_irqdelay_wren , ch1_irqdelay => ch1_irqdelay , ch1_irqthresh_wren => ch1_irqthresh_wren , ch1_irqthresh => ch1_irqthresh , ch1_packet_sof => ch1_packet_sof , ch1_packet_eof => ch1_packet_eof , ch1_ioc_irq_set => ch1_ioc_irq_set , ch1_dly_irq_set => ch1_dly_irq_set , ch1_irqdelay_status => ch1_irqdelay_status , ch1_irqthresh_status => ch1_irqthresh_status , ch2_irqthresh_decr => ch2_irqthresh_decr , -- CR567661 ch2_irqthresh_rstdsbl => ch2_irqthresh_rstdsbl , -- CR572013 ch2_dlyirq_dsble => ch2_dlyirq_dsble , ch2_irqdelay_wren => ch2_irqdelay_wren , ch2_irqdelay => ch2_irqdelay , ch2_irqthresh_wren => ch2_irqthresh_wren , ch2_irqthresh => ch2_irqthresh , ch2_packet_sof => ch2_packet_sof , ch2_packet_eof => ch2_packet_eof , ch2_ioc_irq_set => ch2_ioc_irq_set , ch2_dly_irq_set => ch2_dly_irq_set , ch2_irqdelay_status => ch2_irqdelay_status , ch2_irqthresh_status => ch2_irqthresh_status ); end generate GEN_INTERRUPT_LOGIC; GEN_NO_INTRPT_LOGIC : if C_INCLUDE_INTRPT = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_NO_INTRPT_LOGIC; ------------------------------------------------------------------------------- -- Scatter Gather DataMover Lite ------------------------------------------------------------------------------- I_SG_AXI_DATAMOVER : entity axi_sg_v4_1.axi_sg_datamover generic map( C_INCLUDE_MM2S => 2, --INCLUDE_DESC_FETCH, -- Lite C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_MM2S_STSFIFO => 0, -- Exclude C_MM2S_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_MM2S_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_MM2S_DRE => 0, -- No DRE C_MM2S_BURST_SIZE => 16, -- Set to Min C_MM2S_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_MM2S_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, -- C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_INCLUDE_S2MM => 2, --INCLUDE_DESC_UPDATE, -- Lite C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_S_AXIS_S2MM_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_S2MM_STSFIFO => 0, -- Exclude C_S2MM_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_S2MM_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_S2MM_DRE => 0, -- No DRE C_S2MM_BURST_SIZE => 16, -- Set to Min; C_S2MM_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_S2MM_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => m_axi_sg_aclk , m_axi_mm2s_aresetn => dm_resetn , mm2s_halt => NEVER_HALT , mm2s_halt_cmplt => open , mm2s_err => mm2s_err , mm2s_allow_addr_req => ALWAYS_ALLOW , mm2s_addr_req_posted => open , mm2s_rd_xfer_cmplt => open , sg_ctl => sg_ctl , -- Memory Map to Stream Command FIFO and Status FIFO I/O -------------- m_axis_mm2s_cmdsts_aclk => m_axi_sg_aclk , m_axis_mm2s_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_ftch_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_ftch_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_ftch_sts_tready , m_axis_mm2s_sts_tdata => m_axis_ftch_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_ftch_sts_tkeep , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_sg_araddr , m_axi_mm2s_arlen => m_axi_sg_arlen , m_axi_mm2s_arsize => m_axi_sg_arsize , m_axi_mm2s_arburst => m_axi_sg_arburst , m_axi_mm2s_arprot => m_axi_sg_arprot , m_axi_mm2s_arcache => m_axi_sg_arcache , m_axi_mm2s_aruser => m_axi_sg_aruser , m_axi_mm2s_arvalid => m_axi_sg_arvalid , m_axi_mm2s_arready => m_axi_sg_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_sg_rdata , m_axi_mm2s_rresp => m_axi_sg_rresp , m_axi_mm2s_rlast => m_axi_sg_rlast , m_axi_mm2s_rvalid => m_axi_sg_rvalid , m_axi_mm2s_rready => m_axi_sg_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => m_axi_sg_aclk , m_axi_s2mm_aresetn => dm_resetn , s2mm_halt => NEVER_HALT , s2mm_halt_cmplt => open , s2mm_err => s2mm_err , s2mm_allow_addr_req => ALWAYS_ALLOW , s2mm_addr_req_posted => open , s2mm_wr_xfer_cmplt => open , s2mm_ld_nxt_len => open , s2mm_wr_len => open , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => m_axi_sg_aclk , m_axis_s2mm_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_updt_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_updt_sts_tready , m_axis_s2mm_sts_tdata => m_axis_updt_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_updt_sts_tkeep , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_sg_awaddr_int , m_axi_s2mm_awlen => m_axi_sg_awlen_int , m_axi_s2mm_awsize => m_axi_sg_awsize_int , m_axi_s2mm_awburst => m_axi_sg_awburst_int , m_axi_s2mm_awprot => m_axi_sg_awprot_int , m_axi_s2mm_awcache => m_axi_sg_awcache_int , m_axi_s2mm_awuser => m_axi_sg_awuser_int , m_axi_s2mm_awvalid => m_axi_sg_awvalid_int , m_axi_s2mm_awready => m_axi_sg_awready_int , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_sg_wdata , m_axi_s2mm_wstrb => m_axi_sg_wstrb , m_axi_s2mm_wlast => m_axi_sg_wlast , m_axi_s2mm_wvalid => m_axi_sg_wvalid_int , m_axi_s2mm_wready => m_axi_sg_wready_int , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_sg_bresp_int , m_axi_s2mm_bvalid => m_axi_sg_bvalid_int , m_axi_s2mm_bready => m_axi_sg_bready_int , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tkeep => s_axis_s2mm_tkeep , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); --ENABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS = 1) generate -- begin m_axi_sg_awaddr <= m_axi_sg_awaddr_int ; m_axi_sg_awlen <= m_axi_sg_awlen_int ; m_axi_sg_awsize <= m_axi_sg_awsize_int ; m_axi_sg_awburst <= m_axi_sg_awburst_int; m_axi_sg_awprot <= m_axi_sg_awprot_int ; m_axi_sg_awcache <= m_axi_sg_awcache_int; m_axi_sg_awuser <= m_axi_sg_awuser_int ; m_axi_sg_awvalid <= m_axi_sg_awvalid_int; m_axi_sg_awready_int <= m_axi_sg_awready; m_axi_sg_wvalid <= m_axi_sg_wvalid_int; m_axi_sg_wready_int <= m_axi_sg_wready; m_axi_sg_bresp_int <= m_axi_sg_bresp; m_axi_sg_bvalid_int <= m_axi_sg_bvalid; m_axi_sg_bready <= m_axi_sg_bready_int; -- end generate ENABLE_MM2S_STATUS; --DISABLE_MM2S_STATUS: if (C_NUM_MM2S_CHANNELS > 1) generate -- -- m_axi_sg_awaddr <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awaddr_int; -- m_axi_sg_awlen <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awlen_int; -- m_axi_sg_awsize <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awsize_int; -- m_axi_sg_awburst <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awburst_int; -- m_axi_sg_awprot <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awprot_int; -- m_axi_sg_awcache <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awcache_int; -- m_axi_sg_awuser <= (others => '0') when ch1_updt_active = '1' else m_axi_sg_awuser_int; -- m_axi_sg_awvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_awvalid_int; -- m_axi_sg_awready_int <= m_axi_sg_awvalid_int when ch1_updt_active = '1' else m_axi_sg_awready; -- to make sure that AXI logic is fine. -- -- m_axi_sg_wvalid <= '0' when ch1_updt_active = '1' else m_axi_sg_wvalid_int; -- m_axi_sg_wready_int <= m_axi_sg_wvalid_int when ch1_updt_active = '1' else m_axi_sg_wready; -- to make sure that AXI logic is fine -- -- m_axi_sg_bresp_int <= m_axi_sg_bresp; -- m_axi_sg_bvalid_int <= m_axi_sg_bvalid_int_del when ch1_updt_active = '1' else m_axi_sg_bvalid; -- m_axi_sg_bready <= m_axi_sg_bready_int; -- ch2_update_active <= ch2_updt_active; -- ---- A dummy response is needed to keep things running on DMA side -- PROC_DUMMY_RESP : process (m_axi_sg_aclk) -- begin -- if (dm_resetn = '0') then -- m_axi_sg_bvalid_int_del <= '0'; -- elsif (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- m_axi_sg_bvalid_int_del <= m_axi_sg_wvalid_int; -- end if; -- end process PROC_DUMMY_RESP; -- -- end generate DISABLE_MM2S_STATUS; end implementation;
bsd-2-clause
e76065141d76e3cdb2366aaff7d2bbfe
0.400374
4.038224
false
false
false
false
Nibble-Knowledge/peripheral-ethernet
vhdl-serial/dcm.vhd
1
2,966
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application : xaw2vhdl -- / / Filename : dcm.vhd -- /___/ /\ Timestamp : 03/07/2016 00:14:36 -- \ \ / \ -- \___\/\___\ -- --Command: xaw2vhdl-intstyle C:/Users/Yakov/OneDrive/School/University Stuff/ENEL500/test232/ipcore_dir/dcm.xaw -st dcm.vhd --Design Name: dcm --Device: xc3s250e-4vq100 -- -- Module dcm -- Generated by Xilinx Architecture Wizard -- Written for synthesis tool: XST -- Period Jitter (unit interval) for block DCM_SP_INST = 0.06 UI -- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 2.91 ns library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.ALL; library UNISIM; use UNISIM.Vcomponents.ALL; entity dcm is port ( CLKIN_IN : in std_logic; CLKFX_OUT : out std_logic; CLKIN_IBUFG_OUT : out std_logic; CLK0_OUT : out std_logic); end dcm; architecture BEHAVIORAL of dcm is signal CLKFB_IN : std_logic; signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal CLK0_BUF : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKIN_IBUFG_OUT <= CLKIN_IBUFG; CLK0_OUT <= CLKFB_IN; CLKFX_BUFG_INST : BUFG port map (I=>CLKFX_BUF, O=>CLKFX_OUT); CLKIN_IBUFG_INST : IBUFG port map (I=>CLKIN_IN, O=>CLKIN_IBUFG); CLK0_BUFG_INST : BUFG port map (I=>CLK0_BUF, O=>CLKFB_IN); DCM_SP_INST : DCM_SP generic map( CLK_FEEDBACK => "1X", CLKDV_DIVIDE => 2.0, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 19, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.250, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map (CLKFB=>CLKFB_IN, CLKIN=>CLKIN_IBUFG, DSSEN=>GND_BIT, PSCLK=>GND_BIT, PSEN=>GND_BIT, PSINCDEC=>GND_BIT, RST=>GND_BIT, CLKDV=>open, CLKFX=>CLKFX_BUF, CLKFX180=>open, CLK0=>CLK0_BUF, CLK2X=>open, CLK2X180=>open, CLK90=>open, CLK180=>open, CLK270=>open, LOCKED=>open, PSDONE=>open, STATUS=>open); end BEHAVIORAL;
unlicense
4ccdda5dfaf881a42861383fcd56b1e2
0.474039
3.749684
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/dec_shifter.vhd
1
9,221
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ej594zmBXQ4WKlrhbeq87N0GF6uaeCUNVzULwOxP5WExxz4Inp4JdzUNA34974erpCcd+NAUFMsd i3GUTvHHtQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block i6z27Zp06G9UY15YXk1s93y0zy8nk/tG1fGhydYlnzNURU2Zy+HrNpogCVNrRADDY21q3VsUhrvm 93D0VAhJxT4YccbtS0KtbIYt23x5pTiRJWPA8qbaZxWtiYM2VvZLML9wbLXb5WkSsqY4vgg6fvsy JI0RXls37bWY015N2XQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HKQdEDuSAgQT3wG2h+uPRRpl3caoCJv066u9qlZIlDe3qH69/fVjjnaTZcS2W1CUBHnpx6kA4u1f DVsfxqirFzqjArZN+RvclmSDxnUXgqfVA87y5cZBuZDLMBlRm7gEEaehMvY1Mgtve7RoTnQJYTLV uGLqwcNJtMNIeg5njClp9gepy3co4vjIi31n9GR5u1cGTTz0RhAxWh1iWK6m/J09n+I03Ql+49J2 cTl7x/FMusg0KSJYGyKWtHAktafO1KybhLRKywcrhjrf+cXa2JawhPjztiTgTOBcuyFYiP7h5PEN aNnfwerj/RU4T+Q0zsg5p5TrMep+iFC5lHl1VA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nr2n2nPMrGgoJIPjcXH0KLTOYRZyw7qcKdDrdyEH3MDmdktoQWRM/4EjQ9Uiwy7wyMUpeAmcKn8x o2Z38oN5PotHgIZAgGnEF+E7m+FY4W5f4bhWXhlPDvXWiEB/eisxTIBzMZL2r4MksPoILiTbjJzn lYAmjgASBei7E/IqOXY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SuUcII2M2m1DwhvrYYOj9P0zETZksee0kdhWzbvSzMWknSZGdep0u3x10fkiO63ALFNtZmyQWZNl ngJBXVw+g4jTlmzmfYnHJNsPTNE0RC/uthNDikHVsAo20AaqMNM54z3U5LCYfuW4D3K1nL4osu+c GtYTliznxLWv8MUvIwAQfwlILlBgU5+5D+J/TRYyvDG1w5EcZx7tXIBSUHtVtzeG/BrTCkX8Weyi 5qVtBs27P1eL+XZBWFRYkUPkPwOyMic8hqqSH/1g3gQIhOE0/S5Hu5TROFrLNtGKQQZFFf7vZ6/q zeLDzNAJ/My0AjaeO9J0kqUslj6TKPDnCXFIXA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088) `protect data_block 1kK+evfalTLnycd767JGp5+/7ypjWMGOIfyh2blcELaTx4hD7TrbUhOoGNBTmFMR7AF7QzI9pe3F 3oeZNt9PSMiW8Od0HwxXrwgLlFyEKri9rPM5ejgKu1EYW7xJYHQF4FTvOz0i7a+LjgnpSzwcorDO TsqLMvkvSTXd2f80Ce0ezoJWhIhx6lCl8xfB1SM45VPqyDXSQhNbKrpZLgESXhZds4SQuFHjINdZ cvaYtbpZjn4LYmPfdLpY7lI9KQM2sTB9OS1d4qsLeGf5p2pOtMY7pCD9jYbaS+5Yk+q53tIwIr8y 0Oo8Ledyzb0ftSDqyqb/yNGlDKoip8FyJOMszsmQBl6arguqvLMZ9MKmXJhddodog38FgtC3NeJm dRAALPaL1V4AUh1Ld25c3oGmmXqzA/zAPHDjQ6nRQWHXwST/BClHG8QiJfmVnSEwNBtuNHu5xbaA EiZGl6IPLuzqmfyqD1sblUsbsBSd8VGSazW72QQXuovgirsUc7QtvQwPvVzgUdwYFS2qBC8JQlVd jfuowVxDqSyL2Hrhs+1OmdjL3xBWow0SU7+210Md4iQByGQiBbr+SvbKdcOBU+djn8+HVxn9M2o6 ozcQONBvY11urqgEjZcYzzZLOy7aAis9ejvlnLX5hVAyaIVJ2iOJUgVefgwx755TGMhx9fJPBWTw 1tAxRhZ4kgAcKUlAikreJxN+IOdzjBH80+6e0s5pVRGqI5mBE/MW1QgR/ZWH/IXuX3Z7YdaOypM9 wQ7F+U0mTSusI0bph9qGCvzVoueRXw/KbneY2mNUebCRyNj1AJNuorzmJ84lXEhmdh023E6XBdeM iHpN1W4h2oLgmhEcCbtbhqGO1eTf4vlyU6ibLvI+ZIGeR5Z0viQ7nve9vVr/ml+y7T+ZUYERMeql nfHDGJxUI84x0dMdFL0vP0ngTEmtY0rirfmRGgAcwteGCYOzoCvbA8RXd/PfBvM1F6BxMFlrLdIk k2jaqaXQPFN1AnVBANbx2BfjRrndK3ViH88lgXEHX4jBegtMHATGM47witrXJTL2B6PIb3kFpgFw kupser/GoiywBtuaRrqO706YQGzqlBxRLgn1XpwqW5oXC/iY/qWOGXpzPCbzPCXh0iYJ8Nw1EZj1 esftD9+jf9nLRoJ9wfvi4lCKUT2HO19Sd+Gbnccera9cRTYzy1Xe3BtgV3CtPmaG9ZEGLD7AiJao zHakhYL1OWywYftd/iYLsYpVIYs8J7DvdquDGRaJe39JNFsCJ8kG1nkQmMJx1ymTVi/aJ/Sg1IyL XCwclxBU2YrJggPns3tEXB5EILdTlgNBow682LP86WV7dpOrzCbmdEBaLqL4vE0b8pLLDD+Ko+c2 8pURV2uLNWaFaBblKwffnq9nsgBEg6EgYjSatCuhcAJvG06YSI5VezSauDk8Ya1OI946aJGJkF9h doiMFaXHg5jsl3yBOOuBxboPFMCJKc1YY5HlIvhg8bqQN6QpPij+i0mFnTI4fnJwE8W7bSiXJq97 /4V1wzrNNenO8gkW1Bd6iWPH0sE1cZiTfqe2rKyuoUrCn4AbSvOn1QLNuq2tf7J3Jmq4tKOyCg7h K0bWdvS4JOtMOfxhj5jWaNJTJmuvy1RcK2Wl/SvRxTHNgckTN07yp+iP18c/ng9iz/9t61jbys63 faXc+6DrBwgrjOrVsIdr4TIQ+jkzl5rzyyXZusjarS/i8mTRc9dZSeX74r/jLpoVTo6z+ku8pZ/t 4l6NaNmmZOYldjGDOAnU6orFpaUHdfgZIk4Csz9UHYjBeTJYBaRP8cGIrbcwD5moqxQa62FfRjKL CeMYjrS7QtZVW+kY6SltRp2ufZo4Cg7Y9vvkNEkYTPHtTePR46WsRKy9vqV4gcSd6CD5Av2FNcLu 9fDX4DMdlvWQP0AarDS/rO1xmUtumHHv4u0vo1VuSi7L8XgACP6v/IASqZUGtN9U0WinSIxWoXE7 JL7pEcTIqx515Zp68oTqA/BPxNNi8ZvqevjZth3LaMHlhUSjTMfcmjydDnGjw4W975djv8897DM9 CWLJ53Wj4VvFvzKAHCqLEnXOkmaOhtHF2IglGG+F2ujgZmVTFLkpbvVYdlrN4vxHWzqlF4bnydrJ CLVhStDkJyXXHYOORJ2zPO4NepbYJdTPKnGS+VJv4kiPl4+l5V+z4MojgCXM0B8yh85il8XaQT1v 4t1/Qw2ENDBGfO93LTSdf6vxOl7RBkYD2GU98RJXQXbVbQZNTz2GSsUu6C8IL8mOvVdLdneLMQJd t2Naitt2akfCiQZkoYsFWPl+OFnnHvTogs8MZlqgm1Ainfm/okexQeQYV9eJMYYZw0lfCBDajqQa O7zLJqdRAlmGau6lAZJeDxG73S8MtDKLEwEdz2lX/yaImHJH8ZBL2guU4Mj3fO2eK3AzcbY7kT0J nhoe6fSG3dBzqGRsufWLie5cOr15dTkoUpSJhF40yJ2OQlxuXCgULCc+UZ4ZVnHYTUL4ezr6chMA giFqvppTESoHgNgv9lnULtr6cWTO6hdWdGgPLyZeGF3TYyf/4cF8s8R95+Nc90/jAeO6WG5k69iT ytlttksBfIK7Kr1BxV5dmGMexBs+GmifZsC/zxaNh8x8Yn5AFhNk9yubgfZDgsmrRYH/KC7CEXPJ 8oYnKo5AoNp7BUGXnEHZzuqBRd3FzszehcEmLwMf97DBiCGy2xW6Y0qlI5U09hwzE2RheMnV3vZU lDPGoTbZ9elTrVmvlTrC9msfrD12lVaqLibJkLvHuCNlFXGndATsYrXIpPEYSKgHhGGFkfSjuPwG qR3AEeTju6o9tZ/p9ehgskmM9Utsk5SfxneIxqwFoyXtcArLLB0eoJIvo/nZT0zIyz+eqv3p+jTp KjD1FevMVFGoOTYTBoM3kRUqMeyEH3yFgWtclEBHRL4ZPq4vyM+uSZgTtSTGkfBYqfDPzS2SwAn5 V84iSAUITysrVvfBJTHN+fJwTGDZqGeFETImMgEx0L8DZ/TcMF6wZxQIBEZGJd6yktxaK2wPVnGs co2buz6blWSDoA3s8EsCPSc/kJjw2YmBw/I9t1a+Mu7AaFdWL0P1Xpip412v5FenmAoKigqez2oW 8XmClNuMNhJq44mYgHGoE9o2so3ul5yXOsfdTbQq05KreUv3cJGOn9CpvIVEDS+bL4qpgHopH/wh MWYO4oKDJzgie3b43rgWQI+JOYuCF2o5SuE3ttrE4vpu3TgVp1gbzwutb8rwxwZbq+SzwK4fWPIn Q4fkOq3Lz3ynlAXpbj3ctg+TDx3kaRXhtcihE88jelORA2VXp/PUvOMTND0w73stEPrl/sCdmt+e G0/ySSGqWMcSJugmaE5xUC4vrthtgu/dDXr3GAdVduqSecIh+ir9PA4BNnVGhe2dsp6fBO2qJwII 7dK5Npb/+YFB8tg3K582aKm8GI99RUxNyZ1xf7HwQE9+zwfY3ttmxFpbwJKLlL71PHQeZbhkqffy 4FJ34TRkFv235KcRvEUmFcvbk04ffnDLM7e7hwhV3IA6BbxeqnqYmkvvSHCDbdA37mXnTtORxOaQ SxGdPJYnF7kim/1IH7sVuiElxfF8siNzb/Vchka4O1f57/AJXu4E7uAyMQHqHlbW/qd9woDtc2Oh wTE9lTjVLlXUy+1WYSqI5IYWoqrkx1KC/ffjDdlfTEQVRPl7hHWExbfcLbz8E83aUFnij2dJpJt7 uzMwijCmsCWrN6AtPhqoHAU8FmA+QW2Ynz3cwaiEpSjt0rCuzf0A9DGZDEM+F4z4hRSTg5eKLNd6 YiVBXe0Y2iy1/8PRRn1+WSJ6OqRJOzj8py4qo4Y4kyAox/kaB61852sDlI2GTLDJ9zxrJsEp/QN1 G9SoWx09Er/oX1u0RsUnQhw9dq/G1SDkukxffznTLA5+z4U5rWVuVeurMhiYiYLqN7ZJkA0GZ8NK SLSbrOj7RTDAyrzu4/g9ZPNB8EHW++OcxKnN3l2xctz7rILYFnXcxBeBmjDSHzIJJBjsVgJkyWrz slwk3qzYrvttm0bzMJ3URjGyGSV3D2aXPY0qXP7SfKasXf1KRoWo8vhnbKBYLTw+qmy27Q5rEsu0 eqwWu4hV+K/yUcxDoDSZ/PTCIXAOfif7mE0/esWnQYGX8uK7vkqu2IdXg0ImVcu8CqGVjEi23+rD ZdW+GTYuIWO1Brne9TYW64HdI+43t5BR30ih2S+TxH+upsjAhJSkIYNnWdN4QTO77ghkQPX00fCH 7PahKt+AY5blfrz10JeG1sF188gLt38gjBys22GmEynwNzVOARSNwW19RaYI+5eGba3t2s81U2a9 flX9N6M2un4QdyMO3dtQ2sJQrNuGdnuUWy3rCrpGimmoVqePEszjgrp91qx5Z4FX6SkF3kxu3lFz 2+Q4xKJ+/thvQyS2EgRgdsCsOI5CYx6hk8eE2hFzcPU1a5BmcT1G2lLdw8/X8+voyIKICxwakdbr Zew+w7Nh6+ofXsx0wl2yzpgvQRm/K0IP09Nh2YfLu6WTgNmlEuiTYKOpmk+U8yXFcxVa/AakMHxi jo5Qo8KZj8ON2O89r0tMsxHVdf48YgiM02acn2L5waB0CGG8sK8OokOZosVLrMWIq6NbcTIBrOrO tg8iOUDmhgZa/VnXYn7yC0Ugp6Dqrj9ohEDbnD7WTTJJr4Tl/jslpvjfIIVeTW4HcnSPDy8XIOKE lX/H3fQnOnkDMW0A9Q0MFVe5vhnVjOvbrvttL0WGyh5A5hgRduEDWa0673WQGAYpdD895OAgOECb gcLy+6zAsP9rOsdh3SnFEMttj7n683FJAAt1o/I9pp16HfAkgq10VV4lzuPaZ0x+P5Yo5AIHxTnn L77zR2rNIS7GBMTAew9sei39Rgzlvs3HXmpp76BO8Yh7Kvx8og6DpmI1bl21f+dGXPkV1d7CLJUk QyL/ypfJoSU91E790wfBkQHhRsiexFrxRuxWg2mef3Sz8HckhI2IK2skeGAAVtJzARsq/dIDGJaW 1FGYyFRdxsQl7WGDN4R4JBI4lS6DOs08JN7f/tkXcHqd7gu6WcHQstqJsjc6eUw90/UUCB7IFGwE xGU+zrNs+T8OSEJ0oFIBimoOdFOZ1dYzfGv9F0metgGBskVUJCLkax3JVkD2L3FG3QtI8ow4K8lI RbFBqTgzeGGTCiCeb3U/n0Ugtl32SviPO82QDy57vRufyCcVTsx0zxhvXAKFJDMAOaVHAjygmRXa MD/BYTyMeVrCID8to5UKN52ubmpb6VniojbjDCaih3mQACfKT0NzeRI6LhEl+EPmeDj3LHzXVUip tKHvzYdV2Eg+qFvaO0CpzMzSqXHH24foiMsTdRrFlEPbXKa8POf3hmTgFlh7Bkxz/XNy42SUZUsN Wv64EtnmgAr6ubHmRiFeTkkI/r+gCCxEcFs48zD2cnhPepq/RrHmFtsfoggxTPbDTcn/RUd8i6HP 1UYlk5v0D7wJJIyQaNH7KJ1+wmzkFG3C/EUh9D3DB348EyKbZH0Xn+kUxWCSJJMohESj5OQQ6Cik vpQVTWdVtW8c3Rl9k23SBJK/r5TfCHPubOCUvDO3LaLUKIFfeadYGnXDahGf743yQGkJ1IsxwW2O UBVt9r9O6or0v6cvkzWA+qJQegExSfZyMq0pvTpvJ4G4000tvwpVsjYG11Y/NXUrGofdUnJMqKSf JJY2iNBCRpB9GHHLQH6m9+Q766IZO+o2xBnJYdqm1OS5Yco3yXlVANfZ/bf+Fln9UJ6A+dPgmHcF jqR+Fgg8rv8X7fNpXkBKBfBft7ojuW2dTMBtGP6QRoeiikp78PR2mJlyh+EbaV3oNPDvrlmR2o7R +OS3S6MQk5xoEqGq7L6ivmWwKr3kqgUqbU1H0nzLy3wM1M1esGess39XacXCDugNPdzlGXWC21zR JnsMfNimirX5Z/oWjQeGTG5I/KiJa+ulebx/1gij0RHmt0sdsdJm5EWbbqB8nbgCpTXc3q4i81FU UxRwGJG8E49shQvGoCec9bC0Pdm9S2kFjplAvgodxFQG+u+LpGWpWX458hAX7NzCRcxSW+7iMBmx 7dnokLuFTIL7QDs2tSzkmzP1qtEa1ypYjife9+xev75RXNwJV71xjI8XyBVEGRCUE9F/Bx4qWVel I6aaBGLyua/RRyssIJd2vOvpMAiSRvkFxP91AYBSpGEjFemRIa0xLMmbn14mKKviQKo1yxWQnmAr dJsOHU2LBnYYW22TBgG/c1bi0DRCyXKV72yhmC0zLbWwwwsF1AAUQ9EFVaaW/pPlzCQhEyGZkJf8 oj4Ib/Q2mwAC0QWYlj1tueabF3MDUk8Yh5nUOCOYZ9docp6N+gi98iCHGI4uAvNnS6p/XXCY/his X3gKDvqaGA7B5V0/X9ABh1y6NlcM+4Yntd1DY80fecLAyMumC/iXoK5JdTp4r6c70GIy+2x99O6O UHrOVX9TFDVKM0H/pW81zmsooej17qs2hqEXBWO6gPC7YXlUeovEbh+beJhRjlU/BwT7Qmh7KMpK xLoM/X8FlP5hJq8PG6+wgxOTgQTsosph+Bn7r6Saurx+Bo5ojmJkSWSed/w2ElQKoPHvS+OnylsF PDslV+EaOmBRWxSq+q/5e4FOW6dCaeumnSHmfXQI43FcWimKsa5PPNh7mU+j5bk/qf7buvppJ0lO ZLk+VVqZn5owAUldwFQao/ePJ08p5NjszX7rtoUOjV+vJpHsosZ7WUbxKNdhc2H2Npe+3+r4C6Sh mv1jBuuuhkMGnXZoLX4D `protect end_protected
mit
afafa25c97900f48234133faf5c85bda
0.92311
1.914659
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_035_test_input.vhd
1
550
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; end if; if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; end if; if a = '1' then b <= '0'; elsif c = '1' and d = '0' then b <= '1'; end if; end process; end architecture RTL;
gpl-3.0
ad5d009db4558ea579b87a90b3e3287e
0.363636
3.235294
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_dre_mux8_1_x_n.vhd
1
6,425
------------------------------------------------------------------------------- -- axi_datamover_dre_mux8_1_x_n.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_dre_mux8_1_x_n.vhd -- -- Description: -- -- This VHDL file provides a 8 to 1 xn bit wide mux for the AXI Data Realignment -- Engine (DRE). -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_dre_mux8_1_x_n.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_arith.all; ------------------------------------------------------------------------------- -- Start 8 to 1 xN Mux ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- Entity axi_datamover_dre_mux8_1_x_n is generic ( C_WIDTH : Integer := 8 -- Sets the bit width of the 8x Mux slice ); port ( Sel : In std_logic_vector(2 downto 0); -- Mux select control I0 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 0 input I1 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 1 input I2 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 2 input I3 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 3 input I4 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 4 input I5 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 5 input I6 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 6 input I7 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 7 input Y : Out std_logic_vector(C_WIDTH-1 downto 0) -- Mux output value ); end entity axi_datamover_dre_mux8_1_x_n; -- Architecture implementation of axi_datamover_dre_mux8_1_x_n is begin ------------------------------------------------------------- -- Combinational Process -- -- Label: SELECT8_1 -- -- Process Description: -- This process implements an 8 to 1 mux. -- ------------------------------------------------------------- SELECT8_1 : process (Sel, I0, I1, I2, I3, I4, I5, I6, I7) begin case Sel is when "000" => Y <= I0; when "001" => Y <= I1; when "010" => Y <= I2; when "011" => Y <= I3; when "100" => Y <= I4; when "101" => Y <= I5; when "110" => Y <= I6; when "111" => Y <= I7; when others => Y <= I0; end case; end process SELECT8_1; end implementation; -- axi_datamover_dre_mux8_1_x_n ------------------------------------------------------------------------------- -- End 8 to 1 xN Mux -------------------------------------------------------------------------------
bsd-2-clause
7a99abee7edc5c5ec4d17ebc2db178fa
0.463191
4.745199
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port_map/rule_001_test_input.fixed_lower.vhd
1
643
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
18183b24f33c80d5311d9b2e8c5e86de
0.463453
2.701681
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/builtin_extdepth_v6.vhd
2
50,137
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nuS/k7STq7sk4TLgDIJlx2UtMCk4Mi26c4NVXpSSGHMAmmhDq7GyXGgkuM9Py6R1rNGXeOE8g60c 2EhPgjL8ww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X/iuJ660rNw6jfReLlXvpfvE7HsEvQAh3UvADwZlPOA7+VB8/+ziLZc2Mn+ndn4hW91yypTtiz+D UhKoWv5bDuk41/fjKXZqtrdO9HAEA1LSicKzcWc6NRTRVgSuJMcx/Bf4za3iVf++h2dO18LpZ4vK +rrcH/qDGKyn65EcnI8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L0noImdj6o+g6C7r9kfUKMYT4SrFIvkiriieaOwuR5/1h/eNpHDRFo05O4n7n1++Gw/hENa/n9R5 EbtNUP5yDavBJVqvGZdwv/hztXpLCcujM01S46/yq+TP2i85Ui8pFrSlbE7iKFGA4aKEXbty5g8s ob0bf2MG/tUazxjhtPSsC9HwsDuxt21W5gXW5p58yZXRGaqMc7JNoex2wbLWOTcijmGhHpAUPHo6 +M7z+2zmX+vwIBQo5Wnshle673wuRxn1V/rUGK0yg5njOvJGCL7QGw5WgYxvaODA9lG2XrJCxIAP +ODHTsEq2spCVhWdR8gMnPFHg/mO2MjL+Y0AVQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block v0V7VN80CyFDDeGcOpssY/lAMJ3T+b/nU8Kl779TEWH//7XnVtiKmO8GdzSaekYEJhdnIRJ3ujPg N9dW8VuVu9xvZXSDmdMIwK5YaRlizS/SgNE+B0khxK7rYjlTwufLptJdLWqzaMOKruxNgQ6eJVXw /0MBlAo5SrCBiSama/w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fMYr9a89Xcj3rXgCwcUmEJuk28yTl5B/RAX3aqbb5WXRvwkjyflBZGjBgOk67G3LdmturwsvgVeD mNKjZrbaQSkrYO+TRmeHRW5GYEhlxDG94GyRJyKWPrSsO9UG+HIuLqzIJXIOYXrCZhPVmlnjz5C/ b3lFHij598HTVaRSYyinsvfp3OKTGHQcwjR+X7zjqiqfkZo6MrlFMapRxRe/lL6WToqM/AdQYDIO px88NrOh4XsX9Yms2asfy675yylPOH9ufukdDq4gWCeUfgezwihcXWwX2eIX4FOyw+Jg0t2BRBrq VuTOnbp1bC41/zCoHbZIcycZsiwnLufvIZgPIA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376) `protect data_block Sp+cMgVS8EqLed0Tx93ceuG/ZQQOq5YexxyOMWxxzvodBEhvCmxbBPURv6AaUi6hl6u/dzaeORFu tEpRNE3hAFFbRZx1MXM/PF59YadVsRXeMocft+q8HG107PFBV2TuGrPzyMVX2WYAT7eVw11eOoPY kWFsCQ6ZszQhlVaGSEFziT8U2DUH7QfJKpWZvC7yZXaejcCgPma9fkZHJaPd0FoC8NAujONwzFoQ Gat1AsGpyYmBYgLQS8GQktt3wUj6HdOI7if3sgzyqDYtAi4B5hBCkXu/XtLP4/vWYFPHl3YBAyeJ d9R6VAXP9WQTQRXxP+KddMe3zjiUwBzB/clZVfqcQ3y3ysT4dNgJM4AP4XDFRgapt6qcsy+Ol/TG Z6gvsCj1iWSUOl04WqbpkEiTXzKhER3LTH7U1MC/vHDwBX062bZ1/Yor8rNuea2LgZtLe/KRII9S 1ePSdqUzczQMk1Wim7+tUd+4E7ShUUQlRqR/pzUpn/B9vfVa9SQbYU4ck/QqnCLNbyJ1s9/yoJX6 wdJGVBSBrcYRk22T90u2rIdZecl43rlRiHHNSkcyvatwsXC9j0mL0ek3mq9Xjh8E1J88zkZq0TvQ AulzLaWMaK6jdNe4Z8/JuoUKXWXzLNWXUzU8J4mqiT9SBtnMFrrUtd4hOhR9wyam8bdtIuxLCfxU +2l68HbPI2V0kgUiLIL46zjCYLfC8dVzh1/AKXCI+FKXO/YdxWtYhdOJhSA1pc+czvh+kLjweSEK 0NY5gZLAwFNWey1Ik4SsRC+rIvWG26IVPdOuZ1dXYyzrpUGiK9VaW80n3mSwwtlCRo336L/5mEyB G1WpC02Qsro+VwYHhdoMxsxjCxG2G8QxkvNqCUhOYDlvA6feicrx/ZeN9Vtio75jwjTDseRqt1An IrbesWBN34cMEfkXKhG+OBEdCk0MooOOz+a6n0YB7E9u6rQNnFBXiqjkPhvMlaeAEzAGP7pOyTPm 5MM89rWibXV8lyTbN48nqRMWLIRDuTSRAAnTEVQzPDyDt67K69+4jBtNeYqLB5gtXPBwycx4/MPG zLFf4OI3RvYhmF5T+MmBXvt9IdUS+A3pG+2qW8BKTntQUeIHh06qcGqFQub6HXwvruYMFe2FZzPl hJlOzLJuCZ99wUzl8JIbO4TGv6Da+9pxJUh5efvguT1d88P7gB7TsBKkY0L/+3KHz3eXlzmIEhVG uXenRvfqT7Nmd48fdGjhmBuPpeRVjmAHKvABKzkSzLi43RaEi0wwx0B4mzpplILpeCzz/evF8oZC x+qJ+zu2jE3R4oNI5cIVjbZpuelX5EtujB6YMxL0HU+cDciF6Oy83VxizK2oGDYA6oS2V9KgTdfq w201gFwpIIEeAlYJ6RadLjIaSDIidoMZVVcEfTMUOiItg6Y9y7J1AvxTTYyX+OmSaN3x1g8OZo7j vjkhwHkBqMQo32DcLJtRLjd6UR99oImIwFfbhv0in+9unNETe7w3J7vebGoeo19M981vtKCEjqjS 3rYyk7sqdbJb9m/P7Q7mMU0smeP6WkwVUD3OURCJ/Ca79fR5ifcvtnJqp2a7ATWk//fziKubxOWc l/rciiR3G3/tTb0gHxgUBFpcVQN0/RQ/xfVPEP7mhr2Xu5PCzkOeuQGCtelMmo60FUX3L02zhVFC 68kdWRwP9F0jHVZDClCwB+U3kTh9zbnPXI3ymdPmVouyyh0M4Dw0WM4GOS2Zn/sHM44q/kbKkmtg LOnsO7mQaThDvza4lLnTn0oCHq5/P6IY5ypY6XqGwHioODzpnRxkpRTGY/GpPosq8x+9F60/nqR7 v5Qq3ljsoLwOD2M4agMaEdvzSdaA5YjAy8K8zg8gMepa6Filc/1Hpm8JdOQKVBJReRYN9WqIv1fQ rmtM88xNsZyyThlxpZuoqr4rLmN670+x2aXLakg7CZUgTpbHYumUmuhC/gyzyouJ/s8CM5gyOAH1 vr66nqEIjCNcm6vAYd1A+7TSVVG+HpbZ07/uNzSbbcAfFjfE/pRGvTNzXzG6wUn03XNy9Z2PAa6C 4CzKvA/i1/H8AqOhxTcjWQJ9bazfI4E28t6P/K2owooyu6Y6Lx+LL3pL8MrtgpfTkSV5YRqNRF17 JkhIrSuNJxaCP9fwfH1R2pNfVpEUecOR+8nYK57qFtW4MzM8qfj/ygVrQpPIzBjg18xrS3K3PvkU wxNv40+Hd6lYYb1YY50lQdfE488P6So20XOuSL2wzO0XKpYOghKTFupyRjCw5YbE7fr8UfMNduoi kxPdrHWiYzo2rQHQKyq3FWYlkYyV0YnFhOKoQtwSXZ9lLY2BGqJIFn7zHg6lQ5PVcpe2AGgm4fSY DPt3OTzRLIylEaqSi0cvEUgUGJdhnYGMpW8rpzWoZFho/L76lB7iNA+AlK8BLyuiVvC0Fg7BQ7ku J5H8tkIL+Ay30l6qvcc0gp6fjIslzf2wgRqNx5eoKsbNpnprGdMYCB0GsyvIxWpjegzDg8z/DKXh kF2WfIfJNwuVleyqHKgrT+tYAX3THA+shAJVgLzIBYKB9Oa+KqB03fKOFUkfvHVd4x9d+5H4FSj7 8mC/KOtgg2QjWuDi33RjhUleYGNCqZ70BvgCiur3Jn69kSZUOClvLEuCA76DNFdTgc0MOCioVb9W lkmMjWmklkFX9/8w9JV7t+SDV0EofDAKQNLuqSF2GZ5EOqOqnKJsw4Qyt0oDP+8YebcSQ6wEflrT cJb9tfXTEzhapU8coZ1Whe03Zv5NVrfwxqvVhH8jga365LfLugvLV2zLaZfYQm67lgh4tihAXLvf 7c0iv2GnlDLmcQxTz2C3mmuuoCGUE8L81hOq62fpK9Xl/fsYu1ztiaJthsil4jqG4HFRVwTmyXmo BAVnYMuZxU1aN6orIv33T18iqvEDcX7GeMXDaJU/9e/sF310xTaS7+1s7rb2bRZLvHNzlv8XGcCP exCHW7MHB7YgjIhpXHs4V2TtstSfcOrddoOAfEzb+6q9ynmQ4/fj5H+jKMNU7Aub6Ar+E4qmAn7W /JDNWU9N37mZxDylttsC/NWCnAIE9/SfNlNf7b+7R6JQ+67sVMSFKrlggcsc1w0vgY501/yVWXNh Cc+rWHwjFbyh25Nr5sEZMX8PLQPmyQ9z9pSMVuP0Sqw1VPQtS1eho4rOQwib7eWDlY0vrrmI5lc8 3av/h5EhWS4lWqn7ao3+cYscJCnKaEnuDvwXAkH3f/KdSnMLqt7w3b1MhIyeQaxzAdAi7D4cTWas qVz5lsYme4mO0FV/JPZhUZgNRdbDZ0eWeFq3VxUHVvlszrFMNPkTIBUvCl5E3AtGtOi0ss68fDpQ E35Y4l9GRSPETo9nDeJ4XiepzeJiZLHvBElNIpIGWGfsXe48xjZ6Tj5QVyWDjfTZCeO2UeThTvjc CHyy6KEHW8bkRrs0kEcIuClU76SkF6eC5sm8KyCh3+5S2tCeuna4u1YjlrWulOt/HJ6JiTXKGDmY OwG7f7of0lvF4cnMxnLW0yfe9ou1mSDEbAWrajlOpSqdmgyZ1DlLsRiSVBukyv0Rb/sYLJrAFspd vHnfeiXwt8ZS6kpLOxzOkIjJkUY9yue/qQTpGUekIBF0wCFwY1MRNe38NSdm88f5fstBVluRjpAh GNIsWaypYcq6EZeuBE4TnotHcpAvbaTB0ZnDscLhuZ0+WNqG4t34plwvrn1xqfNqvsMjghYshLvW ZpY7lM9cACrv4bLjMppZcUKnpZm/xqiFAkxRDF2LZhzE7Q3pOFIXLyGYxs/4EJct8U8NJE7AqcNU nuPUjON4wuJkFEnmO7eGA/09ZmDSOD1lfECYhBCr7OrpbbVHHA5CH23lW5+eG28vm2LDdgB1oQ4D x+ahmcvwamVCn13wvCmh2ofJh1ZMHjOFoVaEjCRQqifg7O68cKRSkiPpSzYRfDRadExCs/5MnUJW dbiSsxLZyvmrDK4eJRGMYLGT2mBiGYc+WpINw/i+Fhk0sgwf/Nc/fP/7tPBbcD6c2h7sbifLw9Hs cubc9rR5I56hpCk++4s+5F6ZnR6v10LEe7E/i0wdY+oxtqziLwSKK5LtGHKnNtupBGGj+Znn+87z CbUi39uCzC8s2cwHS4d1/NGZUYNa+pEYfsXb+JWKYeH9X7wHbdWSS3GG+KWbrD68iRik9Cte0Au+ jlJxTk2tb+57C5mxl9yXWjkCVYcBL0ojz/K1MNCvkLl50Kc9ZY5r7c3rY4ioQ4GDz2G5fvHV0jl6 FUnU5GH5+MubRkxwJ0fav0wroRWZf4cEQ+p+6+xzgdqGyHP9z06BqTU2sVfcfCco0RHtDLmvfAT+ xtrALOWJcSElaaESHyDkI3SVSmpugrQHL4tNuCP0mS24vX2tLIipbGX+dCPyBJTuIdTjaoaDVwhx 2mqu4kerSCtLmnw2T4zN7op4nKD2oxLqJRINCR4p3OXBbbVoKjeeIv+i/qm3Gh5XGjxi0nF/nLVQ xvpGpA/rhsWWwlQAAEepJR40Q2+0D5bZinBaKR/snVuuYkSscIwbnS12tI8zOO8d4xN7tC6X8yNa Iydh/u1FUablhw3T+9kEZfYIr3XSebDjRXLEgtYRbBDzLqC2hn6aOx1qES1Hn+ziNCPpziPHhK/4 4GHhcx65CGtd5631C3RROszRMF3JU9r4f+KsSBeZ6QmKHpKgnlV4QVvYvFQehriPj+NxEjGwYpC1 gQjlxS0vTxX6OR+WrB9muW+XVN/EymCAz3OcunvJiyoz6B2QXmhobANTVNjHp8MBbhnK0/qBrQ/x uMXrhXCAyQTMv5fir3IaXnsqtEP/ufYQtNhF3SsKQq+j2ff/bpR/4M/3F2UNb7o3ciuC3Jy95KZ7 KjYvDZ+A3ZUQpqLQCkf3+HJck90ftz6IdlJc7bvwB8G7I/3C95sS1Kh1f0QIeZ1f0kIvi4iBwoY4 bHo/zhz6V9uG3ODPKs79jYG3uSOraRtnw09qLmO9Q++F1pBiiwbU6msdOfcszZJiuDnfM1JkntPN UZcPpkvYu/21f1p8QwuKbk/sFPsQ8vmMj2Ugs/DpFgmcYpUptpHRaJefOZvIWUvawq/b7gliY074 yHVitQqMNMPywUZPTxMqqTAIb2el/0vJrKiGeySTEinbmr1zYoXjuIe+Gpll1Dj39Renw6DoPA+K Jmngo15AGfdKN3QwkHOdPwVomI+RDIbT3tpUzJ87REtuRIUgu1PkqTAB3aO8yCfqCPrnoG/NC5+X tIJG7mbP84ug9sZSRzA4ZgD4ykxq2vqyi18zQcsW0JChd9mLGq/J1CH0+5uoGQaJzBlT99/mTMcK F/gIgqC2GAsoJsxA6D4EdoJuFuHA2e7W07NJGZ8mZzOh1TSOqDAwDFlW+jedJVJ0tRJwFk3tvXAD NlqhFkRZdB+B6DVZum3cyfP2gz72/7egciqC0V63dC1sc4brvppeP8UNj3Dbf3E9ZY2/crt0+PdW 1SSq5dZ424MEhr6D4LxNjliZ95fObALp5pYGozHKmkBJnGzS3Pd47C/Bmn0lEX31eFanb7XyAmKr oNfUcF8bEeEi3f1cpzKd7E/HyaLE9w+cHrTzt7TIXAVpY7GB2HQPrDk67tVF0psptm3nyDxmVQ2x KMeazoB1egPEaNcic5T/Fycs95UcpasqVxw3KdDlf4zfQ0x1Dezoush+FOVEfMc+r8Jv3mZ54bsv okZev7DQvqyDep9IQhowTGovg/LxUDf68x9pe8vSLy7fv4SjVlvKnJLvZFgc2cVY13vpV1XAFrKF meaJPIgbax0EpX5PLB3+W+FF/dJkBcXFBcncfqbuOw9UVQ8EUS2Z9LkA5bs/3Tj9EbTmLyGQMZoH XadAWPaK+KxXE87VCPfS+5GklwDVKoGvuIF+Z3sNcy1R5NfW3R3udVvp5LC2QuiqpeXfSAIx4fS7 svbQythbPxo2GdV+Z7lRsZ+FMvGJ95Y4jlA0g42JGxOMJuu22sW5wydxVqP372Im5Iy0ig7reP6T iBMHsia9uvQQfFdhOq5nVbZYuJAkFa4H1RDG4Os3z1MBf8f4lNYfTxbWBF6msJs36sQeAW2dac3G ZsuG2phLyGjQfVM/BgayR7tlxAXhp24pSEszSB1xGMoz3QKcEqNk5JXt6sPCYcbsCuxadoBqJLwV fozXvV+xerAfP9iWVj0VxaGY9MunBhYOTYnli5XYWtFm7nhu6BeP7/I/EFuPnY5j0r9nptP8ars4 nq3WL3UOnDm8+TX5p6cAk2bzma8TPiRoo8Qsi9H/f5j8ip2V3yiF0vr6wHLP5bGuUJLrfYOcfhYS nDTw4LToNblo17rfE8Vc1Y4hXTL+ijfpnUu/M45rLFkdVCwXl/aoJ5QlBVYy+aC/uC8rjY26E0TS OAwVq+gTIP5ZJCegPAXHaClM6cZK8r4NfmwT9iTkQZNmKY8t/LMtZ9P7yiG3tZ7JtO1PW+rF2qVN lcwLH1aDglxAVsXa2tCgCfixa/Rx2itkn0CQxrH2tPCV87ZEMMqeL2AJTgJKu0Hv3liNLXESzTGM Ov47YJcgiEX3JbkRGPBPdfVS9MpEGyeu1erteijzWIboIKsNHNlL0ql72X09+x8pdroyUr/EfWpB tRcmnVvU2xqBbdw+pBAPRmrWmHkyWvrrqg4Al0JKZwkQIyfvP/3CfrCUAdpBDcE6+LPb4tZmgvNd wRyBfeT+PYSFJg5KDywXyFnNDZ8jo2MFXq0MX6heKhWaTbyi1DRbY44IRst3LtWgqZWu5vTUVFJU mB0JQoafY+htguAhZySLYL8B+Q9wG8RwqgMVGpxKk6kjfG4tITtl0KsYhWd4q7xzAaJR3FBvfy/K otxAlKTr9w7uTgkSgld3V+Of0tqqUJVTvmAaLtHvh0W5ce/YKBC1fy+15MRARNwRMjlKaRCXUaUX dqUDeq/o6NBxweidwynWEcZyto0GmTxWxE7dqQ6Glu7qzZaulSlpAEDfdjQh2MvPy+pw6lN/j45U uETFRNSNOUpZMyn9ja0svZqiCwuwVaM7+Hog+j3aeT0LdOzqhtkgdQqF7epE8CpItWbZSVob4xIz SL0mML/yC/Sx4IKPHveoBRvCY5yFdYPBwD8rW7QqbWVEDp6hdedG7RD3RL3mRdePeN4ARJhwRNhR w7wK9I0kaSuzNElWvPlROlF3YisSfzEaQJpBRnddP+Uj7/d4qO455YW+2t+s9u5QHR2ou4JKb9FV M+zkITksLacFAY1myqGZeTslx/czocy4MY2Od6jnUmmG+mbelihagA+UeZROsO/avQd0ki8bBRZF 7LPbAbT3V8AdrBna+9tCQ5z4pq4cMXhbpLYKT28TrlWqaiIWXBM4zY6dMCJjVmcEK5vWmeXJbYsG W7AGPbIjB1IY0X+Bhjf7GVMooSZEdZwLxrH0HY8siQLJkFPHIMYS3U03K5qO/xYIHTC3WEEWJjpW XZMl6BnLSTVeVXsaGLYrPepmqXftzBIYjxJYEhy0T1UxumiLULJ//S7O9HKW0C8DtHJwmegGBFSJ l5MY6I5qHY7jxamVrs52VHnOiDFCgRNExXJqcL0TiIg5fj1bHbExUMKHRX3QG4SNYiCncgyEkYqH Zd8Lr2Ly/cQu+ekibaOiAymQFyXgB+dOIbmaoBiShxeM9C+xLR8VmHZuzZI9rF3JR99whRr4tuA/ gke1OXi5F7dCWwZJgqCKhVtVpSung88KTmzcmkmlTB0S9wE1BgyXjtm+bzfV/LeAYnWjdjtilLBV +PuvY0cg5D3ZxjUA8mRTQz0Ad2PzxWbxV6Nihp+UF+QVibHVoeDIFiXTWXss/8S58Uq/othi0T6K xGK/lBuaTYEwCLVGA2hR2lDN0TRzy9Ky0QUWMHqGxq4qQTM+P5qt01G5cu4Gf4YTeuqSnwTbYaqD tObNJpNK1u8SAbJvNjYfmDjn8VsQG/CjJJHZArc7SifaGyl+FsUTWs/ex9SkKWx1BS5PYwCtqeHz JlTTnLoxPEI/fJX+N5eviGsJEBif+1ZMy357ZKBQrHNtMdqnmBt8JloctMjmtWOFZ2+lEQjqzE37 QpdnlSL6YDX9kE6GFSSyq5U6oRoRA/vPMIe3VecwCrqh+85BuL8OckS33/S6gpNdaHH5Ax9pR9p8 ZCTcD9iHThpF9TkfaBLSub+saSnTpdLdxmj/5I6vdXsLOnbcF4JqlcriQFFAWH0kjJbtyxWnuAAw 2QEeFroi6tWlwDrt9teXhFc41ZZKsOLzN+foJcjNL7qCUSN5sqChES/E+8SsvIffxoRfmabKi4PX UwJBJlLKskX+fyrgmDukl0jml1NTfPBmJeeF0hPuk1jbsBMTLzuVkSk0oUqc2fvqsl1mC3cSBsRo axzTRXMVqf6WSOg69vLg2Y2osWmNO6hw0XXMbHvl24gFzUn9Io+x1JVcRkNm4LUmYJlKRT9KqghQ 7JQjNLiWlEhroAJbdvC9gvoXftjWKd3vFzWx8aaopYPwd+v0y7h5g5OaKt/OaPdQhH8prgcs5Qk5 Nq1C5bpLMsAvWJ+QZrRgGF91UMx/t2XqiYC9aLQ1FCtYLIayXzqiogIlQlIlV7URBK4ULYMawsLB 9Nvu0KuXQG6E14oHOHgJJJneE+OREHoRkhbnzco2ZPDYJKcVt10tavLeQVb0y+LdAeJDtKtdFBc4 bMW+s5RFsUtSsJjXbCwleneN3CXaSypLzhE7a0YA83o/gELaE7d9hEv0KR3WH73Y7JcxjO3omsYX F/xHT4+6s+dAd6Wq5kSMwZyGcs3useBUxyPD0yIkoeVxKzaUGmHcLvDPq9nm7IjEVvByQNOZN58u 9ebFN8qgqarw2c9sgGYLXtLLODQoM1b2hISJz7pGk3G2wQLUGaQQH3a094fVj1VExpH4AXgpiZ7I E1L+a3XDf6Hh7jsWwY0ZXqSEEBDLODK/ILZx+do3RPPYG8qh+41BvQkEn8mgG6k2CovSe6FI36ir BOaE/ocz92snoiMEligI6seew+bffYL3A2OLOkFUaIqIVwpgKls4KUJHcK7bFNZSgd9HqremCtvT YOrqRNyM3gFEFhZDKSsI/jMy05DunSzrZKeqrOPFKTMRPB/BclSmupy972isbsuS1tcpKPnjDwIh gg7xUYLnBDE2/bhz9m9pz2OjdsmLDAVagUFBhi3D1Bu+NGyGf8e7C0Oo5daapwKZQc1DhgYTAUZc kBCqKmYeJnuztSf0Nvh8SlR6roOL/Hl/ZYk9vLHsY4HTVpIBZgDaPa/9+GeNCJAe3z0lYG4SZFBv buriEGElUwMVR3tisJXg/A3/blhbFiP3N9fzs+4C+NtaysxkdPOLd5GE1aukMAH2/JNrXFCOIDyS 0oqSDI0X6cNKB2hVwwHePi+OPXCIIFdNJqVgsGo/vzZLPmyXSdsUvXfjiU2IOH49U7CoYWS+smfk XijSDyHX3EEagygvTWas6QgnYRNI7KzgcaiE9AS7NEy2beOj8kSxIMVQ/0LKuPddGhQjaWcPXR0W P4VgJVz0MkR8IHe9K4OegxWhT4OA2dbqQhEoJNLBoTkEq53La5apXdrXAdvCxSNU6EF4kldfPPq2 ZTCkNq6oVljbuQ2i+PQFvrl52nSWzrJmpsXfUDM+QWUYJjHyGo6CMe0sZcnN/nxLizL5525mxgNt nJ+oZFafNxGbiUdhLxU6t2+IX/SFmBa8Kb/K0dtVHpvsVlC9cRpjW/WPzZaaS7bmEkXOBvzahPHE axMYs+O5uFc6GZsBqdA+NDQNE/JqfRdZJKpYy0km+FVizrCqqSr4xeYASKSyzY8H4/ATdSXoIXRS W3r6WzJMqR3/o7aUAX4u+Ui5cao7eSHzyTNYenKB7s4/qhjv7O6ZZkuhegxEG7eHZm4Z+JEt5bBQ Nv18qE966qc40m0LKMTeEy9mhc2M0BTUXvQ0PfyTmqzbCvdYhFGrVYiofQ9tDGv4pZryJfCgojR+ juEj6h3Gh0wvIYr+Y4rgM9KmErxNquXHh9+3+84VxsP8mDln/mD7gpD7LzOe4qUi/nhabytuFi1R /fM12dQXBs3Lud7+whEL5PY7RJyug9in1UEShCE9E10KOKrn0ZKTVYodqkHOtK/glSZM68XyORA3 HuerXti8tj/dDChUPiXQvk3xKM5O0oBueM+p7Dtud3J2tpKueXBMt+cirYQDsOkfAn+UMqUGjPB8 kapCpFslhuph7DYQmTK1r7j2CwiPQfcj1gmkC5T9+uZvmm/OayKZ+C/eSdBjEANnKQfho6KLTLNb 45fnMq/fu9nScMLHl7/RBn7n+Sy1AGpudGFHAZaWLZAcrVvtQscLjy2QsH5G9gwIu+5nWeRu/QkR iZLwOo49msaAm+SVKFWrPYxtyZD8hPwA7NzcRLFdd6QlcceNBfazPmwq/UZ87ARhXp9Ozc7nc5YM H6e1S01GoeBW5Ls40WK+5d50O2MZUjEXOPvSVtUk6k2y5nIf5fWErEPNZkacSeSeN5Qz8CDnd1Xi dr5WFfn3qENtHX76/DGx142y/sakRWhSZgh6dMgNC97CmB6Wvnyy4bHk+tO2HFFcexe8qCeL2Gz2 3e4EfGTEGOK+1zFQwxdrcUTsZ7RAHyMw1jhf+bg9cbWo7cNmJW99neP+r3Y9F1cn7i8P1GoKVC7+ KdJM2aIER8vRGdUYSD1hUcvzNWYjRmjdOmbNqXPU7UIZlrG3LGp4sMUZCeQ+6TmXuW3dq9Xy+DnB dxaeHoMRMaa491dPIFM9O3B3L6jXYO9q+z4cRuLqxnUR2G8gt3x21O0+5z6LEqGWYqZThDhw5hs3 S/6+TTdhYtg8JegX+poOYf/wGTsNMz/ODMqimzH2Ka7To1CmD6WG1dDGxlfrXvlA4f9GU8H3wqpr cKW/d1sQoudi3xaQQ33i3jI3f97RFGRPpQfX0nE2SR9SWVEjCMuqFVRbNAdLR1JjOGvEk2XCTu7k zskgTU5K59YJ/zLP/9cjMx2mBeh3IcnrELrYbc7WmjkpmHdBHU6YLQuvA/ywEsZ/CLO9gLkRET43 eOyUAC2QSvEMXJOZ4bYZGmoq7FapQ7/2ckyoRSrLx8p+zy1/2kFiURzJj/HebjsUiBeojQyNOGS+ hLyy03oM5ycch7i+hW+13xLw1sdHY/DoU3WPfHt3sYK7t36KQg+ci4T729e2/sxBv9QxeavshFtH 2i6JaYXaF1gl8b7QT1Y/cQNh8elrn/yN5V0e1462spjjjOnSXV91KmpSQr5w/Kw19vbMNhwNedrv RoOsSevQy+cLnvchAChqQP94kGl65sl7sOBQOeKcvG+nrjQtzmSRcOh+mTlSK2ARBre2jCFyz47W QbVp9HOzuU5beljjvvePJosn9mdO9N/+PY3kkeODOYs50SZNPSR6JmJPVwpG2sxaVw+vpPgdIY1c 3MH0YnbHU7CfLzUccTjchebaTFPLy2iEjpbheH/LakB5gIC7Qa2wKziU/lfVJTjcgP5k9O27/8kD ZHG5uF49URLBZ+JDpbZ5hOjtcZ02790NRPAX/ORsFH+u6YacIdXIAHeHu01MxWkNhxYENXRanARq uHszXZxXmAUjpJZfwpZUumSKv24iTn2bhEccTRDyOJfVe1VCezjjDy2/CgmMKfl6sMU7AnwHPEBV 9SW2iwr5Cuo5MRb2tqDXqVR/eWByvTZkxoCLvI/0ZnAjv4mYMaAxxQs1MyERP9bs0l5PG/uBgsEF 7pRXGyub3A6BM9hd0HZfAWgBS28nWTyWqfXvZolZXrUW+i1FZW6PX1KKoZOzsydY8SHwG/RwNxbK jDCh7AqBkl2LdfRtRKUDPEKhe1oi1h4pS72c4vvvtHhSvpwwxvArEr7slIKscOgqw8v7GJto73wZ U3iM6g0/7onvQ9Hc3bNWEtb5uPjggw2W/Zi5gJtQWiYSyTNTN33mVpOIkwyi/a8vuashPRQXBgET I8iVzAT2Z6iUC1+nwP5aFK9RI/CsZbTFgoRYBmKwqsME8pJKfKWHbGwbs0eQX587N6uDMOwEgzbk SnCIHdyBi22YywfPzcUnzmF+mSgNqNVymnMFR51kl/22qkhN12W9mbC0VzWM+aOMrKhI1un6RMiS O0Jp+QrkApNCPPUwItdxW6Ekw6GGAqKmG9aeUlwGjul2J9QkE1eFeeB1VW+k7wQLipAzRd9/mA4J /ebbqG7VfjXDhJ22clLl5G2Jorp6+2215uIRfKRlbS2R4I0D/QOTNHNKCwdl5krA3dAB0hTvp9ul vfqI2K/r/3jq52gB0Ig17lQx+PWjiUsdsQBWrLN9GPXF9f2py7/3sUGUG23bmMZyPIh7Db8fl5vH N90FLo9bLGGFU4Lx/BuYbR1+K3eBazPWfeiuB90pks5lIfpAwnlgBxFzIvXZJJVIFZtkNOXV6oSA v7VJ+yRovLbw79IKADUeHSSHabCVaPjCiAERBEkRhKFGnnKhQPFoMotztvY7Soz0yCT/cF5qwFsN +EtOXilKvgVOwlAE2FIOzBZqV/TRh0qU1e6Y5F25y/PGri4aapAnuQbuL9ibNRBWhlcKfJAg+KTF AfAlFsEhwZlC1+eMUrK0PB7ZvvpWhUa7xnu/300xKCsuLjVeb77S1ukdzNUG/K46fMeLv5z4IDOC o1Ya1OsG/tc+W2lLr9FDxlfeOCLUeMT3H1tqncfrAxXAGgsDt0r8H6lD6/OUtM4qxGIVQ1qfX4O5 TNgLFoZWqpfr7hroFVLIp2cEjRajXFIQNEn0kQOXJEBzGd8pwhsCWvpRlzV0fBJ8RlLhznsvbKFt y7ShOJOSJQlszT7P3mK8IO9ZXaflfKh58HPSQd6E6UrNI/ocBjIOaXnuXYiPHdiSSefFfj2nz447 72+EL3Tq8j43JxODTSGltb3L6/vSAh/dVxIU6TETZF4JkhDR0Bfqk0AAK3KQ+HqaKWZySBP8LAif snL2ZZaCnDiLrG2NDiEEkob/8jssc4CMJe3BFjotzJKrqKOeBnK8J2SXH2voHCODzig3xCPCNq/p oNx4UlOQWY9FLQ0Y1a4zJShF8fKJIJa3TuvXjoaux5Bs2Mv1v1T3f2CZZDq6xMGFQgsInfnfFP5Y ifEcYwEXWa+dU8lbjKa4+JdBeJMhGmjMvzYGF3lAhGtaf1ughAhxfcbK5xfbrMEPIwxRgHVU9ehA /vy6bu4kTJDJKcPpzBt4slw1ypW+HI9h5hIUrLq77f8iBWx8fCOjoVobv94/OY7C2Yb2Ot/v0+xB Mz0vOqlYXSi1dOEVTDv+eqvf+Y0jBrNja87FUUSxytTKNv3G1iHNn+1JjM6EvVV/P4kjHzWhhfR4 ytyiw0wPD/ar0s2SPCasbRkE37V4kAfFTlwEZp8S2EGgPXjJzRekwmJRXHxJzHOGvRUaeS0tXELQ TvnhKazGyEBFU8zyF87B2wGokXV9PI8X8vr7ChJ5LuqB8qT0gPHAyrFjqGYcsqH3DszrBDfmiZe/ hB6WHiM0ucsNgLyS4+crvdmgZux2Y1KEQEnir+QkuNxz89fJk4zx0Q0ASRkW76xcN7ucdmlZuB4p Yf919jRSRHFDLYBPa8MQgeT091VefOrTZUEqwOR0vEmAVzIh7W9oc9hra/GRX20YdySEveFApHfu URn3yCs2NM1g8cGpFesUmhUMgKDwKNNFFBgID9EZug/zFYqV7AZ89MC8slEYULEJRm3hV/18hgM0 4sIHlcIHPCvdiE9U8e35ez2jY/PZRM+0DM2EkwtweorSARfg5JTriBpCJ+k92/QDcermheoaZ5SI ec56/xoER5cLjhFlyrlH4zj1XnukYSCLoXjhFlIb6O7ZCuX6NMVWbBkkTi9nKDp9fkthntVqJgJZ /fr3wsHMeuF3PQiMGxQVFKI5WnW3DFw8HOWFBMddnb6HtyOZeaXECMWc5DXGzJMDJPOswkCbUK/U LvnfM77qCULwrLDEg/58wnphC8Wd8jtxGcLBYxWAtOVGWYoannjOG17Xu+y88lrnbS6A6agurvUj 2GKSM/yLh2mjyBJHHsC670TJRQ5sA8D5R4NecQd9RAyBMN/wS+etITUTgEzH22YMAR1LWUy7EM4k krcZa1kNau3INt+HL/eWXQPULvq4LAgitF/O+/Bk0P/iyzZ2CmdJB2GGWAlGd6kRKwcjDiCk1LA8 n25H/ft1M3qrjWX7o2l0ewoTv5d5UalDuTPw7J0TyEf5gwsnrsQoyynSXJEIrEnGvMIAs9lGau0Y IBoErCRo46ytLlvlXWTuulcPHcz4Kw0nwkhNZwqLAmKg8MmXFq1fyFUvEXRzaCRoYpwBQfDZdv28 AcynEyfhibrejvNZlEMeC8/8oqUgJoLHr2iAyXNEIy8Xvb6/JQwgnpE2VF8rOvlhW+L39at7R2q2 NuG9N7momSAFAbi1wetrqf8Evv4caiU/Zfz6s6dDpq84V43ykeKoJgicHa5sicc3aosSbsOxxRbd aubWIXFTDsQjEMSgpAtGuVrrB6yVbeg2glNZlEAukbtdrpXkEqa5n6f/r3y3WKuo+CjzQwaDJMxu Dz3adFZy6PFtMhEjItdKJTVAgHg6qIMGoWJaKSfmzYyWsus2yzYWsIkL39HpoKD9hr1ICdr0bueB kgqLvcBiMjwTa2JlNtkbH7G5Z13kcJryWA0cBbWGN6J6oYnk8EAAQULuvOYXcm0BGsJurn5aPuri K5NLchVTAmWgW9PRJn5PbdGO7lNEvcXup4r/v/V6dLrv92KMAaAulo5mz+O2GIyhinkDygE20d1s M1eAPBmTPYjYmPlC5aJSQt7DwMjmTXXUDlQcMOFkPzW2STkC9RZX3K1KVv3g3HPAf/KIXKh9OVQl GzfrmHo4UaaF5no7UaxV+cPn2Xf8U75me1TKC/EMwlhFE0m6c18n07NErQgnzhKODKNK2HdaQFQ4 iGHkRbrYKeZbZQ/xDJii+KWWQ+W/ttVbPcxy6Z1HmlKUXjYFT3QGlHLWjEwObZFN9S5S7up979nK A74f5vP4Sa86Q/vLv6lcGNrIOB3KxS2gen8uozzHOCSqPlJk1UuZbGbrn+9/QdYsJGD5bx8e0E39 hPIiQotvnab+6UxqxkqDpsAytxjxTYZKADoR6rLpZh5uDgrcNy76FPhElAowZpYulHbHv3nKCRtF WUloXsLacApheAAKV80mdShsp4KTX6j8Y9iPYtcOyJNN20jnB5z68FEvrrOmg7yMGc7WkyQOD/dX XegiCeF8a1NApJsMVoE44Vdg3QGms7giRIxk6ZYeKPVCdJsf6EHFkPTmQEOh2LtLYyKOe8T1o8MA cwIS7XZzBBKc3XNSy1kro1w38C3HF6rMDciX1hPiP25fsLs9/nULPcZDpC+E/1RyyKQhatTZlGbA tfAmoYc4RjdQJ80n2EZYhdJwlHjg42z0i2Jt0Ha8nTt6VJyqCo4N6BaZADupu9rgmsH0vtCu269L rsf3wfgkDHqYwgL7C5mb2MV56i17fjGmOTZJIwyUJ+F+3rcjcY90RekigaI4ryi3z469FLK/hUoZ JCs7HDKc1awYQyPfu4bhfVhVKlEd1XQaAyd/xPt0Gr9Mg9EQnXKSs2K2XlTI784xi72csGdmp33S hX0U4pYu5o3XVMBsdXK/rAXlHJ5XrUv8qnnksL0j5Vf4zXZjha5Qdhwl83gzgGWOAaP+tncB8MN7 fxRJcq7ebT4v7ILktMEf5G+JSJW6qOZmoZ4PSjnE8AUTIM0CY01iliJnBWVsGCxtU4bSpxeFWvzv c7mCXNKMoVqIdwrzTEuFfYy90wfewH5t7tRgGQr1lmSg4XesTTGvdNh+iNm+7uywCydUSOh+Tdu6 Wsmgl+G3dWDNYXFhH8Z0tIwgUwWFw3/901pk9O3jAUy1qHJeax79ZoAhCghjOEwmX/0yYtJ7JSoP 6SThYsxEeahmUgCmW/0knBjOpSo/7KImZ67NQVWEgfyxH2172YD0Orl7+JcS79M5KCqNEZzMlRg7 j/wW/n1qPHTN5F+GhNUu8zIusVZDJ5WWsaQEuVjSfjavRz+6TF2kNQ3HxPWpi96ipD+cdNxWabA6 yqsuDF5vvYCCDiRbvQgrHbkeSjZy/nP2bKPkewWOa+KjhVFLo8+njyBnKkWCJy03P47cYHWRL6IV qEFt8m0UheM7w19mKUAlP1Yl5kxyGYQIimvl7FqkCsweF6QUHMySqX+6/O5vAUUsnWZHZlgN1Oyb ENQtpCxSveAOThH2BuOcAYRU7VxKJzGwXz4HEnR2EKJR+sezvEahHBwB7K7DOzrKSBF0v2kA2AkD rZbZOh39xHjV7CYBvv2oVYJe5F23dGt20ZZs69GIG2V4rP6IUGRQ2ehi4P/uLtpWPmThr1I3X46e 8aR/wEQvp1wUqeXULpP6KSAeh9WX2c/n1Y3ZeraoL5jME0A9Qo4U4dl6nKkv3FQScmyTP9YKe0pH iUWc7ZE6c8DmC8N3Vxd33nas3cPFpS51PH/C07wkM96Nmli4urPTpvkPges/Y1xflamIxaSuiwPd fx0YSnEi+HXKRWB/ep1ioYYG+VMyBn51Oa78SMZrSATmajNnY8w/utSuUs+S5ErKeRfqyTXmAGy5 mLcyT7/ekYTx80aez4rXHDKUX1xcPuWG1DdLZLlBqQvjQB7UAs9TH/s29u/U0JLSwiXJktea4gnL SyVGGRhh/udL+TI8nGLTKnWg8bH9rkLc8J5DS8C+5Z1B+Ffp/x3duph7ylylewyl+CMQg0Xat/ie 38LnaaHbuAcYngKKWE/DL4uaWbkRzalU3tXmHY2xQT4UvAGz0q6zYIe8tDXboR1MWQLE4d8KXVkP q/pYsoVPs2t5As63ylEWsrpIWbiZAMoSuQwf4uMvTKNnt3m50/c7nBXZZh2zzLJ3AHZk87i1Rmo3 VQfGDGySeNSgHg/CLANyY5hTSZnMRWY1amTlMazcV0djvq9mtxNMxgqUYRHLglG/8ig7e7/m2vyj l9XLiT/tps2zvhEbGXeL893aIzdZykVvu5O6wG+A8CxfAmd8qE+xrWRwYrBEtQOLJUQ2A+9rAswS iCuV6gRy3n9TKqUpnkJedtFG4QR2XxKHQpjtyEG0TLk6OapRFxJ+X9Sk+Ex0MGl6v3sE0vAZy/DL gctAM4kqrGBUbYsIS9JJgZk7cZXs4B3NMQ768IzNZKkM3uXhXrf+Ya6SV+4HMaJzh5pMafmSVXJR N6PORIBHWnI82gj9wOCyU98Ik3LyBe2RFaZefFn7Y7bJxlTt0A0Kb5EA8ygH1qiR8QRAJiWB07GV 3J68xaEZsGmcVfm6u0zFliam7YfsCVjhuO1iBJEG6TBcQ0uaoGqBvuHdM/NuuRXmK1U9ZiLjqFkq uPs+kXGayqzPrLdoTZNqnE9SuheTRrq4Rj7XLV8tXO1Bp6xk6GfFAO9/oQ1PW90f5JBXjDZDN/XT RDYDhuJ67fiUPakYSNZtU70Y6rdfeoSLA4m8CDScfPVhjYZ8x9pWVinGAGK2wsgZ5KnyL4lP6PyF YDH6BY3u5C4nQLyqcyBVG+dUyoAaNy5TLlwc865C2L0tvi56tDbRQ9LlwuVuQrvByAbIbdkfYGS8 yX0dXOjGib4mf7phSuMGBfTvaOKAMqk/2YO1smV+B+HyhStSdqi4H0Hz0ttGeUBRXjkaQiXjyXei Bje1NLGW8DhAcowccqqlzrwAHm9lnT5XuMg2OfTHP3Q2wuIgZ0Xzbe7mVgEhE433wyiv7lchaAih MkkxUqjdR81vKrNbO84jZ/7aOeElELOZUK7wP/LvZ2x28ya4HPrxL7TVFT/OdZmn3gEkoPOGO3H9 VB5WbMUvwMifoknK7TDT7DEWR3DPHzR/uNQ8q5Bp19ZvrqRPZCgg02myNrTq+36oGoEpGhugN7n1 trXNFTbYc3bJ06bKf1EU6OZtfdcSQNSuIYADlmM+am8ADVDJadVufS7254RonJiaKji/ezXSFOd7 eFaxzKP0UAkeudbcWJ1bfDuYwPTtXMQIFEH6NDI0sRaRDcgPs4KHTp6RAgDyMNeoABY9Pt3NPjZj JieiCdua3mouy3Fd9RT+XYtbWs1vgjmKbd91SeH1cMiQro0VGaXd9Y9GJXGeNAL3UgEhslo5fBrh ucja1M9CGbOApGsC1SzjeHvCmMOFVeZYuBh5AgvB63K6GcxhOuq/yTrTpR5D6M+BAOuIpCsabjtp JaZklqF/5VdRTGyT7wml1bvAYlv82lFmkfRYIOskERJhTIgckKrNxoYoZPmPXSE/fIa8c6qVEyY4 mSDL8nZ1ge1UthHsQAz6Y1XqCjiUT8drMaQK2bebE2UruOSG5vq2ek310/AfssrRbZ/I24f0+aTh K3ww7+9y2KYrWqSZ79yaRvjAnRSWCIEVKeu7ZhT6BcGynG2O9/vnWMfCdMG6gWplAcAkYQwcGGEf zHTahmDfLQfTqeKX1z9hN+JKl1oEMgVX1erGZ1REO4c+yRgWWv/c6CGnyP6mrni7OO66VUrPnFIc FzbscMkiRoc1Oly7R1by3h0wdiC04qsoXBYF5542oAiQ0JR0Rji3D/nPy0yxbaj2NyIoUsQBbE7P f1AEriyR6AcNfAs34vrrf41pTpomw7u4dZZ51BKqrUE/AaZ692vFowQnPF5GyQYofEI96Em34mqh flcDnV6P6dUtPrQ8zaqRvRz+M/HxUwRU00NBTLgBn2p5AV+wNew8q4IFobEaxDvx5r0pJh57wWCY XoBvJXABocfYRLk0QdtB1Ybc7sSPexS+X8Wu5lf4pUsw3KSTFV+dKFxIvp+tIcExCd/t/amSqV91 FdSjbD2tlnyesRkaorvzSnWSwbwNtJDHEApfG+GiCw/uSuI8dFwF6ENDgrsYgEJvvjTsOt8qEkLW cllfXRPPjVpvDa1Z5B5WIgO0DlNP+vy59bARBWHYG9SU/UCOvTzDVCPcnyEibv+YblTou707z+XB 8HoUz5jSShySFVKGkktotb4o8HPDML2oWf+HbsXfJPYDZZdkMdG9gEd4hgyKdA9+WLXVKn0f4lXu Q84w5xHFBFSEocZZ++9cwNXTmqXmUnDIzAR3rqIeXawuw2j2JA6fZe6r1XK3lSgLNK6PdYOf+TPi JaCNsX6n9ajSW8PtePMOIVIeKT834KqAvi5jZp1zc7+q3TuLAO1p8vZCDC6+fsPaHMtVo0wFAXPD DHkrWGmAinOKxandT1JAzCc93VJSc5VRId1dEKps7mvBzAxJ+ie6+UpmDf7T5xbDC8/UsAvh4e4N qWQmoiazk7Iq0cC+gjDCjCa214vl8aCXvxLRxqJ1cYd14dTwVWhU5fjB8kGRP/s/woraZXtOckgz fy6RBBcu33qDR4fVmHP6nC2U3U4ZBTrzUqbMXkztHZ9vrppBdghxSXYB5m8CfXC2N2TVUMtG4ELN Gf7tKwYohu0PLaLw8cFT4UCrYz+fEZCIEtwtvfxUq2gRuUmLOCDmayI1kp3VRc1OErERaZPP53pY TGrwqCTSqJDBv2IKFT2YU1pBZI+L5KG0PoOCY3aOFyMyCE4UQnCYAmdW6uml+P+Pntn8u7Eqmaud GbIOZIYn7zTfQ2492br/gecfH1BGoYzDwnxEwfDdppNai6mM814gTDAxAX6Z0i8U+xA8dC3B7Zh7 xn1QoAILe3RDBbYImcy02oY/oCKKeRpRu9LS/mo8mQ2UpEHBCTmdY9Gn8YGQ6KkRx1HJ1fDeMAiI Ek42YY/tIci6hALYvAzQV3vxo49IhTkGXOGDITWHqomTzeJCSB3Wx2NCxKSLKP8zSqiEFMfC37Wo mH5+ToZltjrdj/UkZac7vN5koNNhMeCSgqvqmpQZwaQVuIC2C9MLldxlqYEqcfKW0PrS1TR/a0Sm eIXbPFEQP1Orn8szTY18Sl7szHzsP/N0u598iXcebL5aESEXb6m1j1ASSySVSNlysiRHOAQRZgIv MH+xUI5U8I36T9a4T5Q62fNm69t136+p/fSnMk3u4MpIUUXuK+gO2NW9Z+HF1m45P2pfa5NvQvHD QAa/mi9/+a+t6pErphQ+xF+GEE3qb5DsM9nymqnMcsAhm306WGCxZqMGUrPQSLcLXpial4Hbnk1M 2bag354UskMG3LX2OHJG01ym6PnjQBkGCcUcP0cGgZPeHIsaX65UnowsFxwDUqPmmxKUyk8KhqVR Hy45Zc2Lb2zrVuKhzdcgltXl2YeXrrG1rQCbH0Wa/WKqTA+3jal+0ouyVhWPD8Bgvrf7UjRpZy3s vT6OTic4UIu6o2uiqV94S4mLRE7U1QJYhhyJ/zkAHlB1JrQNSCpFxCD/hA8HtmAhmhdxkOj1q+iR 8lm4+Hn6NnI9ilYaucsu8NX2cgq1C69QE0ZVk5ruBcgqFamj7HcEmm4JCypb6YkjfYo1yrd15Hz9 M/x7H3yMcw+0jhnpBTfAVXSABUbhABc5hQfwONafD8+Xq56rDzNIyroeUxepK+DJFXZfUeDmhTEY 8TbsuyBQI+D1UZ3JGJvBsIR+b4sBSV98sVB6UDTcEMB8XvVTeJ/KG3AAZGsmXgLjTVjML7L+/KZt BzvDyMzBaUKvb9VURZtHTgChmPfBC4QF2WtFb4C5snF7q7+nWD78hwaPRU6qW5nmKkHNZmRi/T0T d6iHlyuzvRuwjRzD9GF7BUzXqxytjyhTlYUYYnEJh58RLy9SHN0h+Oe8rsclB/PLZ1bbpvtI7R+u D1G2DXMiK8UOLOi4476YQ62kEeg8ZL+yLUizvRgHosUItLLpyatMftfldjxyKf3XMkuoSETHfHQy ayIitVnTWHX5+b94Iv18v1mdUq8NKWV1cj91NBmzncosbim+I5vmSS/bDnFpr0KVs7UecwytBQsD 8KRPVXF62flC/Zpmy8R1X6nYu2UHLvlq6aYWDvD6Hhkc3+YGAbyGx2+GcZk8O+mhGpx8IJbFH6ll +L1vmNdRrqxtuih29T8ETjibQTUY+yAB7Re81ASIdzUwEaTrt2iW17y4hXb0IHqrGQBsr/GI4Sut gLkVXNAGaSNdnSyjKW/ysUMzvqmG5L3T2s4F0EizPND3PF7/Q8RywLjJn3NWozdYsemSCA+UH2ML gmb4dF3ut25CpQAqkFxvBdFHDehKEUhUyzFW7NtE0ZB9AZpAvQk7RvyOIS6Hr4YEKQhFmRZbVZqQ BI/bjVLHTX0MKMB9Ms7evkWmAzmjG6T9zQYAPGhnpnJCO3dBUBG+18FTnEusdYye5GRpKg8wKRa1 9Ft3bVZzvryf3FpkZYUe/nCHj66eSYU66+aQhwtosQ6QmLd416gLhVi3hI+Vuuqpyep2FywobI3u OxCpQKC9oTF74LtimG/jtKdU1XPZWIb/KiC5tHA4i5EWU9lVTNalBiR0EQmGCqpm4Ss/52TtkswB c93AC9NdVOKc8xj2w+pWUX/osLngRS5sRvfyzand1YXEq6VkJS0TzMJbADAwlNqlw2rPtCxSg5uq OA/QaAkXwiT5/odpLjkz5bzl4o+VeJlqm9SLcXs+HgbtJs5uAOaHmxIat4vEoe64nx78xj04sZW6 462OghrFWqJzNM7dFNLrsj1BQxjIgNJD/opulOgkEDtz2InM/LjMdU11h31u0XkS+mbGqMj0aeUZ UP/mxBF//5QBqsZK2+PDTcwm3aKK2VHWDWHZOPCxv6r6lUuaKp/jKzMQATHxKDHx6P9bPnPZzSHw w3ZZ3gamzZqfSxZon2DAoLN6DTIxWd/C6jysl17fSfQVYNXBbZU3GzQ6aDLk4JLeoaWP6DeXOGrt hXQ1rrarQtSBp1GTWg/4qLh9iLGDG1fcStVysfur+kCD5jxToPeC7BILzGNyvvCzRl5XJKchfhnu D4qihY038XaBcef47al42IhI8BoKddepjtuIu4gRw0Z6jDEnnPRqpucDeiZckRwpKQv5MRAvxXmQ pdPabwzaa+vRgR2im6l3rR5QveD12dua4ccpJ4ZFhBeYIbPLeRhxLdyYVtlVySxCEkZ+vYIR+IiG ZXlbFTHWRQD2tLYXO+s6HPiGie8zjhF3smxpo9kCtytBeJ1XAFaLQEUEDN2xPAIhHxWx89KOOwR8 lh/cvW6pnKNmGrS0WbZDrRLFnFodafXww4cXl9EdIovUYyvby0kzQiqZNn55Pxbd+7uvprY8ciKI 2N83gQexuUJnHYTKWYYY36ln2q2FRfGSZhzYmr2UiTlPZggjJNwHEKlfpyklUTzYoGSdyOW/ucsN gU10JsJmHrGpbVWBTLOLhG24JTNDVgZxq4WdMvjESLhQIpZh7Q3wFHoARUZHWHUzlV6Ns1I4avpM RvUGbaA59oEH8Bg/KeVkdnvPMRqoTyJ6euHBRh8h6MMoE5vMSYVW7BNkce5r9umJlKDfHLiWNpDJ V5vKMDZFslySgb6PTakNxhhMXV6GdbgHNN/eBk8XruZlWpyk+bA6E91Q+yfbce7Smv/BI6qBvxCT SKcvIpFkIRxwl9dbOr1rP1+GMZsi4/9V3CmejJZcWQrAV2NVdEF+nH3tVdDZNX+k5pVI39V9Y0qh z+s53iTshvArzjxTZNFrHUK20JG/wTISkvh0FAiME7ba1cg5ix0Y7JiNV7dW7yRZiVwZr2MizbwV ywp7e+6hElqoRzbqO3Vg7tTbfc+1Ewip0LJOEV82LXVvRTswTw6IghTeowHgBrWo5Z0Lto877Xt9 DEv6tZUe0O3upQ/iL8fyyJicgxkDWljIcEEyixEDkeDJ701fTnBtltkQKl18fnWZpfpZINE0OxYj p4o4bxSIGkDWU6W8b7kbQ3FOOWqr1qH3JmTXB7UANwNlpRIvrKVVZ5AMSwNSXzOf4Gr/FM+HOBy3 JuXrJlfv6v77Mw4NSWp/Ot70axoO1Ri3e+dPJ9P+fSJEw4yCwFuW2Vz+hM6A03hIOrxduQSfJPXt na0A6JxSHTZsc3fFBIs/iVxDNh0wBQpRaVMB6JD/baidVfHyAA7CvEj/zVSJ1eeJkqbPHJ4iW0Us kPMSbRqtzP4N69JYtXG2XhiZZmROCo34pI7h3nx/+y9dnEGUIG5UR9iiBF6+z69vLjn45wdTuUiC U463FR+HVhlUS6BA9n9w4xFa3gPOX91zjDNMZCKFEehuL1/FHVRF5zwumieoZ+SAIGDb478Mkm/c LdgvmpeJYpwZSfiU9VKveKoJ7l59LLdHYMk5e4Jn5Aq1EIAPjvPmnoCmS1gBYO0Fb4vwrQkSwF+z RayYIcXQMTHHF/HXchf1ukFMp8rVJ5gVIRAouPdOiMit24FdNzT0g9CFPdb/m4ILegTInSsSulu3 0Ht4peDaDKFLnm+WB8S4k+210f8OEfjRQw6wn8haJ1Isr6C4U1v5r2LQzMVry0jpP41a8Ipl7CV/ eVMN2REZPLxXDAq28+h4scvZ3ttut4ZB2DM5JwPflsLwjv26N/mmsgHrZMS5oaUsH320xswEpsfL Dj6Hcen6PnXstLABXZjdgk9aErBQ0vtPSKXr67ssYBzA9wgxhpeGY/j+zxBUbzY+nY0uDZLbuGah rv7hjTT/Q2R4m1svsaZi3AE4WLnX5Uh/XSa+n5Zit55IC5ZlswP8ADoowwQBzrahIOTk4CbPCCDV H5Iop6hIV/fotfcHnv4hW57AVHN85L1Or4OmMn6XitA3DAiwkj+Nx1QpNcPJBCDTZuQONNh/Hgzx QzCpncvpVy+6ySODjvEB7tmUSsWgRNh9TlpiVlbAJh/982f2fEnn4syAdklAMryWlh2kpRNbM1yr PxTmxayN0XuGcb8LDN/zoj/2lqpGvVR7Kpt97V+BQTQ10jAeuGq6TPZ35vcf6sm+pty4MdlTSn3m bcN8DMuJNCkvvdfvvLMyts1kkFA4mmrSAn4UzvBT7vlH9PKqkNz3BgX/jnGSXcun6VZSv5chSjwd rQvgogNzJ4NsMEI+gHofKlqICh9eEXO38QhDzKkRLeocNfteSvrN1t2aWrBMfCBU9leC0Qqe8YHU WQkUg0y1VHASqliEz3U6bGhfTc1jIxpijngPetPvrgoPcOwgMXz7K3712TpglNULB2UqSS9qJ4Rg LmAMjmscZxGgvfsFVMrchmtxusYSdrLPf2CDgjssSawqtOp8126AxJAOFZKqeufMy6Xxl0ujmC8F LdqgOqkegt0QGkgE+6i8t4MkVnpdXe0KbqyaCtdLh83HemfdBNQGqMa8eODhoPlHIs9jiRFR0xxf SkicZgQAN516AlyJac+MKtNCoR2Q3q15BugIspgDkjEJL6Uz5fOgSQlOkAHTfTT8CTeARk25oTWC k+yGxVuYu9sNvzPZcnhewYtw8ZFJ/dKCvYeXKQZtWPVZiQ2fIVsqqplnaNwPW8Geolpv9+jlPMxM M2VfE93MCzalx8Nc6C6o5jJe+GRmA+8A+EZjeWOvLZEDNbE8klWU2H8fjC6QTVKOm9AG/rjyLBZZ iZQ8D77votW7Y/ofIhnINrjxHwG8AZmIE2WXLhUNaKwnwQPTGlCZ3aM2D3fOTRpi9TC37YU5kBwZ im2iCPrrhEIJfVU5PVhQ6FmTAuVY/EaiaLec2zei+SyZ/FFV/vkizU73ExrpfV0kru/wjveaLyY5 11DADtDFtcM1m3Fmw2OC+KE74Z15pxVkBHnKPGDnERuoUuInYDN83gszrOzZ982XpxJQCuwbFnVa JP7cHYCDx9eWGsDBgGQqs4alQWQtCa0NM/uGJtd5462qbZGgGpJQKbJjEdsAfACzU7BvHekYcOgV GaYQ5EVJ0Q5RCogM2wtIlAEwGd7nulb+CFpAZdXT2oBE8pgZ4Gjn9t/M6ni2lHaPlyPCoE86PkyK 8uRAUupLui9S+YL35nIcxNLIe+iRGDApEI1gPzY2LWLqASZwcEIPFZ69xscPas/sf27qNNwWAedt 6VYVRAgLztVbS6XHvoIFjdadXNmv/NW+QF0q29xSPXc9R2bwSCP8wcOo8F6ELH9zX2Q/IkqjT85j d+T2xpfAiydRjIVYL0YkJor1G4I0oWnJ055eaQEWvE+9VRf7rF+ZY8udHQ3o2qTfOK5L594HBYHs PluaLwsp33hKk30CtyVZ37p1g4XREMkIChRo6O0DyFW0pBD3Pd/sZhT0quMeZqmCBDn5ah63Csyk m0AC1IYF180PUmpi0QNEtaM7wzDl14fx0tYvHLede0CtYHWtnlIYLUkL4fdSUmmTKOm/WXCqDIxO tX/99UDo1GgdClO+KIYOaaXUBaLh6c11UqGcEYwpAVn/P14TUeK6hEn44sRnYHUPUnMLpYMo9Niq nuMrJA1QPMwuxPKba/C920HV3XRfqvfz3LqSPXwLnhjroSjp6L1FVxUEURxgLayvAnmZNerETodt xFiy5VBEUKio3f1Ab2TEVtPITX3O26rWRxBVvIMfudAilIoaqImnKYwlR6fPDP/yT2tQoR46KUVL DBqerojGXP+EFlKoB+oYe0VuFDHvK2+agUMCOC088ogBm2WG3eMMBxUGHN9gdTdw2iQ5xyKpgXah mVBYUUX4MZ6g/1OUfHQrP5gtRF1zuiF/bgR7gkNdPx8Nx+XHphLTcWMMFJ5qq73Y7ZaeiKkDGdxD ZX3m3ksuSOk+viTktxA9ZKzkmjaEOSnQfthxlg885Bp8YdndkGW5wtF0yS3hIRoQCCvw/ImpXSGI qUEdEGR7tQQ/Ma9PTgyLqdFH6l7yhJdAR44GBp1dqvrAcMrN8TmpNWCitB7SsYRRIYxJ0R0yxKxw jPNsNjYc3wi8E+AW0WPdEd70OkbwtsJmragAV2UrzxEuTTU1vxtdpZHpQFCLhjPNg1LwDhzJtB3u mrS2flLbdaXjucTLPNs7G/trLItAMijIqpPOKN/lXw21DCdOtyN/+i+5GBc5n+tJetr/4WNU/0cn SlLJ8Fb6x9W6pdL1i3Pn/zQulPfbb7DnwcUor1BHoh6bvQ59mSVzQACCd6nEqxcXXclRcS/Ei4by wDa+HodN5PoyHZT64ySIDAx4y+eDQDUnyze1uozzN9z5ib+9mj71r8DGSyg6aennMdtgXE6UsxGb XCGkojiNKay3/rh9GCSoo3kTx72xACcljLHmoBSNoIYWS+EQXZ3f5va4eVrJ3PHQtiVBOy5eYWEb zXN8TG4J3UD7d8smThNJUJlEId5QAASqrv6q964JV2TVCAa+DoGfypQp8X+8paKIfVrB7TAU3C7p OGLalpvgeaSEzgJ4NoQXymJG0C2zJTtDV1rfhG7uoe+u+G5ahxlprHJLAOGjFtDKvCvnin224tBW RCOkx+YjR7vvloDpUDW4wLkSFm5704n/V+T9WLVVbIFtrPX/I2M7N7MU11UEWcW9fx56OwBbWdMM xZfAkrMIcTxW9T89RZzkt3wY5TfGjBjb0P47VkNfcScXFChEKx8gShTnPbdMXWWUULdBbJrdButy XH5G2cwCxGMnIpHIDhLyhchzX6ZvcDha1/NvCRxfNMMHEKxfPzI4aa7qspL1HxttzypiS0LBht3c PgxGNaIpGEoHQ7T7XBWhkBkcfk4W05+0ezzSO6zfRi87AGnYQEJkA6uf8tyK6jwLqe5UUR4ZYf2H 22sj4ir2ZJ2XwP9ZjWEqsf3IUeWiE3LgAsBnwgBtxbwu8xytjkBn0Nc9f0IQQICUjoNnD69MoQvo oDcQ5sIhF5Ur4nCwnOoduXckx4NUNNzGOdoVkB8HGS+OXX6OTcCX+tHCaGtXOcwu+tP+wDR4wU2T HRg5CI7ftY3kJGEXUxZkiMNdM6Dd8h8H/0E/LdkWsiER57E6rZ9BdED5/W0aHITDwME6khu1AqEL B9HpTz/nx3BfXy8aGQK4TKeD0+Qs1tQP/B7UTuN9FjkbW6WbOMW1l9hvgjAtTeaxYKBAr7uwgFJa uSD8KmrE1TeZ517Q4SXtlASfdZq8S1EYtb4ZAkVnQuqw1HZmOL2GPONC90hxYadPK0i8q9ZrRA8r UgOcEKjukY+m2H595OZnbXWe8504OQIcFlaQhmYU9An/dTOUs8z64y5icho/SgfeyNulyT4ccrGl N6WDEVjBMwUMkv9StNcSRx6jwiZkIhMksmhKJwGwFuiNfbDpNlFrUXHJacyOgMGYZ+YZOjwDUwyN VHkeinv9i/uMvCWEkT1r0pCQwV1S86ire61NPV861uP6XNs82XuY9kOJDoVhP9qKD6aiNWiHIVcz WlUpUz+PoxnpU6azGfywsExo7UOIRYwvso+1b8ZW7w+rNGRc2QpxifS1rks+gohrVT2kYOt8W1wV 9nFPP09rq3UGEqyWgxIepeRij5cPfuPwPRwhbxWC+Du4Kp3w+beiPMugYAv8TCyNcIdaKackXACS cx69jfVucK6We7SSq4XiS19MERM8K+qiUwpMwuRiuwe3UOOpXCQSErYLcfl7LdEbUBvGZAqsSnkL zjPeHWylHMvbB1YyQ8dAa+AdDoECtEOkssrFgMXJug3yijBe+Q+k/MGbxZLrSo5K0ZYQmVU1ACbz 5noZmIsixK6uC+NwVgJ7L1/fZtNGc5hOMplJwWiWMqg6ZnkQRRPyiDBhakr+VtL7WFhhqDRZoJnu 4brN9dfCChddzmO4OhZYp2OHkMohqDF5TvF8k2Dj/qDzON0U5UGkyHkgxkdiTFAYSEENOwwnVU3j gHt2egdX0tw5+2bb/FEY38W7dypoYESHZfF91Vr3ghquL+Z4HsqndUniHMaTFDUc9WPiwACsMdr3 8s8EPPjEQ7JWZbV+eyC/z+1iks89ltPvHmsNCQF2TjQ92gBNhdUV+1F8Nv50PI3cg3ZZ2jL0WH5y fxcXdHpfWOgb9hgUbf0/mP0fhN6AbxRIeESxLyMDGFzOGTGoadHQS3YpFszRpnZ6UVTYwTJkcyK2 R2IHvyYKSAvZq2iup3oT1TS4TJEWaiJusmZReTIehahC/IMSiAuQRowknb3kRyV+HQbZI99Qek3f 1szCpruXUwMtwQJH3C8mj7ustF7F6LPHvtkkKdWDUV/VMhwyPDpDU9pK8WUgEB8/Hrt6A57XOP4D +TKxyQgct61NsM0JHZADlbEOKimut+oY5ygTjgD5raja8A6pCw+OPXspJyfKWH1+xxyNSDHcsFMw 8d0StdbMQbPO9eVp4z0cAcfXoQVong4gDI89Fu3Xoj/S7lxk2s15IgjwyZWXLqYvR7vNBGKTWX5U MHWVslnytpjT182dNvFt+dFvinwgkvcU9SHKtIFPeJ/MP1yoAwTVRLqb3EGfcc2/JS6oHc2MXn2a Dj5GFmUt9NyPKS3dxfCHpK+facDDLqjeXLP7R0m++U7Mz9yQ2umh5eVT8lpq+Pufcgl1MO0EMlNr tMIcpRrsDpzS8owkLR/rKg0rWhazh1bnaCM8oFcizdcYzGWTiFlAmMAt+jSaaj4NB5T3mqHqpjvW ZLBwksfKDmAiZ/Q3cgHgGGJLTZFBaNiMVMSxc6cEW1EzEpil0WTziFCjsIw1XWxGJI91GFe9K/NM JLCj2g6CH49SmL8neAtcj2qGh/HIcrDzi6TN+2azMZi0HxjrsL1O4O0oPQHKWfH2e3chZU+/uGfG idjfwRQ7yHVBdVxX9Z0k//iLkLhMPLIzHXfRlndGfU2iwPGh5gBs64NI59Tv7xW80N4p0baKQHjo Q4K7INHdHLf10r+PlzQs9wGEPFk90EdkqT+fUaBX15Z4M3/LkmUFXaDzAddPs9WqWHJxIjxMJFD+ P2cB61a3y3cwgBqF4NL+RZcsKXdOvTcWDo9I3uHFXeFPe8A1Pi3rbomhxY9i6MiPgiKEbFmPsDo2 K9Ta3jO7vFMIXSNSbOZ7bi5v7qrc+zMy0YmW+eCIlQTFZ+RHvQ7LNsAbjn1GIZLnCqaxPtlzFCBA ZK+zTMlFbKhUAAxsW4Y8Pwk64r88lqVsIO9bQZl5vERArZcIhnnTZLJMGOuzuT61XiC+93CmAjXE oxu5vc2KniJ0TA1PBDsOzPYyiAd9PEdcO7xDZuW0y64FAHtWLiY0+Qc6x7ZWoDic1S72AmCg13uK tCVDE4G07YfwGMt8E+AymU1Cj0cuKGkddq1Xu2xwAYcxU74iibj8E90wcnRlE68ay/2FI42/a9TA lNeiVSf2RLFeoBaf38f8K3HbK3AADbcJVI4+qojpbkoNBhnREjx9hb/Sf9GvnzjNuA4WgSf/r6LB k50Z/m7C7qsBROBBR3H40Pfhb5709NbLeWCkjtiANwhsi+hhfDes4LJg/QKrVxxUDB2NjNLCmQWY QCf9dq02HgHRmVFDqHgruEejMrzpsX5HrK9kmVfB4Gah2VmSNILf5K2ifZctC8n0p4V4v+esH1ez 5bbJ9Xtr8E0oLA+LvY+CrVKbmwi0mS3JsOVdbilt+gadEZnjqXTLO4wdIs8HdIANTvQ1+kpXHF/g 3lIHLd1U5Se2sGafNUEKmicYTyKZ/1Y0CrXEki2+OO82u1HiYIbuDimtiaOJg35ZYLB8URrJAWiG sGJCAbyA+vl0FZPk2ccbKIaxqm2kfxi1enFU85OAfGyrHiwYw0nlP97+PlGGpeeZDViwWNRe3qx1 oFuXcIPmmcGUFYxqdm8UoOo7GK2HvSbKtLyByt8QQFt7UXXAw6qOkueQ56ahnx5c4PR3EqfBfcAN 31gzBfOfCYOzjc0gliKN0FctrhlpJN+WX2hjDhG4THgMuVU8ZnOuFBzGoQ9va6YBTT38L6THv3ms D1itjUEbQrAHlsovLuym22Ie7RLoQ9Cw/BdFMROdEMa/wnqVq/fWp4uHV4ql997WW2UudkLYZHpg XTydVg936aWHjmKZXDPB6kLUuK038qjuOaxIflz4osP2xXGILuSMcX+ss6QgN8fPi36SSte4sUii Bv4U4cHhkcHPiaDEwQFK6o9bIdnpnOM5h8JZlEBY3OjMnbmT+WK7MF8I26RV1yZyxLKQz3uKRnpD hG55ISnHp2C2NcNBtOwxbtlYnNf5DVpSHCgPfOItFltKU5Q9F35t8AD52sdou4EGm8zro9gWWC5Z jjAYKshohsjSySoQNKEWa6E6ENrvgFcPCyLM69aJlf25IJ8XTHXchXY8fSf7gHS4cHjBwNbkEKgg A4G7pEFKEXEnKqfdS5Ecspw/4aLfNEfVY9W1pYki9kDU9GeKdK7Jys1gCLoR306zq0qOmWEw5Jv0 wxjctem83QrY3ltYPzgXfieSjDTFpTH4W1YOgYIMY096M23DjMfw8v/le+M9W1oAqq1XxQKTcGpB a/d9b3hMmsOUbDN6J/k+aGaZrHUSw629ET+zMks11cu0AoFMN82K8caoXF2QI32DMw4whyZ8sdq8 LczU5PzJvfSdU/UTXirXXoPpjmL6Jk94ebjYuqn+s4HPOV1B8tZwD+21aH7/UWnGy4Z3A3Mv4npC d2/jJWPE3KlzoJO9M1bHTDNWE9iUAFFrXYDEfGyyqeZrTdUejBv+dtL/p2j0rB+/LLX46QYZHLap F48VkEk9n4+hJiPWiYVbO2Qlm6FDCC8rjC8ZfcMuxflPGmj1pw9WfVfkPo65ncA3fBJ3q4rSSzrE eUUKyTnKet9Hl/0dX6qUHfvh6RknWvEUVJ3H042v4bhk1xSN4GkR+VkpABZ154eyzSc5khoIynX9 WYc2xAjBB2+dOgp7Iq75W914kEcBWxKRR3sp7WhnJGG5m1wQDUKn9FwE/VX+zCv7Xx6ns/3wz6eD iRFlFNRQ8TiL4oC2bM11MJCn7D8y2UkvGJ32y9ow4h1d07bSC7BaY5C4pqGYx2pF7U4BVT4nB0J/ R4shgbaeSfdU6n3bP65n/UarmgTC1oxbofPEN2HGSxEwVapxSPDQEq4dpLVgzZyYbY8RRWilir/V j/70Hqh6VZGaiJ/tgkBWDNH56SXjN0WBtUi+zMZw8ODwjHg2iyCNOoiKFiIQfu63lZ+eMMvLztM2 0ho2WADObpsAce73BhJANCrcXiHmQCgn3FEUCdsgITqlYACor5vvYR6fAJ/faQvPS2JX/EZ9S2dS SydaC5nRQQgC7Zw/dYfSXCqwhTyPBBLvWfdT8Qdr0jWQrQTYVs4YnbF2sRvOwBW1UBgf1P9sTQ/S XqutJCi7rzsBibAKJz+fRCuuDloR+yASn2Oz2l9hX3cxC4vzmpBPAwGV0hwar6aOY36hRPB7kqQJ DgXBofOuzq5TcfBtDwmcX2ufxYW8ql/AkdZkE15XPf8eaX4wYZnTmK3Rk+/xXxfkpdkVv5vxSy86 oaJ2FUdxVjB7XiKYM1qb7/V2POqTR0+iEzvoWnJRXggBVFcNOC7TSHU7zukyzkPlbfmNQBBs6JEA vmn8l5RP5HgWhIq90By5Hqa8vH/71j2EaIIHJEPCQ38UPn2sGvxkIcGfelA9JHS58x9r+bMBP+LT /JY5u+SInXQHyF0iZNBR94uvlobBcrSL4J+H6nbSZVsmD2eJsA+rBSEA6UlOvvQRGf+dbBog4DcP mcnH0wZ7YKHbMpBqBA31CectkNzptoBRGHhPPbWLKDeP/C630UBYuookyL5VxVIIE/yv3PK7VKjK TBSWSls9S715TkQwDdI+rH5pJoWYmxKQEpWOMs2vlZ1NIaGrAzQ6ygTsYBdDTaHYnyt7uR394uq1 Faut30SPlK+pkcfTCFgEH9/CfXOCOFlkhXbqt2p4NpD/2NVIxiFTpBRUPRb8hK9O8PnxLn8diaQB EPiKaWoDr1fStTCUxRBJBL/htO1GjBU+u7g/EZOYpEMA+F4+afFe5uFGu8NPdvT5X63M8a79Imbp mUGLqkXovIT64hS/2+0RZKeDXCgw+kSydnD7S7W4e7tBXdyUgb0Q1fKL3djLVbDSPLM6SvfWHAeN pGp0gpSdYob5/N8T1CXMOrxoE3uQDfti/IQjWPxxPWEFFppMwgbd8etf9KBkx3ikPrsqhLzGh/6V qAwk7gIuwyBBykUCuWqUYLZhZl0bjKqy4k4vXsbCnKClBEGLqjgqr5KYZ0D0ckVsU9iiQaKlR/D9 jWVAQrdjONzUp8Xd043u205MBU9CGwv3g6N+FiCbwwkZy0x2k3zkOEHYbeMhV/l4iFv59QtrzaUu GdGUwNVfJLZXiXz2YtN+QwOowC5OPJUevco23YNYjIkMdOkslL/53GpnVdcdY2nQTBiB4R4IM0Sw zd2X8+Pc7S4A6xOKZgO8ZrwAzziUVL/wivxySYCp+TvoIsejI0WdcLv6MA0QN+Tjhem0s656gL2S YLZwi7bgWrrrOwVM+RBEuNeILURBA3wCd54BIsQRYxh3VfWXARg4UkaVWWdMt/Xfhy5xYj8E+jyC ZaPxqrecBrBX7VHMCJ9olh/klFe5vAMk6JepNEfiV5UKOJpC3kUykfiZ3W/WcVYEneORYXVPx8H9 eMuaCXezrSHADHE7QUg4HZBE8WaK7mATx1GEiSeptioNNj+d9SKT/jCuZLtkSsUWks0oZD5q3Lzp Y62Mi+H3exftwN/AYxrj3G9tnYQx8D3dpAg+3dCu7qWSnPZp7M2gk4wpytp21kp/J2ZKt0+NOTBK mL6ogdexUHaO+qN2JADVYgq49X4BePD+E1R1lacGSzlp+E+4OKti0nP9tL9X694dEaJ7gjl6OS9h hj2L4klMie0nRS4PBZJBxL8/4fnZm9bW5PZTU9SQZh6HcG/5wT4fO5mT7hTp7J1NOpE2ofnEMRxe mTt5tEpHQY/MG67CPMR/tWBboY3MaAJkQ8t8TCMZWNyUBPM1u5Q+G3YLsBJKxeUOjP7/M2R4zbnZ PcckRhFS8p6IwvDALI9Kl3B7AEuPExpkyN7pCANyh7PnNumvGSPITEcEbY1ZSj6vhCQr86NERcFN bdOQ8jZchADeUvCzQSBlHN0c9pOmrvZ4f2HrHhibAXq2el8Ez7MCmPn2H0D7tTU98O5SnsLRCUD1 MptL1VkipgBRvZf7IQqZqOYMRHnTyz95ajJgEmEfoDYLVQf2qT70u2YLsB7p6J4r06dlyUFjcx2y WIC2Qrf9I5BJqnIShykyiv/Bg+O6i69mzhWekXtKEjktzgGRpy0rGJW0LNSspjv+YiJURIj13V93 gW7Wtr3KlBEoDqR7MrnJxkPYsinwI/K6nOc3BaJYd9Bos4TjgUZXf+fuW94qcEANL7TdHveXUdl4 BOkWGgxYwkFPqZKElNCgVcKzN7uNbK5zyRLjvJQOOkwUh9nc2WOVRxeH0WOrjg1VLg04WwOSs+b4 /RNOq3DasZWhVkfG7KGMmW/T0CMz6wceEe5SK9k0enOKPsluXl/LkD47DGdsGPPXlCiNG1KqwgJ/ 0ua3UKNctlBQ5qTJ2qqrD+ew88cZcaH1RvqT6G4FNxUCMWJiWycktoQHYoyfqeB4vd/znqRRrvJG Qse01IAe+O6Z+swGt1UHz4yypv7vbuEvTUYxQDUrDUzZvfvbz9TZE4E+/r5Yz+CFN22Zim2RWD7a Y0lRlSDQvsEkZEz55fjU/5hEqBe0JOTKKnpu+MFn5N53pUZUBLYo2Xp/TL7/TdWkqBiVc+LNvIPV dQzryzeWKprof7GVUU/aqg8AaUEfkUFtXcBKMuaTgQNoOG9RrynMVhbXzZaVIeVXejz79u2VXTxH AxzeHDow2OjzRFKU5yQV8AfA+3Rua3jR76inFNsjOM79U2GyS5dfGBcLhtsamz6f50xkyYAJ5J9D OvkOqmIAPN+w/x1cTvB4nvj2nuzCdO6x7EMukzLJeTBxerV30O6m6DnnvWPPlFM45Nb6jORmm1XI bHAv64LFZxKOE4nSYF7M6uBmANibKsP8WrfSGAdnlKgk7Km7Qb6HqTALJG9s7seSVpjOVp0FvCbL PiFp6dh13ROlD/KjITo6XmC/YIsss6q9L8DgVoda6knjTrXlpz75ecwNyOuNlQppwBGlPoazu/EZ l+5K/dHjiTlCbTC4ihwCezjjbQr2g5zz9IPPB6c7mo/YbzfRMOt8Qt2nrQeK6rJiUpLjTkMDo2Z1 2bE+fO5X+gQ7jFr1hAF1xFGZXfY2ooSAQxYhIpyqCZrP7YNLfXHYjL7dhgd5si6zBiw85TuOvXF8 /2tFTE5jkgB/fE+/+jn3NTEOEMCtNdlKOGJ9/VILqUvWfpNmGcrZsW3gpIgxPX76IDlWlE5oxa7j /s/bpZWSvn4djyPbdnB2Wkmm18LfKSshlZmAb4U0ZwrhBH+WLqLAGVPzusBohWYRqhwkexW39rTj wKh5qvnxLy5E9ZKTHZx9gJEhtLMCE2zVNG6nGEaj7btFTMHhEQ0BG3RtcpT8xkM2VWLD1zW/PupH RnLbIumVA+RuakcSZCHJvCrYiFPAlPd7Q/CjqZg05iEWTe4L4yLMSm/M6gWshy4juOmQZ1Alk5bc An4JvvEl5ipgJWiMzwe+thgUpv4Ha8UOL18eyAjIdo24jvgMCeCzK25GwZ3fN66Q8ZZClcn0v1MU Ys3yvmt9iVElJlDxKDptbV8DOlT8NBLU0bYFMIjQhMg/DU/S1nRKs7sZsA3kFBz/J4wiK2JiR2v7 CqiAMhylWpqaWnMbcZRyr2VuaT4MQFerw5miI6gDvs0NA9qGcup7ohQocL2u9Y4GWjx6Y7qfaNop p3Lg3rNWMWFiUE05Cql359joBiPQ5Dgy+rSYoPq1NdnZKcjRO1rNiNzZaJpxyODVGFvvqrlcspo/ Qu9hHi7QDcr8/F/VNJSvr5ooOUJW5dgv7UrY9YmZZYUa45I5bINVhNZ4O4LCgEUEyohanmrID3Ln LSgplpWzbi+ZW+wYKiPMqILk0fyaRZ2R/1iIXOoXapZxBdWFzYBp0/vCnT/+ReeYwyIZBTWQtkFV fqre0U3Tk82I1pzy0cMUrPa0Ww285o5oyfJfdPo/szEJBBgwBw/ORb7D5ZM8GA0UbjbgPcXYU/jw jqymqzb7tmO6shFSxGQV/uV4w0zv675e6uc3U4BlyJOVwBhHC9+Atijbsz6cLL7ednebpF1411vJ x6WDAQn63sx41+X1BUpX6uCnYDpnzDGaxZebkmaYgUlfmp2i9mfuqVIBrNDHFAHYJTvpJhQgWJDn fmg6JvCU6BSVKSMnVhpCfFsr3Rl/Y2ph92IybQX2+0j4+0sIg+yduY9UcC4Q4b053oEjcAIEfTvO zY8V5bPxV3AfhmvWpk/GeXg/CZBhKNdDuzlXcMqtmT8MW1qjd7QV4JtAhgtd4L6BuF5nIRiVBE29 a4fBWelpemCBDoZA3lR2lCQbgo6+i9i3xcNOx/t/QTz05Boy/Vb+awfV1oN5PV97ALYNCM0vCYs/ ntYD361C/DJ+hq1LDY6kyHRukB+K+kzoQ/czn4cyN3rL8ARmpD2LBto13JbdMtbY+pvT/eQy0C1t VZCHxuuVBFf8KWB2GCXW9XM3rl8JkLT46QVhhP/k31PcG5G8yeaQ3BbC0FcbNr71V0ifZAQWFy1G aaVfb1f8U2vA+f+YzEW8U+aOmDLk9B/dJQJcmaFWfYeXWt5wqOr0qY0WwbKbymYy+R7b1l+42YII 5N0/dtSOmK0oJxtBXwI3Gr04ktcFlZaPjEq+Md1Y5WJ3y4ZcL40mG61u9ixfX5shvyB75Xp82elQ xTBTmSd+TAifwMVZ5T/WZr80M1/qkg+/caMkVhDR2InvfyDpICBIGbf0Ex8Vrfr7DZw0f5Q4ON2D RrKXo7x4S9VlFwtM5a2vpoXFAA8af4UwPTmr3v/+uHnCiwSfmIusjTAWhYmYMOxHoJN1kq4oqf6W W0Pp3KCU/y2TlR6W6h6vBUPzlEPB/l3ddxYxGlNFiSQjFUXHMozL8cX2fXeEJ85dg9BA5vux98ic yFV2S2BovY8XKjY4zECXYxP/tpW536uQTG8SCM1UIQHc252Tt1JjC3uaZpxtbPM/MOmLsmscbXL4 p2vdfSNP1N+SgtK1CiLkBesCP8Mgxj5MivkHhZGRP6HnfjcJYsCsucNa8Zo1Lry9kO0cg/8z/H20 3dZnp+VjIq1lo0UCELeklqq+GnQe3FezaWEUTh9Ci/bbUti/UmPHVVe3TPphvXF7/34jZ9bzZ9ul JnWH0cHATeWNlMn2dKKPhvuhEfuWE3vdpWaAHm5Q6kgCY/IXF31W6D7JlWAyuMv/+vQbat/4PL5v 1yPczsZvBEV6e+cy+3s5/OywhsRAybC65iICfmKajFNAvjxFtq4TitUQgghuOQgVMxDs/pCc6NS1 2tZqFQWy+lDunKRt46EzEdeWDW7jJK6wXRTzcKr6HsMMtyATgvVF8jKq+crDJZWGRHv6nLfKYewe goG5SqQTY00A3kiYFqKtgFKdqPvBb4rFLte8wmQyAs39SYkF54k2kMcOVZd/QIoWWVoKtHd+hmbY vj6+b6+P8b7p7LSNvufy3kSmRLdXOlEcnVel0L2n10eA5llsSX9elmz2RELbZLgkdOfC2rOW1Oc5 IseJvOaEyT88a10+bbgL083T/9R6ZGdBfn7Fv5QclSMHKPILRRct6tMbQ41h3D3Rm8kZbSupta0Q fBdsoAKve9Bi8DQPaW0lVYCnLuEOw/eIkL8vtYF2guDhhouABJkxqipVGlnNEWG/ujW5nBKdo/i8 bFygibndTH6OKhhH6Ni1+V2oG+J+HgoRETnz+uLYFOX6Y/7o8VNrkn7V/vC96yXoabxUYxn5hrvg NwrwlbPkQZMq1wqm4KJou4m/9PE8rJzZGVvnAT1rETZF15KuRJ7889DYGVLEpoexajT88vr07Usv qEn4lolzzwajDz/CvtIb9u60aOtPwOStr/uFzhhbfADm3MI3wiwHxauNnfuKPjuGXA4TVsB1GYXM SrCnFzKG/kL0rLWow9neC8+8l2aRVOlCBO0rdsrOm5awCXL5mIAlCZs1VZB+9xUfAK+5wGWWhYY5 sNarc52H2STKr/uEE/jNcCI4q3kcZmhlVn30F7Am4vbybWyxiqYMVFk2fIgJ7LzBogQ2HV2lqfJF gYhL8Jo81oCseGgH4HQRez6+6dhCRco+swoj7uu8/OWSvr02uX1jFa7bmFNpexLlVd6qHkzKdYCi bwf6pS2mqcXu3FU+JeLXTMKfhRV2fjNk09zXztAE+unpJs+tVF+fjok7WeaCWVGSjhBCl7xIvlOI fouDQJlTzrIzgj5CHSMTMcJSshkiOEB8A9XOU7HbYKD0OgzgrYeG189JIOjz+U8//mC/b1r0EjA6 gD9qgvSA1BZw4RlGL5SbcLNXjoAhyRXHD25OvvMgKx0MXPcP0X5HvRF5+fmtJQKYLdz5Xa286Vre nfrdCMpFJGvinG4E9BF8Px8Prwu5wsG/PHZ7f91l9STLDqLDxTXJfhO4cPrE0F9yoCvdSZYVtNRB x6Tjbn+FBaIft3GHERPQZhftUeeSjfSxGoawEwoAtyFAjFISoe3KxoJLBL4D0szS3eTHKSXZwAn4 CQ9WEqK5zyWGUCJn8M5lGbbdReyzaZK3E+/k04Jaz1AEVtPRUQClJmXR0MAZEqod6A/6HlfurYKK f/4Cwfu5MTPkX3zo3i+IT//7GeCv98JyYeKQe3s3y2nSYRKdNUdMl+A4yNtWY0tVeh12T8Ygwd45 d/7zyiHh68106X9657VQNqdcK0f0HDfMV5VITOVujMCRQRbeprIQqG1bcX/PYKPck4vHOTmE4d0Z AeyyNho6vVLy69aN5julZ88AUc3qrsVeDBUGN1t2Hr9cTURAwpEljQfaJZtvIgEvOazKPNDRdbiG SOFONZqYKB1v7qDiGrfREbUvbnlYtVlkODkBbj4jutAdknsJ+h9lQBwTrpnCKup8JVZKAe0YzOLr aCZzIFZLa5pIDtzFGkZXrLV1o2EOJ1oPiCtvBZOiz1uCOiebsp0WtF2fxKPmwiQfLlo2INQVAU9d 17fV061Eq4frbJHKenEaPEm+JRzgB30wYV5xSUxS4Sh9XtlYN6yi5M2Fcp2OoLnr9Z4fL/6D5KfU VS4kgv+0NDaupdrovfg6HYoh6t1Hu8dw0vMdxWKEUyIVRcWEmaBcpsY2UxZOt/jACuEafgb60zvv 7YlY7M7DzUMmKlk689MjCApew3ju/2zrSQ2E77nH9R/YbjWQQ//B5vuZZ2qM6N9YLRRYQFD/rPSw yuVroLxYEa6otJZ/LbMWetR9Uemj8peZbwgRL1c6TopLveu/hng3GWGimlGF/mpv75EHmIGkcWse 4utJXQVmIHiNtc6HMpdPLkwTxTfXkVHkbIV9dIkQxXjy3NetYhpntGgapSMp475uG8gb8fk7NWTI siIwiYsTvjrwhoEl6zGhawo/h+aWwpx4WiXELjWyZGpkI5JkWdL/em4OXIgIhEp3wZMffSw5eIOh bfTcalbyK2c6FojQ+zmA4CfCZKoFA7gY0nqjAhZMHHxKjCP4YHbZTRwZrV0ZQ6/fSA7/eTbimhjT 5Tgv5Ap2sld7UPCvPq1qN+iLGUGlHxTsyyYual6r+UMFR2buv7sA/FI/swvzpYxAsdXrb1iO37Og 8a9fjiqhsIz6G2mqAytOyrQA83q1oFYvnuAUNOz4qDUYdhGQdbl80cWw25FedKkoCr4SQI6o4d99 RYXXWd8bmgbye53PTlyvXzfPExD6rtwXiKOvcpwNA865bIhstO+1ISUrM3NL2g2gHFpakOzneDl5 kgMs9/4RP1t3CBLYgAZw7h9fo9U3puoa/MOX+iGzdb0ewuNja6FyR2RpFVTYrY4tA4qCngY+qhQU OiU2sdRWaGb2+RncOY3DkhPlV+dpGhS56g7G3rjik7F67OWbF76DzMDiTDr6INIfX2kWYs+RSvtO VcoEFYEolAzQggH07gUP7aJGRJw8BoqUe5Xx3cpJwQ5rICtYfe8/rT5ohmOr6AoYdEB7fl1Nka00 5MPOK0KQcNftm1/zUUzXwsBw6G0kra9YZ8BTi8ytX2HNYOnmyQmCjWpzbMA9Jrgb561novGQUDQ7 xdah1GXjiwMgOe5V6BNkdAdCfyBu9Jvg2enNbGS6b16ukc8SqKT6eYF7/TktJGhNYsMDDE3AvQzf RYwxONJCt+/FZmaOGJq3NkBGM7PDJQHefidsk4g+SGK8aKHeekRMWv2F1/t8RJJIn/+TLTbMaPL3 V+cG2wvF+MKtQfaoyb+UmtOf6rmrVAY2FF6c+4a8L9naJNgX/inrE5Elgl/flzQxxuHQNI/S4p+8 y/ZogYbBvzE7O3UeCSVY0Jh3Keh2wRNZkjsYmflCBUdWUA0L3agqe1AnM+aYPxSPFtNKs6TXTzab UXu2IObAvCImWM6nejw/ETR9L4otmskpXE40CT1ih8n2ZoEf6+T3C1ZkpiNvOrGlGN14Z/dl/L6q i1q6ijmBY73vdLA4J695FSEG01EXBDuPjWgBUuNeYh/VIpY8mWi+s24ENT44bFMEdDNFShbsfrPW gjgkYIodlrrKcLbTxZR2Vh6NNtC/0OKZK0bCuCz/2Kmp7ewiFXo6JJy7xRo9G699ZmvH3StOxJQh M+54FOYnIsly7v6ArPexTA5PzarJSBU75d0t2xWiDSxSWESOxpGtIDsSP1j1UC8k6AatwdFYOd/i IfsZALBkAcf/6s7/+4DNNZm687bSWZiYfn5g82qSKJCi+dpvJRpwR7sPqXOEXbVpnUkMlHn2XhK5 oWvetFA0yy+IJpfaou4lHMumlxt8ETXNfeOHoJX+E8TlczQMbd3kJYBaq9ZrnvL3lQgOHeQreW3k 3SKJIJqODSZXsxwuAw4Ik6UlX4H0tgwx7I3cjTIulZNnz+W4itbfr6ESvMNOoiV6T/tkRlJQUCFj 6PB2r+EX2sALzNGZZtjN9VS1gpzNEwE2vZZSRFJ3bt2j/R5HZvyv/sf66gcPhPdwQdzRu0G3MqJc NAdvUJtjIKvjNaskTPtfeX7VrthkI4tupEuqAeVdveZxLJ3zkntQfy2A13n/YXHS5/eRiA7kgCsb Tj573md2A16H89YzR1RjKl1TQtyTCwp4jxJwkknaLLyPGWzxitjk3soCAUvVa8H3EX3U0t8RWF94 /u7qHrVL5vkdsOEHA+wii7H+oslQfNCISP0EJoPVD9jhy7FiMcmvejcaTX3L7zPTrJt4NPqsuOL9 C018SRrq0zM9IP0xIB4HDFlVJp2GbAVfufJfPAAwJndFB0Do1smCSB1Y2v7FzwvDVuyXy+1MdcTQ p5lOeO9eHpMusbBpQ0WztWyPlb1tGkLGJH3S0ivEdRpjkFjonB4kxg3lyE2Q1DJkoBC5B+wltPAE 6shzVN4nsbFlvNjzteI3aQYMcdv+Ku6fIvuX4/KaBHGtRHfMK3x6zGoGUv4B5lW7TmLQa2y4RKnq kIEEdnLlKRo12WS0UcO+lvbwd4nxZ4EVOeaAcfcXAoxM6ekvCem1f9hOBl+AN9K6QXi/Tyj/qKl4 6uxkTspQOshufebn0A9bB8o5zjtheCvE8A/m3s9+Gd2jhmER6fT0H3F8pq5bDCZ3uLKfALIDsqiC /Fol9Vu4k5KUp3xHUWK5dP3Uy1ssgj36EHh0Bp5BppynlkFH6pwK+mEhGYvOY8fOU0P9YPdBSjT9 ltL3a8pvYLW92Qo9gGH0CJPSp0URgb0UFkltOd/6aI3rWPwLpnbtQlL56uOYA5IU0QdmuU0KlVNj vsmMCiMbZBmtgk3foBWygmf17e9bigwiadAtSi18/FCSXhm7t9FatbCaDvwVXDtanQ6+CNBrjI4q TqFPuwVjMPL+0Uf89hyJHJreWtpZCM7sNtEePRtNBIyXDWCsNwXa8gaanbfU22NZ3afZAZBsCkKe /8ZzO/by9ZsTL6PwG9xKkWfqfhOxWprtQkcXRrXRLGSYps+EY42kOjt5UkJquvyoIaDlaTsSvReo HI9JZgvKZC4rvvx+AQJbaNOmNRFhUwFb0FxUJ7+haByWot4h2b5lnR3U3lzkUo7xJHUNACJ6KpkR NAt1ladjtQXM9aABUGx8wQMD5/MaWMLLcnukfL0jMUdp3mIXRspSp62+6rq/qrd1j+Rdxr1EyhWE o+z3okFx8qNVAUydraLx9M59beezxTb20VkG7oAKK6EbyEsbX8Qs5bAQAzDr6basjTIWv/sHHCrC K+aX9mQTehLPv+QdgUNKZ56rzvgQRQNhKe3nTR+IQQuHqcEzvLk640ETVY10DZK3EXWa+vIGZn2z 8fTbdRFOjbjaLiyv1h5gc84gmZ+/eJNXV5CbFHqgFcTizFcwxuWaKPbREw0ghvPGIlhX5UZSTuvH B1NloFMxPY/odD8bujDfMZrFYyx3MDznGIyA/P9j5PArGnY93WCmxTWmuxzFzs9x3pWotEOH0Rnh DPO01fFEAmZAytEa+g5MtMKKM/UyrTG3sOYSkg04Nck01bi0eiwUVGdBCmjuHPfyYfX6UmCjlkB/ X1lPBm/BHV952kYDVK8Xv56PFuiyVWnZF6bLq+Bfd5NtHq929NlItLTY9G4tw4Ck5nsMR9vsPmk/ T/FfCYbjJNY4el63JVCrmckwRN67SHxGPgbLXCocm6j2KgFw9zx4MSvOEG/UBNegE28yHDbdmGCa QudPt7YqP+N3eHbOOJ1X2SiG/Z7FDWLyGwtmE9iuSYsZ/APmuEAeAHYjWDWmDhWQn0KD0U/d3s1g B2rw7uGAb3M56+FqcLV9/eZ2s1GsePIa1KiOaOQBGkoGuqOiqUODdMrr4lOTQq2tZa846P++u8RX qxguy8KZihOY/c5oGjFeNyh1wbJYUHWGwkhuAbhGPKsMdQCv661vmLeVXqA1u6eh4hXd7OWHrPXP KwdUUCSmqvV/cBkb4BTU7HaAF+OfHx0iaog9VwfUtrLEtMNNA2PVk15BV0osqccjTI0qpwo4fQxu dPPqtyZqRN4Jr6CvSQW/FFmwFfy8WrFqIdNkzxmINimxKyGepF4egqC3gyUUT+RtHDw44tkcPh1c Wuha1y3YKwhTbaMLj6WTlCPiQ4qIHvwzCv2FVRuAhML8NfGCkB51lmxCur2xAqs5dhSKUSofyPIM mw53gEHJxoNHecQfVi69hWNmn+GieoIQookN7Hkd/zJKbUsi+PmUgV3M6MnTe7PW9UcSErUAQce9 Rl8rGvQ2KJnlqsnBJwwFbqcysj0QE/VtLN+uGdM2rWbH4XSiPhqQ1JoDapn1TuEXGKt5fCuC00dF O+jA2mWBJ8VJ2R1yEuOujSntsuZ3uLtWadCmAZlagmkjb9hkdCrISPXca5o/dTwgl8hZIOHpqDUW FXhp7tJBQQFbCAAVcY3MS/8ah3o94dioYxc5n/mGSucs19oBBxmJYLpxTXoN5USxMrvvzL0RE+c2 yUOrP5gQbptmYT/0MdgMKasV8+uor5zNyvZaMW5xwGT8cjuLJm0g/qu2HKMQcyA6KyGy1bzIEZGW drtHL4zlh6rg5ufr6SYg85SUFGXQvu0YyiCHBv2sg7rfdtTI7OYDMhSaqA3VM8yx5Di7o6vDW2tF hglLIh8j8RLA74rTIgtQDNMzI3Bj53kqUXxplWWwZ4N0riRxuINv1OBNtL8VWplY5c7zK/ltqiJ5 XRLHvIMpa7v9qyulRNS4SfLOsSzS3MzM8yd1M23tAQWWDfUwiv87M2HFSv3Z7dqmOfC6cGuxPx8W jkrLO16LJ8GKhpCcdCh8YqJWgBG0HctoXk+nzwOJkB6IgfVv/U176yytzCfh4bQ7DaqNXxq9mA9N jX2VgJYPlVFBulIRPQsWt18SV6X+S44WT/f2x2f6qqsjWcZVKM2Br7Sd9I0oF1mI3rlECJfWkbwX AaJHOUS8O6krWvsMED2fW5W/7jGF6oSM2raT8eBMGKYm7DG2F0yck8fRq0+6QhovXz2PZRkjLUwH RsOjIqhpmmm7FC5S3WN7B6GK/3DvaH3TEZKdJQ1/uuVKUPCi5BJpCOzNEM4XZkS+gLtiqwcJpP+l mSqzDRP317h5j4WNDMVOQsBCvVxsYE27n8Nnogfe070FzqI+FQ850qMNEM+VAGGABswtTgkskbnf hu8ukvHeePfVHWl2mq8rSNBluQhfQXfM80wJwRjEP63hiQNjqpxc2VFHzAuj2/LmF1JF06KwCvUY zeyJnzvn1vwxbDsS/70DBrZuUm36TmWpxCE14KF7zosAlyvIYi2ipG/VCQa/4dX3sBjL3wFNTkDb BtICTtioWMmFNScmm/xuogEDL8WrIWoZEpki3mMWL1qlze5RZknHcqLDIj8HO5oa4OQGQgEuseUK s3eKfyYUIeLChfjMBjWAf6m6cSZuyUWd6juQnZV8LZTnLwXw10cf21QZXucczwyo9vs9VZQxsdkG NVPDwYiU0ghOtosf6ETTwz40N4+fUqPXoB8b+oJLvOKzZlxE1GNBj8OozNaYBvmh1HY9OD8nHBWd ijh2scRRdFydH/IoKz0PU3uAn2+oSJ5KHCQLyxFAx4SgO2pOf3OkG7RJqEPOz5Uyqp9n+ft6A1Z2 YGriCmVJ6RmyhQGgtbzMO85HVWQExpH6MN/ePhwrbW6dwtns3GhRS4PHnJNSpB/1WVLDO+hCEkwl 5je+/d16iIsBg8M5oAFU4X+hTx2gWFq4F3hg9Kc1O6RjX5kCwCZFq2sWW5NkwHJWsBuTz/xizKg5 LAnBRggqmLczSKBtkKTYnBPFuWRc/WzfsNcAwym50M9SpHyhNRqNCoY4Ghglvcml21YYVgxq4Iz3 pvnReMuPBq2lnVFvUC28PmJwZWU87EHAgHPelV/C6FaEc2dDOAGwCwaIn8ldb4sqElMMKTv0G+nx GcQ4/SXZCDo953wq7/ngqRwJpIkDGAJaJ7xClmffD69FiJhI/YH05B3vlYEF/sf6M8jIRe6hgVa3 VxvANuZuGPd3XVxQ03GXutb0t1NWG8LXadCtYWqmFnhMHBGqTh5tEQtJKKVHAE+JQDHFeCQuq2Ox dd6znf8cMzlAhJA6c4DGXvKiNUwdmqyBPlSBkE/oLnVHGwCBYiupThu+PCA+2oNL1fxaLSLMXp6V y7ki2gH1X+imacxRaifA2+qndJJIoGqM2KCWd/lxKA5mq2TXLNIpuqnoPhh+1JBxdiA45eV6CSAh Q9nd1Kh2mG2qpHykqYjFmas9umrXhgf1w1tLdAdg8hsBS4eA2mS/LbLo2fBvnCebzfrQ3RIg1uBK +lYHIn97ETC5//cse0iWjTQtkRr67WqX97Gc8bmo8mMyXYgdcR8lp/f6a4GkNH7Auxg8L5vuJmXm 1BG81yivMHARTtigxrPkEJUG52DhEiS0Uaxu9HQOC67gYVeTIsPmjabODt0++kIz9hu5zJh0g292 NwLWQkOW0rqq7BBmmITF+pTaSfbGdAt+UjlHAA8esBkL4Yfpnm+RVXhfie4aYoKtQLa84lXkhiux JggnnFWRNQWYDIS1s/hRorJpTvvyOYRSGhtU0L4lFaQ9NsSXyAME1WBKvShF6LvGRazlLOlrEG9q yJw7Dsa/NVp1orn6KeoYqnB4dvm6gt6TbVZSLrGqrKR36nbmbpNnxpnS4KYyLSk/kuLtzJ1DyPBH OcjYli8XFz1shi7BlJb0cqPG3ALYQxHxlQ/Pv75Q8CghwRZW5Mvtfk/lFDamKlPtO78kjUb+/ci2 nDu7p+0mDAlGbitkSnLZeQwkh1vGkAuu9m+JvV16M6NHw/xQNCjBCwu7Uh3Vg7kArm07/Uc8SxBX lIzsQh0xv3LQLTCzzU4+MVi6XQlFNgudfNz9wOMl8jBy/0d49BJkGgCI/JI36eytYaIM2NCMd24s AtitoKMlxkEDtwv9AaO1JfkKHDHuX8mG2dH8UuoSF8NnZ62CPCKVZNIhlUkufY8LOvUHxMPv2Fb2 MIWsvI5rlHWbK+cGpSHZ8fkMByH5A/bDCp8ixCP1u4CE3ep2pYGzQuLH8T6VkKkrL2DVIcwdALv2 8/iDlj8FDIUyiEE1I8H+EQpZRKvxcSQGcIMOUdfF+qXEXampB1sIynH++edMTmW2P7ryGZrwnAj9 FYDaNyHQmTQNKi6LMXQxRUO6pLmeuI6iHctdRxQJZKCmgoRxeCR61EPmouCN264SXlWSCEhuocP4 chMDanRF6PFpPFczDHNnZvMYC0pNz0kl1mMM2hjzsFXDIHJoaXXY8PXVHmQYU0/1i1AH0MXL17xl jClgqwM/Bp0DbMPPC8SzgKP4bwVpiS9RcEJJtMSoUltrR3VmKsUkSfNj4ILOksdG7wnx97R+rWtC uy56iyj0hLfeauuivSi4E++VUnWAkaQQmX9ETw2M52upO3Ak4sufrAe+FB6BVFVfhHmiNIgwkv/x jzOajF/R4Pp4JEj0kVVYc7zGKZmRId3BNDNOKGzk4ydcjj9ehg2AGSCgWB9CaQ/5wRCPkutM9y7v uWB10h9GDHqLvn4OMcqhSZnWF8goThpxsxuISQo2Ws/fafTM/AwPkM31SwGIqw7TtMV+jKx9f6m3 XUX1YvevpQPsq6Kl2iyoPAp4xt2C/mFm9F1ZOxhFp1nVx1agk0xDJ6VCrakOLH68VBJOQPBITcCW 3EvWPZFOssAyapwrVuVWOlJRq5fAKMlD4wOznSSv79FHhcMzFu8C50H4SNTJPGeCmIV9t867sOne LCTxjs71r4mSs94oM6mkZGorw12SD8RGT0onCs+slVTtScKIr+c0EwyA3XQBv2qeIZpug0H6Rla4 m33xSChrgWNpL6b+VtQ0tGGWJRGm1YmBwjJQmrSzi3QpElqs4y75SxZY1jB01mNhLLr8aQf7vcLx OwS+YQa5DXp2t5fEGp9zYRXVh8qBkRh2+V73wXvtvpxqK1YRdP9sjIqs0rd5W02cxd+cp67zWcSw 8boGUwheFODhzsuG9ngEejPgFSta48Zde1STkHBjs2sevjfNp16LY735jFicYnVef8A3aJl2jFab +862fRokcCLHy5gX5vgpMFrfJpQklMpAyKl0yskmiDLw6T7aRIUF1J8CV/nCYCYj0fr8kpDeBhOe M6sWvVvUz+iijuViWyS4hTuCSSJO4pW5AvugTF4pK5GnoHnI6i7p42WzaXwC/DrxM+aIXy6OdKtm pZ8nw/rh9De/xGzhTXGmgQhuUTEybxte+VPQG+FhPDNBEmQSHjLVppfolO3Ni/d5Bm0JPCnRY8HJ bnhtjsOqYiePuyGHb+1ahWc22IKdcjrsMmeF5LHkZHxyhZ+BGEmR0gZ+MbUoVO9Xh3WGbgyn3giB fN8nd9XhukXo5NStauZYaqymvo1X5lBltmTZLcQ1VwVMzNmDFTv2YzXucIEzCIMglYJpmTO6fy8u orMTzH7WXBaBvcmb2IoryWEaI849LPhgMORI88cSUIdJEghByQLM2gxOhSwXsZkykSt5RZlJiygr JRtohANQc7xmS2A475BTRMU2q+KqZJ4sWyhLTMG5jbNPA9Y8t2XPsUB+KrTL8AZ42lalUgONcblO MY7e464WcqDZpx79kKk66qShUTkJTARxmYDqqR8FLCR5utUAAgffPXdj7BNbaE1pqCtyRZnSXvw3 XcQb1YqFMaZfdsdQwhSSZY7IiboRfR9gTR660V0BDGBxVHyoLOWiDr8ybJS4M/hnUhDGcYzOElMW 1zUzGq9JP+AM/S86BfHDU9faHUlGr1yTT3/ljmO3WAcHQ/dQ60RPnBR/k79AC0TJfmy+k+sGA2Ep 55v3aTtBeqSLGVcJWBjBFrLJKvxP7XjmpzOYgUYAST826KfcdAUF+o+0WRGxaE6MX/bDUvZdb9ZH La58jaJ8ezCnkEAh+tUy0urvExLUKB8kMxcQe1zyvyuNWTvJR3t6Sj/fl144Xkc2MNsmo0Eknm6a TZv+hvw2+PDlQ1kVi2r/LgczKVKvZk5LumxPbesGd0hHwwkzXsZeR1RnAifAX3QgQq2uQWNHj7Ii aBk4AHN3znSJyG2C/gO/c/DDglB9N+uh/qZL0t0sRWAYecf+Jp5g1UfpxIbEN8lUTNwW8ztjhcWw /NpDGCnUmeQ17dOpAHvOs97IBD9/glzxVuZedfixBS7CaTKcrUF64a+mdU7XOadWceUmUx7/Hwbu p9Js4bkRMsogIs3O9qRyujoS76TBJ5r7WgnWaYi8OlOF6leWPFnEtfL1Et/qplUnHdGzuSUo2pvs o0ykb+yPh9pomWfJNUHxB5HyGUFDhQ2QjoY4f14S7PbLIqA3JBfZN/nVKfii1iaBcnxtpcgzwmQ7 NIBsB6cf5w9nsfTUFo3Ktr+ym6hXhr2CehL8d3iuhM50d5/6IijeEU/aLv5tOIgRt0v8Ny3hQAYB HrKWcfOx3qHJepPK5XYeS9dJBl2AQgJRBSG+uUnLHzD6+D2r `protect end_protected
bsd-2-clause
46a9948b74be9007b06cf2e8a52d6776
0.94894
1.814717
false
false
false
false
rjarzmik/mips_processor
IF/Instruction_Provider_tb.vhd
1
5,791
------------------------------------------------------------------------------- -- Title : Testbench for design "Instruction_Provider" -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : Instruction_Provider_tb.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-03 -- Last update: 2017-01-03 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-03 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cpu_defs.all; use work.cache_defs.all; use work.instruction_defs.all; ------------------------------------------------------------------------------- entity Instruction_Provider_tb is end entity Instruction_Provider_tb; ------------------------------------------------------------------------------- architecture test of Instruction_Provider_tb is -- component generics constant ADDR_WIDTH : integer := 32; constant DATA_WIDTH : integer := 32; subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); subtype data_t is std_logic_vector(DATA_WIDTH - 1 downto 0); -- component ports signal clk : std_logic := '1'; signal rst : std_logic := '1'; signal o_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal o_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal o_valid : std_logic; signal o_do_step_pc : std_logic; -- L2 connections signal cls_creq : cache_request_t; signal cls_cresp : cache_response_t; signal o_L2c_req : std_logic; signal o_L2c_we : std_logic; signal o_L2c_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal i_L2c_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal o_L2c_wdata : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_L2c_valid : std_logic; signal next_pc : addr_t; signal after_pc : addr_t; signal next_itag : instr_tag_t := INSTR_TAG_NONE; signal after_itag : instr_tag_t := INSTR_TAG_NONE; begin -- architecture test -- component instantiation DUT : entity work.Instruction_Provider generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH) port map ( clk => clk, rst => rst, kill_req => '0', stall_req => '0', i_next_pc => next_pc, i_next_pc_instr_tag => next_itag, i_next_next_pc => after_pc, i_next_next_pc_instr_tag => after_itag, o_pc => o_pc, o_data => o_data, o_valid => o_valid, o_do_step_pc => o_do_step_pc, o_creq => cls_creq, i_cresp => cls_cresp); -- reset rst <= '0' after 12 ps; -- clock generation clk <= not clk after 5 ps; -- waveform generation WaveGen_Proc : process begin -- insert signal assignments here wait until Clk = '1'; end process WaveGen_Proc; pc_emulator : process(clk, rst) begin if rst = '1' then next_pc <= std_logic_vector(to_signed(0, ADDR_WIDTH)); after_pc <= std_logic_vector(to_unsigned(4, ADDR_WIDTH)); elsif rst = '0' and rising_edge(clk) then if o_do_step_pc = '1' then --if unsigned(next_pc) = to_unsigned(20, ADDR_WIDTH) then --next_pc <= std_logic_vector(to_unsigned(8, ADDR_WIDTH)); --after_pc <= std_logic_vector(to_unsigned(12, ADDR_WIDTH)); if unsigned(next_pc) = to_unsigned(16, ADDR_WIDTH) then next_pc <= std_logic_vector(to_unsigned(20, ADDR_WIDTH)); after_pc <= std_logic_vector(to_unsigned(8, ADDR_WIDTH)); else next_pc <= after_pc; after_pc <= std_logic_vector(unsigned(after_pc) + 4); end if; end if; end if; end process pc_emulator; cls : entity work.cache_line_streamer generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH, DATAS_PER_LINE_WIDTH => DATAS_PER_LINE_WIDTH) port map ( clk => clk, rst => rst, i_creq => cls_creq, o_cresp => cls_cresp, o_memory_req => o_L2c_req, o_memory_we => o_L2c_we, o_memory_addr => o_L2c_addr, o_memory_wdata => o_L2c_wdata, i_memory_rdata => i_L2c_read_data, i_memory_done => i_L2c_valid); Simulated_Memory_1 : entity work.Simulated_Memory generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH, MEMORY_LATENCY => 3) port map ( clk => clk, rst => rst, i_memory_req => o_L2c_req, i_memory_we => o_L2c_we, i_memory_addr => o_L2c_addr, i_memory_write_data => o_L2c_wdata, o_memory_read_data => i_L2c_read_data, o_memory_valid => i_L2c_valid); end architecture test; ------------------------------------------------------------------------------- configuration Instruction_Provider_tb_test_cfg of Instruction_Provider_tb is for test end for; end Instruction_Provider_tb_test_cfg; -------------------------------------------------------------------------------
gpl-3.0
b00981b19fc1f96969adb5a5d8108826
0.490243
3.621639
false
false
false
false
rjarzmik/mips_processor
MIPS_CPU_tb.vhd
1
17,320
------------------------------------------------------------------------------- -- Title : Testbench for design "MIPS_CPU" -- Project : ------------------------------------------------------------------------------- -- File : MIPS_CPU_tb.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-11-12 -- Last update: 2017-01-04 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-12 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cpu_defs.all; use work.cache_defs.all; use work.instruction_defs.instr_tag_t; use work.instruction_defs.INSTR_TAG_FIRST_VALID; use work.instruction_prediction.prediction_t; ------------------------------------------------------------------------------- entity MIPS_CPU_tb is end entity MIPS_CPU_tb; ------------------------------------------------------------------------------- architecture rtl of MIPS_CPU_tb is -- component generics constant ADDR_WIDTH : integer := 32; constant DATA_WIDTH : integer := 32; constant NB_REGISTERS_GP : integer := 32; constant NB_REGISTERS_SPECIAL : integer := 2; constant DEBUG : boolean := false; -- clock signal Clk : std_logic := '1'; signal Rst : std_logic := '1'; signal stop : std_logic := '0'; -- L2 connections signal cls_creq : cache_request_t; signal cls_cresp : cache_response_t; signal o_memory_req : std_logic := '0'; signal o_memory_we : std_logic := '0'; signal o_memory_addr : addr_t; signal o_memory_write_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_memory_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_memory_valid : std_logic; -- Temprorary Data Memory interface signal o_mem_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal i_mem_rd_valid : std_logic; signal i_mem_rd_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal o_mem_wr_en : std_logic; signal o_mem_word_width : std_logic; signal o_mem_wr_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal i_mem_wr_ack : std_logic; -- Debug signals signal dbg_if_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_di_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_ex_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_mem_m0_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_mem_m1_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_mem_m2_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_wb_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_commited_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_ife_killed : std_logic; signal dbg_di_killed : std_logic; signal dbg_ex_killed : std_logic; signal dbg_mem_killed : std_logic; signal dbg_wb_killed : std_logic; signal dbg_pc_stalled : std_logic; signal dbg_ife_stalled : std_logic; signal dbg_di_stalled : std_logic; signal dbg_ex_stalled : std_logic; signal dbg_mem_stalled : std_logic; signal dbg_wb_stalled : std_logic; signal dbg_jump_pc : std_logic; signal dbg_jump_target : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal dbg_wb2di_reg1 : register_port_type; signal dbg_wb2di_reg2 : register_port_type; signal dbg_if_itag : instr_tag_t; signal dbg_di_itag : instr_tag_t; signal dbg_ex_itag : instr_tag_t; signal dbg_mem_m0_itag : instr_tag_t; signal dbg_mem_m1_itag : instr_tag_t; signal dbg_mem_m2_itag : instr_tag_t; signal dbg_wb_itag : instr_tag_t; signal dbg_commited_itag : instr_tag_t; signal dbg_if_prediction : prediction_t; function dbg_get_stage_letter( rst : std_logic; kill : std_logic; stall : std_logic; itag : instr_tag_t) return string is variable o : string(1 to 2); begin if rst = '1' then o := " R"; elsif kill = '1' then o := " K"; elsif stall = '1' then o := " S"; elsif not itag.valid then o := " -"; else if itag.tag < 10 then o := ' ' & integer'image(itag.tag); else o := integer'image(itag.tag); end if; end if; return o; end function dbg_get_stage_letter; function dbg_get_stage_string( stage : string; rst : std_logic; kill : std_logic; stall : std_logic; pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); itag : instr_tag_t) return string is constant length : integer := stage'length + 5; variable head : string(1 to length); begin head(1 to stage'length) := stage; head(stage'length + 1) := '('; head(stage'length + 2 to stage'length + 3) := dbg_get_stage_letter(rst, kill, stall, itag); if stage /= "di" then head(stage'length + 4 to stage'length + 4) := ")"; return head(1 to length - 1); else head(stage'length + 4 to stage'length + 5) := ")@"; return head & to_hstring(pc); end if; end function dbg_get_stage_string; function dbg_get_done_string( stage : string; rst : std_logic; itag : instr_tag_t; pc : std_logic_vector(ADDR_WIDTH - 1 downto 0)) return string is constant length : integer := stage'length + 6; variable head : string(1 to length); variable state : string(1 to 3); begin if itag.is_branch then if itag.is_branch_taken then state := "BR+"; else state := "BR-"; end if; elsif itag.is_ja then state := "JA+"; elsif itag.is_jr then state := "JR+"; else state := "---"; end if; head(1 to stage'length) := stage; head(stage'length + 1) := '('; head(stage'length + 2 to stage'length + 4) := state; head(stage'length + 5 to stage'length + 6) := ")@"; return head & to_hstring(pc); end function dbg_get_done_string; function dbg_get_prediction_string(p : prediction_t; last_prediction : prediction_t) return string is variable move : string(1 to 4); begin if last_prediction.pc = p.pc and last_prediction.next_pc = p.next_pc and last_prediction.take_branch = p.take_branch then -- Nothing changed return ""; else if p.is_ja_jr then move := "JUMP"; elsif p.is_branch then case p.take_branch is when 0 => move := "BR--"; when 1 => move := "BR- "; when 2 => move := "BR+ "; when 3 => move := "BR++"; end case; else move := "----"; end if; if p.valid then return to_hstring(p.pc) & "->" & to_hstring(p.next_pc) & "=" & move; else return ""; end if; end if; end function dbg_get_prediction_string; function dbg_get_regname(regnum : natural) return string is variable name : string(1 to 4); begin case regnum is when 0 => name := "zero"; when 1 => name := "at "; when 2 => name := "v0 "; when 3 => name := "v1 "; when 4 => name := "a0 "; when 5 => name := "a1 "; when 6 => name := "a2 "; when 7 => name := "a3 "; when 8 => name := "t0 "; when 9 => name := "t1 "; when 10 => name := "t2 "; when 11 => name := "t3 "; when 12 => name := "t4 "; when 13 => name := "t5 "; when 14 => name := "t6 "; when 15 => name := "t7 "; when 16 => name := "s0 "; when 17 => name := "s1 "; when 18 => name := "s2 "; when 19 => name := "s3 "; when 20 => name := "s4 "; when 21 => name := "s5 "; when 22 => name := "s6 "; when 23 => name := "s7 "; when 24 => name := "t8 "; when 25 => name := "t9 "; when 26 => name := "k0 "; when 27 => name := "k1 "; when 28 => name := "gp "; when 29 => name := "sp "; when 30 => name := "fp "; when 31 => name := "ra "; when 32 => name := "mflo"; when 33 => name := "mfhi"; when others => name := " "; end case; return name; end function dbg_get_regname; function dbg_get_regwrite_string(ireg : register_port_type) return string is variable rname : string(1 to 4); variable rlen : natural := 4; begin rname := dbg_get_regname(ireg.idx); for i in 4 downto 1 loop if rname(i) = ' ' then rlen := rlen - 1; end if; end loop; if ireg.we = '1' then return "$" & rname(1 to rlen) & "=0x" & to_hstring(ireg.data) & " "; else return ""; end if; end function dbg_get_regwrite_string; function dbg_get_jump_string(is_jump : std_logic; jump_target : std_logic_vector) return string is begin if is_jump = '1' then return "$pc<=0x" & to_hstring(jump_target) & " "; else return ""; end if; end function dbg_get_jump_string; begin -- architecture rtl -- component instantiation DUT : entity work.MIPS_CPU generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH, NB_REGISTERS_GP => NB_REGISTERS_GP, NB_REGISTERS_SPECIAL => NB_REGISTERS_SPECIAL) port map ( clk => clk, rst => rst, o_creq => cls_creq, i_cresp => cls_cresp, o_mem_addr => o_mem_addr, i_mem_rd_valid => i_mem_rd_valid, i_mem_rd_data => i_mem_rd_data, o_mem_wr_en => o_mem_wr_en, o_mem_word_width => o_mem_word_width, o_mem_wr_data => o_mem_wr_data, i_mem_wr_ack => i_mem_wr_ack, o_dbg_if_pc => dbg_if_pc, o_dbg_di_pc => dbg_di_pc, o_dbg_ex_pc => dbg_ex_pc, o_dbg_mem_m0_pc => dbg_mem_m0_pc, o_dbg_mem_m1_pc => dbg_mem_m1_pc, o_dbg_mem_m2_pc => dbg_mem_m2_pc, o_dbg_wb_pc => dbg_wb_pc, o_dbg_commited_pc => dbg_commited_pc, o_dbg_ife_killed => dbg_ife_killed, o_dbg_di_killed => dbg_di_killed, o_dbg_ex_killed => dbg_ex_killed, o_dbg_mem_killed => dbg_mem_killed, o_dbg_wb_killed => dbg_wb_killed, o_dbg_pc_stalled => dbg_pc_stalled, o_dbg_ife_stalled => dbg_ife_stalled, o_dbg_di_stalled => dbg_di_stalled, o_dbg_ex_stalled => dbg_ex_stalled, o_dbg_mem_stalled => dbg_mem_stalled, o_dbg_wb_stalled => dbg_wb_stalled, o_dbg_jump_pc => dbg_jump_pc, o_dbg_jump_target => dbg_jump_target, o_dbg_commited_instr_tag => dbg_commited_itag, o_dbg_wb2di_reg1 => dbg_wb2di_reg1, o_dbg_wb2di_reg2 => dbg_wb2di_reg2, o_dbg_if_instr_tag => dbg_if_itag, o_dbg_di_instr_tag => dbg_di_itag, o_dbg_ex_instr_tag => dbg_ex_itag, o_dbg_mem_m0_instr_tag => dbg_mem_m0_itag, o_dbg_mem_m1_instr_tag => dbg_mem_m1_itag, o_dbg_mem_m2_instr_tag => dbg_mem_m2_itag, o_dbg_wb_instr_tag => dbg_wb_itag, o_dbg_if_prediction => dbg_if_prediction ); cls : entity work.cache_line_streamer generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH, DATAS_PER_LINE_WIDTH => DATAS_PER_LINE_WIDTH) port map ( clk => clk, rst => rst, i_creq => cls_creq, o_cresp => cls_cresp, o_memory_req => o_memory_req, o_memory_we => o_memory_we, o_memory_addr => o_memory_addr, o_memory_wdata => o_memory_write_data, i_memory_rdata => i_memory_read_data, i_memory_done => i_memory_valid); -- memory simulator Simulated_Memory_1 : entity work.Simulated_Memory generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH, MEMORY_ADDR_WIDTH => 16, MEMORY_LATENCY => 3, DEBUG => DEBUG) port map ( clk => clk, rst => rst, i_memory_req => o_memory_req, i_memory_we => o_memory_we, i_memory_addr => o_memory_addr, i_memory_write_data => o_memory_write_data, o_memory_read_data => i_memory_read_data, o_memory_valid => i_memory_valid); -- reset Rst <= '0' or stop after 30 ps; -- clock generation Clk <= not stop and not Clk after 5 ps; -- waveform generation WaveGen_Proc : process begin -- insert signal assignments here wait until Clk = '1'; end process WaveGen_Proc; debug_proc : process(clk, rst) variable cycle : integer := 1; variable unusable_op : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => 'X'); variable passed_by_addr0 : natural := 0; variable fkill : std_logic; variable last_prediction : prediction_t; --alias dbg_mem1_pc is -- <<signal DUT.mem_stage.r1_dbg_mem_pc : std_logic_vector(ADDR_WIDTH -1 downto 0) >>; --alias dbg_mem2_pc is -- <<signal DUT.mem_stage.r2_dbg_mem_pc : std_logic_vector(ADDR_WIDTH -1 downto 0) >>; begin if not stop then if dbg_ife_killed = '1' or dbg_jump_pc = '1' then fkill := '1'; else fkill := '0'; end if; if rising_edge(clk) then cycle := cycle + 1; report "[" & integer'image(cycle) & "] " & dbg_get_stage_string("if", rst, fkill, dbg_ife_stalled, dbg_if_pc, dbg_if_itag) & " " & dbg_get_stage_string("di", rst, dbg_di_killed, dbg_di_stalled, dbg_di_pc, dbg_di_itag) & " " & dbg_get_stage_string("ex", rst, dbg_ex_killed, dbg_ex_stalled, dbg_ex_pc, dbg_ex_itag) & " " & dbg_get_stage_string("m0", rst, dbg_mem_killed, dbg_mem_stalled, dbg_mem_m0_pc, dbg_mem_m0_itag) & " " & dbg_get_stage_string("m1", rst, dbg_mem_killed, dbg_mem_stalled, dbg_mem_m1_pc, dbg_mem_m1_itag) & " " & dbg_get_stage_string("m2", rst, dbg_mem_killed, dbg_mem_stalled, dbg_mem_m2_pc, dbg_mem_m2_itag) & " " & dbg_get_stage_string("wb", rst, dbg_wb_killed, dbg_wb_stalled, dbg_wb_pc, dbg_wb_itag) & " " & dbg_get_done_string("done", rst, dbg_commited_itag, dbg_commited_pc) & " " & dbg_get_regwrite_string(dbg_wb2di_reg1) & dbg_get_regwrite_string(dbg_wb2di_reg2) & dbg_get_jump_string(dbg_jump_pc, dbg_jump_target) & " " & dbg_get_prediction_string(dbg_if_prediction, last_prediction); if dbg_commited_pc /= unusable_op then if to_integer(unsigned(dbg_commited_pc)) = 0 then passed_by_addr0 := passed_by_addr0 + 1; end if; end if; if passed_by_addr0 > 4 then report "PC rolled over to 0, ending simulation." severity error; stop <= '1'; end if; last_prediction := dbg_if_prediction; end if; end if; end process debug_proc; -- purpose: memory -- type : sequential -- inputs : clk, rst -- outputs: mem : process (clk, rst) is begin -- process mem if rst = '1' then -- asynchronous reset (active low) i_mem_rd_valid <= '0'; i_mem_rd_data <= (others => '0'); i_mem_wr_ack <= '0'; elsif stop = '0' and rising_edge(clk) then -- rising clock edge i_mem_wr_ack <= '0'; if o_mem_wr_en = '1' then i_mem_rd_valid <= '0'; i_mem_rd_data <= i_mem_rd_data; assert i_mem_wr_ack = '0' report "Invalid transaction" severity error; i_mem_wr_ack <= '1'; else -- copy rd @ to data and change data order (ABCD -> DCBA) i_mem_rd_data <= o_mem_addr(3 downto 0) & o_mem_addr(7 downto 4) & o_mem_addr(11 downto 8) & o_mem_addr(15 downto 12) & o_mem_addr(19 downto 16) & o_mem_addr(23 downto 20) & o_mem_addr(27 downto 24) & o_mem_addr(31 downto 28); i_mem_rd_valid <= '1'; end if; end if; end process mem; end architecture rtl; ------------------------------------------------------------------------------- configuration MIPS_CPU_tb_rtl_cfg of MIPS_CPU_tb is for rtl end for; end MIPS_CPU_tb_rtl_cfg; -------------------------------------------------------------------------------
gpl-3.0
df35e632bbc8da50cf7849aeeb3927f5
0.508545
3.294028
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/common/output_blk.vhd
2
27,142
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RdT3NHqn9crEVoGlVr/u5ifJrhZxCKMuq2cHsTARQRG6jVMPRhnzggQLQXUT46IUMAW9jvMJWPX+ qzSQ7DlaGA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l9TkRhWuYPTmqesd6suV9XTZ3VPfFaaViocpyDrxYTu6WhcA8LTA87s6O1fxFWBaEe8ejVSh+dTA fBTywaIzD6Pvwo3SIGqcoQWG1G8b/htFi3vTrcGzHFADrN6npxmURYicoBu7Nysaz2rVS+kDvvX/ 6SMxBDGJxHNluTNfOfs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EYceV5QU4O0db9jGqBW6Zcxisvt12NgTmxxrS1V5Q7j/IhW6/quWxSq+g7EI/XdNj9QPE2IdcmH8 bVya8/qTjy0A1QX35nSxt7vTYedqNu465tC31d1gSZv/kTgwsiyLuwqEcX2XuPWtCtU9zZUhL7Il 2Kq2+W4nCCLCCcSveJad3fvCE0PHRxk26bWkXFVplZnodSz+o7HsyhlK+Dw9uZTzUAGDTcQexyg/ VwoE33FFwg3xrLtrFC3Yc+3Ci12lIOk6ox+EPKylAG3O6vdvhh/wk8fHyecQH+6mWOiDaL6mxNMD p3c6FL9knpouY9hrFEFnkws/CVeEi955aL7iIA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3P75IPAwFXq454ffDtSQEIRGo8/c1f61zDZVhqmKoQIPM+JnMNBWMJMtPhUFK8B/KMinqWmjw1FL ujZLDJkbxQr6L3chtq241i3WX0GZZqzPlPtq6NgqtQc1dZYQ/6plKFwo1kFI0G0+aaXXA/Rg0my4 yCUW2cdGH1FiPkrfTTk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block U4A9VXASlEerYBMwLLMRq0uqBbuc5L+YMSRJVGgWzFToJIpoFNrOwRzqQ/AO3+K4R2EXLYMNSBSP TdIkdh3GVx/DOJPGABU+jakJcGrmbxCsdTRe36ySkMAGm2PEBhWN5d0rJ1+mMJyR9fUAt4PqJgDT geENJYB9LMuhHISLxMsPfmR4lwsP5W/mhqo1gOUBVrUg2ZhKO7le4N2V++ce3O1PFfF8e2ud2jGz Kjy4RN9oYsttt1uvpKVvgY4hHqfYh3YOP284g5YDCjhAhGfLmTOjl9XXOb4fI6D0/XqL4aNNVhRE 1EOYYgYjpK7fQX3V0Yh9HmUHi+Jp2BWIjI5uyw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18352) `protect data_block OfMEHIw2C6kHzUz2JuaPPgsgi7BVcTnNyP5GYjhbGsmlBAGTEte9kmxdZW+Mu61HLpiiTJ43ulSL aEAOHqO16BXbUe8CnCetzl2iOBbDTOxu5W6G2mDyY33bp5MfzSTIRKhNpslJ67RKzxFo+as/OI0r SQofrrMl+ndXhCbCkxXGQgHwZC/CfUp0iJmmfN50KY1R+4jcwsbccLCgqlQRxssP1YdNX8H7C9u0 mL5MIv/tVpBb36m91dbeA9akm5Ls+mo30UYHQ/1p9gaJiMqkEw+ttpvq8fE2fHI4qZPfCrq0xSzS TxODbVYfsSb571GIB+xKw5/UocYcJkBiUzYxgWK8yBpbmz+9E/v2gRGZUojG98lo22tJjazqkBJV mRf3UqKcwcuIXaRVBkQAFXmb1tqSG5LLc7ocQ3p9I9ayPoyFPlKXlfHYczW9EvnBViaogv3Fbb1U 0aB6thXqRhCbLkSqGQ82u+5jyLzca2nmBgSw8ZKglsVCV8RBlwFTl0lHGNv9Spd6JHl32ffTJCnv wUBSDMM8ZJuBjNzXxx8hfkmoXyNiUPs9iXTqJZe3VIMktwvCaIhzxBFXeC9k8mEIo7u3kcvO6vmH MtYypa+loHTMvDcwzM79OlGv3W5E5DcvM0dfrvG3uefsRiTMAJEh9Mc4N0X8WdmamFPKaWrFJovX pHMTEKfZ7w6BesNqsWTh9NTfGyiwgmmg1sc+efk2KlCgfsexm0OA2NEA8KLtSia7pk0GWEjwgpLx TmWcG1GvwMHUPmNlltU2d4Sp75JRh2BirNMMIoxzDg97ctzxFrsKaETalgAIKD2RhbVfR5t99Gcz JNMlFbScP20fX+/82cWisK245VAnz+fuiEd7dToNa9C5BhjJ8GSrlOgdwh+cJopwZba8bxm6V5ZN spfYGdTkHyHAOIG32YqmMLQkF0+s/M26Kg/e8WEgSRaO5uo4f7nt4BTfGX1roR4i+wIO1KBK5+BN RlaX1ySKt9RIKjB13KDUTmLMWkGQD9imG0DGOawlZIjNt1j2wHjx2Nf95hFgBePrwn/lMUGqdXU5 HB3YxE3mOPNigKvJ/ZU8RyPtGpAwBcwWK1dnUkMpKsvfRzmw6f0jU6HpKsT1kSkVABTmNkBSmHSO GjV74CdBE+HzGud8jUToZVGyvU/Y0/ALAZLgeQALECLp+57NnC/fmKbHkgOrjthnfRTYYkVk0Pg5 wDcM1VhF2slH6Lax7IizTAlkvKhOEpi45AtfxT1ifINkxYNaGw41wIxhlOykvSRBO3RCVFM4v9G2 gT6wv4Ywc8POW8YNF4oFD5L5UCHrpIGFSDWRy4MybAknhL/B69ULGI/D1a+RopAozLsBrkIvf7vj 5dozf9/5mK1NJC+KY+s8goXoQPi7sew/T8l7izCgdTE6bMZMEz0mgIYzI+rcIdj/Ie2FVZmeMlJD VNUGC6LaypE0ZygPPNjWCnRC8M1vdRwjUZK1RkQmBMMwvXMfIF1eQU6sdDs13/VuVTz1ilIGiQZA p3l3A5H7MfMCXy7VrY5iNEBckRGqjbn4eSr/DxnAQL7Ej8+Ypun4DiVesv6sEm16vI1yOEucXlne iC5o82rF8DW/rinfZZzT2iljQ2aB4mk77ZsDXtZh6m7XK1vFbLgey08n51Dk9b/btseeu9dENvFj +SCKR4J0Uf/bLjn4GoGqz2kynDWcYvD+sTJtRZKtVFYLE3pJYSg7b+b9aUWtEjY4r5lXEoUd7Br/ ghLTYXi2HQZaL0UMMT9A5Rxk6/kzvTU6ymDNq6DsGHwUzQPCabVIL+aH/u2ZGvsgWejcKJ7EH8Yk op9YOtNfcXcNxs7WypRvkukyX/Qcx5JBZoJ/pfxR6KkIL1eySdjD4Wc1YPP4hGpWxMHiCaWCJN0d 1NTyldbQfY7meAOSpDT1CDMv7oBqRVQlD/Wz1epfZbsJ9936SO1bhf7tuYKd4TFkNSN+YngnASiZ oeEU+ZXEQmZTKwHaZ3XhsZxwYd+SmoyJ2F5hei72R/xfLbY/AzXuNbI5rHBo09XCG2YbP0enyMY7 MQUDB33lZcYsoEet+8YhdeT4U120N0LoThWHreEldex3DZAMjltW0vlTp03HURkg5Cg2t9nNK9aN fR88rFwxeiCrG2mIcC4RS50CHm/wW+LuD+slBeSCUNb/0xtDleOxgH31jBgw4XRR/mG8w3o2pbs9 MokdAmrlHM1bu4hoCTrqaiMlkGagPTz/pR6mOd9O3ewVC3DrAGGMxuDUafFDicDBxCJBptIv0cWA LV71llgl84ukQKJT7QsPRpVg5DsZaigDdhnLbF9ttSaZ9/7BYS74LWFTS2nrS5lQqUeQGJbSVel9 0F2q0tUbtJOqKzx25Slt9jFffJUyaWTxyQHaIui6Qie5e8ycOGIPY76gfFSdWcdTNyr9hj98YcHI goZ83cHRaEHZ6iTQaA/YNFnCS7yoL9dbkv2mk4NJ8/rr41hMbPooaVT406sQ7OBVHcHgth3+/Fxx UkTsZqo4d1DCIBzLLe5WHyI7k9k0CnnWAWfQ9Mw7gJJCT+7BL50fbEXaUURW5PtmW61d68p/pzAf tcHcSP9ZTKs+vM20SdT/2Kq2UF82wMzDZa7DF3GEOhdeG84rWoppPhPIF4I3l2uQLR2xDbC9892q 9HrBQB6Gk2j1GQCZVz7lkWb69v6XQG+Giw+7iSAhaliIvquDj5o2gteYy31y3qSY1fvYoAjvnFSp BuxtFRLXsd8EwdZE5JtgpY7em6FSyL3N4/uFXjSDWvXrFc65Be9h3uk9Rd/1lZjXCJQgNdSyKO6D Hc3xJ98+YarsqCRpcfwzSvVyca3NjW/ly47bGWry0hB+/7VbmFMBxG+J3RZIOkO60zQKO9pJBtUJ tjBAJZ8Lr+E+uPQQRbNupYEQljnTFhY6T1Uu2MsmNN7bJ13QGq15jFxfnFtvd6e90/JfeVGF0ICZ xDCxzvlIRrj/fjdAZyoKsdX2abhompr+Fn6xNqIgPE/GDXb8IlwB22v62coiZVKIOcY1PStQ+C5f Dm/6kgyTvV/t+BtsfnlIeMFdmLUWlZgzPn7E/k0Go5mYo6IQa6ILuCsVrb0qbd4841OxHLV4M6RP /Ii0vrN+Kphb4G0j6gqwZig7EmOwJ6T7wJzArXjmEguFxi/kxfsJ6NuiJ00Ib3Eu5CuI75ghKb1o xcw5SrBL2JvUF6UbNemoKKI+fKZvTbzFFevunhU+TmaElFfaCxiUJ1Q16WKZHuWfh8gF4J9vjJMW dmP8Popgev2+gAuVQTjKOFx43POqWxICCMdPFmMw2tTF+TfKfbg//vArIbRTlOPEcZwvydKtci8b mrDScqj/SX3VoV6z1yyq+a8KIoS+RYrDnIn5lvJ6m1ZkgFqzUR+Qe0fbFCwK7li8d9x31vOLTZe6 hQT2HIWrg3+lvFkZJcMwhB4PgkzHGwCjcPntoL/+9kOXhiIWggGwuLfXlkYVBgRuFrqvlC4esl5x MGyUgjSmNF67lIDz1WZczqeAuxBgmLEFjfoibeqd9Gs3wNCnaIzQ3u5wi3DwI+G5osaC1utJeJ14 PFSAFkARpombTtWLY3IH5Guq4Fh25UtV+1JLLbXOf+wvOvxyxl05oFn5b/5VFfOGdVNdGdJ/Z9P0 WJJbX6A4wfURqqYGQgENe7gQ8DXwcbH829PhRF2BQmRK1P0PsO3qz9Z21Gn1zQgUSDW5Qb/fH5Xj YxEpxJ4OMFublZrLw7nqI17fHKAtlDc8XJqttvq99vLMp9UYgq1cmy1AvbdKK0e/nmFfYBiQnlNJ LZBFWB9cW94Bf7la7ReGLOCvLVQmEObsI15PcOO9kQ8J5+4y5EhBqtmkMRQpRmjmoP4A/V2ikJBE uObhrObMWL0mCx1pMJO6TfxQkzC5UPF0W4XypdMRVbFmPG9BamuhBpKr2Jxqc7G4OpvLfKPhtqt/ BKrczvGmbgw5T4m0AUCOyqCBxQktmQo0RvZrPNTJ1Yk/9foI9+mIondHyy4oGe6S7eVPieFAA7bY ALedNqOL9Nl6EBqKVT/XRDJ1eee76Z61HKc4ot4Ay1IQW1Sr7b4xjXiiiAKZfd85RJ6SSKCtmklj RD6ibZjecR2FdcWUcH56iAbvWXKF92Voo+sSHXYD5GoxGs8Oy8epfJPIpFqFTJtNnt5G8/wngsor w7dc/AmIsBaZsFN95lJTByf19n+He9NYYAXJz2sn+90sJmcSlEnoMnXu9z5+9sJAvcFFJY1ifk8i 1xzDspnsaq1CWkX1UN1qCo+i7OW02FLPywgD7sxaNhxgRrLO5wpvbG7h4eU3q3Aimn9dedL9oFvU F2sqbsZEY2sZcuQ9eyG/4N8ZvPYt102HTwUMJbWSudTtbK75eaHZm4O249gC3A+872QYhs9UsZTP opRPF2dMEzHBdwVOjaLoT2sHp/RPJsjgSQY+c2MrWLJHKHlCYUesEFQQN7E437xEwlLRo8lSjw+f 4QEwwxDDjM1pQ5cyY+WyM/egAwNJoyFwcDGAQ2ZI6bXlnPz0TOkYOIb9JQD5JvyFrZ4Acdn6n+qu KBqw0uWKxPxKlUnXVwB9miRnRKxVbzCHOL/bpyWfQTW8pudmZzBiauO6OOb4eLMQUNQGcTnExHG8 Ksm5Kp206mCdLorsgzQmjyph6i0ApPvxBotSi1cSze8jmJCO7VFLBk39C8qK3ncSjbyfQXb81jef gpCoOPZPnG6UOEJoUgh544etbIAbRJzuO0YZhHybrNGWpTY1clhmwmplXD4Vjf37isYoJOTW/2Rm c+rlOA6RJ8DASiE9cf39iMbJTw+KISZ2foC2ZYWDr3qIY0pOiX17AY2cT+IbWKcl4fa6kVoWkdMy xCgnoTx+KLpKVdPlTPeH5OoBv4XvqZqCwPkUJgyDiqVAdK/Fhyuk1nnsZKH0rFA23VndDKwWclBl 6/S7xddYYoNdRcogwvDAH2PD4tMBXS+ZU4+sd2/4BdRu5YXuwNZG05xCK2cIcvexgLNX0saXQTs5 3CpIS+gHRorwxX7+CsjKCaUsAqKahxV/iH7qPAMEYf/RNMTZJVmYXShLl8paoJPAJmKiJKRyXHRp zuxbdrYlFr/SyaXYV1R7W32wMqWlQJKhdByHbvm9go3VaipPjnl0OIaWM6uL+sMg9klRxA6rRiWl Cw0HErldMDFANLBp45LVwRqM2v+vOPy1xw0bs9KMVUgeNF+qH/ztMBVFjXfllcy74uHQDnJiSiU+ tZIEUFjbACeCfik4jiNyed+Ouf4wyLhRHz9LFXaTNAHqt0EJGyO3t9hgFIOWxsITTwtpGuvntRs8 AFVWYEgSCI3Bfwi9TWfiz1c9pokSqyUotoEmCll9mzUr9Icw2oUBkWVSMNI1sEjoDQbbgP6B3lxz dViDAMFg5mstRdvhvufGBaFxQoQL13RIhi+ywRVH/Sc3RBnaDB0mvtl5YGChSykjBoEGCAlVNUH5 fKFEt+tlbs+IHSr/Gj6zc5fXlb10FkDONxY0HhM36fD/9J3ic0/vWiKu4a+npLegbuNRPFr+NMT7 za+tB7eivNj5cEVa3DvZpe939/Q1luBuWrlLH+RlI+T3pcVLvqnco49saX9aWWfXmVYzjJueUaGM vHaUgvGFYk0lLIMBmHIgLdc/n05f780L7/LbP0eonmt92GmbgyurvHAZ6glHqJHeKo0oYqagVfOJ l/p4xsNh+WTJM/FJeskdMc/t/xFzYcIXBmnBnvMSe6bFVuiKqKCGc/3fC2D5x8+7cBaMzkCnOcdv tbmATFRZCjPmgKiLPJaKMh77ptUD9TEkc1hv6y4sktL1bdNqoYDDpFFa4fSoAYRcL06RNBLEZKss PFIkeyaPc8eyOiXE6wWneJdfEeB/MSVHP9FFeuy5hxix3slpVXXyne9PJ3aoNKgpypr9SiVCZgQf e96Y455PtwQrGPtCdXTRgqNUHkMdCPGyRAiLysoEQEQkWGb0t19KG9+oIbCgbxLPHnkpi+rNfECr OZLZl7aKa4ASsurJ86QXiCMtqFBxylhaJQtLA5VLiQgEd3YvQHnZixPoGHYT6NXj0Qyk1uLr9cjP tOJO7za2cscfoaWwPA+xsMHX3rb8cs6PiYc7CWi0sT6dlwbkZPavCg3CwLGLYnSZoKNNvIly1XgL 3wMPJqbOAUfCEWuWCBf/5g6wVtK9h7w5pU/zZAPDwm1iRf3SnL/znMs5Rhq+IIZQ7cB4239881H6 imsustX8zsOuewSA1D3rq8rBBhmf5pEHPzzEKoHuqpbKsugSRehywackhGDulFNhHBwLhKf6LUPV 7cXo45yUmPLYfAp/gmrDY4CPpXxcw4rM57Nnz/E5inp/jN3/QfOY75/xHRiNufcdt10sBL+3WYkt fhNwEJpGS20leMm4+cU7jGkKv8kCh/cVJ0tEKWbBMKXpqiMLv6r6z0CExkfuDt5d6HtG6xRgwDYz U6ndKA//A4ilaAuFOyJNX8QcgQlKcxwHgeNRnrzAf24TZWbnvkinF0Zs9VtfMbnfM1OAWlPCOlaQ wTfkO1t8tSA/AEMBXjaVkRUs8Zqe/yIM9yT+Jxqp9DxHvj96ULZeNhOXJbu5gZzPXl3EWRS1FTLg 3HooNJsrJH413/3UEiXZosbuGPevLufM7fy5bFaovPLaGwwrYq9n4FEeHfu/pskjiLW2/GCgcwnc wgo1c0apN7FnjDBDyo+BuIIOWQ/KPwKMXsr1E1ZSC4lqyMqpHkME6EXSsmDFDhrf7GBGFBnDhHb3 JvyeU77EI2fqbv9SlT/iUGkPXEKvkfnpUOihISk8jVyXF07OYv1/OUwIXwYodKztNXD8UXMYn7ue fdwiGbIEiFL70SA7gdx4XaoNO2l5A4ESpwKhopKTOGrMkd7gkvMknmqp0yJgDFbloXIVGzDZBhSK d5wfP9jTDe5mnKc3FyKhrM4fvxlLXIsHghebq0/p+zxXrpZ7+N54A6+jEG/bRxI4rXQeblg1sPQJ lqeJ0rO7lRjUUqdht4O6IbXozXcTPKG/1KWXjlAwpLEmFYRtmvQui2iVE/8LtlbJ+irjEgI/RBkK 1SLX2ezohcGEyh1pAN0CZYg6FGSpwOb0nRADs0czypDfFnX2e9ETHZqUUZcFrmOehUbwJPXgn7YW DCC6CeiOXJPt6n7IOUFmEqacaGWc4h+mzuRLo93AfBaKg0Id0AY59FghR4ij4iBn323nu2P4c41q VtWkiMh8WdALpIgKK5inp38rrJjVUTDn8Za+9SUeWqmAT7/xdNvtpCSXwdPkdNxM8p8B9+N38tgG 3FZFGKZATTD+6cz/RjyONHtG5y8Rt+CfK3HRS7i/qPJJFmXdA/1CKWLGLyXBPT6/jXbuA+5Waowq CY76+7C+Dc3EamwODSfZXK6AWM1ONLPwISe3/r53DlZG6NuaeewfWBlCeBCi4MQLB76m5POn/jnt 7U0REhe2+yiE6/Ns7iG5fY1Bl5Vkn2JJ5qkdOQR0UF8UYCUWBpJXPbAoMLf4wirWTHoJBBTOwmjq 6CEBoQOV9OQ/ky5MViV7xHYaZ++Ng7YBgoQmzGMJhAOZ58h5cN62oq/fozsoH2e52gZPN/qlPz0Y 4FJXP6c/NGVyThv3najn/+m5S7Uyjl/qtEKPu9YLM/FKv2kLm1EcOKG5vSoX+ZN3LqYuFJeuW6jn 8Nzg/njm69zLZIMGuMgbuRp4ZN1Udaeg7S4H8H3QkzEkU1IHnMmnesDKfuzP2jNNeUSCdPbiPDo6 a2lpw+0FLcsWzEi/oHp50T4g9uyypdmjn3RTynb/8xqQbFKTG1QHZV51aaRUpJ6BsDr1qVpD4wy2 amzaiAwF/K/D2l4ucZdCmRHr0axKpEHo+yCYl3Lt6IHePXfLMnW1Sy6zz0wSS3AOd2L2H9trs4vI ufE+QVOt+Ni7dY9YKEWD28dlafSWfBJQuXDsSu38vz5HEXFpeEstf8VvAvX9wwFJS2q3zqRk6iMe B6BtbEi0APjkfq53W80boGGIUW3Ey4I/tN5YbWX1s32h8i3SldAgKrb+6TwtVBIt66gomS/0VJix MPwa4cIBwUJVRf0C2jSwY6UYzCxfbA7kduotKa4+X6CPl7gZCowzXxr7nj+9LsS8LMThRzDg8aaf xLkIihfhBxiIzOOX+DF0rI4U+1AwFnW2pI0XcwJGweIBWRri8jG8yBoVJsSoyQo7ouK0zBp6fEcP CCuejcRFAC46cmUPSEPCUXh49rG+70JAq0q5+ZIK13PUi2BsemopN7aldjt00VcF36urfX0nduMD ySicSW3K9YLL361uC4cRX3O59oOKCSZVgCPIq2lUDapsiVBh4/2csZrW2pRlY7yOuGzvIZJbA0JL u4Y5iEsHmjo2mEH5RPOsAdcpYauwaBKj0sWD7m+Xuo5BMVkMnFl0DvLHdxaOg9q3eqkmJYRs1E/K h9+XyqjXP3234ZVZb4Vr0Hr4yFCgwyjQQHURHGNWe0zxwjO50jM7BqyY78sQOtnckAjCut6YoO2p J1YhQwhMKitX1PDe0SLXiXcB98TxzGq1HBgiBW3vQg5JsotUfck0kh7C1iiTjjXvPmIBw4F2ehRc Bs7rPj5U2mD6qWZ3PSgymuldNTXw/j1UA4kj3EKnSpigL5zZk2WHX2m3IWRNKi25Re4wty2HcdyL 7lS4KM4hPePebQwAN3r8K2+r+jrrpWovY70jFB2NWDfz9l9x9NdcaHqnl7Zo47h5MulLvp8P/QWO QiNl6bX7GH1LUcVVpF1ZunvoU+kUxz78cmU2OMCHR+myOJzb2VHssw2FPMAnq86f0tbKWtNeT35p R9WqPwIoZdL3tLQzIaxe3cYiIfdhwr9uhb3u1sVlnbZGlHZSLX8MDzXkaUKYYj7txxQfBO4p7cCj 80AdSOZNO1+R77E7ZEu2Bt3ZqzlHv5iu0KUF0umGYl/EszoTXx1z5c9mnjbJ+qP99qfn9G8qxaoB iryYfVpCzpKSopGbsvVoOLtDJvE8wNF4tAqg6hwcKfN3BBF+JzD+WaLZnQByN69mmo5SsN6j4hbU ZS7bbrQKej5OpOGErpN4PJYuNvONFjSiAQl2sL65JgxW/3Rc8V5VNi9s0XZJ69zfsCZyKaBH2pHO auyvze13S2+2LK/rCgkdrzvZZzCfh6GX1z56lKGh3v8e/tv769y8QSG1gF9kUCYcTnaZmR/vK9F+ 1kJb27ZrhXYFyvX0BJRXZMqSVaRj7RtsP1jlBDJJLK+tU2sFCX+kda8b9fKyHLIEluKs/xWgTpx9 bonWnF93Z+i6Uydz7gNbbku4FWBPEP2HcGRANrUC6eNHuUlWa2PXZlZ4QQgbWi8d5itmyi30U6Qn DClqazY6nhB+rFvmbmWQZhi1889rsWoMozwLB9GxhXfGu20H8u17bxmaJbNJ+fCxrp5pMetzrU4J MvdrSXkQ5FJVlA1CNZfPLkgPCk9ZSG7XdBfDZszwPDPlP5yL06QUEQOAHIArQDc0aA6Q9TLo7TRt sBhI0WpcNo0fqicE2GJNIYsLNKiBVl6j/PSrGWF+8eaDayQyqzkZXyt45uCKuq8EqmvRmwfDuUYF Pq3EwRnxxbG6DMvX2Qf85tWxmG7dZvmd40CHJsvRkfzUu5sZu2CJ+uyL9DWWncLYAy53m4n4ydB2 qo0hbT0HcdhhVkEpsTcDcra69vB9MeRauYsAUHU9kloEcRiYOwCpbvzMfNA1KezTwp1QMpACHBtI wlEWKUmC7zLc8uXyHdpbgebGbMZz7fLD1f1RrDD2CWnBp4WuDHpPO4Qu5ySrcyVWArqyDMIB0Mf8 uXYcrPzJxZ0UtHWZ/mMBEu4Pkcdr3BohuXhqwmR8ThE0rDa+9YiegsTyDJBrXGBcXzqJWj8PWK5Q MsfNVDOMjZftGkIl5IFfhnrPKdjE3T+XhJswf6eT08LyTMAyjq+GxRqrNfRL3smh6664+C7rQ/m1 3TcbaTojAg97Scm6NPVC1l0U13a1KLu8Q6HyIdZV7wCpUKop/eVAzDt6mskLVZJhfKYlJVQEfLPU nlOsDkb5GKLnklokmVzoVvJjizIbxKxzx7OlfbhmX/pxnQqMZX/ndqtAyKk3XM1eIVuFWkLWjE26 P8eUntNybiyFJ6t3sLn+2RuPSkETax9t0kzZfI1Uv9xOnoclmoJadJtKn/YtpeCGNTpsx8gMs1cN 996P3jCMuamftXtOECYbC/izfbhMPSywrauKdo7ILDg2zoLOkusLxfd1FOWrJ10s98mI1DfVhQxM L4YcSySNtigAwp7+cWGI+HIJGkg6FfpA984vEeTqYFXh0ZhNHzr048AAuObecgSkPQ0+25rdmZsl JDxa9h7Q8JCPke4wQ3OR7KCTY2fdKEwkHztpi3x32wq1oCkQz+0DLgKLi9sPn0/PMtt5Gn6/sDKk CSMVimwpe9TzXBaSsoDgtWBsxpiDS1J5eEE2+4qG9XPE4/ZIx5lS45eeKTJ2SjatkXQNnIK8eLNg ZEgqmbzUKjg4ciW1csqjDqK9TCt9bi/HIcLpuuRZf27cVPUJB+ZY4b2t7u0BT3m5R8a+sres0cMB PHX4xtvlHnzwOa2T9LwrsogZIevDfm/2yFSmKPCKn671pRLpfuY4pChKqrbrj66L8I5RgKgD4t9k 7VFGLG9Y7fu2OUTPxb603XDXyWvA3tS3FfuHDCcA1s4I4qShxG6j5ZfLZCWDHq9EZMO7dULw3Typ bjD+jqps0p+SpUyY+aUy55z6o0Q5S9jtOISPjZy7uRY14n7EsCpGHxQ4uGY8yZJwFD+9CYuGnopZ eL1bEoHvC9ZhME3IW7gvEgUk9d+bJgZ/ECerDBV0Cb6BG0kqYK3WGi35ch2wnC8R5Xi0tTvL2ope mkDmuPjrUYq0IorBvd/OypTBd09mtNKi+wx9OLqi3lmPqIMnynWFy/dbv2r7w5RX9WoHhWj9cNqv OC8q1jKndQlRZT+/w2A3n/n9nxQha+IOtOBzzwvLBDIABcy5bsFSJVdz1XDi1c09GPKE5VNFxWZ6 DgY0xv/aMxF3Wup7p5rSjlRVw0IMcsS19lFiPi2Bc9+TXBMS2czu/s8hlQ4UaAVn/KgvQbx1MDIQ LbWI0dpBQOEbEGocrdgu5QJQh6HimneXCHECOdPlbS42g7Dw8/o10Bx/mr1LwqCjtGsGzhrR89Ze VWh2EIWPkMcHWm5SalzkMBVcuNMaoaidX2PoGD4Qw0a7b/auyHOzwFpQqw3+rOMV7P01fgNffJkT lztzloxYXS3FRUfAZLg7m6Ra+8EW8xLu/4IAeYI4KT6IeuFLJ9osk/h8EO+aFVR+wO3MMyP68d4W fMZbdGoiOCwhE59WELDb/ZxSwvw1dMkVPNozVX10uB0yJLbz8PhzqARmIQ+ourxUByoTUB8fX1qm +1StAehfl8Dv1aH7Rc1iYj7/twFbbzN2oHD8TYLQThOMpFliubpRZCognS2hY1cd/0MPSEqOOWRc KOO2UCJJsOIcUKdKd4MlX0swX6AmiTsvCKXeixZvhXQL3+6hFFPacXWkw15ZkDUNfrTZO57ahEzG UvLqQpEXa6zqYP1kNyMWQbzLc1ifzXWLWpCP5LvNtoMJO0syUVanub3NVnFGUjZfrs1zb07/Wi5A RCrkWKYL5+TP+ULlJU1nEangUDjg+ZaasU8oljcqmJi/fvQlxutD54ZnY2lOeh92geJnXEcbS0tC Zy2fjGx1WrK5TxRm3TOwpP2Hyx8ZZEJylKCd2oh0Q9rGRt7fJM70NcFNPNXmt6bPJy/vcBpOA7/Z zvbI0zyTTfsYTlmJhszeTR3hK6S3SHMRlx24ZqItPk0FF9oWw5zXsPxj36XwEZcja+HLiWuSjd0/ R8ZjiYvKuFeAqQ2rU/wZuF8Tinwd/V5fUG5WQVdlNOWGhGc0Fjs0huWQaZoWiTsNQML6OBP7Qo/X pukZwH7dvbLdvUEWH0Wwf3AK7TYR83ITqiHCuCe5vElZ4FCZQv/MVxqrNninD95EktsLvD3VKJR2 uxM6wHLRawJH0T58vnHI/NO8daQnM+2eRu3BlGgWTAAn7S+oh534xo4uZ2Ugb9248AA2eKR3fpd+ +Rl8+kUVj/cK21bo1psdRkuojLjXEGGPJrpp5Ysi+d+mF/yombt4bTlwPk+vSfY7bKHzuMtMRqRU u+z4KwvC96rn01zNbIh4O0zh5y11knkKrEZ64TFpvBzCSCYUaMCSKx06982OrnwGejd6ay7tuQcr H//n0te/1ZO/XYMaORmDInYH/yqMEnQsyCWRB36JBpW2OhmsJAWbVYimRgf7Ne6+0ejEfRKnPT8+ laGEsuA0an7lU75zNeFDhNefk1qTHhlcOls+JGkjKbYLRNNPa6gFiukecjS7/iwoobcezTczGwJu GoGBdNN3ZZEAdgUo278pD+BYyi4hbQ6zW13sjc5axFDevu68xDuO13M0burUjcdN3S6SAoG2m4r0 oY+4kWZx663Riam1/Libh1B26FyFGhU0GHt0sKRHEr8HqD3UJsAzy3HpJghgfOnP86Oz/G6Cj6UP jcrhScRlmGiYTnlszF+1gqo2URRYV7mLP/+Uc/EuUdgmHFIVnUU1IvXhgPn53DUgPJzXgOIaIqDA Kmww7auU/0yKsayZghipDjo5BDlF784G/Wx9Wafo+aKS8xPowP9bYB0FS3LYN4WDrDvGHT9IE9N6 wIZazLr8gVys7VQkp1FruAULmVIvlA1x42AJo92FC06vB30focIAq6yCYHiZXQ69JNu3LhpWUOZl EwswNNK327Z8A9rsOqloyBv6R7OFSV9KqmBGS8+n/XTA3D5Xm1AsiIvAgGj2q71M9A90UzWjfnaF RZndGDsn5hs2wTa5s1EMoS1Ny/33MRqDdYywfHcre12GkS3GrmulufbGvDDPegtIlGHizeiIBP7i +kIxyfAzI8LYpTJuuNBzFpn25DjscxWihy3UgB3SuNvo10Mx0rpOTQrPLa5ZTrQtw4athi1dDzR+ 0nhNVEZ6d4AefpQJ9FY2vVKGgsIcNjTyvcBrF3/XeJV8lceAhwin1Za4YfmQul3GSr9XH0AfoJTD CDHVUzePL0BA/22AzbD7Yr7XyyPbfd0JilWmkZsz97eKY+SoQLeZ4CLxao4q2Tqa3fsh0GAg2BSm 9d1yfZsnZEXJ5eLCQmWXnkVpehE94eZ9yit34uWzljHTiVuLycp0ht06ASaZwETnvDbz5r4xwErP Jed8pVtFDM7TZ2d7nlXj7mZS4wb7+9fB6V1B9Vx3FSZ71vdDBJWXTwuGcSfcRtHVA1BVAbBq0ZHN XNQGvFhFUTWVtr0rOVHUWGqGMMWmRaJyzujELOMfcU1BhSMp8y19oQkVP5CR6cfOZUVaCiEpbcRS H0r0+XlzCudX6701vXF+JZVjMSyIZ06oWjANs/JGFRMaOF3uBJSETENWCDWJibkdc6jloVj6A7Ve JP7e7ID4t6H7clyWUwapiavcflmW/DLB7p/yenIkyWv569lFL0byaHkitg4gMObtnSLcDJLOOoqP Jy+Mv30NL/tNGtWBZdyTMk88HZZZ2i2Z82mlONIHf5v0QoMDS/NVJhCO8ReXrSCrYKaYtr0KFTL+ S08zNFbiWHlH4fYUwM9PRUeI+LAnoT1hR3+4y60djB89L9EfXF36ZDYTkB90wj07ocVVp1q+BxOt 1Yni5dPVsWMEv7x8L4Ke/vKoRSevsAPOccdkNbJh+yK+VzFa69c32qdsZMycX5NizkyJNko+eo6v 4I7eA3O+f6MuxEtrB/kUxDoRVMNqT3NGAJhF2axFeoLDkF0ZxQ9Tk7H6OBbvmbTd954GY9kI9UDz TWLREQb6aVxafz6vKkKm4rOeOCd8oE4mwXRujWQGMs8DxXp2xIfx3Q0IajyCOZ4RFDlKlKCcX3jR 2E582PaDki+hpknumVp2MhVIReG/V8uqNAebkWRX9YPSdsEdMjhNpR/7EOSiVpK/7c1Lh6BtwZ+/ cjz8PDoZWE2qvmvQbn2QBYn7jQdlteiTg4Y/f0+Mkkw8EqvrUaBqpBoMu6wYf4XhtRSPIIRQmwEh 9Mb6zHyxr7MfFYmq5j+N0nsJbK2UD5QXexr281v3ZBaL6E5gHD9PcJOgzoqu2jMtyZPMttI1zWDF KMVg9DO9oz7zPToarPzrcOydqlTsGc72uZtx+2Vssg9DQC3giMLqNqo29dNibC2nIk8nW4r1f/zt 0GuEbTLb4+gDl7w4JldHHYixngfQ+vhXKZk/Cwi4S4fyFYckV75bvzRF8snRFAbNQJNWVoQkKRmi /Osp4AqPomTN+vcKH00ZhgWga2ppZ8wsB1pCruf/PyHR084IMi+ZA6EgXSaSQdshiZFUm0W1dUE0 UufWyEaL0DsI+kJ7d26to7DQ9bunSuM+6jcEViG8potXDItIbd94NiUZdQsqfopSUWKYvTDlq3nv 13YI+F3SW/9gvDl6zVOKdQok5hKSLaujTSBf3chylSzntpay20wgUzJu5NbHHFufdKhwxqQ+ZQwb Su/qRKwD/9bzTSs3ADxydQTzf9x9BYMnmGUT80+DINwfC2DsHXLRM6aukg8cOP3Oq6+y2IAnx4jd WZyN8BPTV0F8NRrTxjcvnntAsiRbL6trHo+nnn6UIPha2/9QTbrkVyuORrL4mEjkKf9FfQzFz5dH cSTUPMSm3WEJydfaZzxrK0KiK9d2UlNDcmh2SJa57s7GG4wb0W2BJRkSootM1nSVkE7omaJTXudG EDTwTsJDaG14Zu7RY+HV1JLDVmjc+TUVAsIvtRC5XJUQLbFTadAkzEl8/j5u50VcoNoGKiiRhE+w qYC8P1mJwgiG7MJh91y3Br81HcAoJhjSMgZk0HkbOCbhmXhbEUZEUWtWtoWZjTMRGPOsG4eAAGwX jITxxuOMbEdr1JtGJ+4WQhLwwkyUPoTLMp3tfmU8zg47b4AibJhB+4VBYw6pj9u5eZBt+ewuzo65 y5ZfwC4HstR8R5Cj0NQS+orG7/SI9GH7DDqulertAWFIn3NNDD2ZMEqMt67jv1bQE6cAObHWjoZp sgaDWPI1Fx9X308HbUho4CtN0c5ILVi8tIvTTb89EfbO7X1NdRJJcD3WA4IhjYtEaVbKJ0N9LKyS s5Qk/IxeyyK7tMIjRAjQo1mV/0Ylb3+aacYE4YjTt4LCf+hOs+rYFoR0nyhGkFdUSQWevJCjdhbP Qt2nwntHc0LO3rnsMa2pmka3eSKVmDM7PUc0uao+ACz07iVlsEf4hDrySQZEVmrFarJkQZxuTaUQ CxgSYU+zr5zkx2LLEdLTUwp1NpCouSvZ4sPOLMaoxFhgUkn+K/fCiLqk7X72VsOjIRwUe63lIUUq I+tJQo1P7krUBSwC5au9YcUQzokQuvwmVOKHJrC/oFveIEgws4t/cQUew2x5/jEZWIBAxAKXpqbF AbbMHICRuWIsTM8Qvn+DbnHci43oC8iYk7VUqpeMwIo6NeKdTghY9dqL/UNLX5Ni5+r3zxrzldgn 11BDiJiEK8SXNu0o8T8dNgNTwJ+3VuZ4ilYq946aebY2FUWjXTS0CN3mmjFfqplyLR5xmVnvuQnl UiZan88yR2Mv2T3EhAdS6zRP59gQLFYRsQOGIU8tp5G3qqtBRvJbsp5bHRLHh7a8kz4c3vTBC1fL AzooPnyl7YQZF1oqV4oCyprS+qHakGvKJ65mf7TF/2IUosN+8j5n3qfx0+Qb5iS+SYsfID3dRs+A YfUo+Cj7ZBkmKGu+HZJ5HY7C0Qx0ZjtkR0WF4B1F8LoptikBRo8pX4Ph+qFHUTb/CMlu3CRQsOyI zqvJa0+APkshBnQW57ClYoI+2onevmNyZXoBv5Q81V8dlQkewEkHxHgBevGLkeo6DR4SYgpbxzQl KUNNQG8CzSCK2bpuoP/OnpUYRaL1BiQJ/EOc5WQu3TVmzVf3efALoQZ/RjHW1Y8liu/Spzrqa/9+ ua2GfCjSOiA8RFSDt+eJzpOFdxFahuSTuAfvkuPSOOD4ZW6uI61evM2+XJjfiLT+piI6imt4fKBI gLvGxAErSpTz+dQ/ZsdEpWXkTIEcPQtMm9owZplKmS/F9FaKCxQAPftYk5ot1YHakaEjiPaLECKH +HMCS0NSkJPaoc8FSIh3HgOTXO7Vw7LeTxd+tt7LJYHcokhlcaGdX8YJ9l8f2N0kPaR4ClFUBST6 43fXskreX27DUUvkTpf0sbkEazth2j2teyK32RZPfq8Hn5BM2zwpUWd/7YFIW0u8EzdnUKd0HI6C 5lfWvb3jnpDD8hf/RdhLw49wN+a67H1ME9HmK6yjlPaMLgsO5fvDcPPcMN966Izf2vCK6akFRjlv qNNpt1XEe13pDbW0BqrZoia+Q+c62pavbIohqLgB1vrfvvbRgSUs3LKt7DcIwi42x6ldVvWqgkWt 8cJzWZla7MQJTbTDzSoQXmDfSpxIkrP7ZGKaasE3+tNTCUAJvoHMiZsrae36PUnyvtp5L4+0+iJP i07i0OFlrNjj2jVi7Tyh35BL0soBEkxwPTYDm4vuXVpMPPwvH/xlRK7zwbqXfgB1WGIeEu/IWx4g KCqSyBzz+2OEW2QFsoilN5HkKw+KTNeB6jRObhyQR40x0IVo3WOxUe9WgtEkVrBrAfg4U0E7S4nD mcq8w2857gOrSIhkqnVyT/QtJil+iGTWbtza21ngBqdVebAZId2GYGafttI8xz4ecMubBM4IHfdJ Uv6YbZl7jucnD5QUQIhl/yNs5k3xhPkpgJD09Cq+dg0bpLn22ZUlhCoszYTv8k4WsliT1jKSiIjJ Qky4j6Suct7wwCvfLTn1PdvK8K9Jysl1GwYZoVPmFZoA4oBcbu/eno5LL+1yAk/4J/Sw3Qy2Njky aenyEhNjUBDgW9vCvHPFKIpdEk9RcIQFIdGp6svNBiJCSz0CtA9XOzmA1IT0XEJmcgqNDXpR3eEj vRmCbMi4KcRiPCCyTgPxBuqQjyRithLDmZk268U5wVDWXlerQjLhFStR2klKwuYRGTngysUA5smM X/Z0D+2xXiZp/zXm9VdBLmxLKNon8e++8mfvWiNjWHCtgW4o8QQblGYTUQN80Y02TLQChWXIRdag Fzv8RWY4S87K9L26YNUXCJ8JgN/UxqIJHB7v55MPyEDQ9db+ABrOeJtYpeg51POOesdl5MLymmTw 6ruz7E8s0OWO7lfbTPDJW96y9tICPTMt7d1D/n0pnp0MUGQUOJ+CUoeH+E6sa1IboAeHNWcX7p8X 4OLBMV8hC82A9mPS59P9A6OpFlnfUU7puIAO1IStjzD2M6ROgpysU4t4U5hjRs5sGNr3PKBTs6rk vQkJNeLaBYc+D7m3wAta3JvCnLAn2AazLjkiCrrB7ZlkPlj/YuhdoXjx1ode6o8vqxHNbBINKAQG SWjUtIhxgE/lMRAJMtrtvqq71XjHKlr0fbIkQa3gkqyibwDd+iQa4Vdgy+0FTMj19kbsod9c24Z7 kgk9k7LfrWErD7vlMPZSR0UTdqpS7tUApK1ro/42tIrR7b2b8oInQdLekzm7M3eEZKAtKbtvaDtX yh1rehAKKN8Acc+NEoY5YhU+NbW4dnt5Xw5fxX2zECUcZ83sG+uPscWuMUjPwyt+SbJmK70BJka0 HJSu8BR5MQBJ0hqbG1Dl1pHWavP3U5/vnT50N8wzBxc1qYM+1LA4PzdAjnlIGptsgqLMmQiJSjGr SuQ0cgOloQrEfNCvmJCx8hLaQIfs6gZASjnRtNqSGsrsu54/wOGTVPFaDI99GSLwW1tepUEgum1y u9k8CFc+EilkcrPL0exWX54my2zyz2z2+hgXgnSRoSQR7JKsLEg1hOO5O86p4ECxg0tuEK37jZc0 8id4+BeUz9Bsu3lmMwU4ga4TDbY4sUHm7VVfoVpOXYMsSBoIha+OiaIWJRfbBpaD6UvO494RkhMu /vhhOZZmBmCTerX/VqozQJM8YqSh1fryEFysdEP8GjiBD885njFDZyAp+q6f/cgRl/v7dNuSxF3g 3RbAb23+CE/j8skU1Pg2TfZCQ1flJ17ayYaMjuoJTcjVAyLOtEyfHb4eZeZ9tRrfsr/TglR5nWNo dEWrkq88JCeJikc/bA250nNbvXElmlrrG7VIMG5LYE0rOi0bNXvV++cm2DPO9xSY6aPhCaoYrx7A bQZMYTpOZ7JRNP91BjfPNn8P5RAQnU+PZpNvyVSAuu7MlzfGEcmpXGstJqkLejAEw29Isyiw3tDe JfzLrDjD6UXbBAUPMSCNKq3TZIrWr8t9S1GO1bURNMNndXtqm64zBpkuclxu1aVUPILfQ4gCud/7 2v/h4bw1GoEm3SrZIA4LgqGV5NZIKOwv3HWxXA68XPvLjczQsw6K1xZWhvxwsXULLnpnbCe7qfbZ vIRd8CZOfCr7J5sFgEPx+hu6WuwH0bSJiqyme19wb2gvThU24T1kJRKH1kMi74QmPkkt/jn74dE+ Bnj3sxl64xGcRsLBSH8xit1ZqVUttyD+JZGzySnprPczPJs0231sNaAkJ9Lpd8QMdddwAFrYG1B4 hKuBe4tydIRcdCVaZTGeNaF8AcfxoLNen7pNNoC/HFznSB7hkqlLTLRC7iDHSylvQ/mPbECh04eU t8258OJ+tEXMw3pDjac266RDFU7hDCUGtT+27iDzWaY1RHjeVZEVO7W3YtfnrTsQ6BjxOQVZc6Sv oIgxy/VDf4hUmOxJyE30sR9jaU6ij/eBu8qHtZAun/esi84shKQGwD+8xyscuU7O0yBP0JXLaS6a 6QnTzChy89TwvkHsqoxZi8/Wsn2sbWpJVq/yoJt6OhowDY936sxMpVuYDHQhL0bhl5NpGS7G46tf qTP+V58jz0P7unq47wSHgDpjahjy5R13/2AorbYEYfZq9TWkOSwft8MABl+YCan/IBHHT5yRH7tt TUyVIY+e22014ObvI+Iy8ESedba9krgo5aqDj+WCbnoPPtTxjiIZ40w3f5ybVS9XFnOb+yqLj8zz KK79WG5PR4tb+rPdMDaWI8OAxL/avJ8je3/hdBEcmsGfbWER/vO7RGo5HAR+5O3lasQoX34qzOgg bdEwlcJdWr9AQcCgtfYkSo+TLLegiFF6//OTq7DCVXv6Yv6OtZ94OYwL+bLnPsjgfLZ3lgdtwE57 p4maJTKXrZkHgEtoxBFVCLWNjsjspPqfR27WjOUpRKrSYgJXeiOClVFf4fzS7H7cg+dMSQUeUI03 /0lb/9lbve8JItRbfkhOlN5KjZdGZWcoIvwYtDrA1rw1vZi8m3L+QOhW4n4ovdhh4UP+xSSaKShu 2vPpvdeMa124a6bOfwmsDX6w4D5u+2c9tJqrpZU18XaE2VrGvd4ROl5Fg6Rel4xOUnDSmyjy1poG BRyj1dfKtl7cNcpl5ku+UVDr8iRikL0l35w3taQsgtN616KA/Lr276KTi81AoV0mQJATF5HJ2797 1sT7sng5j/jvzrVeqo4E2/T09yZ1O6DK5+TH+gS/3CGg8+EGDFtZvBj3GRCPzlsbc+2cZiM6CNJJ fTJgkA1FXUDZ1cqqsqSGvjdSfgejH6pTnn++1J2wDx1Mg7lnihUmgjJA/8iLmeaVOSguEXZARw8S eakCASqbSz678pJJTaSFQx1QXht4LjcMp5MuV1wEc6X1EuywXC/f1YU617WRsodX3dT8xQSkoGJZ P1D+hTTUZkKy5DU7cUaL+xH6JRjAA3g9ZpMiIXnU2b/haFSSN2SkM/wh31IW/7DhfWEY7qYZtc3K oHjogXAHzQistfuYbs2mj0/w9xpMbvrKoqX33qyOX/F+dkPmLSlIvGiYhsF03ga5BaX70DcdWdw5 MQHShilc7AVqWgT3fEYAbwXILDdfyelDBXHKVzkk2xoqneSe+Px2d3Wm8ScJDeDqpmzgo4Fti6zX zrpiJusRhzBzxd1KvnKdm8jRrZtqsTukejFq7Njh4D4aptYpQhVIywBQ+ZSTz663wJgRAJ3Ib5Af IWWu2+bQEB66O32iBIvBYLEI6YcN9H2LNmmaMnmotSa+8nfFPnK2Q++2axoMgSU1Vpn7Txoup642 QqrAmzH/BRbz3IFH51cw268eBTnokqyG36LxgduhiMcFgXK1LY+7M95zND6aOcomNgqYtxrWdiRI 8lqtGC6daKi7xJZvxC/JvFw+r10HdAw1iNiprFoIRU7vpCU5zne5g77ta+IlMOIhGVMH88+Aec18 4XwB/aRHL4KiBDxqFAcugHUDzfvms9LX3VnJ8BCi9vR9w/F7YMLU7Xsp9ENp2H/mOpP1kyO9uPKR X7L3pQaRQ5z+jBpb47eBLMBBBqNbtPFQoz0aJ3PHTL/7hvmHaJGaRRiVVLoAPdSQAdAh318nqbAF nDxG8pfrMd2+38KU7IJQbxlxnXBVTP8+FU4l6pauO2e+pFzJQdUegD2DjRyb7vL198VeIFunOyeI ENTpRSXrDTiHrJRhddEvYY8NCUd90xhj6kzouerEZptK6sRppC+oPTbmJ2EczqMWSVAsDF/Oe7sh rzGr9vdQvSKyetq4+ACJT6CakuwFIVR/HvFaC/tKVH7sfLG+Umqk/KGdOQ5beYsl5PLekJMMrg9j nuO3EQPDgvcjzROfTc3ECE1nmv38+oldgiJTCbvj19dvh/DPUVrJ8+o7X4dVQugfat7ktu5+FrRb xGidno5/FvEB7M9juxHODybVXJ33JeAXdo/2M41qZXG0U5JgHz3nwgRFIugrPEVa9QB+5RabwDst vLCRh1KFBt7O+ZBb7aBv3/5QnUXA9hT5Tn9BHO5/H4Ym0IodcnflPurVQmAw9VGM7g1wpX9MgcNc /H2XTPlZlI0FD8xLWMu11Lqadh7gYCE4c+lWv+FkJ88nWjD10YlQqNs0djFVPIdHMIfzR4yFdzYP N0JcXfzUM7tyY6vWgb4356vvjJJbi7jGmeZ2sxd7tmuErs+1A1dF8h+NjMu1KnMWfi3XjpRJL5he ZGdzFBsWx487VS3I+a0XR7tRM72NQGC3rptIFW4q9cgaNcjEzCpMdStLZBNeSpSJfp7C1yt9qeYO OY+/d4AC6h5F6vayLv4mpwIfvAuuBGCot4M1B5/UQQcgGIxr/SvBD+tB+5tr9S5ygNIUeG60nFlN rzzEEx7qLx2sTH+v5F1KyFVxmNK1GCI6/1mgAdt3SpDc/F97NcByDVBbUp1CM564/UyPYc578HKu YCxKE1GMCz1hVGmGVv3CV/q5UFaa8xOrKmOIyKtruSjyOw5b9e0XDkd16/i02YLPNxEYPG/HN1hp RNCdgG79umWT1A6rhzs1M7JqAFm5LWakTiIKPCoOLU4SNc6ppQkHmfzCoelPLg03OjGrBN55//uz LL931PhBrOM0iNJzaqzPN3GRzWy4YS+2YnxcO3h1tVO11kWUvcOmDUuBJCYE6qNBxes51EK6L6sy FvRyKq5UcQ8d4xOiIdglGBB/KnDHvXpBJ4gZ979bhv190Nlxg5gdJFeSV6/sSh3D/UcQUgZGn7Ra TtbEdE02H/EkF0hR+cBI3WSxC11R5iD9nAjeLqYMJY9q62BNAO8JLDOP9hI8djl7ok+xM7dHQB0x u43CmtlYyEjmnAYJIE0VjVVR6YjYT52C6GrI2KKZaCpPVhTCpkT+jAirFfoXaJLgchL7j9xORRGY TqnFqrccEFl0nPJyQ5zC6X7qu5yKDECUps3oPnICmDH1OaDtmjw5SCooxH0EpT17KkBSlxuR17c0 9lhcOLt6wAAAEqM9U+usVkouP6dTqWQBRLgrvemiYWyBK81P4U5FkxGJiELt5d7lN2YZ3AyPFkxP aZ3VQ8Yv3LTKhBGaG0VdhQ22ru5trK9etg7w/YG/57gwKM4SOHgjGxuoHcq5PwjOYCOuBPTwsA2D PV4g6a/JSVXUKnNgqJ764EkwM3txB7GsC+XLJ/gxTf8RFQCWSMeTcamdRqz3TkuGNVWNOImr0pit ORwCHbOy28HrWbf3scW2MO38pI2ymbo4xe+DLEdpVWYeaFyCB9A6igwUU26r9MresrDwS9vPaw1k z4F9ALDLsyGAdQWMU4DspZvF1NAPaoRbYFBHUrCeSEDicBJqTH6sjDIzflNKeWw1ohDpZa9Z4wbp qS9TKjso6BLJeyAfR3ogsmZ4L2jDv6aB+S2J409WaaxfuWofxuqEs12uWeQf0RvsuQfwqA7lXHAO cXenF68bMQ453+73YSTYFGJuxtgrLBkFhCgq8XSd9FHVP8D0DhEHl8OrXMjFlFQMgbiWWLjvjpxM L3NRtJx4Gzq419UvpQDqlfoNSlXlrE9AweJyzy3RrR1UJaz+Po7txNVCKJhJZds94fgNSjbKAhRT GzkbjRqz057MzwvBw5qtA94nfJI+FmLgd8lM3ynO9L2Ff8nGwKcvEOneAl2adYRKnXs1GxzrSqnS lmczy+yr30DNOIdSOZcVCIloWY/5iDcEZPU0SnsGRWkqKZtufhOfkd79DpnvY2OL7RQqFUptWpUm 0oxlRdTJWP8n2hRZIacIZKiOpb2HRqwvINDzzemYP0yUld8+pfqJJroi3q9DuYojLORQLKJaGNdM VlliHzrxT/mRQ3vVYeCcGER7MZ2fjpYM+De3grKbRskbU0Xnvvog+Iqgd+/xClqoY+ClqD4WvmnJ Kjh6ASCbYOIYXpCi1Z+x6K9zhtD9n8KzhboRkXWxrA0wDsCizwpk0GN9j7iJKqup4lgca92eTaL+ wXZLuTBZ4iX0VIG/JFlqB+IFqUjNTq6QA8tcGcnBqjqkA61otp9oRkmgdwBENE8YnP7gfx7+9i8K 4FKEh+pJZnfyNq2Zpd6fsB3y1ASbV0S9dah7MVeM7cyy2T8bC+mQm+CGRv3WmLnJg1X1SzvAplno W96DNBfFPFTfmtOLOEld8y875xFcubiuK4Rz9XCo0EzDxJn6Km8ASsyh8JfEcYNgFPPIBxYOi2vL 2dhb1lTiWCM14LqqQucPBKnTPlzeVV0fwBILBJn9NNbU/q/158xGSgt5dqBCKmEpdhqsLjF1k1fy TrfY71YtmGUGJakFMT1xJlbbqCTvM87l9XgNgUIDQl1muKItCE5ZOoI4XCVK94Nh84jo9gMZ542f 0USfy33/zW6vUSnr7e+BJgT56FqJ/UHt9ez29WXxqNzAg57DmHS55HpKmx2XQdalvmUaBDTFIhmo tPwkLbbkOC4jUjLCBOTPYoRwuyCq7qmUR+RPKntRH1ZNqw4KawLiFX/s2Gh8aKLcuCO0rIfLBybz H0QCfAHGbTZQvIyxxqKtESS1Ka2Vv+ZHle8dbda6L1UUJO3ga1jgYRb5mqVawV3VRREUBcp1yKuk jjIFvhVjbHDIqoS86JAOhSqXqfjl5cFbyxQEDGy75EGLG410/b+Ipe3YHMOjQTW74t7pxA0AKZee HKk3HUspWjm6rXzU3WdOlrEvLyUWrk3oPMxsBX4wMKkN48zVxizXWQ+u61s0SVIotpm5ali3aIDP Rg/vsTOon8SlaxYIOY3WUKyl1Jl8zbU3WKV+zP3v8StZQ6JAi+IPjDOJoRIXFLUQTiYPocRVsJ0c Z3bmDvFTY3gft5T6QUGmTpgQVjmjiv5MBG4uqthzn+7Re7oSMDmLgQ+a7W0idTJBZkC8T7XJJrV7 M9iY6Mi2t2z9p97fH3g2Q0ONKMcPqPSCcXcdou2xr2RUD+h3paZOOKxb5zbLffzMvpvpvP/LVP6D qyYGwImvX354hZFgQjip2tvmR97Lyh81gMD1GK8ST651vaRpQzLVE4OSyTRheVOwRFIcsqdg2nfq s8g596wKQmHGQb0uHjFL3hJsDOm+PlNyuUjEZC+DqUOzurAyhm0mXR76kbYfGYtijaNsgNWJaDB6 8GEjfFz1QALeB50CZzR+jL7hwxSRaLOWLUmTshYIzyaiDBTMq9g3rOPswR1OpOXwXXfp/e+a4dDa vDMwPZr7APNPkHDoq4kxMiPESoLD/AtuKjsXSvacv5aD4iQ7eV+ddnGezOKsP1/huMHtQl7iQpyQ wipIy1q3gEhim53msEs/NQDbQU7YBBbJEyo793FHrokZCG8WJM/LnpGcinBogaO3Sa/NLJ6ata/F sBNmfpq5pW5TjUFfNx2j8iJiXDg5QQMUif/mGLeumwfrZIP8+bwrGCHs45smoKVS7DsHcGuZxJjw lCXadtgBvyQc3NuWzunmKeiXtEY2ljey1UpqlavQO6CoCvUvXqGmRQuzBwcCDNNyyKFVo/kBjGCa gc81763AfkE+DKkUHEB2tWnEQKpgD1HHOpTQMz/MKrnS1+GKVls3LeLlFPrlyX75y1Nm8Fo14Hj3 avfP8TCR3RsWPTxNqBQzOY6wseqzDVT83hsvF9OxxX8IK/dD0WWTdqCTTRktHK7CLpkpp019M45J QsVxvZMhzE2n5Hu0jMimBcUlHTmyWTSv8PMVG+vz//WZye7Bmsv+5UraNYtJRTYQJGpdqypPOQ== `protect end_protected
bsd-2-clause
993983dc9c010a10915db5167520219f
0.944477
1.843009
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/srl_fifo.vhd
1
11,966
------------------------------------------------------------------------------- -- $Id: srl_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- SRL_FIFO entity and architecture ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- goran 2001-05-11 First Version -- KC 2001-06-20 Added Addr as an output port, for use as an occupancy -- value -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- jam 2002-04-12 added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- -- DET 1/17/2008 v3_00_a -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16; C_XON : boolean := false ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic; Addr : out std_logic_vector(0 to 3) -- Added Addr as a port ); end entity SRL_FIFO; architecture IMP of SRL_FIFO is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes"; component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; component LUT4 generic( INIT : bit_vector := X"0000" ); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic); end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic); end component FDR; signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); begin -- architecture IMP buffer_Full <= '1' when (addr_i = "1111") else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset); -- [in std_logic] Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; ------------------------------------------------------------------------------- -- INT_ADDR_PROCESS ------------------------------------------------------------------------------- -- This process assigns the internal address to the output port ------------------------------------------------------------------------------- INT_ADDR_PROCESS:process (addr_i) begin -- process Addr <= addr_i; end process; end architecture IMP;
bsd-2-clause
dfebab07317953cabe84912d8537ce01
0.436821
4.373538
false
false
false
false
Yarr/Yarr-fw
rtl/kintex7/rx-core/wb_rx_core.vhd
1
13,023
-- #################################### -- # Project: Yarr -- # Author: Timon Heim -- # E-Mail: timon.heim at cern.ch -- # Comments: RX core -- # Outputs are synchronous to wb_clk_i -- #################################### -- # Adress Map: -- # Adr[3:0]: -- # 0x0 : RX Enable Mask library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.VComponents.all; entity wb_rx_core is generic ( g_NUM_RX : integer range 1 to 32 := 1; g_TYPE : string := "FEI4"; g_NUM_LANES : integer range 1 to 4 := 1 ); port ( -- Sys connect wb_clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone slave interface wb_adr_i : in std_logic_vector(31 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; wb_stall_o : out std_logic; -- RX IN rx_clk_i : in std_logic; rx_serdes_clk_i : in std_logic; rx_data_i_p : in std_logic_vector((g_NUM_RX*g_NUM_LANES)-1 downto 0); rx_data_i_n : in std_logic_vector((g_NUM_RX*g_NUM_LANES)-1 downto 0); trig_tag_i : in std_logic_vector(31 downto 0); -- RX OUT (sync to sys_clk) rx_valid_o : out std_logic; rx_data_o : out std_logic_vector(63 downto 0); busy_o : out std_logic; debug_o : out std_logic_vector(31 downto 0) ); end wb_rx_core; architecture behavioral of wb_rx_core is function log2_ceil(val : integer) return natural is variable result : natural; begin for i in 0 to g_NUM_RX-1 loop if (val <= (2 ** i)) then result := i; exit; end if; end loop; return result; end function; constant c_ALL_ZEROS : std_logic_vector(g_NUM_RX-1 downto 0) := (others => '0'); component frr_arbiter generic ( g_CHANNELS : integer := g_NUM_RX ); port ( -- sys connect clk_i : in std_logic; rst_i : in std_logic; -- requests req_i : in std_logic_vector(g_CHANNELS-1 downto 0); en_i : in std_logic_vector(g_CHANNELS-1 downto 0); -- grants gnt_o : out std_logic_vector(g_CHANNELS-1 downto 0) ); end component frr_arbiter; component fei4_rx_channel port ( -- Sys connect rst_n_i : in std_logic; clk_160_i : in std_logic; clk_640_i : in std_logic; enable_i : in std_logic; -- Input rx_data_i : in std_logic; trig_tag_i : in std_logic_vector(31 downto 0); -- Output rx_data_o : out std_logic_vector(25 downto 0); rx_valid_o : out std_logic; rx_stat_o : out std_logic_vector(7 downto 0); rx_data_raw_o : out std_logic_vector(7 downto 0) ); end component; component aurora_rx_channel generic ( g_NUM_LANES : integer range 1 to 4 := g_NUM_LANES ); port ( rst_n_i : in std_logic; clk_rx_i : in std_logic; -- Fabric clock (serdes/8) clk_serdes_i : in std_logic; -- IO clock -- Input enable_i : in std_logic; rx_data_i_p : in std_logic_vector(g_NUM_LANES-1 downto 0); rx_data_i_n : in std_logic_vector(g_NUM_LANES-1 downto 0); rx_polarity_i : in std_logic_vector(g_NUM_LANES-1 downto 0); trig_tag_i : in std_logic_vector(63 downto 0); -- Output rx_data_o : out std_logic_vector(63 downto 0); rx_valid_o : out std_logic; rx_stat_o : out std_logic_vector(7 downto 0) ); end component aurora_rx_channel; COMPONENT rx_channel_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(63 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; COMPONENT ila_rx_dma_wb PORT ( clk : IN STD_LOGIC; probe0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); probe1 : IN STD_LOGIC_VECTOR(63 DOWNTO 0); probe2 : IN STD_LOGIC_VECTOR(63 DOWNTO 0); probe3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe6 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe7 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe8 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); probe9 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe10 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); probe11 : IN STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT ; type rx_data_array is array (g_NUM_RX-1 downto 0) of std_logic_vector(63 downto 0); type rx_data_fifo_array is array (g_NUM_RX-1 downto 0) of std_logic_vector(63 downto 0); type rx_stat_array is array (g_NUM_RX-1 downto 0) of std_logic_vector(7 downto 0); signal rx_data_i : std_logic_vector((g_NUM_RX*g_NUM_LANES)-1 downto 0); signal rx_polarity : std_logic_vector((g_NUM_RX*g_NUM_LANES)-1 downto 0); signal rx_polarity_t : std_logic_vector((g_NUM_RX*g_NUM_LANES)-1 downto 0); signal rx_data : rx_data_array; signal rx_valid : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_stat : rx_stat_array; signal rx_data_raw : rx_stat_array; signal rx_fifo_dout :rx_data_fifo_array; signal rx_fifo_dout_t :rx_data_fifo_array; signal rx_fifo_din : rx_data_fifo_array; signal rx_fifo_full : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_fifo_empty : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_fifo_empty_t : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_fifo_rden : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_fifo_rden_t : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_fifo_wren : std_logic_vector(g_NUM_RX-1 downto 0); signal rx_enable : std_logic_vector(31 downto 0); signal rx_enable_d : std_logic_vector(31 downto 0); signal rx_enable_dd : std_logic_vector(31 downto 0); signal rx_status : std_logic_vector(31 downto 0); signal rx_status_s : std_logic_vector(31 downto 0); signal channel : integer range 0 to g_NUM_RX-1; signal debug : std_logic_vector(31 downto 0); begin debug_o <= debug; debug(7 downto 0) <= rx_stat(0); debug(15 downto 8) <= rx_data_raw(0); debug(16) <= rx_valid(0); -- wb_core_debug : ila_rx_dma_wb -- PORT MAP ( -- clk => wb_clk_i, -- probe0 => (others => '0'), -- probe1 => (others => '0'), -- probe2 => (others => '0'), -- probe3(0) => rx_fifo_empty(0), -- probe4(0) => rx_fifo_empty(1), -- probe5(0) => rx_enable_d(0), -- probe6(0) => rx_enable_d(1), -- probe7(0) => '0', -- probe8 => (others => '0'), -- probe9(0) => '0', -- probe10(0) => rx_fifo_rden_t(0), -- probe11(0) => rx_fifo_rden_t(1) -- ); wb_proc: process (wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then wb_dat_o <= (others => '0'); wb_ack_o <= '0'; rx_enable <= (others => '0'); wb_stall_o <= '0'; rx_enable_d <= (others => '0'); rx_polarity <= (others => '0'); elsif rising_edge(wb_clk_i) then wb_ack_o <= '0'; rx_enable_d <= rx_enable; if (wb_cyc_i = '1' and wb_stb_i = '1') then if (wb_we_i = '1') then if (wb_adr_i(3 downto 0) = x"0") then -- Set enable mask wb_ack_o <= '1'; rx_enable <= wb_dat_i; elsif (wb_adr_i(3 downto 0) = x"2") then -- Set RX polarity wb_ack_o <= '1'; rx_polarity <= wb_dat_i((g_NUM_RX*g_NUM_LANES)-1 downto 0); else wb_ack_o <= '1'; end if; else if (wb_adr_i(3 downto 0) = x"0") then -- Read enable mask wb_dat_o <= rx_enable; wb_ack_o <= '1'; elsif (wb_adr_i(3 downto 0) = x"1") then -- Link status wb_dat_o <= rx_status; wb_ack_o <= '1'; elsif (wb_adr_i(3 downto 0) = x"2") then -- RX polarity wb_dat_o <= (others => '0'); wb_dat_o((g_NUM_RX*g_NUM_LANES)-1 downto 0) <= rx_polarity; wb_ack_o <= '1'; else wb_dat_o <= x"DEADBEEF"; wb_ack_o <= '1'; end if; end if; end if; end if; end process wb_proc; -- Arbiter cmp_frr_arbiter : frr_arbiter port map ( clk_i => wb_clk_i, rst_i => not rst_n_i, req_i => not rx_fifo_empty_t, en_i => rx_enable_dd(g_NUM_RX-1 downto 0), gnt_o => rx_fifo_rden_t ); --rx_valid_o <= '0' when (unsigned(rx_fifo_rden) = 0 or ((rx_fifo_rden and rx_fifo_empty) = rx_fifo_rden)) else '1'; --rx_data_o <= x"DEADBEEF" when (unsigned(rx_fifo_rden) = 0) else rx_fifo_dout(log2_ceil(to_integer(unsigned(rx_fifo_rden)))); reg_proc : process(wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then --rx_fifo_rden <= (others => '0'); rx_valid_o <= '0'; channel <= 0; rx_fifo_dout <= (others => (others => '0')); rx_fifo_rden <= (others => '0'); rx_fifo_empty <= (others => '0'); elsif rising_edge(wb_clk_i) then rx_fifo_dout <= rx_fifo_dout_t; rx_fifo_rden <= rx_fifo_rden_t; rx_fifo_empty <= rx_fifo_empty_t; channel <= log2_ceil(to_integer(unsigned(rx_fifo_rden_t))); if (unsigned(rx_fifo_rden) = 0) then -- no channel being filled right now rx_valid_o <= '0'; rx_data_o <= x"DEADBEEFDEADBEEF"; elsif ((rx_fifo_rden and rx_fifo_empty) = rx_fifo_rden) then -- channel being read is empty, add empty word rx_valid_o <= '1'; rx_data_o <= x"FFFFDEADFFFFDEAD"; else -- channel being read is not empty rx_valid_o <= '1'; rx_data_o <= rx_fifo_dout(channel); end if; end if; end process reg_proc; fei4_iobuf: if g_TYPE = "FEI4" generate rx_loop: for I in 0 to (g_NUM_RX*g_NUM_LANES)-1 generate begin rx_buf : IBUFDS generic map ( DIFF_TERM => TRUE, -- Differential Termination IBUF_LOW_PWR => FALSE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards IOSTANDARD => "LVDS_25") port map ( O => rx_data_i(I), -- Buffer output I => rx_data_i_p(I), -- Diff_p buffer input (connect directly to top-level port) IB => rx_data_i_n(I) -- Diff_n buffer input (connect directly to top-level port) ); end generate; end generate fei4_iobuf; enable_sync: process (rx_clk_i, rst_n_i) begin if (rst_n_i = '0') then rx_enable_dd <= (others => '0'); rx_status <= (others => '0'); rx_polarity_t <= (others => '0'); elsif rising_edge(rx_clk_i) then rx_enable_dd <= rx_enable_d; rx_status <= rx_status_s; rx_polarity_t <= rx_polarity; end if; end process enable_sync; -- Generate Rx Channels busy_o <= '0' when (rx_fifo_full = c_ALL_ZEROS) else '1'; rx_channels: for I in 0 to g_NUM_RX-1 generate begin fei4_type: if g_TYPE = "FEI4" generate cmp_fei4_rx_channel: fei4_rx_channel PORT MAP( rst_n_i => rst_n_i, clk_160_i => rx_clk_i, clk_640_i => rx_serdes_clk_i, enable_i => rx_enable_dd(I), rx_data_i => rx_data_i(I), trig_tag_i => trig_tag_i, rx_data_o => rx_data(I)(25 downto 0), rx_valid_o => rx_valid(I), rx_stat_o => rx_stat(I), rx_data_raw_o => rx_data_raw(I) ); rx_fifo_din(I) <= x"03000000" & STD_LOGIC_VECTOR(TO_UNSIGNED(I,6)) & rx_data(I)(25 downto 0); end generate fei4_type; rd53_type: if g_TYPE = "RD53" generate cmp_aurora_rx_channel : aurora_rx_channel PORT MAP ( rst_n_i => rst_n_i, clk_rx_i => rx_clk_i, clk_serdes_i => rx_serdes_clk_i, enable_i => rx_enable_dd(I), rx_data_i_p => rx_data_i_p((I+1)*g_NUM_LANES-1 downto (I*g_NUM_LANES)), rx_data_i_n => rx_data_i_n((I+1)*g_NUM_LANES-1 downto (I*g_NUM_LANES)), rx_polarity_i => rx_polarity_t((I+1)*g_NUM_LANES-1 downto (I*g_NUM_LANES)), trig_tag_i => x"00000000" & trig_tag_i, rx_data_o => rx_data(I), rx_valid_o => rx_valid(I), rx_stat_o => rx_stat(I) ); rx_status_s(I) <= '1' when rx_stat(I)(1) = '1' else '1' when rx_enable_dd(I) = '0' else '0'; rx_fifo_din(I) <= rx_data(I); end generate rd53_type; rx_fifo_wren(I) <= rx_valid(I) and rx_enable_dd(I); cmp_rx_channel_fifo : rx_channel_fifo PORT MAP ( rst => not rst_n_i, wr_clk => rx_clk_i, rd_clk => wb_clk_i, din => rx_fifo_din(I), wr_en => rx_fifo_wren(I), rd_en => rx_fifo_rden_t(I), dout => rx_fifo_dout_t(I), full => rx_fifo_full(I), empty => rx_fifo_empty_t(I) ); end generate; end behavioral;
gpl-3.0
9b55971ecd114d8434b458a0f453f775
0.546571
2.815784
false
false
false
false
wklimann/PCM3168
CLK_GEN/CLK_GEN_tb.vhd
1
1,434
-------------------------------------------------------------------------------- -- Engineer: Klimann Wendlin -- -- Create Date: 07:25:11 11/Okt/2013 -- Design Name: clk_gen_tb -- Description: -- -- VHDL Test Bench for module: clk_gen -- -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY clk_gen_tb_vhd IS END clk_gen_tb_vhd; ARCHITECTURE behavior OF clk_gen_tb_vhd IS constant width : integer := 24; -- Component Declaration for the Unit Under Test (UUT) COMPONENT clk_gen generic(width : integer := width); PORT( CLK : IN std_logic; RESET : IN std_logic; LR_CLK : OUT std_logic; BIT_CLK : OUT std_logic ); END COMPONENT; --Inputs SIGNAL CLK : std_logic := '0'; SIGNAL RESET : std_logic := '0'; --Outputs SIGNAL LR_CLK : std_logic := '0'; SIGNAL BIT_CLK : std_logic := '0'; BEGIN -- Instantiate the Unit Under Test (UUT) uut: clk_gen PORT MAP( CLK => CLK, RESET => RESET, LR_CLK => LR_CLK, BIT_CLK => BIT_CLK ); p_reset : process begin RESET <= '0'; --LR_CK <= '1'; wait for 100 ns; RESET <= '1'; -- Reset finished wait; end process p_reset; p_clk : process begin CLK <= '0'; wait for 10 ns; CLK <= '1'; wait for 10 ns; end process p_clk; END;
gpl-2.0
cf29d76996dbf3fb615447fdf1df0e5e
0.526499
3.051064
false
false
false
false
rjarzmik/mips_processor
Caches/cache_defs.vhd
1
8,140
------------------------------------------------------------------------------- -- Title : Cache definitions -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : cache_defs.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-15 -- Last update: 2017-01-01 -- Platform : -- Standard : VHDL'08 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-15 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; ------------------------------------------------------------------------------- package cache_defs is -- Constants for Singleport_Associative_Cache_tb constant ADDR_WIDTH : natural := 32; constant DATA_WIDTH : natural := 32; constant DATAS_PER_LINE_WIDTH : natural := 1; constant INDEX_WIDTH : natural := 1; constant WAYS_WIDTH : natural := 1; --constant ADDR_WIDTH : natural := 32; --constant DATA_WIDTH : natural := 32; --constant DATAS_PER_LINE_WIDTH : natural := 4; --constant INDEX_WIDTH : natural := 7; --constant WAYS_WIDTH : natural := 2; -- Infered constants constant NB_LINES : natural := 2**INDEX_WIDTH; constant DATAS_PER_LINE : natural := 2**DATAS_PER_LINE_WIDTH; constant NB_WAYS : natural := 2**WAYS_WIDTH; constant ADDR_DATA_NBITS : natural := integer(log2(real(DATA_WIDTH / 8))); constant ADDR_DATALINE_NBITS : natural := ADDR_DATA_NBITS + DATAS_PER_LINE_WIDTH + INDEX_WIDTH; constant TAG_WIDTH : natural := ADDR_WIDTH - ADDR_DATALINE_NBITS; subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); subtype data_t is std_logic_vector(DATA_WIDTH - 1 downto 0); type data_vector is array(natural range <>) of data_t; subtype cache_line_selector_t is std_logic_vector(0 to DATAS_PER_LINE - 1); subtype way_selector_t is std_logic_vector(0 to NB_WAYS - 1); subtype cache_line_t is data_vector(0 to DATAS_PER_LINE - 1); subtype flat_cache_line_t is std_logic_vector(DATAS_PER_LINE * DATA_WIDTH - 1 downto 0); type cache_line_vector is array (natural range <>) of cache_line_t; type flat_cache_line_vector is array (natural range <>) of flat_cache_line_t; type cls_op is (cls_none, cls_refill, cls_flush); type cache_request_t is record req : cls_op; addr : addr_t; sel : cache_line_selector_t; cline : cache_line_t; end record; type cache_response_t is record cline : cache_line_t; sel : cache_line_selector_t; rdy : std_logic; done : std_logic; end record; -- Tag entry --- entry = [ [valid_bits_of_line] [dirty_bits_of_line] [tag_context] [tag] --- +-------------------------------------------------------+ --- | Tag context | Dirty line bits | Valid line bits | Tag | --- +-------------------------------------------------------+ subtype tag_t is std_logic_vector(TAG_WIDTH - 1 downto 0); subtype tag_context_t is std_logic_vector(1 downto 0); type tag_entry_t is record ctxt : tag_context_t; valids : cache_line_selector_t; dirtys : cache_line_selector_t; tag : tag_t; end record; type tag_entry_vector is array(natural range <>) of tag_entry_t; constant TAG_ENTRY_EMPTY : tag_entry_t := ( (others => '0'), (others => '0'), (others => '0'), (others => '0')); -- Eviction types --- eviction_entry = [ [alloc_counter] ] constant alloc_entry_len : natural := ((WAYS_WIDTH * NB_WAYS + 7) / 8) * 8; subtype alloc_entry_t is std_logic_vector(0 to alloc_entry_len - 1); type mem_tag_status_t is record valid : std_logic; dirty : std_logic; end record; type cache_state is (s_idle, s_searching, s_prepare_flushing, s_flush_outer, s_flushing, s_refill_memory, s_refill_cache, s_writethrough, s_write_allocate); -- Cache statistics type cache_stats_t is record read_hits : natural; write_hits : natural; read_misses : natural; write_misses : natural; write_throughs : natural; write_backs : natural; flushes : natural; refills : natural; end record cache_stats_t; function get_address_index(i_address : std_logic_vector) return natural; function get_address_tag(i_address : std_logic_vector) return std_logic_vector; function get_data_set_index(i_address : std_logic_vector) return natural; function get_address(tag : tag_t; index : natural range 0 to NB_LINES - 1; data_in_line : natural range 0 to DATAS_PER_LINE - 1) return addr_t; function data_is_valid(addr : addr_t; te : tag_entry_t) return std_logic; function dataline_is_dirty(te : tag_entry_t) return boolean; function to_way_selector(way : natural range 0 to NB_WAYS - 1) return way_selector_t; function to_cacheline_selector(addr : addr_t) return cache_line_selector_t; end package cache_defs; package body cache_defs is function get_address_index(i_address : std_logic_vector) return natural is variable idx : natural; begin idx := to_integer(unsigned(i_address( ADDR_DATALINE_NBITS - 1 downto DATAS_PER_LINE_WIDTH + ADDR_DATA_NBITS))); return idx; end function get_address_index; function get_address_tag(i_address : std_logic_vector) return std_logic_vector is variable tag : tag_t; begin tag := i_address(i_address'length - 1 downto i_address'length - TAG_WIDTH); return tag; end function get_address_tag; function get_data_set_index(i_address : std_logic_vector) return natural is variable set_index : natural range 0 to DATAS_PER_LINE - 1; begin if DATAS_PER_LINE = 1 then set_index := 0; else set_index := to_integer(unsigned(i_address( DATAS_PER_LINE_WIDTH + ADDR_DATA_NBITS - 1 downto ADDR_DATA_NBITS))); end if; return set_index; end function get_data_set_index; function get_address(tag : tag_t; index : natural range 0 to NB_LINES - 1; data_in_line : natural range 0 to DATAS_PER_LINE - 1) return addr_t is begin return tag & std_logic_vector(to_unsigned(index, INDEX_WIDTH) & to_unsigned(data_in_line, DATAS_PER_LINE_WIDTH) & to_unsigned(0, ADDR_DATA_NBITS)); end function get_address; function data_is_valid(addr : addr_t; te : tag_entry_t) return std_logic is variable data_in_line : natural range 0 to DATAS_PER_LINE - 1; begin data_in_line := get_data_set_index(addr); return te.valids(data_in_line); end function data_is_valid; function dataline_is_dirty(te : tag_entry_t) return boolean is constant z : cache_line_selector_t := (others => '0'); variable o : boolean; begin o := te.dirtys /= z; return o; end function dataline_is_dirty; function to_way_selector(way : natural range 0 to NB_WAYS - 1) return way_selector_t is variable ws : way_selector_t := (others => '0'); begin for i in ws'range loop if i = way then ws(i) := '1'; end if; end loop; return ws; end function to_way_selector; function to_cacheline_selector(addr : addr_t) return cache_line_selector_t is variable clsel : cache_line_selector_t := (others => '0'); variable data_in_line : natural range 0 to DATAS_PER_LINE - 1; begin data_in_line := get_data_set_index(addr); clsel(data_in_line) := '1'; return clsel; end function to_cacheline_selector; end package body cache_defs;
gpl-3.0
37efd609964ff5d1e1cb032119468df0
0.579853
3.60177
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/common/rd_pe_as.vhd
2
25,238
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Pg6aok5Vq/p86aDF2gpXOXP48v1CRRpaRij2s+uQ0+X4vx8S7tXBjQPu+8NIW/vGpNBtyzN1sVkC UoHzSd21/A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gUJrwsqWshgEBipBkgjgEC6nR7K4TS9HaoK5H3qvHXFXpvZGPekrMCPWlaj4aFNjQVavLRFPf6o3 A0l0Ip1QOw+niXzC5gsBL3rJEZy1qhnRryRS54VanuBZ2fW2/TEy0cinRI55ZyJYFjuod0VVx8Qw heaXTgRUK5PitKieR1M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HuN9gdD7TEQYiNAMt4w7731e3W2InV+vOHTvtbQ0k1HLADOtEUSKDDETJkT5X71uMB5ai9oMQtXD K0QmF6YgaMgC5ad0CGuYYWJ3+DzJElfhInauYs/8GshrEqIK4gJlKRs67sYKhZGDf6mvz0CkiLIp jl3sVSSgm+ky7tQUTqwiPqZNWEYWMkzri0hvlOY7hOyXDWdq/xgHB8sUUeurEpYOgkNY+kT+6oba kFbJgJ9p0/pp9DPCTdri918YB6b4eFECIWdcYAYlWsk17EyI1e0NrIYbyJerlybxLGM0Q32TsPqs 6xPrB/KmGP3pRKEinHMbyQdbskuraXNfa43M5Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A5n90zWSExk6bkT0aZyG1IggPs8rsMdJhNDFxTB/nMsEVSUqmjU9SK6CEBD3o2NzyleaeENPsOuQ EcWMDyOJnA9TKto+CaJV44DwZiXYH2eaCPjAWvqujVqAZQNxZMMM/Y0jkszOJj2xYpLPdAxSBOtX 61Ox6asw3xoNzq+z7qg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bdu9gf6kORA3iPeMEyemqoseEqKlH7HeNd3FjQ7q2MGQ6kdb4GgXvm4eLD2iTLf/K+2jk4Jneo1y /XyOwtMJ9Et9jTzZ5Y17HREpD0f2CKSrnXmifap7xUsRGthMLzTo4K9UlEYKZR+KytZN5oQ157CC fukq8xiP2vqAMmAeZzSiTNNa81WjRIhwMlEiLaL5K5tk+9mMnpb86LmNqEdeA8z7Jd06vn3c+GAg enxrGWcYXjLo7MGeTunYAiGoOto+GYqAScEY9MSkt35Q7If4FjIP9x1vHf26BLIvA4n/+ucETRRt z895uUdHWcVGZplQqAmah8UC3rokhxOWFiSrsA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block myu1jyoCVOTb/Kl13ObWpc02ZkEvLdW6wsgTxaywdSljw172JyOKpGv3dggP92T+ogKFrANH5Qbq OE+AT7myeIbRzaLqQ5GQC+sTtRnfjMnzdy1Ln3p4zIh/dx6brMy61nxAbdjFos93P3vn2NmP/F+d u2EJyOCSNzb8kYcaoZEwH7gRPLSf4b+FrpZuAp2pkfYxIwuFs+2KGboTEAX/dUPeteqfS/1uUJ5L tZUfyPFRkYhtI8J8ydgCFh150eswR4sZhRBswa+qoekK0SXCQ4yaG1cun3/E+NtfYta4zElBzxaa neKyIaSL9rRdsG2NSgQiEUScLHEVdkjJ4nDn8/gIk+yH8Zf8eNFC42rdzeLLsKyIG3VVfsC7WYHp Zb1HYMyXJceg8t89ygt9thKXygb6LH9BMLKozLAfKjWzAAHh8fdViMBCK9rmJGjOPaa/bFfwF/fr /V61sv9uSqk0RNqoH+pWsZw9BOwEhoW2vSKG7s3RxcP3OWCpXFmOVo10j+n7QXnD0o81Tn0C9HZf +3xWksmf8AbcTiupqhn49ltpSwFcYAAFEh+x0xi6wx94OsScEYtQu8IRxCr3OHI1xaBj+lLXwL7H yWrJV7UpcEa6aLv9Bm54j0QCUTiZIxIbUdCrCVM40/laJJtehm8qU131jWuYFw+8a/X4Kl2iQqW8 vxrT+3hYERbWNAfUp9OMd4Rofz60a7EIpG3U6t2MQF3xso434Ypu2xD2TcwnbwPek9GONFZNwEi6 0lCQ6FxtbiC6EfI0geJjWbZtn6DjsFyFkf99GaJlrXbH/LRjf66ssx1nxMlfueNiSU9FnkkpqqR8 q273wtMcFuxpuAWYom45Xn8GdKF5e+6kiDfOTFhQuzkwpFPYV1jlgDEAzvJfKpZcWJU++R71gAr4 a+dkDvk7jQlGozztMk9+4TH+4Gwm0j5bdeqoRN2+q+K1BApJ+94JQh270uQxfy+5385GnYiEuBDe 7z2b1FDyPMT5XMY7BJxrXoJKpluQDuuHewfftgbPLyynmfGC4bYZZG4JYyAkrQN92yRCHZCwQGYV eQFkKsxbevHqZIXohveFcZYuKeLAORelbZR4bEsoqohYFpnb9OoEQcplNfUYGfK44tE+OQL2Kct5 6zZf/ZcW3EWsrHrNEfOa2Z5KrA6CNgcRxBT2teT1J86U9898cZ1Z90+ho7s5GWIZVTPukUmCVKJV wq1DyfqiJvvAJkm+ksPvGOZ4Aq0rbmKYB8EYHDi60vBKR5NEM/KNvmb+Db671XizJNxh+0RI4gE/ LRwhIoA31+IYJ4hr8In1fNlQLsgvanr3m/CbSAg/Hbvp1LRO/zcPg+z3c6oh9afFv0sQBYfQ0BAr X7NgWIlNNs0tQtNr9eJa3VloNn0X0tZ3avXQwvNCrnSVjq8dwBldIbxeNm1qDEm34llUJaBnACK5 +epkmBHuhOtEMqgThxG8fvyDhIh3mcXIyzdpHCjgh948oYdJz9sn8kOeff7IbGrUunmy422YQmFP QxOkZ2XtS+X15lhYCkcO0DkzRoStkQ3+ihJ/NQZb6F/zDnrb3Xz6UN136hfbW71p9csbtSG0BZTK uBPql6T12+cMC6ZolaXD1ejNI1/TJhiHPXVi2PaC2RQGbtLmO+LHqCC65ZSmzRiIy2tDin76J7Nb Qsqq/IoaG7HxlbGPS/7TFvrrtAnpepiiC8NYntFqQCZI8mytdOzat3XcSCJfoEEdOJdq21eQ/bdg ajPz2iXTvWhPPYV54aLr3tFgFN19LLgluNuaUfXkmc0fEwaaIPAE3RKR0uEC2doKbsT8YZ47+wbF 8Q/JxtCTN/gkese54CtHcHvihPeV7MaDzL0pokRXIrLovSM4y5KYtim0A+QnZLbTuCWEmcCQd7al 27VaefDcVC152ypwmrwYovtxgM22A7xex/vJ1Uij9bz11QXzub1resanrwgPbW+D+/yU1yJoNEmO RiJmzvZH7Rmwqt4wEUeobapvmAE5RHP7iD6HeNhLnBb+Ah7Ucfk9W6o8Xlklc5B8hd3kLteVgSxr NSTxSgLWDrHVWiJv6biHWFOCAa2wTBR+sj1Ikr+Bl+7nDiBbDQJ/Q1eX77llDUyFnGyXXT3vs/qJ wCuUYgQ6lQo8YTT7TRDD8Je8T7211U952+PlRLrJeYun9KS9lrCL0PukoLSUaucDmuIRlHjhMEUj bIOG2jPKlPy3n5XSIlXSuZutnzdJXsyyoQ3yXN/v1tL/9ScZLPhTER/I8+VgifjPRGH+R0c+ubAl lo7U1uACWyWWF5OXLQqqNHZsQNFmfyNRLbaJtWrH5AdqkPfbhDZPdxt71cDeZfwjVh648Fxf1BA1 KDc1OgIy4YLtBvJ9h+mYqXvKLk4DqZvkcaGgc0b2bvOfPdOJg6uOqAkfjGGZAw3/yp+PDoHfIyG8 2CBi2oDBqZ55GmJHV6NNldrLwpOGRAiO4RI+bWMAbD7Q58wSWEUXbPwOO35jjjnKV7UULx9u+G2O TjQsy/FCWE86W/c43e28TwS9VO5LS2w66FsA/ULLzVNmSKDNrJIrs9rrMF2o7EEr8aieQP6gYsVS FGJD/rhN2BBIOUaMPJ5slKwoLVhrFqZVlc4Uvi8zBtvvLZyuE3gP/N1TWK9KI0nKiBK+gDVqAbIY FjaV73bb9ELYSyXhg7BAbXcXqX4mgNntpoWEYLneaaZCVHyvg2Ij4C7zpPNzUianh9xnWihIl+H3 7xxmpolJZsc+p6Gbx7aFUDh/ix6Cl2zebKfDGn2dTwhXvy+eGkuDC5h1S9Ta8Q19NQWdJrqCVX8X XSHmn9ut06QOZ4Ulm3FD6B3H3Z2ftvvQNkhvoDo7yywC6vXeJL5htZ5SZgYzv6fnIKH1YLZpj3Ef BCkYMKAKIZ46nuTSESx7FhBt1HHL6lCCmJQ9QTL22/ybxCHAXmNrl5ErR+HYklxwb80Zl/LhyrNv yzuMi/rE02StDKAiN/G/1DgM41FtmqQQxzPp576futv3NLAt8T65lDx80MSMh7BsG1JaHP/wHLLi wTRJ8JKE5vWEj+NkadSH33eM9WSMOsthSxajlBeSeGt4kM/b8SyrInzW2Rj/64oWffKAFz9Jkm91 BBkq2s+yS6eLCd8HzYVLxDMR9pg3U2IErtFhWVffdiDq9FjfApRWxTFTUPlzTF28197xRz76Xc2/ aOISlp+AmdFsNzmKWYQC7visYNG2d2yG8QN2AomcE2Y89unLp2lCq1TEx4L2NID1R82vQFpKDJAk LqlkrRhzh0a5JPQcU79vPFaHX11mFgwkNQ1/jKzHBI+SoRoUZR59JfCX5+IPScrUtZ4zv8umER+r v8Fq/py6oA9D3Exyhvpo+eCJWnFeO71ptugPmXeFQssbe4lhaZrr/2Hke5fvFIpt35wJeKH1AqCU /yWzA9cBA3Mbb4DnshGNk67LzIio7/J8MiAYf6uhZdgRWxHOeUFp4dXNhJLxcHtu00uSzChTsVXG 35qlvq66C9Pjk8FiyjJjWej0o4cLm+W4KwVRyMORioez/sg7oxIuJLoUDSjxqn1gmtupJW/vIyn0 bu5UC4rf57911hKY5D2D54zGg9l/VTDLeafAd0sYyRVhLMr+GgZb/3WZ/RpkoIKA6J7AqrREa7Wb Ut01ZafasxMAvXCmN1KOJljhKVYy0teS8mp3N3tBkKKuJfmQAZyMfWl/oe581jHOCsZOh7Oxwp2S ns2SfBbSK7j8kPXUVjESEbHUN1oJF8sGw1qOxA0bJqnUnNh8CJq9Cgqeqo6VlzeFbV5uZvxD/Wvj 2f+h/KzuFGSkIOKqKYddoTg457BlmwcyKZbnZoWAdfPV6TvRls6hfucAvkmdCH7Nibm3klZNkWZE nncdrC46DS00o4v1n/LwRzZvTmxpzzw3rQwCbCpWefaUjta0NpKP4rYlzQu5lUQJI99MCPtit6Of R3hpYSzBCpQFimLd1lFalT0o7+Wfu5jH4+we0xNrtJTPIi83DvJtTyuUXMHSMNfKb/RbaYu2MmIS RNgQbF85Sz4W1o1SyMWFHdxQv6eLpXs1SHFf9yZCelJrHpU1esv/xGRJLQWbKhTJwhdRB6fHl+W/ TossC4xc5q0TrKvepJqcVHOwVSKqKJB2D1cZYk7fbtfpBTWcWJgtGDCOmlVVk1h4lBZcbgffIm3R kuJTAlE+InHwsKHiGBTUyFJ9VzShcYmimFqTNpZq9GF/jSfhcIrVR/NPt+2fSBpL4WqNMhSlFpyz dH3ExPBHbzRE2ULL6wDxJH60RsbV92H3o3fvB70JDGpd4cKILzo9qz+8PM5BZsxu3RT2Pr5V5dR7 lslU6QS+B++C3SBMjQ5n9ypnb54aPYV4PjF5Ow7aiaGEOX68iF5/iyYrU99OhRLZSOfZtEZAGhkY 2zgO9GKpmFSc/M/lLUkdmeHSF5H55/0/Xlu3cxdSZOF2b4h0lqdX8U2SUDcvk2svRhLbs4chyYUh WAkX1zmUSssDBLUA71mOGMnVRDrc+QhbxmLMGKbNJcYxu9jd3DAg4Y5DibXtOR2mFLtT9irACGZA O13+4NDBDCm4dx2MwttCmz9yHT02cJcPI8YD3xTtA2rH/kB0alA/Uo/p3nzPPnAe3GIChl2KiPLy usvL542Z7/q6ig+CnzJYAPdtJuN+N+x1tUHVptfdAxbXpOCzQWXDaOZECfEfNfnLlneztK6+l/3C 7wLTjVN5XAqCLDzOAtezqdq05cfBlWePQRrBYGcj/OCB0yXNmyaVWiGss4mWbzlm2epQHcBf13xb YVhEpu7a+8ISc10VXzr1iCyPjP5bRauODg8eiyz4s3UgKw//r5VRAuXnAVLIcvZQRHuJHsbovZCp 4mpEaC1OCfLWpjw+E5VzjrRTTq3PftNTQBc9gHe87+gZKTN6NDi7u3S9mSV59en/4nNy2m3h6xI3 qan3nw76wnaCmgVA9UfcJDpSmjvavYG9z9zRz5kuD7eAZbzraawARYVwW5a7T/RXIR3kUFnjSW9r 0SqMUH1KTj3TieK+smE/77gkYQWhbj9nsxDRuZTHeuoqnloqJoXoBH79AdCN4awsc37tE+uhSzt7 boAaA+iBaBE37jSs5UlDhaMqvigkeKPM1h2v7STKS2S0lq095pd0STJ8j+tibKn11porgeCSq+tu uum72mRkLeOkyXqxcuv7r2SQXEJm/HB6GwyzlG6xtzHli6BdxlEy+MQEdJ7YlJnaRMfQiQXGNQRF nbnY0mycMd5FBR154slxtX4IwcT4iQOUkHWMOtye6uIvaq+dGiqZPFPb5Ci6V4lDXFRF2AQJuXPY w6m0Ar8xofAF4MB1kKgkX2gNQw+oAjiqHKU8d5R6WXfunOH5GQS/pGOeky9nnHlNjNJJwOAI3zja O7rWDb8ob9lUseKdKeN+OnPJkiTh92lMC8NP+ppmH21ZLVj1QwF9J1oiNK/ItP636GKqVJvXqTB0 JrkeBSDhJxRSCU7jB2VHNcopnufVsNEIVNxoix7Gx6OgmzUKs+kNS4EB2kkvSbCYUteRVHQ0iygY cIXqtdYk+9Q9rITgW7M+dZgW7jv+lsrksv/Sm/HrplyzFeR8BrsDsoOCtnh0h2q3WWbgj64nggrQ lgn5SORhUhVGC9rGUrPSUtiRq1IHZImSWmeqFLx6OTf125acukr4x0VFxH0cI1A6EWSRIwHqPAgC TB/LlrIspJdg1w7UO4Kjh6LHKTMMr8NKVzo2dttg727tyCI2eGHlzkU8e4/UDZsp1QJmnI227nUh co/cNaWs1f8zlsHbeVt4Y/xnPtBOVixtfryKAkAI5QRFRwL8dMxVZBkxED8tLkuAcOz3j3ILUtRe UVU5l3I1noahrfUgbDEVMzzxGWVPXkUF9WpbqwMwELPhZPDc8Ij5t7VTk2+8Ku7HgTJKfF4YvR+V 2xiD1GrNe8ECo5cBTwT2N/HwlyD1hCVDYdHRANRWWcB3b4o1JMsGKmFFxV0T736YSfiys1QzgY3e lBrT/EgmVRyV9iOmNQzmbCEtUvGodyn8hzf8h/DP4HsFfIQHUfe2LA5OiCc7hMUOFj6hxVeFZ+dY qbZKZNw2RvYzNyR2NSPAkVrmD7vcc1W83ZHAGV/9VMSdOfhme403lZQ62QikGUunWsohsdK1bIKl hC6uX+UWHgIyL6YQVmDPTkv8zsO1FmWyLk5hncfv670sNGArxN3/7/pPRH4JhoMup4dglHHQsoZH TxL2CqVnTEP2HKstCfTfopRZbJHZCZXJSdAI8k0C7q9Ter/UR5IbQEV3Y+MYu8R4AKIIRqRyrBN1 zIg7IT0XSLmCBn62sdXvl4UKaiqDiu2PdP8Fsuk5um/LQC8TFS0Xe4DIMLLkj02G+oRXYZxzNrTS btNidS4wrzBmPbcSPesVGS93lWRai13E1vLKs8FUkfDEL3WVOSsH/17hQ+ijXHcABXy8DiYm0DOM gqpK7YmcS6F36agykVBSktESwYEOit4zAbua3Cy8UGJCXS2yWj6mN92FR9tfBIhq9oE7B1g+IEjs Ig4tGWfMh7hafJ7zOn/+fAWjjm232J6hwu9RyfUesOZ2hJv8R9Yr4E2sIKidZMKPETiXqwlfZCUg XQ1t3TlfbQVT93aW2x9iwJPIw1Cky4nsECQDiYwM1nwIZ3kvOrkzA5ZmitwU1pqlTpAgT5Uw9Ih/ VKOnUHQe5bniF3TMEczj1qxMOQv7O2QqeISpGbDsfd0Doy7qcu0WjNf7D/8+7zK4QKNcPucQ9Qfy B7vJB51kPY5rL4m7JgE5yM2K7wINvRe3TLljnyuC2lzsNIRm53+hvvSjgsSUImMbFhibYWWbKPf3 quffDgbTzneMtBpNqK/ejvYmb0z/SaEo/pJaz5XTiNGlPQcYI9P3LdmPbnMTdaGMo6650bkN7uG4 b733drRAh9YlCAb2+oHRjG2ICOfiT6vXZCIbY7Sdax3vHecnAvAbeQrfF1rTzMXWvAFP9byVXqh5 qoDLCAMAEZB6NXjn0PEWgWeTafho2Pg/fFSrOeOIYax2D0IyHJM47CSQ4xGfEIIY7M3ytQkODrKJ 8ASsFWyhLkRpY/4CBoz5Ls3th/PnsBX80RuR57lZpooPWE/IQHkCfTAi2UIjxP8B7sNfbsxJTyyR 3MBmKVHBQyMxj4iVfi7OsEI5sfsn/f/WVEfpnReU+xJ0sSEdAPlwiWD0ZvruZLpJ5Co0TZQODOg0 aCxreH7faZa8TwiHUer7R8SGMJTfDIkYvO+sOR9G1t4D1MZd32bZgHmApZNefRVs9FZ3ttQx0Rg+ PLSiz9UavFczau8VIpZ8ukH3Ces2yc3gBenkrZryOMBAWXgghTEosvjVvdSJtFCRO7dHco0hCx2A wSym7snLBV6T3sZP+Ja4HIxYlhCjvjlkX4dDlcvxQXiaePsbxqGZ4EzdT5fSQhCBRdirGAE6gK/i tgNnOHHM8BxwZNHX4rFh9Y/YAlSovvCG+j1O6i6TOVpJ5TCTGbdU3uMuk1ZJuyJbeoQSarbTKgIC BRxBmdUWb+sa+rZHsP4klKydnfN//D4RItH35eOAKptOxW45vH/3lE87zTKRHFeZU8oRXKxKaHMI 9FP1zAtU4Vt1E5bB7cxz6ZNcmV38CtpA9c3UrhTF/vOE40a+H5PrLiFbguV3LjCfwdwJPG2KesFw uRSFr1wK/BKAH83ySC+7L0QU/fc/122uHgCZntG9hSgbzBvsvnOxLvchuqbqgr+lOhq3pREPjW12 efpLvcRi+9VUAeaslrw+pC2ImsDTxjkq0WvKpCApPNsfL5hctKUlvBFRMgcMKpV/16aXR6GTtXuZ v7BsUVwEs3Re1aH2vO75hZfNWGslfFivdz4OQ3q0q8HR8XoYyZa7jNLSj+3vh9/6he4JT4Xv+UF9 1ZEWwHsf74rvZaD7gnEtF2yFoFVlPoq1NpT7S2oproXVAE+upoAhdscnf+C64xlxvil7nybQLv/a UM08EXIpWEHIGvsh+xTqzFOE5QXZmvu86rLh6pVgBUQI3g1TQfzIcLZm9lVR68K/0mzwrkTicHmh bSp4zRL9E7UY9bGa08BIFXHds1bKhabB1Le3DQd5krafWffLPLCKG7chpGEO4ntkcaW4i0X0xRmt 7hb3kHzBg9/KWZzSkFpSYSU21anFqk8/gWDmTIvBdmbTTzfxkDIpeovRZPLmMdtu6qDrKFwhxZv3 i1BoDkcJMKK/vNjxRuB+RpTBfMSOTq/UCX9JuJbI1NmNdFayR9YrfdqwWq2J/q66ZY4VQ0J3RXv5 PLZU4uwl2xbQbBKRJr58MxIA3ZHMqWmk2ZUgc8wQP7DNv//ci5fFwM61Cvzfz9STpLspqhsyq/b0 C/RDxZy5sOJDFgXshTSE39lmf8hwxWp72tyEDkiIFqArpu3nSC+SYmVlCo5mMC+KgUJ0H2K+LVyG ccpfAUdTVjokhC7O3btvxeY5xZVxEr9FrkMBUW2L1i+MaPC6mcnm5Any4IJNI3+t4y4igW9ck9+2 RJGzZkJhujN3BD+CY2YJr+o6PY3Aa9Nu/knPU9uuDTROeregWjbOoOa6VZ3IxRc325xd35Vy7Oi0 YlN1P7lLEbiZxeH6uQBPm18I5D8ySc8aDSjGcGmTXeXc8sbytsaIz2MErt+M78kNS1m5Cf3cz8Op egPfczxkg+fRD0WaFqhPTTZK5o3bletonKOBRE8dG15kqR1uvPUtDla/N5Um3ub/3MMNs/grRxjV SQVpONq6cEFwAhayJMaHpZmh4CfIfoxSkDSHnZCs6oR1KUPQywyUPQbDGHYc20pqMnalMf0oIIPy 2tVpXy122ymErQDfE+Xh+GIMMtlGZ3YkbiNTSZS5F/NrjXR7XkkxlWaZ6wN4D4Tg90JdcyeFX5+Q Xv8yrEv51yV9oiYTaTtl0tbEVeQZUUjSMR/Jms4lFEkmvofvrfbC2u3L/x+6lt+LB+J0+31AGOfT 3pkkfetlsBDJyTpYbqfdk62mJdrJeJYUNDK9LHkExcfu0gHDz33odJgntCKj2OYUkUdeR1pWL7r/ mdGyXDbWL5QW25dyaEyR+0oRTggqvfRblYiCQTljXRKc+QwqJ+/gyXsFkub+vqmbmEOs+b+c3Hat JzTLsDSz5uaxE7m+nx+QVE33fz4BaAG1TCUx/waF7antYeO04Y8MRgrpbxZpTE9zxwA7wcyP5Loy Zx1O3BzHj/Iljkdd/qoeXqpcrlUObeTDSsRi3RmFednYIU8zyqGVC0adUmWWLSta/Y0DznWAOrEb NvyLEYOux5qWtkCes2aWGvOB9l+R0PmpDdKKxDigL2VFiI2yCkAgIax2q/auCNwioNjuyEyzwOJm b9pMe281jcJijKScM3fhJkpRh5PEGOomC/lLxZeMi3wwnoupKS1yOfGNJbuwUqSmBh37VMScg3xH OOcnWquJDcuU1Fqr4niMaAPvFHBsincXb0kGa+nys1JefVjDY9brRtLasCMUqpOVLokva33CtKLz JLBi033ukTOUtsvkLa6dYsEeOE0tjYztOErmfNLq+znvltx5ztOKTNBuupHUSJFUvS5AfRkNhuC5 Kl200EveCh9o1JJt6QDMnYYrHwAaGE/Vqvj/Dw1JxWEo5+09avRP9efc6K/Wje//8nm6ZsF+sZz1 IRR8R+EDEUFzoKT4bZKWD7phjtpSB8n+fcmdT0gI8pDaADr5U0g7qwsKkwGDKZZPDP66v4d0zIx8 Ap1JHpFNq3kL41ZLr/8+u+BfMuT2xUICLZPmX/5bPfN58dWuJYI+tiWhIJY64FI1uCCzHdNiZ9f6 iQ929lF85PE+cz8TrmwAFLrL8GFcZfbv3nglqia/f99CKDzysYdqq+iN8m7eVcFSQVm88/UdvQnz xaaI0Qht9ub3sxmW8gmaLWZg4W1atjrnh/j9ZdhYjc0EPZPaz0SpP7VWEGir4144I4MnehAP5EZG 98NfYQ2OBBDE5FaRqQmcVxHoOPS7u99LeoQGmqrcto98LYMQeB89trwrGHCj+9wcQx+9A5FCukzL 3JNI3LVTRu6uVDpB3FoPdlqGwQcZbcMMuWzrRWLLz/LvqyL79EGV7KWzkGu46UiBFp+6wUj7EIva Fh1V3Aka3hBv4I2DtBMVNegqT5xHPlK5EZ2B7ytJHOZhtH/+iH2FcTFSyQjIaDm4Jvzw1QUeB0Yk Pm9EpxXmJAOs5mg2t1b4ZfPt+2h0BqoUC/QQGxTvsFvc1WcSHQcorStKH1ZPXVjdNHbzcxg5n3Ty k+gLTZJoPvC+AZviJrVe4uJmkiSXRso8bgeBOu5MWFlds/9HltzVhugsUTnCoOLLWk2bwdn3X1ZI A4xXp18uw4pZ2BBSfTxSJF/08CHQKShBawS1IQsTwpEDJ006mRpC5G3Xy43A2Cw0/Taxx1UDRqE0 5j3a5imu4xAljCkfaInMygKPQwgZei6rVkyeVFx1WsdCa8v6NMuKrp7GIjqVE+eQxp4gxgBq9Q5/ Psd9qedbTiWAFdM3/3L2uRS+KWw92hG6XZsnxUxFQ3RL7yjTytxuvxhhJDCnfm0cdmx/LrYN5SkO oimF8Z5QrPoTwsaJ381HNC8o+s3rAIgj4TmyoGSVTb6woqhAIbY9bRGmXIVn18hrAV9TZhq4NZlQ H9o1El8coF/dEcmZb5UKuQVHoFcxPduPy4hqHnY5PGtclQgRNkY8eGFH+pgUrCd6qzHm6wqEu/YI avFdvFbYZXBKd0w1SQ3v1HpCGvSk5HX/UKmGOkuNnO0S3RXEtyis5xWwhUFblz0pNvV4/YttCYb0 8Rki7yIhaUe6u21tCP1xHyB93TMopMpZm7tTglA06uTLoZq94h1/zj4ynDXhEHFHtIEWebhDZcv8 8EqWkEnr1xv2+xH2DllPOQ69xNG7Gieet4cAnwCd7+Oh3S6r7WET1BJxFHzAU/Y8la8UQFo++zHM hGD5KahOz2N5bfzLYfUQ79w/UoGSj9b3CUuvQn+j3bEiH480ndu2dRsgA3N0VIzfTI7jux/3QQO9 +E9LMX5lyU7JUCna+tUvr7EfXs+CfXI7fT1U1DVb58ytHKfBP3gh92kW00h0HzxhjVUc2m5+tCHj MATv+2jdw025M85z7owCJ0wJGvAExkHsGgZ7Jxu14ZOw/5ljt3vUWVLwA5ZlgfplMJpbONfJ4fId R25ldB3UInBZbnv25bLBkG2HSpzuWKDnpmMCjQ02SHuA+G7oGEX/Sm6JokVmoMM2MkCbYBG+Wi4j ZqEqc0Xu2YcMm1BsQHc4d9qjPSv+jqUIkbbLFzaAaIs6cSx5AYSTrSdxJ5XkhAzPz3FqNYm6vmRP z2xs05mfMGXQC5ON+oysEhLsPj7unUie2vVm5S5kC2d62jsXZG2qVGKB7od3UuZTOlPmg/za4xLc XiFcMvl9YPWy2zAaIbVsJ0JrCI5OGLCXrgnxyoSgdM2UVRrKA+V3YjH7agxY3aV+UyXq1yB+tXlJ Gl2SrWctJIxVKfrlzRCQH5zysHUK23vRf242DyEKVl6PsTUa7tb52AWobMbvOSriANWt3ubZtYct jsYYdXVOXvuSMf7+mxuNTuK7aikUMJhBMqqgIWDPS6U2ezjDg2d6vNHLUKyP55YffEOT19JZbIGZ O7ikOb2FWiwVRfw5cqCq7EfAfJQq/NeBCUGaEv3HlepTmUnWiFvHiFK+FLphApa+z4tvEO3FlY9C c7wunApZCsisp/v8vBv5flF0lKaHew6xTyzExb8+dtx2KC8CELOkCb52ohS8CdZAumd2ZrBCZ/U+ XiaoEvP+wyp4bFwYEtI7/FcEO5cCftoNsKcoQjHIoxSHHuSApAjYUbT5y7OJagqoXHBkl973KKaQ CGK5IlZ4h6LgifsC+lMM5XGt6oUb3WfjewENF6Kl5eUYS2voSgkcgUrtXlRk+lkvArke+NciLS/R 6Mu0W725G8IGqituotYp1hViwoyvD6JHZzl1GT3NpTYY8GdPRszbaTuLHeILaY0zMvBTnDhkXEcv NvvyrNhQSya0vYxf7ba6BZgNrJ1PeaO3YOM6KVfkj1sc3BZTR3/XC7UKvVVO76gz9eTJ4SZXhOo8 genlcFiNP3raTQzH3l8gex5lB1KOv/YpAOyCaOfg43blrKsuWtzl8zK7v/5s4u5T/7J7Vi6FtyvL EIsJvYcSiITji8SiHl49s0tXmliynMEC0P5dD/Re1/YfCWWinJGVl380e/4nPeU0rBP860+eAhOq sU9yqIMOeTVBFOI9RmM9Jy41Uv/VhKvP58seBdkiD+q92O3oVM6iX7dMWI+wV2xcY3Sk4w/IAI9Q C/5zlzY+rNThMxkgv/SXrHXSs6C/ZU08QshAcoutYFgkc83xjKQx/YUq/5rl2dOKwFku1g5OvTxr dYtVcJVmpyrM1dvM+ABvQy4jGa2MkIpw0oPQ3vk4VkdJ+Kih5zhON1j46Jc5Xn/FfiFzQ0LIXaFg Zeuq2mJo03Hh6OVFa1OkF7Q/C6F46pFzgCa1gGbZKV2EBXmuXFFj8L8cJNOGXpU5X3WC6skJ6+2O tcM5/4lLQFlqGSayAwUKvmuhogj8Ags0tXpzBP+iupR7f1go54Lcl6CtqngiBWdtwTmpf59aogKs FkfVu/cS8xiax4b53R07n5DYbIRJN2A2sXB/mjIsTzPXLD85yU8IspiUE9E1TxOyaTHVeZeE9HRx riIN8cbWobNQq3xBpwFuC2Rb3TNl+z6CoD+e8onmBM0eVOvAAQ+qF0jRRW0UEjHM5VIdFhVzb1AL hx3AQkMp2HZs+3aKv4pwSN+0tKHGOVHNKGGcpESrgffmovuKO6c1O9qFDJHsAeKREDtTxEAHAiKr pxdMgQTuanrNawjrQfbMGXQc4tJaa44TscmBIRg1pKbkav/MJldsXMIjXWxUHhC/DPrcPW6WI8IT 441PfcXpMuxsSE9IZxCftHx92qBJUz8lqrf+wUoA5B3cRbVf7REwc6pLA5TZC1MWAP/hCKWEYAgs XCiasc1wJgvEI28F5aOnfrfW0dHZkClbfMR5J6W5jcDNcDM6AloR0d5WbF2QTmCtqB5Gq4Dr3S0h K/h1/l43Hyz+Rm94FFl05NqEloXI9zm5d39XxDynA2PsSfzCHwRDifYqEVACygh7AMZmfOjOrGKU 7kP7NaVtBgKCLVjCyHpljApvi7c06bl/Y7SBXghmxvK35vdIr6Tb+ZZd+gpMPA46GDDlwxwEsER/ EIwdEPP3uhCRyMEY/qn9354dm2sEZOru5Cnngo4XFux9VFK6jNHzVbc+VNlUSks85lTHsZYHI4IU LqlAYCet2A/P8Cz377bCREz7OdkOyhA5J1rKUrizGTUf336mlePS1smOJS4iorr+l3hep2z8f63U LCWloM/BRcsjnNvzDm8UNKsyYKRbtmIV1PYKm+KclMECFCgJjuHlzfrswSKuGklnPu7RSrn511bZ eDH1fjcsyl/yzRzH8sgtSzEiekymGh32HxIUTtCERjPQUfv9/wjEspFIg0fpcE5wtL7VyIRWwdzv 26ZKqKcwC3TpSS17yd6qy40qtqn0s3BVkc37NFtEEqgdCry+rvo7r5pmH3rPgJCT0966ye91wg9c 20xdeL0KRTimUkLIiFIJn/8+vfMoahWLbrJYeeRxXsdvLvkRzM4dndrwMeJNC8cktgsyFplxyNq6 opFPiYB4j60MzyQxll8G0QFrkZNMEL2hU+dhS/F2rXUiap/WrwORYi1gpFXiqHx6f8ZLFHqGERGj bxGJSUXnoRwAFooOyUXqgxFZpsVyY+IAKjmSgyucAIMRUQrwSwBOOA1drwWuRsTT85wACHja8Qg/ KJg21WGpYo2lF9LpY/PP2hZyxu4z03EADLMIaoL/+tQctQpHkLI0fJx3w86wjhNWDsE5Bj3YjB4P QR4bD3GQd0nwr9kc3E1zAw88pHOhAr7GQX052TP0xbLCdcPg+lhXtq3RkEW6zd/LDvSbwXdifd9L cqzvcXVOTnJH4t5q/MHxxT4hrey6oUtTuWfkI90QgObsZZXvgCy+nvYZiN8ozoCBvjFZ5ChaSDhU kH/xr+wOnmo9hAzp8ihUFkQD4dS9El/GjbU6hquTDKdqgeNOurGyOpsETvmUje3Bfl9AoqQ89C3n dYNo0q10lm1X14Zj8BEmHnncdrBUkN3kj8MkltFV4Nb7FM8JQq7GSlPqjn+vyCejJXFJkBYVXMk1 yOaQsG+jbkOM0uN2AogWiYKZHpuxkECm12UVZ6NJXaibTf0w9lQJl77+B/OL/OPLG2ZV6eZinKWX ZW992NSdJv17O2UTKT9UlI+RXPVAGpzS8UCO+KblLij7X1cOJqcSJeKlAN2AQtXeBKCrBVB2ypsl q/hupwRQreCAZAUKp3HAumHAbjQzrEsbW6ArmfN2ivgwp5KxgkTXYxKBQSsVQisOCkW6UXZKTPrS zF0gqTaFbjzeKxAmylhIKLUH0FQ1j8Kphr7TNX1hVILJCKSv9l8ujCS+eN7UJIwEwjhRLyuUmOqz Pg5eToV69c6XnvdMyqv5D2Fpq/pd0nuLF7OcrCaQF2ri0UsSQ6ppNOYV/uxvhg6o9ex381Xfa6Dm c8dtRqnXtJzQaKtlEvneCjHNxN9yu/9QccF3BA8o/BosxLooivLheY4ze1lHk5OuoF7TXsIMftji 47df/9t2S1wPWSy9iUfvNxMDmKhIzxeXmBc7M+25c4tMkq7bS4QIixJwQfvY8vfuvuS1XaVYk0Z3 Zcs4STV9XHxZIQqRP1qOxvr0E74NWafxucdW/qL2PY/47chUijd64XKEZHuqntQ3hkCtQZCR9rkS m5btq+136ABeBAQgNjgsRGvnucx+JEzHkuULIF5TZ6Jtr7WQ9oi7vklx+acfMI5mGfE9/QRcYSJE AQdlmZ/UizqMTT/ulbh1P2C6A8q0Oi4szKwwR5es8o+ZuBy+YwKT5QID4xJDjvGMT7CZA3BwGGbv O/9MEf85dxmB6I7T/hE1FeMj68yUjJ0H8TxZ0seOHL6+ySn+RvAR5A+Zda/+aUCeGON9NEMjNj7x apqLR1S61gXmFUIDhvGJssYR8amRzUcSdL1OlCPxCAqt2fM+6H0ULWPID6S71kPqjSNpDQVdgAwf k6Jt1r5A8HV4Z63OcMrI9y4VL6C4b05Vgv9xc49wKL12+OzmhoWx+CBZ3KCTl1tXb4oL7i4R6rHn ZNUnfxVX3yUR9uNH/ER+WVYZ4bpLDZ7j9Dgd6N67tWZwR2CZOXHS0Id1ms0r5rSSe/Nsd1RH8k3f 7GJHZvKLVVRplalHK2sK9ckQwmOH/RTA4H5g2Byi9MWoNUdJm1g2G2zR0x21pbwe2QyInUonmVsv +8A4ZtptX9jER5KGZAaRuPM87Ybkj8bFCO/jUXBEJWxSTGDw7cZmwgQ1ygXYbHh3fd9idDJ+Qm3U u0I9oROpYFDDMvs3XzqdiX2NevKtecGObSWaNScTaChaGsQbI18Ch3qSyYoCGCn4cyjevmadgnqO Pu8CPBcqW4rjIZYsidGjQZZ1Bip0+PNM677g32wSxl7hLInUSs47+zrYz5JP5cBHSah8jat5NLAa CFH6ZHkqV980bE54/jMZSg/QddN3J4UAFTH1/DoUVGU8C3IPTB4HFpNU4EJkr/ZjmKGXd7HJPo+a 1ful5Yi7mU6gXdiUVi2niT2CSK6/XhpjpQStTQsUcIRm2+WDf++GrwzN59VeD4tqZvbSop+HpmV9 DRg6FX843N+6+OJxBIbATgNfsDVX8H6IQFCs42H8Rr1d3xqNTh50b4pCUYH16vBg35J70MdjnHNE xsHsUugnrPDQVqLv3XXpa5hSOTcer948qDMPSotnlaip6hb1cOIYm7HbaHq0jiICR+56hULFmCTi JX8YYyO9DssX04s5xdS8k8cvsQAm4DHMb83BaNJQ6nZFvshq3U+odg/AKFB3zjAvRhibYcxnHq9k IFW+wG3i0yknzOxqVd5yXVS9mt7Xjuhb3OWavXyZVYh66mneq/TVAIyR2YicxSI0CMcTIRFVQMeO SymIxs4yrcz9SIkQynKmQ7zHZR+lu5prs5WWz7lvXoEp3TryH2QKGpJdfBqCnSRZxCFRGSr3N8Km kdG+iC31S/N9fH30o8IxISf3Q7y+sUOHD7IlC8zw5diYZdWtOVedjtHVW1juuRs1ijiHqAICL+kQ z++S98CPVOjK6MxxJ5Y/PuwcoUpYR/3G5cysY//b1cOvf4IXCkNkRNL5nC8pUysNMSpHNvC4xAsr ICRtSMQ3Bd4nGlyEE7maVe755BUSIUYgaOrSfdKOB2uAen8Tef3V0k0EWmRioH5Vq4TKVq70f6GE OSjdp6Jg0TIUtHVgDSHRRJTuf9gj+OT88e8KYzeK5fBrr8xxs3c/o043NuAgD0Fc4HMIRdr2ZUWP KrBA8aPBQdapciyL2OirhODEVPgsPC0/VPH9SzwHpoPewiv473C3m9Lzc7qBZMMRBi8ti3FfC/vX +CI3QrKHB6FdQuGncHOu0W48UGKr31WJESdquENqPTdFx2zCEcpPxQaihGO0iM/pXPFYHBiRIcBL VUJIa9ZmdNsaKFiWU/6PeFOtZhWKgSWhH3NdqMKMuaEC6L8BT47W1eLI3JmEPZL9Nr0F5lzeDL2o bsY+M4uOhEkDQWhhCb3ZG29kx09jNwBy1DiB27CYrlxLDsEBnOQeBeY82A9IGSwDjoNP2vpviy/O Vm5D419JsQYk55ezCR6wGB8VgAXMyeqSzIrGecUB8xXEVL2g7992sBprHrO7yCVABhC+es9nlCIU 9CnnnLc6bbZysNnRzCNeSF8UsA4OCFsGMk5L1/LjZN/IEVH2uaUxFkJ3cDE3+c/Rw9I1dstgXYf1 WidjTZea3fRgt6fyy+h/ExqSQKbc4tFNBUCSxTI3/pLt6CS+fDqniMO05GwBeQGFRelzrHaiv+Va X58MSciRBBPtzocTjl/TrkI8gyCrSf9m4JZCWMakFiomqVbvGLGBnV2aIeBXu1M62PuUNbA1+9Jx i/NwmFcRzdlyMbm40B8yefHbTJJZcU2OwUYPeJAkq+yGwjLrHX6SAzrqe0fSak9+wfT82oArNeTF 0pQesb93XaK6ajljvg30OxGX5FCLTBqWJ8wCHclMlCX30GVL8CT1Q2iOcumM4JzuFmZoWioYQuIx G7Ze+0Iy/ZqK1HWg084aJftJWXnVf3XPP0S8WZIMNVjrk9eDZ8rdh8bT/xoRW2vfuUSJBHN9YHrd FW0PSpLn3yQ2sZuI5mtOzSAbhViVkWAvpDvlcOC/oIt+13QBSeHcToEY2YsilQb334BDisEXUrb0 IgC5f3ZWOJfoH9qhOi97vnCG05JTgiYn47irb3oh+5q6f1UO364KdL8yBbSz/ouyD9kBHCrJKgCl vxHO4EeHxAIS5jgMctd3LNlw9JzjpqZwsqoijF4uoV3mdf/NqTrujppPhCxGmBWYezyKkh0Rj17f uNsiLMW0FfX2O6FLXtw4vx/dnP9feGQ5pW4NPOiGeaH834nOgud0yvhj3WvXGs1VCudndj8H/qEa NDDgyPa10EC3pJWzmqAtdK0RQ5PqdMVxlcrrnFC6anW87sw68Ah04htpgH6zUDTbDkDnvdApE0mD vgTfwjcWECVGxqTpi7e+DKDyzYmx9itGsxvQHUIHpO1+gpIUKrmV5m9giMLt/a3s+2eMN6kcTfw1 MuUsfnzanUIl1nNqK6hhY7CM1Mg3Oe63rAToer7zsuA5Dofb/HmE3aD22Pj/w7BBBM/RlUOb34pr C/L3/Nnc0Q4HF8XARM9g4BTfxkDmSRhcInUJFDztzLgLBCvShZvGByYnKezSieXNHWsqBaSe6cKZ 9PmNj3vBh8r2eAm1K1xgeFrsFI3QcO9F6iD80R8rZgMOE9m6Gu0/76wl3Xs5Oqagz8IMndS9rdDK GXj7x3M7uliSEXY3d/4j7TE+6D8r6vQ98wAtuFuH/BCanj5X4fO+3im6U99ilbag9JjequCsgHE2 iFw4sxgmsT1hk+Vh0YeFnk6Z+j3igR8jo+qFBsSSosc9M923eR66F6ooKU1Ih/wT/vXvifV6st+3 tiQExkmOz34SLTYrRoP+YX2tbi5YUyIQqRiGfzHKSmpzlYlYxqzzq48aLA7uAEiBNtLVdUcZOA+z UfRgsgvscWlevzPKBxUXHWug18duUSwcgUewgyWo86CR7jlNIlDkTNXA9cFnsD9hgc0pjQtOpmEL yyiFVIRjybTM25w4QUrOsAqWpYEUsRqAriwRwRtayboP0nA/+h9d55Y3/Hfx2GSDPaivJI2OzoLn zkNngjlpL4BavfMiLLAEWpyIEbM0u3uw2fpZTb+VyM2fAfN4Xhq9jzREBjht1O5enKwet7l7XB/S jiSmsY7UDZGbjUSii7YxgmVAs44ao9dHkOdwXS2kflEwU5b9B0Znhc1HDfTzUfUgt8O7KEQGAi+N Bx1WUQin9xk94cHIKZh8Y+fKFR6TQv+2fnU+C1oELqfWNeY8YHxxhGw/7U4AfotZGaifiB9sgrdW GOMI5ScQ+mWe8X+dJlUepAlm8YJmP1jNeaGfWhf2NMzB3FF7X2VabPrF5xLQHOo+uWO5IBCO7e5Z pwggncgIiGdTEagIYX1A+T01LDXVgIh3tTvstOLqTFInKlrhAvldPobn6iSC6azIa+chpN4Z8s6b GNiFiwyJBSKAtSzsgd14R4s9AtaYqAvuaZVLZlwElk/emLQPnXgZkYK+88adYbuyntgOR6u7P6Hy VrOPG+Vjn88NB8DiN8wIDwSFrAheIySIwzvqbBK0PpHa4+f28VyHZQ9wyBiwlaIN1eL4VWetVLkY e8lyi8dj9Ny9H7bEPA1GCNAG9lbvmKU/h5AekedJ6f4BQgGN9YbtGOLFu4lZ8xlsdG3qRjlti0cO 3kSqmad90lAdorUtMyCurpK8sFh/T7GU2A4wCeBR7H28p84oJwQZCzUZj52TMia/Qe6NDTNclOAB XiaOGvPPdNZdb+/Q9aK0nurFKDIdZFHNlHQ57BsfI2Yo5Mz1+1WUap6K98iSK84TzkDA9lHUQX0f YcGh4+o2tpw/N9ZN0jwv2WqOSfLt5SRe3ZlB5D1uyCOf+rgrrOyCaAPbd++xgzWfu/UC6Ks/+q73 OJY7n7uKptfmXY6r7DWJefXIaquzX7dZPYonLA6g76xGsDv97IQsZJj/Xp9i+gymkLlBKVsGYPUc g7jbivblbIBkkSDZEUc5NOJnio2L9dpN8iSy9FghRGnCmIVUPlfe9cTSmClC8k5fctMWJm0JKTAb UKLope12rh/r84qG4V+JvaUsE4/QUsqPZKcPruGzlEQd5FONZ0ZU5+JDADcYcc7YZJpqoOdsOmxV DL6laG8kxnt63lSAFlNbiwrpYORXAUPoVpyfLavc5H/l356FBd4Iww87bZq5FP0+WryYo/ZPSaaO nMMWA3mUMaLyTzcOwljHhj6SIPOYxsOC9VYjSOrfBT7bRK9CYLdrZdy0eRDKElajX8q1IfZORxgU z6v8dfv0rclvmDw9hJDdX2EqjtQlOsCNX2ezbdEU9ri5lxxdI0/GxlJ00/c+XAu6/WkhOLl38Cf7 z5Php4QNnf0vzRf6TP7WfjGYhm2Xzjqv7C0G3+ul8GffLI7ShTK5f9NvlniLsI1vDA8pjFhr0BbI od2wppivou4GXnaOX43jeMIT2W+VcbO4VoSpivVyWL/xsaUsdH7gr5fjQV6mcR/uUGvliUucbVgo calq/cX3+Ay0S+tplTw6isfVAjcHlrysX3Z2gPvsUwBpFBdjFPGPaEBA+DCmPHh9xlbZNsy9AK2X 9JgQTWaVOt5lsV67oCSnap4v0MXGTcxKYp76Zqk1qrQm8CfTHcDIIlbydoo8sd/PoP40X9fU/dI+ Vdv7wDvWajaQAAiJq1E3nj6Kt7upTIGQ26eoom9HapTUg1VxWdy2pmD7iRJ8BvAQHhlNkYR//8RG v2aZ89VgmOLLUE7FECssirTRxo5PDUgPUBbvQ8433VEFsJeJz1MXSlUu5GBJQeJCVPYbJ7+loxI0 F8xwxYDqjN81N6g6N0rxyyNAVns0GFbYsEvqLel1Zy8tR09AAV4wZwpenumtyMKIrYx1gqQSsbJc RA15QzGBkyChINrkXt8Be8MReu4miSBaK4SVk21pMSh53YbK6xZoAYdIDEBURBQO/63ImywdB/CW 0h8luBtypcia2HPX92l+yhxYiH+z4QE7BFiNTviWTeQ3lu+/GrZ+LRPcXyxqnMHd6c1Sh7QG/ZPO zjvUnhESP8MhEShaDWLcNsd3+TEUSR6o22vYHxgFDyQiJ/YtNGesJ2gPbB53DKlmFCj/nLiqIoyC Qor26la8iCfTHw86NgudmM0E5/U5sIaVYdXodqz0xix6Os1Nz+3fWt1kOPiZzAqmu8RUzJgzKjil KEipeYItECTB74xxxTSKpX53jqtsOViHvGtPnyN0Qo203qThCtF4GU8O1fOMZ12oRuBzSTEMHJY8 ENzRu4LVcYHfHUjfacXoEvcx/cuQsgjpD4gwpGVPBoLFoDms+LmsCp7j0or0O264m+Ona3cFvBB7 LSFWJQ42UWMyukfUnBd9FNLXOJ88ZqJySsNg+COlneh7A2RXCNbMuwN1nG13YcOpQ5WtdUonEPyO SqoLhGxBG2vyaqYp/2Ddj0xvKMqw7/R4jKE5+lLMJgBJC5aFcl68WdANsARqeKFa6STJgkLAvVdg vUfkJre9q0/eXNPakJP6wA/W5p6UYJyw9nVoxyB7vrmxdp4MjwM/eyHFKJbOmvPxAi8LLjLsY6yL ZKoDy9pPh9ahp6Io9lWSR8OIzfvJ4lYKFI5BS9oQp4nLEQCQwPgCZGzSm3/DdzRK5jKXhzjoih0y x/vv+fOyyLBVxh8BYox7w/O4YdkRvtS6foYDVaTpf+aANEyPGmUu/U/tIyUBbZVRLb1GqsuVyBFF OwZufZH3uhmQMXkr6ueACld+EY1D6z/s5RWlKbD8sRBpBXIAzvEBcp30b2QQ2u+RIcJxlVRTrCuS yicxwe6qBjdAqXCn3CBdeIMLZTYyHubnIg9aA5aTcGmDvbK2FyFn0MU/wp6a1NLo9Ng4V9/Sfpt1 /BlVxw32yMNp9vRDg9vPdXjx7BhcRRyFaS9aNT/W8almu+TDsmJquDhOCKish3i0Ao+a2lDSh/RK 73AxMuiZWKhAX6uqZVQpmCeVOu3awqgvMVN/ePAXiWkFwD+XzBk7n5wBpSYjry3hZ+hpRG7Z9b2y GylIABQQ9bXC1yvpjkiqFOTHVvOnktlLCourvRxyi7DgmEjOPgSkRYXok8SZ+/VupWiLm4BJVuQ0 QcCkSaTwbnWvd3JtGynFgMD20C0mif1ilEnKkuA9Bz+9IVP/JPIHKZhikBKJCCZ12Z4779LikkyX ukbtfrlDQk2rSk1oWBebWjYXcTZFjt4HOkoZJrs20D8x/Pu8WLMaAIrWiO7Xe27ohp3YLPRap89l 0qAPn9WKU0XDkktSsnmSkbZu+49agad036AhBb9IqYhUr6ZpwI5bjdUoCx0F3KEbm77LRaH1kAwG Pm0f5cLOubi0bswoqpTuH/hZws3sm5Gq5F54i1USU5Dt7ER2m5wWl9RVRn7AYfHumNRi/ch1sgXX QjYTCwm4RYPcEBzDJYcXoxemr2RGixna04RsSyGuQODjnVbVrZGGdiQ/Gj/2ilU67rQ8iCosjP4u cQrfCFrY2wXfJG8fJUBTfF+FpqiwE1Flte835TGLf4NYr6IAMLE6yC/tmrYAUFtyPEyFCq2aVvJe MhG5LRvVyAJbVTE4oMFgBMI0PFZp/0xE5iBKfgp7nBUtWvS1+LNqhHeCx95WOOnVtxk05AXLW3AG Gp4/xKNJIosMUGI4LYr2zuN5da/6nQ3sWoigH46uPxy2FWJFqoqGMw05WWDpyoYmSncpCDS8+mMf C75JQnv568zsg2tVOhMcv2xnkAtmzl3N0sfSTraM/e+XShP4h9f6q4c3aCMzBl98Vn9PCmzHHTDZ ngFrbnFw4i3Q+TlFZvQuSJgjRBzOfFOqWwYSckSBLMwtHAHD8BXFqDl++J9MXzAv8OmXCWiIhoxx TIHO00vdMxRD5mJJPohWfRxmKxXOweynrs2TdSlLUd99eaYoxqHgNiu7nRZ6CrZMderMRpR7SiWI cCZXOY9Miqry7AiNflpV5+G3rZ2U4tSOyOi5hYaMTMiyDGnIwAt9PdqpKMpj6zp+C7TXiu2Ibwl2 bE/9cQeRVwfvr/WAvAXO0Hs5hCpWkcqA2JmqWRSKdRLB5/KzVlrEKaVHmZmMU9ZHRR6fgZCmk1of gnb0m7+ChECMg2Upoqgqri4ZrlEhxxTGlND/5lSmO02d7YlcJmluboXA0LMMA04J0XINvE9Ezur7 7p5Pdb9Hxa2jmz64mzz1Xkz39rfC4gXAb/Xpynwo6ZitkJhZ58BOQ5m1G4iQ8wW3Lz7+hCIDIJ9D OvXyy6YArPWN5FwdRoHTUlylMVsRkAJi79ySDFDovy6w7HyCtSMi2a4lWeIVXGIiltHIcm+6uDK6 midd0QaGQU8xzDESp+dn `protect end_protected
bsd-2-clause
89b100fd199542dc097bb03b260d809e
0.943141
1.837495
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_omit_wrap.vhd
1
16,832
------------------------------------------------------------------------------- -- axi_datamover_mm2s_omit_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_omit_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Omit Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_mm2s_omit_wrap.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_omit_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 0; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 0; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_ENABLE_CACHE_USER : Integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input -------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------- -- MM2S Halt request input control------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------------- -- Error discrete output ---------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- ----------------------------------------------------------- -- Optional MM2S Command and Status clock and Reset ----------- -- Only used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- --------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) -------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ---------------------------------------------------------------- -- Address Posting contols ------------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ---------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ----------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_omit_wrap; architecture implementation of axi_datamover_mm2s_omit_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; begin --(architecture implementation) mm2s_dbg_data <= X"BEEF0000" ; -- 32 bit Constant indicating OMIT type -- Just tie off output ports mm2s_halt_cmplt <= mm2s_halt ; mm2s_err <= '0' ; mm2s_cmd_wready <= '0' ; mm2s_sts_wvalid <= '0' ; mm2s_sts_wdata <= (others => '0'); mm2s_sts_wstrb <= (others => '0'); mm2s_sts_wlast <= '0' ; mm2s_arid <= (others => '0'); mm2s_araddr <= (others => '0'); mm2s_arlen <= (others => '0'); mm2s_arsize <= (others => '0'); mm2s_arburst <= (others => '0'); mm2s_arprot <= (others => '0'); mm2s_arcache <= (others => '0'); mm2s_aruser <= (others => '0'); mm2s_arvalid <= '0' ; mm2s_rready <= '0' ; mm2s_strm_wdata <= (others => '0'); mm2s_strm_wstrb <= (others => '0'); mm2s_strm_wlast <= '0' ; mm2s_strm_wvalid <= '0' ; mm2s_addr_req_posted <= '0' ; mm2s_rd_xfer_cmplt <= '0' ; -- Input ports are ignored end implementation;
bsd-2-clause
e9e3306365c0b9d3025eb08bbf09c4d8
0.41433
5.097517
false
false
false
false
Yarr/Yarr-fw
syn/kintex7/rd53_ohio_4x4_640Mbps/board_pkg.vhd
1
952
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library work; use work.hw_type_pkg.all; package board_pkg is constant c_FW_IDENT : std_logic_vector(31 downto 0) := c_HW_IDENT & x"030231"; constant c_TX_ENCODING : string := "OSERDES"; constant c_TX_CHANNELS : integer := 4; constant c_RX_CHANNELS : integer := 4; constant c_FE_TYPE : string := "RD53"; constant c_RX_NUM_LANES : integer := 4; constant c_RX_SPEED : string := "0640"; constant c_TX_IDLE_WORD : std_logic_vector(31 downto 0) := x"AAAAAAAA"; constant c_TX_SYNC_WORD : std_logic_vector(31 downto 0) := x"817e817e"; constant c_TX_SYNC_INTERVAL : unsigned(7 downto 0) := to_unsigned(16,8); constant c_TX_AZ_WORD : std_logic_vector(31 downto 0) := x"00000000"; constant c_TX_AZ_INTERVAL : unsigned(15 downto 0) := to_unsigned(500,16); constant c_TX_40_DIVIDER : unsigned(3 downto 0) := to_unsigned(4,4); end board_pkg;
gpl-3.0
625ab0bc1ca4c32a381e230a223319f0
0.664916
3.003155
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rd_sf.vhd
1
76,429
------------------------------------------------------------------------------- -- axi_datamover_rd_sf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_rd_sf.vhd -- -- Description: -- This file implements the AXI DataMover Read (MM2S) Store and Forward module. -- The design utilizes the AXI DataMover's new address pipelining -- control function. The design is such that predictive address -- pipelining can be supported on the AXI Read Bus without over-commiting -- the internal Data FIFO and potentially throttling the Read Data Channel -- if the Data FIFO goes full. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/21/2011 Initial Version for 13.3 -- -- DET 6/10/2011 Initial Version for 13.3 -- ~~~~~~ -- -- Per CR613147 -- - Added the DRE Flush control input from the RDC. This passes through -- the Data FIFO (just like sin2sf_tlast) and out the downsizer to -- the sf2dre_flush output. -- ^^^^^^ -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Fixed Lint reported excesive line length for lines 1388 and 1564. -- - Removed commented-out code as part of general cleanup. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.srl_fifo_f; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_sfifo_autord; use axi_datamover_v5_1.axi_datamover_fifo; ------------------------------------------------------------------------------- entity axi_datamover_rd_sf is generic ( C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512; -- Sets the desired depth of the internal Data FIFO. C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max burst length being used by the external -- AXI4 Master for each AXI4 transfer request. C_DRE_IS_USED : Integer range 0 to 1 := 0; -- Indicates if the external Master is utilizing a DRE on -- the stream input to this module. C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1; -- Specifies the depth of the internal dre control queue fifo C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE alignment control ports C_MMAP_DWIDTH : Integer range 32 to 1024 := 64; -- Sets the AXI4 Memory Mapped Bus Data Width C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the Stream Data Width for the Input and Output -- Data streams. C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2; -- Sets the bit width of the starting address offset port -- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH) C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input DRE command C_FAMILY : String := "virtex7" -- Indicates the target FPGA Family. ); port ( -- Clock and Reset inputs -------------------------------------------- -- aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- reset : in std_logic; -- -- Reset used for the internal syncronization logic -- ---------------------------------------------------------------------- -- DataMover Read Side Address Pipelining Control Interface ---------- -- ok_to_post_rd_addr : Out Std_logic; -- -- Indicates that the transfer token pool has at least -- -- one token available to borrow -- -- rd_addr_posted : In std_logic; -- -- Indication that a read address has been posted to AXI4 -- -- rd_xfer_cmplt : In std_logic; -- -- Indicates that the Datamover has completed a Read Data -- -- transfer on the AXI4 -- ---------------------------------------------------------------------- -- Read Side Stream In from DataMover MM2S Read Data Controller ---------------------- -- sf2sin_tready : Out Std_logic; -- -- DRE Stream READY input -- -- sin2sf_tvalid : In std_logic; -- -- DRE Stream VALID Output -- -- sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- DRE Stream DATA input -- -- sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); -- -- DRE Stream STRB input -- -- sin2sf_tlast : In std_logic; -- -- DRE Xfer LAST input -- -------------------------------------------------------------------------------------- -- RDC Store and Forward Supplimental Controls --------------------- -- These are time aligned and qualified with the RDC Stream Input -- -- data2sf_cmd_cmplt : In std_logic; -- data2sf_dre_flush : In std_logic; -- -------------------------------------------------------------------- -- DRE Control Interface from the Command Calculator ----------------------------- -- dre2mstr_cmd_ready : Out std_logic ; -- -- Indication from the DRE that the command is being -- -- accepted from the Command Calculator -- -- mstr2dre_cmd_valid : In std_logic; -- -- The next command valid indication to the DRE -- -- from the Command Calculator -- -- mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- -- -- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); -- -- -- The bytes to transfer value for the input command -- -- mstr2dre_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- -- mstr2dre_cmd_cmplt : In std_logic; -- -- -- The last tranfer command of a sequence of transfers -- -- -- spawned from a single parent command -- -- mstr2dre_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- ----------------------------------------------------------------------------------- -- MM2S DRE Control ------------------------------------------------------------- -- sf2dre_new_align : Out std_logic; -- -- Active high signal indicating new DRE aligment required -- -- sf2dre_use_autodest : Out std_logic; -- -- Active high signal indicating to the DRE to use an auto- -- -- calculated desination alignment based on the last transfer -- -- sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- Bit field indicating the byte lane of the first valid data byte -- -- being sent to the DRE -- -- sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- Bit field indicating the desired byte lane of the first valid data byte -- -- to be output by the DRE -- -- sf2dre_flush : Out std_logic; -- -- Active high signal indicating to the DRE to flush the current -- -- contents to the output register in preparation of a new alignment -- -- that will be comming on the next transfer input -- --------------------------------------------------------------------------------- -- Stream Out ----------------------------------------------------------------------- -- sout2sf_tready : In std_logic; -- -- Write READY input from the Stream Master -- -- sf2sout_tvalid : Out std_logic; -- -- Write VALID output to the Stream Master -- -- sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to the Stream Master -- -- sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to the Stream Master -- -- sf2sout_tlast : Out std_logic -- -- Write LAST output to the Stream Master -- -------------------------------------------------------------------------------------- ); end entity axi_datamover_rd_sf; architecture implementation of axi_datamover_rd_sf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions --------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_fifo_cnt_width -- -- Function Description: -- simple function to set the width of the data fifo read -- and write count outputs. ------------------------------------------------------------------- function funct_get_fifo_cnt_width (fifo_depth : integer) return integer is Variable temp_width : integer := 8; begin if (fifo_depth = 1) then temp_width := 1; elsif (fifo_depth = 2) then temp_width := 2; elsif (fifo_depth <= 4) then temp_width := 3; elsif (fifo_depth <= 8) then temp_width := 4; elsif (fifo_depth <= 16) then temp_width := 5; elsif (fifo_depth <= 32) then temp_width := 6; elsif (fifo_depth <= 64) then temp_width := 7; elsif (fifo_depth <= 128) then temp_width := 8; elsif (fifo_depth <= 256) then temp_width := 9; elsif (fifo_depth <= 512) then temp_width := 10; elsif (fifo_depth <= 1024) then temp_width := 11; elsif (fifo_depth <= 2048) then temp_width := 12; elsif (fifo_depth <= 4096) then temp_width := 13; else -- assume 8192 depth temp_width := 14; end if; Return (temp_width); end function funct_get_fifo_cnt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_wrcnt_lsrip -- -- Function Description: -- Calculates the ls index of the upper slice of the data fifo -- write count needed to repesent one max burst worth of data -- present in the fifo. -- ------------------------------------------------------------------- function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is Variable temp_ls_index : Integer := 0; begin if (max_burst_dbeats <= 2) then temp_ls_index := 1; elsif (max_burst_dbeats <= 4) then temp_ls_index := 2; elsif (max_burst_dbeats <= 8) then temp_ls_index := 3; elsif (max_burst_dbeats <= 16) then temp_ls_index := 4; elsif (max_burst_dbeats <= 32) then temp_ls_index := 5; elsif (max_burst_dbeats <= 64) then temp_ls_index := 6; elsif (max_burst_dbeats <= 128) then temp_ls_index := 7; else temp_ls_index := 8; end if; Return (temp_ls_index); end function funct_get_wrcnt_lsrip; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stall_thresh -- -- Function Description: -- Calculates the Stall threshold for the input side of the Data -- FIFO. If DRE is being used by the DataMover, then the threshold -- must be reduced to account for the potential of an extra write -- databeat per request (DRE alignment dependent). -- ------------------------------------------------------------------- function funct_get_stall_thresh (dre_is_used : integer; max_xfer_length : integer; data_fifo_depth : integer; pipeline_delay_clks : integer; fifo_settling_clks : integer) return integer is Constant DRE_PIPE_DELAY : integer := 2; -- clks Variable var_num_max_xfers_allowed : Integer := 0; Variable var_dre_dbeat_overhead : Integer := 0; Variable var_delay_fudge_factor : Integer := 0; Variable var_thresh_headroom : Integer := 0; Variable var_stall_thresh : Integer := 0; begin var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length; var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used; var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) + pipeline_delay_clks + fifo_settling_clks; var_thresh_headroom := max_xfer_length + var_dre_dbeat_overhead + var_delay_fudge_factor; -- Scale the result to be in max transfer length increments var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length; Return (var_stall_thresh); end function funct_get_stall_thresh; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_size_drecntl_fifo -- -- Function Description: -- Assures that the DRE control fifo depth is at least 4 deep else it -- is equal to the number of max burst transfers that can fit in the -- Store and Forward Data FIFO. -- ------------------------------------------------------------------- function funct_size_drecntl_fifo (sf_fifo_depth : integer; max_burst_length : integer) return integer is Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length; Variable temp_fifo_depth : Integer := 4; begin If (NEEDED_FIFO_DEPTH < 4) Then temp_fifo_depth := 4; Else temp_fifo_depth := NEEDED_FIFO_DEPTH; End if; Return (temp_fifo_depth); end function funct_size_drecntl_fifo; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_cntr_width -- -- Function Description: -- Detirmine the width needed for the address offset counter used -- for the data fifo mux selects. -- ------------------------------------------------------------------- function funct_get_cntr_width (num_count_states : integer) return integer is Variable lvar_temp_width : Integer := 1; begin if (num_count_states <= 2) then lvar_temp_width := 1; elsif (num_count_states <= 4) then lvar_temp_width := 2; elsif (num_count_states <= 8) then lvar_temp_width := 3; elsif (num_count_states <= 16) then lvar_temp_width := 4; elsif (num_count_states <= 32) then lvar_temp_width := 5; elsif (num_count_states <= 64) then lvar_temp_width := 6; Else -- 128 cnt states lvar_temp_width := 7; end if; Return (lvar_temp_width); end function funct_get_cntr_width; -- Constants --------------------------------------------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BLK_MEM_FIFO : integer := 1; Constant SRL_FIFO : integer := 0; Constant NOT_NEEDED : integer := 0; Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits Constant TLAST_WIDTH : integer := 1; -- bits Constant CMPLT_WIDTH : integer := 1; -- bits Constant DRE_FLUSH_WIDTH : integer := 1; -- bits Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH; Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH); Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN); Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH + MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP + TLAST_WIDTH + CMPLT_WIDTH + DRE_FLUSH_WIDTH; Constant DATA_OUT_LSB_INDEX : integer := 0; Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1; Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1; Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP; Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP; Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1; Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1; Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN; Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1; Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH); Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2; Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on -- the output side of the Store and Forward Constant RD_ADDR_POST_STALL_THRESH : integer := funct_get_stall_thresh(DRE_COMPENSATION , C_MAX_BURST_LEN , C_SF_FIFO_DEPTH , RD_PATH_PIPE_DEPTH , WRCNT_SETTLING_TIME); Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH , THRESH_COMPARE_WIDTH); Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH); Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH); Constant USE_SYNC_FIFO : integer := 0; Constant SRL_FIFO_PRIM : integer := 2; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; -- Signals --------------------------------------------------------------------------- signal sig_good_sin_strm_dbeat : std_logic := '0'; signal sig_strm_sin_ready : std_logic := '0'; signal sig_good_sout_strm_dbeat : std_logic := '0'; signal sig_sout2sf_tready : std_logic := '0'; signal sig_sf2sout_tvalid : std_logic := '0'; signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2sout_tlast : std_logic := '0'; signal sig_sf2dre_flush : std_logic := '0'; signal sig_push_data_fifo : std_logic := '0'; signal sig_pop_data_fifo : std_logic := '0'; signal sig_data_fifo_full : std_logic := '0'; signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_dvalid : std_logic := '0'; signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX) := (others => '0'); signal sig_ok_to_post_rd_addr : std_logic := '0'; signal sig_rd_addr_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_taking_last_token : std_logic := '0'; signal sig_stall_rd_addr_posts : std_logic := '0'; signal sig_incr_token_cntr : std_logic := '0'; signal sig_decr_token_cntr : std_logic := '0'; signal sig_token_eq_max : std_logic := '0'; signal sig_token_eq_zero : std_logic := '0'; signal sig_token_eq_one : std_logic := '0'; signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0'); signal sig_cntl_fifo_has_data : std_logic := '0'; signal sig_get_cntl_fifo_data : std_logic := '0'; signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_drr_reg : std_logic := '0'; signal sig_curr_eof_reg : std_logic := '0'; signal sig_curr_calc_error_reg : std_logic := '0'; signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_dre_cntl_reg : std_logic := '0'; signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0'); signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0'); signal sig_dfifo_tlast_out : std_logic := '0'; signal sig_dfifo_cmd_cmplt_out : std_logic := '0'; signal sig_dfifo_dre_flush_out : std_logic := '0'; begin --(architecture implementation) -- Read Side (MM2S) Control Flags port connections ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ; sig_rd_addr_posted <= rd_addr_posted ; sig_rd_xfer_cmplt <= rd_xfer_cmplt ; -- Output Stream Port connections sig_sout2sf_tready <= sout2sf_tready ; sf2sout_tvalid <= sig_sf2sout_tvalid ; sf2sout_tdata <= sig_sf2sout_tdata ; --sf2sout_tkeep <= sig_sf2sout_tkeep ; sf2sout_tlast <= sig_sf2sout_tlast and sig_sf2sout_tvalid ; GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate begin sf2sout_tkeep <= sig_sf2sout_tkeep ; end generate GEN_MM2S_TKEEP_ENABLE4; GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate begin sf2sout_tkeep <= (others => '1'); end generate GEN_MM2S_TKEEP_DISABLE4; -- Input Stream port connections sf2sin_tready <= sig_strm_sin_ready; sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full. -- This should never happen if read address -- posting control is working properly. -- Stream transfer qualifiers sig_good_sin_strm_dbeat <= sin2sf_tvalid and sig_strm_sin_ready; sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and sig_sout2sf_tready; ---------------------------------------------------------------- -- Unpacking Logic ------------------------------------------ ---------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_UNPACKING -- -- If Generate Description: -- Omits any unpacking logic in the Store and Forward module. -- The Stream and MMap data widths are the same. The Data FIFO -- output can be connected directly to the stream outputs. -- ------------------------------------------------------------ OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate signal lsig_cmd_loaded : std_logic := '0'; signal lsig_ld_cmd : std_logic := '0'; signal lsig_cmd_cmplt_dbeat : std_logic := '0'; signal lsig_cmd_cmplt : std_logic := '0'; begin -- Data FIFO Output to the stream attachments sig_sf2sout_tvalid <= sig_data_fifo_dvalid and lsig_cmd_loaded ; sig_sf2sout_tdata <= sig_dfifo_data_out ; sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ; sig_sf2sout_tlast <= sig_dfifo_tlast_out ; sig_sf2dre_flush <= sig_dfifo_dre_flush_out ; -- Control for reading the Data FIFO sig_pop_data_fifo <= lsig_cmd_loaded and sig_sout2sf_tready and sig_data_fifo_dvalid; -- Control for reading the Command/Offset FIFO sig_get_cntl_fifo_data <= lsig_ld_cmd ; -- Control for loading the DRE Control Reg sig_ld_dre_cntl_reg <= lsig_ld_cmd ; lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and lsig_cmd_loaded and sig_data_fifo_dvalid and sig_sout2sf_tready ; -- Generate the control that loads the DRE lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case not(lsig_cmd_loaded)) or (sig_cntl_fifo_has_data and -- back to back commands lsig_cmd_cmplt_dbeat); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_LOADED -- -- Process Description: -- Implements the flop indicating a command from the cmd fifo -- has been loaded into the DRE Output Register. -- ------------------------------------------------------------- IMP_CMD_LOADED : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_cmd_loaded <= '0'; Elsif (lsig_ld_cmd = '1' ) Then lsig_cmd_loaded <= '1'; elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and lsig_cmd_cmplt_dbeat = '1') then lsig_cmd_loaded <= '0'; else null; -- Hold Current State end if; end if; end process IMP_CMD_LOADED; end generate OMIT_UNPACKING; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_UNPACKING -- -- If Generate Description: -- Includes unpacking logic in the Store and Forward module. -- The MMap Data bus is wider than the Stream width. -- ------------------------------------------------------------ INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH; Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH; Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8; Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH; Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO); Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, OFFSET_CNTR_WIDTH); Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH); -- Types ----------------------------------------------------------------------------- type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of std_logic_vector(DATA_SLICE_WIDTH-1 downto 0); type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0); type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0); -- local signals signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_ld_offset : std_logic := '0'; signal lsig_incr_offset : std_logic := '0'; signal lsig_offset_cntr_eq_max : std_logic := '0'; signal lsig_fifo_data_out_wide : lsig_data_slice_type; signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type; signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1; signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ; signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0); signal lsig_tlast_out : std_logic := '0'; signal lsig_dre_flush_out : std_logic := '0'; signal lsig_this_fifo_wrd_done : std_logic := '0'; signal lsig_cmd_loaded : std_logic := '0'; signal lsig_cmd_cmplt_dbeat : std_logic := '0'; signal lsig_cmd_cmplt : std_logic := '0'; signal lsig_next_slice_tkeep_0 : std_logic := '0'; begin sig_sf2sout_tvalid <= sig_data_fifo_dvalid and lsig_cmd_loaded ; sig_sf2sout_tdata <= lsig_data_mux_out ; sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0); sig_sf2sout_tlast <= lsig_tlast_out ; sig_sf2dre_flush <= lsig_dre_flush_out ; -- Control for reading the Data FIFO sig_pop_data_fifo <= lsig_this_fifo_wrd_done and lsig_cmd_loaded and sig_sout2sf_tready and sig_data_fifo_dvalid; -- Control for reading the Command/Offset FIFO sig_get_cntl_fifo_data <= lsig_ld_offset; -- Control for loading the DRE Control Reg sig_ld_dre_cntl_reg <= lsig_ld_offset ; lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0); -- Detirmine if a Command Complete condition exists lsig_cmd_cmplt <= '1' when (sig_dfifo_cmd_cmplt_out = '1' and lsig_next_slice_tkeep_0 = '0') Else '0'; -- Detirmine if a TLAST condition exists -- From the RDC via the Data FIFO lsig_tlast_out <= '1' when (sig_dfifo_tlast_out = '1' and lsig_next_slice_tkeep_0 = '0') Else '0'; -- Detimine if a DRE Flush condition exists -- From the RDC via the Data FIFO lsig_dre_flush_out <= '1' when (sig_dfifo_dre_flush_out = '1' and lsig_next_slice_tkeep_0 = '0') Else '0'; lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and lsig_cmd_loaded and sig_data_fifo_dvalid and sig_sout2sf_tready ; -- Check to see if the FIFO output word is finished. This occurs -- when the offset counter is at max value or the tlast from the -- fifo is set and the LS TKEED of the next MS Slice is zero. lsig_this_fifo_wrd_done <= '1' When (lsig_offset_cntr_eq_max = '1' or (lsig_cmd_cmplt_dbeat = '1' and lsig_next_slice_tkeep_0 = '0')) Else '0'; -- Generate the control that loads the starting address -- offset for the next input packet lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case not(lsig_cmd_loaded)) or (sig_cntl_fifo_has_data and -- back to back commands lsig_cmd_cmplt_dbeat); -- Generate the control for incrementing the offset counter lsig_incr_offset <= sig_good_sout_strm_dbeat; -- Check to see if the offset counter has reached its max -- value lsig_offset_cntr_eq_max <= '1' when (lsig_0ffset_cntr = OFFSET_CNT_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_LOADED -- -- Process Description: -- Implements the flop indicating a command from the cmd fifo -- has been loaded into the unpacker control logic. -- ------------------------------------------------------------- IMP_CMD_LOADED : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_cmd_loaded <= '0'; Elsif (lsig_ld_offset = '1' ) Then lsig_cmd_loaded <= '1'; elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued lsig_cmd_cmplt_dbeat = '1') then lsig_cmd_loaded <= '0'; else null; -- Hold Current State end if; end if; end process IMP_CMD_LOADED; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_OFFSET_CNTR -- -- Process Description: -- Implements the address offset counter that is used to -- generate the data and tkeep mux selects. -- Note that the counter has to be loaded with the starting -- offset plus one to sync up with the data input. ------------------------------------------------------------- IMP_OFFSET_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_0ffset_cntr <= (others => '0'); Elsif (lsig_ld_offset = '1') Then lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg); elsif (lsig_incr_offset = '1') then lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE; else null; -- Hold Current State end if; end if; end process IMP_OFFSET_CNTR; ------------------------------------------------------------ -- For Generate -- -- Label: DO_DATA_CONVERTER -- -- For Generate Description: -- This ForGen converts the FIFO output data and tkeep from a single -- std logic vector type to a vector of slices. -- ------------------------------------------------------------ DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate begin lsig_fifo_data_out_wide(slice_index-1) <= sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto (slice_index-1)*DATA_SLICE_WIDTH); lsig_fifo_tkeep_out_wide(slice_index-1) <= sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto (slice_index-1)*TKEEP_SLICE_WIDTH); end generate DO_DATA_CONVERTER; -- Assign the extra tkeep slice to all zeros to allow for detection -- of the data word done when the ls tkeep bit of the next tkeep -- slice is zero and the offset count is pointing to the last slice -- position. lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0'); -- Mux the appropriate data and tkeep slice to the stream output lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr); lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ; lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel); end generate INCLUDE_UNPACKING; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_DRE_CNTL -- -- If Generate Description: -- This IfGen is used to omit the DRE control logic and -- minimize the Control FIFO when MM2S DRE is not included -- in the MM2S. -- ------------------------------------------------------------ OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate -- Constant Declarations ------------------------------------------------------------------ Constant USE_SYNC_FIFO : integer := 0; Constant SRL_FIFO_PRIM : integer := 2; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH, C_MAX_BURST_LEN); Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; -- Signal Declarations -------------------------------------------------------------------- signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_offset_fifo_wr_valid : std_logic := '0'; signal sig_offset_fifo_wr_ready : std_logic := '0'; signal sig_offset_fifo_rd_valid : std_logic := '0'; signal sig_offset_fifo_rd_ready : std_logic := '0'; begin -- PCC DRE Command interface handshake dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ; sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ; -- No DRE so no controls sf2dre_new_align <= '0'; sf2dre_use_autodest <= '0'; sf2dre_src_align <= (others => '0'); sf2dre_dest_align <= (others => '0'); sf2dre_flush <= '0'; -- No DRE so no alignment values sig_curr_src_align_reg <= (others => '0'); sig_curr_dest_align_reg <= (others => '0'); -- Format the input data word for the Offset FIFO Queue sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field mstr2dre_calc_error & mstr2dre_eof & mstr2dre_drr & mstr2dre_tag; -- LS Field sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ; sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX); sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the Offset Control FIFO. This is still needed -- by the unpacker logic to get the starting offset at the -- begining of an input packet coming out of the Store and -- Forward data FIFO. -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => SF_OFFSET_FIFO_WIDTH , C_DEPTH => SF_OFFSET_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => SRL_FIFO_PRIM , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => reset , fifo_wr_clk => aclk , -- Write Side fifo_wr_tvalid => sig_offset_fifo_wr_valid , fifo_wr_tready => sig_offset_fifo_wr_ready , fifo_wr_tdata => sig_offset_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => aclk , fifo_async_rd_clk => reset , -- Read Side fifo_rd_tvalid => sig_offset_fifo_rd_valid , fifo_rd_tready => sig_offset_fifo_rd_ready , fifo_rd_tdata => sig_offset_fifo_data_out , fifo_rd_empty => open ); end generate OMIT_DRE_CNTL; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_DRE_CNTL -- -- If Generate Description: -- This IfGen is used to include the DRE control logic and -- Control FIFO when MM2S DRE is included in the MM2S. -- -- ------------------------------------------------------------ INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate -- Constant Declarations Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH, C_MAX_BURST_LEN); Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SRC_ALIGN_WIDTH + -- Source align field width DEST_ALIGN_WIDTH + -- Dest align field width DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH; Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_dre_align_ready : std_logic := '0'; signal sig_dre_align_valid_reg : std_logic := '0'; signal sig_dre_use_autodest_reg : std_logic := '0'; signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush_reg : std_logic := '0'; begin -- Assign the DRE Control Outputs sf2dre_new_align <= sig_dre_align_valid_reg; sf2dre_use_autodest <= sig_dre_use_autodest_reg; sf2dre_src_align <= sig_dre_src_align_reg; sf2dre_dest_align <= sig_dre_dest_align_reg; sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO -- PCC DRE Command interface handshake dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ; -- Format the input data word for the DRE Control FIFO Queue sig_cmd_fifo_data_in <= mstr2dre_strt_offset & mstr2dre_calc_error & mstr2dre_eof & mstr2dre_drr & mstr2dre_dre_dest_align & mstr2dre_dre_src_align & mstr2dre_tag; -- Formulate the DRE Control FIFO Read signaling sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ; sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto SRC_ALIGN_STRT_INDEX); sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto DEST_ALIGN_STRT_INDEX); sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the DRE Control FIFO -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => DRECNTL_FIFO_WIDTH , C_DEPTH => DRECNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => SRL_FIFO_PRIM , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => reset , fifo_wr_clk => aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => aclk , fifo_async_rd_clk => reset , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => open ); ------------------------------------------------------------------------- -- DRE Control Register ------------------------------------------------------------------------- -- The DRE will auto-flush on a received TLAST so a commanded Flush -- is not needed. sig_dre_flush_reg <= '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CNTL_REG -- -- Process Description: -- Implements the DRE alignment Output Register. -- ------------------------------------------------------------- IMP_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_dre_use_autodest_reg <= '0' ; sig_dre_src_align_reg <= (others => '0') ; sig_dre_dest_align_reg <= (others => '0') ; Elsif (sig_ld_dre_cntl_reg = '1' ) Then sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ; sig_dre_src_align_reg <= sig_curr_src_align_reg ; sig_dre_dest_align_reg <= sig_curr_dest_align_reg ; Elsif (sig_good_sout_strm_dbeat = '1') Then sig_dre_use_autodest_reg <= '0' ; sig_dre_src_align_reg <= (others => '0') ; sig_dre_dest_align_reg <= (others => '0') ; else null; -- Hold Current State end if; end if; end process IMP_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DRE_CNTL_VALID_REG -- -- Process Description: -- Implements the DRE Alignment valid Register. -- ------------------------------------------------------------- IMP_DRE_CNTL_VALID_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_dre_align_valid_reg <= '0' ; Elsif (sig_ld_dre_cntl_reg = '1' ) Then sig_dre_align_valid_reg <= '1' ; Elsif (sig_good_sout_strm_dbeat = '1') Then sig_dre_align_valid_reg <= '0' ; else null; -- Hold Current State end if; end if; end process IMP_DRE_CNTL_VALID_REG; end generate INCLUDE_DRE_CNTL; ---------------------------------------------------------------- -- Token Counter Logic -- Predicting fifo space availability at some point in the -- future is based on managing a virtual pool of transfer tokens. -- A token represents 1 max length burst worth of space in the -- Data FIFO. ---------------------------------------------------------------- -- calculate how many tokens are commited to pending transfers sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr; -- Decrement the token counter when a token is -- borrowed sig_decr_token_cntr <= '1' when (sig_rd_addr_posted = '1' and sig_token_eq_zero = '0') else '0'; -- Increment the token counter when a -- token is returned. sig_incr_token_cntr <= '1' when (sig_rd_xfer_cmplt = '1' and sig_token_eq_max = '0') else '0'; -- Detect when the xfer token count is at max value sig_token_eq_max <= '1' when (sig_token_cntr = TOKEN_CNT_MAX) Else '0'; -- Detect when the xfer token count is at one sig_token_eq_one <= '1' when (sig_token_cntr = TOKEN_CNT_ONE) Else '0'; -- Detect when the xfer token count is at zero sig_token_eq_zero <= '1' when (sig_token_cntr = TOKEN_CNT_ZERO) Else '0'; -- Look ahead to see if the xfer token pool is going empty sig_taking_last_token <= '1' When (sig_token_eq_one = '1' and sig_rd_addr_posted = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_CNTR -- -- Process Description: -- Implements the Token counter -- ------------------------------------------------------------- IMP_TOKEN_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' ) then sig_token_cntr <= TOKEN_CNT_MAX; elsif (sig_incr_token_cntr = '1' and sig_decr_token_cntr = '0') then sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE; elsif (sig_incr_token_cntr = '0' and sig_decr_token_cntr = '1') then sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE; else null; -- hold current value end if; end if; end process IMP_TOKEN_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_AVAIL_FLAG -- -- Process Description: -- Implements the flag indicating that the AXI Read Master -- can post a read address request on the AXI4 bus. -- -- Read address posting can occur if: -- -- - The write side LEN fifo is not empty. -- - The commited plus actual Data FIFO space is less than -- the stall threshold (a max length read burst can fit -- in the data FIFO without overflow). -- - The max allowed commited read count has not been reached. -- -- The flag is cleared after each address has been posted to -- ensure a second unauthorized post does not occur. ------------------------------------------------------------- IMP_TOKEN_AVAIL_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_rd_addr_posted = '1') then sig_ok_to_post_rd_addr <= '0'; else sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full not(sig_token_eq_zero) and -- max allowed pending reads has not been reached not(sig_taking_last_token); -- the max allowed pending reads is about to be reached end if; end if; end process IMP_TOKEN_AVAIL_FLAG; ---------------------------------------------------------------- -- Data FIFO Logic ------------------------------------------ ---------------------------------------------------------------- GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- FIFO Output ripping to components sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto TKEEP_OUT_LSB_INDEX); sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ; sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ; end generate GEN_MM2S_TKEEP_ENABLE3; GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate begin -- FIFO Output ripping to components sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); sig_dfifo_tkeep_out <= (others => '1'); sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ; sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ; end generate GEN_MM2S_TKEEP_DISABLE3; -- Stall Threshold calculations sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt); sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX); sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) + RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH); -- Compare the commited read space plus the actual used space against the -- stall threshold. Assert the read address posting stall flag if the -- threshold is met or exceeded. sig_stall_rd_addr_posts <= '1' when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US) Else '0'; -- FIFO Rd/WR Controls sig_push_data_fifo <= sig_good_sin_strm_dbeat; -- sig_pop_data_fifo <= sig_sout2sf_tready and -- sig_data_fifo_dvalid; GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field data2sf_cmd_cmplt & sin2sf_tlast & sin2sf_tkeep & sin2sf_tdata; -- ls field end generate GEN_MM2S_TKEEP_ENABLE2; GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate begin -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field data2sf_cmd_cmplt & sin2sf_tlast & --sin2sf_tkeep & sin2sf_tdata; -- ls field end generate GEN_MM2S_TKEEP_DISABLE2; ------------------------------------------------------------ -- Instance: I_DATA_FIFO -- -- Description: -- Implements the Store and Forward data FIFO (synchronous) -- ------------------------------------------------------------ I_DATA_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord generic map ( C_DWIDTH => DATA_FIFO_WIDTH , C_DEPTH => DATA_FIFO_DEPTH , C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NOT_NEEDED , C_NEED_ALMOST_FULL => NOT_NEEDED , C_USE_BLKMEM => BLK_MEM_FIFO , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => reset , SFIFO_Clk => aclk , SFIFO_Wr_en => sig_push_data_fifo , SFIFO_Din => sig_data_fifo_data_in , SFIFO_Rd_en => sig_pop_data_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_data_fifo_dvalid , SFIFO_Dout => sig_data_fifo_data_out , SFIFO_Full => sig_data_fifo_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => sig_data_fifo_wr_cnt , SFIFO_Rd_ack => open ); end implementation;
bsd-2-clause
52483986cad01903ded132fb522aa753
0.426291
4.835136
false
false
false
false
rjarzmik/mips_processor
Caches/SinglePort_Cache.vhd
1
5,146
------------------------------------------------------------------------------- -- Title : Single port cache -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : SinglePort_Cache.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-11-19 -- Last update: 2016-11-27 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Cache with one access port and one port to the memory/L+1 cache ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-19 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- entity SinglePort_Cache is generic ( ADDR_WIDTH : integer := 32; DATA_WIDTH : integer := 32 ); port ( clk : in std_logic; rst : in std_logic; i_porta_req : in std_logic; i_porta_we : in std_logic; i_porta_addr : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_porta_write_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); o_porta_read_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); o_porta_valid : out std_logic; o_memory_req : out std_logic; o_memory_we : out std_logic; o_memory_addr : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_memory_write_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); i_memory_read_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); i_memory_valid : in std_logic ); end entity SinglePort_Cache; ------------------------------------------------------------------------------- architecture passthrough of SinglePort_Cache is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- -- access handling signal cache_initialized : boolean := false; signal cache_loaded : boolean; signal cache_hit : boolean; signal cache_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0) := (others => '1'); signal cache_data : std_logic_vector(DATA_WIDTH - 1 downto 0); -- cache_valid means: for previously latched address, cache_data is valid. -- cache_valid also means: for last time i_porta_req was raised, for the -- i_porta_addr that was input, the data on cache_data is valid. signal cache_valid : boolean; -- dearm_memory_req : ensure o_memory_req is held only 1 cycle for each request signal dearm_memory_req : boolean := false; signal memory_ongoing : boolean := false; begin -- architecture str ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- process(rst, clk) is begin if rst = '0' then if rising_edge(clk) then if i_porta_req = '1' then if not cache_initialized or cache_addr /= i_porta_addr then cache_addr <= i_porta_addr; cache_valid <= false; cache_data <= (others => 'X'); o_memory_addr <= i_porta_addr; o_memory_req <= '1'; dearm_memory_req <= true; memory_ongoing <= true; o_memory_we <= i_porta_we; o_memory_write_data <= i_porta_write_data; end if; -- If cache_valid and cache_valid = i_porta_addr, output is still -- valid and nothing is to be done. elsif dearm_memory_req then o_memory_req <= '0'; dearm_memory_req <= false; if i_memory_valid = '0' then cache_valid <= false; -- dearm cache_valid one cycle after -- cache_addr changed end if; end if; if i_memory_valid = '1' then memory_ongoing <= false; cache_data <= i_memory_read_data; cache_initialized <= true; if not (i_porta_req = '1' and (cache_addr /= i_porta_addr)) then cache_valid <= true; end if; -- In parallel, o_porta_valid will become '1' end if; end if; else o_memory_req <= '0'; cache_valid <= false; end if; end process; o_porta_valid <= '1' when cache_valid else '0'; o_porta_read_data <= cache_data when cache_valid else (others => 'X'); end architecture passthrough; ---------------------------------------------------------------------------------
gpl-3.0
732d1b3ca3c55b9a48d207442ff3465e
0.451807
4.413379
false
false
false
false
Yarr/Yarr-fw
ip-cores/spartan6/tx_fifo.vhd
2
10,252
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file tx_fifo.vhd when simulating -- the core, tx_fifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY tx_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END tx_fifo; ARCHITECTURE tx_fifo_a OF tx_fifo IS -- synthesis translate_off COMPONENT wrapped_tx_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_tx_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 10, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "1kx36", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 1023, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 1022, c_prog_full_type => 1, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 10, c_wr_depth => 1024, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 10, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_tx_fifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty, prog_full => prog_full ); -- synthesis translate_on END tx_fifo_a;
gpl-3.0
d10e5143c8ba49baf012daf5b11b66f8
0.5357
3.327491
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_006_test_input.fixed.vhd
1
1,180
architecture RTL of FIFO is begin -- These are passing a <= b; a <= when c = '0' else '1'; with z select a <= b when z = "000", c when z = "001"; a <= b; a <= when c = '0' else '1'; -- Failing variations a <= b; a <= when c = '0' else '1'; with z select a <= b when z = "000", c when z = "001"; a <= b; a <= when c = '0' else '1'; -- Testing generate breaks a <= b; gen : if '1' = '1' generate anExtraLoooooooooooooooooooongName <= c; end generate gen; aSlighltyLongerName <= d; b <= c; a <= b; gen : for i in 0 to 7 generate anExtraLoooooooooooooooooooongName <= c; end generate gen; aSlighltyLongerName <= d; b <= c; a <= b; aSlightlyLooongerName <= c; LABEL0 : case a & b & c generate when "000" => anExtraLoooooooooooooooooooongName <= c; anExtraLoooooooooooongName <= c; when "001" => anExtraLoooooooooooooooongName <= c; anExtraLooooooooooooooooooooooooongName <= c; end generate LABEL0; aSlighltyLongerName <= d; b <= c; end architecture RTL;
gpl-3.0
394b419bc5e005fdceb32e919368d31b
0.532203
3.286908
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/indent_only/c16/BaudGen.vhd
1
1,485
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use STD.TEXTIO.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity BaudGen is Generic(bg_clock_freq : integer; bg_baud_rate : integer); Port( CLK_I : in std_logic; RST_I : in std_logic; CE_16 : out std_logic ); end BaudGen; architecture Behavioral of BaudGen is -- divide bg_clock_freq and bg_baud_rate -- by their common divisor... -- function gcd(M, N: integer) return integer is begin if ((M mod N) = 0) then return N; else return gcd(N, M mod N); end if; end; constant common_div : integer := gcd(bg_clock_freq, 16 * bg_baud_rate); constant clock_freq : integer := bg_clock_freq / common_div; constant baud_freq : integer := 16 * bg_baud_rate / common_div; constant limit : integer := clock_freq - baud_freq; signal COUNTER : integer range 0 to clock_freq - 1; begin process(CLK_I) begin if (rising_edge(CLK_I)) then CE_16 <= '0'; -- make CE_16 stay on for (at most) one cycle if (RST_I = '1') then COUNTER <= 0; elsif (COUNTER >= limit) then CE_16 <= '1'; COUNTER <= COUNTER - limit; else COUNTER <= COUNTER + baud_freq; end if; end if; end process; end Behavioral;
gpl-3.0
0bffa1a8f5e8f205dd2f92b4cecf9ba9
0.626936
3.375
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_v8_0_pkg.vhd
2
123,409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PZQjRORpZCQwmYmzAPS4sYrbQQK63zY66SlyXjjvBolfgY6jh9tsEJN2zZLV5OCgMkJOIjzZYNj6 YMJPnLlKUA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G/+OY2uCU775hkNuVSIgFYAPd458wYed3SXK7wIXKsovODndXlY2GtBFyYpvqWd788hfw/uULGTV ZY3/WGvwXBPouDq257b7fN4sXUCSe9pX+P3Nd8Tbd3MRUsAQANIKL2+Z8SMYJ8VDL+oSVZISr/yG PAb1VCgvdrFC34kD+CE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rPhE3+119golQ1sYheEKGS6zza4EqGuj8U2rpfNzX6joWYHtLwwBCb9scbyM7fiO/6UuZEIi6CAA fgnY8vMpxtUKGr0U/tajaIPCpOTzLKEt/FH26BAmViKWBWEfyzGCliVLvJXlA146f7YBlo4Y22s8 qUv/IK+UQXsn2AiP1y7NiUDI78U4ii8+bIyLANoEOhxIulRNgO0MwcCze4p0X9WyF0JfawEiCoTj rbd00tguKAb4MMRN1daF4/BfqyYBUCLAPFz+S0fxsy04te5Zt1aSMxo/ovOOP36PpFLAIRkE13Vc uwfzwZIkem/vG992qDwXGVjw7XwXD0E3qNG0aQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yfwTfGYd8i3z/xobktuRYBDRo12gw3EK/9sIij5HImDquEWM/fdybEAGHonzJSi97P/Ew0xFPi9M eY5RaO7SmcBXmEUSVKfEiNAN9LbP5Yh607Y4CqPpVFu6NsugS5bRpECHmHyjpFbwTIPc1eovhud8 JTI7q+2MC7Bkl8HwuL4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TtflqjDgBHJAqUSaVuDPdTHETAFMkVI0/uQHWHJ48Slqz2d+LiBoUSTIKFOazAoNitpatNu+gEdl 7tQ7mu4jLidm4hguAfrMW9bjYxAvq5886VkH3Lidv2qYOXorPqF+u/gjjNH92yF68gB+dKhq6L78 Q1IA3RtwFgVUlyKDVko4YEN4vk8RWThxpRSDzHSbEz7mnmiXET9ZbgVCtcTGnJZJEHGXCdftKZL+ dafA3uN7oImuYzmIz4y+DHkmzGSoHEfgx8Qu2h6ErSYcm1cUUUXevQSiJ470rye5yTtleV5VNhC5 Tt30RuoiwVSEVGNL1CQcglo4W/bIffw9OijUkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616) `protect data_block 9XQ+JDz0Jr03mqTj9pqaMDKAfMEwUg77tJo7dR5ESv25bzx85D4XBoGGls6Xo2LsliaYrbv2iCRi ipPnEojCtXUGdUZt6C6445/yvg8EQMqWEn34i/6bmNiPs5CosRXtwxdxRgljifz1DTg2lfYf0tOl Ib44CWhy5Xn5zEqsIgJNJ/11IN9guZBz+xUOGF4HZ1GqlQjMk783uHB8S6HRcuCcYiJA7SrMZIem tOSmqO4ZHIBSvqWgbKNW4jQGaTVRyPtfXryq4O0Us4JxpH5L++bT9pih3KUTAAQdCx7ZLe0J5rhM R9sMKhdGGZCBX16TF6ok6ed08JOeSqFDzt13QBcbIwcsnSUt63BznwzC0Xtv2wgd06x6pJSdKZme JCHF/f3kR/xOiTesxkFxLZV5ffmcvoc9Vw9VXCa+65ohUHvRYZdRfdaQ9q3a3emJeWW7Bk4BTktF cSa3BxadCUSW+rrz6+orQo9azIJyr7ZGTeNAdKi6euN5/BFKBgRmFzRSEY7V2JBVxAjf4aIlaZVn ZxFkhUBwcA9tSoPwjZikdXx52jFIg/ZprJFwIhaPc5A8rntVuylXcN3Gl135X08cCSQZPlbgs63Q jJ+ir/SQBk1vZ02XM4Vl3qhXz3S9ayXeo3EG2SuDmByXy8sbFEc+9HODwAnO3xQjRSWxDrCRrHmt GFp4c6al8FQtawK29qvHwmhGKs3KlqA+Y2tYzTvClma05K151naR98mDpAGsf6nkMzF4VZmKAqZV mLHEA14VYJEuqnI/DhozytNVos43zxjNTiy9nyCUcj8EXMRukUun25PIXEzz1UnGx0nPrHcN7onY +leub/IE2UBiUJ6JQ3OqZZ0y54K9lQvYA3Yx0Q2RaoVkRlWrPm2fDHTkoV8zB0pb569muOS9PuUw erdM+cuVw2faJaga+hQ1peWQuTgpmayzJEC/TLKJMuAjHKz+TF8BsjSSyIarpcvp6xj4dmWoExMe PWSvSv8gnv9q0jdKbcNAfysxeahU4Z3FY7uaNw1Xl6mINX5SYGVS1OsUaE+622L/todpUiKiEhjz YmBB+i3HCjFv9QwaIDZJsHNPRFwXAaASrSLVJYDH9L/9PCnj8923By5IHWVGeiNXsMFY0YEosEM0 1zipNJHKk+HUvTuPcTyw0cnpTuYITmPidU2EpDyinRxUYkXtuGqZy5tKRmmcTGj89weUl8tnar1w c+4WCzuZhQjCXK9nziw+agw4M4numJAxFPa4rVFsARsBB4tLOmEYxqWIlo84ypSG5joismV4r9Na xs8FU3V4cUZhJhj/YuGH/zh5tJafHC1iH4Ix3ZhfR+nNpqzhYbaFZ0Y5FtiqPj4Al4o6n2Rb07D5 VDkTHo3ThNtmfw3Kni4h+zx5uEePqe28S+VL0CtcNgmsImx7zXIqr7rmGPbrhFdHzalr9iPFPAMR f751x3lhoHC1TjOG9VD6ETW4QvCXM2mqqX+ILPRpr5C9mSObsBcsTfLaE25YUf8NnffsK0hEPGFC kRdqwKyMWn7I9NlNqG1CzTWQFxmSQYwC6JMDVMU+F+r29K4OU/8AXoTZ6/drejphareJt8Swt3kV 9gGa9aX8EolCLB5ntvgTiywOpS00euUDnLL8w8yEirqmPOz+lx4XtYAwpiU3K02PZ3a2LoJODZk0 BwpCAR4zGgcLYuN6l12ieG9Gt1b3LKeX6r+1HQESbwJP0ht/g2ujHCZOWQQQ5hR0vmKgEe++S38t CtvWZJwnGMGLCMWY2S+DZvb2ls4IybYv6ncEj3QaPW7FJBo587e86WQ/U+jHUlHQqwjIIsYQoCcj SRkTI6QdaWngZShnQoQwkH741R9Ijp+bd9bkJTp/oLUMGw8esu0hXOBJXrsxCrcMZ5QHEKZlc7jN LqKA6VYDVLHa3CGZ2/QcfHzGNUd4BjcdXq8J/Be52GyQNUyQETAGKA5Nt3GnZPlTXyt0RGaeEPrY tRhJr8fXh4CcVJ2Hn7Dgf6XW6GkViG3KYWtdxYLzaeXlXgwQa2MlnG9G1lVYcjrpGTk1GbYDVavr 5ptrmixnIRx8Z+lQxRzZPN0rsMJIZ18FNIfjTLYF/8FXa8ptw8UrFJCcbu68C28brr7Tr/KK8B/b FGIhem+ym1ShQv0JUiNjtNN8a5SkKfUaHIW9Oi2Jst5sEThr88EJwkbP89BPjQKvJLnJ8Gn5/EX3 jbvWbagRjyGglRL0OBi53bAG6ZYDcJ8LD/cLeNbtkpfTb81CBul5pa7OYTtOp2u+M0xLXxFdWzIr kpcirLqOntNfrFFz0zmo16R3mRrbGCAP10YtzprEEWedsa6RkxMKJrPDCPMf38i/0v7VaW0TEmdp urxqaz9adOHQd5REKJjSBU1rFg1U3eUZnuVyrqem8Bx11n9GDAOirhcHr1JPQIb1/B2W2U1/K0lu hKoZ7Uf9s1NYs8DX/GghDsXbiDhiQYVdSC2AVWIKQziEVyw8gMZpILOvTZ598IGamEnsDMnx1qIl 8y+0UvRNMv+qIBISMGLOgt97pSnrOZSejr2v8qQkxTvCSydbQLiU6XWj7A5d3CPpsUC7h8+mQxtk u7I6UIqagUDt1nWQtjK9Sp2IS+Gonsn/s34tTk42LJHTnHeSk/S11xx30Vt+B5t2NBPNIBJY+Y0Q dgD8ckLVabobO14XBrh7+kvoyfBvsjDS0dt93iheGxbLfYzkiJwoCOvgOOgTO5aPx/jPJaP/OgWy KHcWb0kr1AvmjU3h1gbeurpSVKWM/BWkqwW5JTBAqVTlSa87TM5QIpraYE5rDfVExIBBfyH4ldr5 ooe6sCtGUdVATsBpOQP+hAfQ3PVFY+O7w3FKoxffh9mEULAMbjFO4v6xRDip3mXyrShoZlGDypKF b24Hjy/J2JV2rPPS3Xa0+9p+2iW19CbUCgX1riXTgUEbua/pNuRkZdnkfdYQKFT5B+wedb/oLv+F W8ZnWf+plsuVD7lpIqsl955ps7PPGVUf23T0yki8rOpRDRmWxiX+/0YhbCxZBJFW5vCh0YgY0+WQ wI8TwD53XQOQTrnbVEZB1DUZQiGMGNZqANuVYkKOUeh+P6z8E5xf/ERQrvxj4mbV66zM0VCnXevU PcG7TSL3dUdJUTGL6iPmdekgTyjvj45uv+m/rSYY8Vb36bNvpB3Z8/xXQ2jEdVW4PB0duX7LBTlU vbsl4chCZZUFyHV0mcLm3kFSWY7klaBL/wqNi5A9khREBn6aTP8c8+xKmUOvzdLwJp9tTqO1Kjet zIS3t+XfS+YEIaWp0PRmwGTD+RMyppJu7yR9MTv1HOTeBtGuOyWDgOEMac6+3qZdtYz+FqWIWLeF 2savl2Krofz/gqiS64R2xVWVf6BHp5kivH+Ii/Nk2LCjK6xVw3WZ8qLhFIQeVFedekOuqS8DboX4 50UPKg8wmVXyPZuCU64gHCKN2pkZ5gSukS7jiQZkJbkP6Y5VcSNlvH0aPEkIEOBCe5KxQMVC++ea 2UJ0BcGvIIQ2ABHQCzA6NcyUISC2bgNUd0CM8kN0D4QnRiJHU7kepYVxDeopkhy9/V4y0CAPgkxZ VsucgEwrIUopAwYF7Sqmbo5BpLdRFMHwPt4cYC/C2V0vUCE7Z7WdwpW9Gm79EIkdi98QyVEz8DDu ueIU9x3NpH6rYbLTSj19fzW4F8WU9GLlylEbEvF8RZRJhDd56lK/EBahL60uwDIBqesmQpjRTEHp lDh74GYDUmH5GhAGJ618BMJJCThLCTk+r3kfDNiUs3IlDKf2XawQmL6I2SGhcW/2zmat2wsJ/XLz HJcuvjS1pGfb+B1eWSxnW1q7iJOVtUhkGCh7HtoTvCw47xCFi5qt91e9ITNu7ucMmOUeb0NEzXfa FFGwOchBQgWvQZv3WSg0UxwVBPFp/XysUgbuMCl16sZUXh9hW2a1IEGq7zoThQah69L/Hl3JIcQ1 UUg0dQMZR7VsdUxCfcGfsOwQBRfH/W3wsrLR3Ri+1Ylq/AIfy0ifkWhVopV7wtW/XbRov7A0lYb6 n+o6KZD20GR1E3Bvu/1c9U+4wnH62hOnxYs0h/UFbQacrk3ZIS61Gq/2cBboCxoudpHmDh2KafrY q79CQFIbeUrZQLSMCTDFAdH7f1LWxWMM7/nl78rYXsy2/A6+4kQNfHJqUq5wcZQEFfqf+NY04vn9 Q+CtOoGnFrZm2n9o5qZ59uioztgoEg2dYPG1kYNGm4q7C8/ezmMj4jXm5M2aDhG1j+b2aKYQmTnd uH71wHOCM/VLDDKa3vkXoZzWkfBYbS0JGpCjHhKMEx9ned7Ok+jykGl9SEgoTgw1uRW8FVzmrj7F 8+lXCndeB5EBjL5tOi0XgjTxoJuZTApIJAQIUkMSR+Js1GFg32hPIB3SKktYq0scScCxVIp7z4ma qtEfRnxAjv7FsLgqOvLvp1DNaWjx1JmCgaPGb05I8HOsN5V8FjfjXkgOM9bUgLfQkPe9V7Hnvtl9 YDibnxYbGfK8mnF+MRQhKkKH3fef0MqgQp6nEE8M0VQTNokhTM/bXWQ6IXL9jI/4OV7QYfSKcD9o BQog1I3xO/5/jug4yNQ/6I9p0eCBVneuIQ/a65FvLL2mmh3AyIEGuJYJNhJmqGLlMoeeDrbrs5Dk q38VoJLmEPOWJpBFdDSeRHJ2k+Y+BpzeA49fslvb27aQSk/wpLuweBe9CWzTVFgX5pga1MkfoVCA bsPoM+oJ6AviQYJSGys03i+nfN/R9O8w+blYERKO8nGcimkRRSof3tH5lYuEViYBZ1UNYCszB7dj ta4pDkTvvLF0z+tuByT17g0c9sWUp/Rumq29oqm0RMiNQyZJ9PGnLVtgBAqo+OrnmDn22lS1T+/0 sFGpSSnCETukp0xoRCu6GzBxr2jCdSkLIbvhsbs8lAk3p9T2InGE309cl+dTuDG48zD8oRtSLpfJ 5RbIrM9zNd/oPitNNxHDjRj43XzjtDXfS8RNicEWs0HZwzV7tV/pvseDrIX1Ylq5yUTB+OYxNJH0 cJZLL5BeoWvGAh8qDgg9BSkLZcX2Rg8ezSKxRZJUVDz63KbWNdGxFbvkwGiCWmH23MXk76fogZKm OZs6/oBbhxWpAQAiiZmydZhufa5mO7eHizJPv1al/mF4U0nBdETDkeRpDbfIRYYdttJHiCbr88Bn 8q/2XIaBE16TdHxvdayxJAp8z79OO4pxMxUgLhFFtgwH8EA8FEu9VYDDcT2rvWti4/rBDBavtuNI C59x9IWDj3nHF0akEv5q1kvajRDfX2N1nBs5dW2w81dF9S8ThtgW7hpMteIL9RZ1UQ6FcIjm2gG7 2DOFtOkwsJ9a4Y6uYYgTgzMb3KjJIFiRj9E+6cIFeTV63qECmx1FK0c1ZiJxzfa4JO3HSsahe9SF d5cUnnFeNN1D9QqVd6EdxE6JVr6u5nzVF4GrWAkXSYWNydU+CpxePzLyuT5/TsREkEWvy7dsdsfm WH2BapxlqA6SjHRQ3fV8JCdqKlmFVu6jO+uFjP618FxqepJ5OQP5Uy9l/1QGKGIjJ2dl0TIAf5Ba 0aI1OyzB26WX4qU5Ewu6q/FDzjYEQT4GYa9kTiMRgpx4iFrazKazoJ5gKHT0uZrGbjxP9rPG3X1Z GIKh7SgnQr3tsrbGZY/dMCGKwnhDZ3kfU1BfTCz/ZUBg6rgAPuNXXPyGV+7HpZftptGWSJxNzBg/ uAk54JJ4pYgEJlqYN0sgQMOX6ENjIlwAPKnErg7SKUsnMD2iehqeyWuFzHU8zgHK/Nfc2/15Su+m eIm+OOqU4y0GYM3PxwGTlwXBIH8XazKKfTmmcg+DB6ExGpVhlE5mhSRn6cwoOx0xEnv9q+Q86Feo vzvDig3QrzuKkGHgyANfrtVmnpY7pVR+LoeBGXizARSqSQTuXK2GqbUsv6hwHQyODSTGpEjW4p+8 cumAexp+OkS78RaVh0Z2fHJ+GD2njIjKX9BCerAb9D93USsv5o6u7z+b96FB1DG4MWBblorUXsyO +ndBg5INhzWfuxHA1Cocj3dCmlYkqp7PJPA7gcqcGRKI9xMWpHKhdojjZu+VYDfRXBsEEIYkMOoe xdMzkLKk6Ybu/pN4BvKV0pJASW2Sqyk7G1kSPmuyKmk9Czhjz5bLx3mp22AyxWCn/29jMN+A64qu AppD2lH8ldtVf9bIXwLFssJBAphs5sdPDpQSx5zAa8n2xv8czNrHOT8NdT1hc3t59kPoi9IfmrzW /p4pJItavbwukd7tZUbg70HJS+PhGBvk6anFeM2X/dXIhceYQ5El47y5m+1g49777ddulMml6N6u ltvT0sq7mizJhhqgcqjpOoQy40rdfCUYZYoNHPhdYqGXjBxzqx3dV7wjdnYaux8eceriNnEil6GE 5EbMohwROqsGZNFAaGGQKXYSd9RQ3gJkd0qfmaQEpwH3HGmmo0vUQ8QZMUa0q2A9StO0RbzYsrUA kIyzrzVQ8n+J1uvFc7ASR9bXHEW5yhlEPm4Or0TZCuZDVJkyIrznkgYZ87/Kdx5m9o9rqRK8dEGU x/iv18NqmJnIRnPMRvHJn0N8/rvzgkMG9niIC1TwcX6X0EFuXRrXLspywJQlaf8tiVN2wkcskaxA 9A5rJTMoGcfvHhZmc5WvxEQ0lcTnsmsuzRS3TA50ZlR/bJnDXWBudpIOfb/8cN+eA2TFeLn63Z6N 2JKQNMPVIRYYi07M7WBPbsi30UQYhSA5h7OEAisUEZ43BDMax8IqdyOKMC5fK3ABvbJIgo683rvm 3sn3riF3+eM11D+4dFMwRx/C50fCNmnmT6mFWrmodfixdgMrx7Nxxu95HtPQgUAc+hDxb3jeh9QQ NJiIHCmOXy/ePdjFAWk7jTm/f07UVAvANKb4rWa0gBRjc26yax9YpUMjYboZhiE2ephyIdIO/A+K S9yeBAktotOudvTcLCL+hTdPXCKIZltIurs2oTUqXxFzhppGz8LHZCeUD3bF0qI1Ab+1qIocRlc5 Gsd+yNoMajXzhpXdxjDMeKaxHWAh2omjVBFM9Qoz4Vd6aPVsHy89F7Pe2sOkKHStkjkBgoEIdO+h zevPaepgZkrRo5rnxXpWdsj4dVH1X/tUuhV/Uq72/VhkVe42oee6OSzp8QSuofaLfRrQFqDaPQ1t QHe4vd07fYzuJc0D62ipc1vjZkDuuirLK6dBGwU5Yq61hynSsEGYIDFnRbBNZ+rAgviOfgUnV901 Z0qsKGDnJDACpPI6eISo4e/Y/5mWfso+BGl3UmFE7Vt8or7ImxtjWa8Qv6VVUNnwtzqvdYHzvQHU DBUHtmPAA0y1gLOW90Ht0F2iPze5u7XDIhygYOp76yBLYw3L2xz4YpE0JOTRoLv7b1wS49cgewO8 XQ8PFqAeTk/E92B18CI/qKPiy1acug+n9NUXC3Y8UxHiIIxjw7acGvB1hmsw7jMuo+9tYXtxy8zI Ir9ZNJxNN7WqNHikyBTHMnJ6jV9mxiec4a2VQokxz+xSQZDSFO0smEO6PnBHuTJbFTerNH3xQkBz hzopG0CJsPgndHgwf/7EWppUaMy87tAdXKmuZLu+jlIHe+wD8Rh7xlXkjTAw9msZNeStsr6mqB/4 UiepWDCY2CEFBeg09FsI81nVVOBhogiE3nCtpHatNxJ7NCKYkWPzq5PtlcmDxiXb77WQVg37zto9 LjRjQ6cGy+jSvQZKf8bhNhud+sAPvJuwT2mMq7P/3OUSikVB07zehKrqNsQO9Z8omny59pYPQUdn zsF4YXM1CzOInLQbqB41zt151qY8nVXqyz4RpPDKLQK1aiGdP/WPvc5Qg8lycVlDPgOmh9nNrF3d Op34HfYUEjhP7dCNxQTsRasYLAb81clpoIk84hKOc8R8lDBvDIFg8Kwvjxydpvm+FZelPY4y+Dqv /ZHH/RejHkLllkkUEqvP72lo6djT+OPCgu3OZox8lkzMr2YU5ZF6anbCJ2JxtUyU/Z50KFtxw37u 4MRqxblBkTLRFcd9FN19Xt8H2XZrCc3KCXKjlm7+W+bG0vI1eWCAyBVlkaMfBhmwN79Z9psUfFvE kSs5l37+1Hgq6A4I3AgTyXArKWIp3d72zjrm48w0HClGqG9oEkA3r1BgKqfI6oitR9b89OI3h+EV 4gSZIxsWln8kAevJUFPxWUWDXj309FXYkCX7jUHlB2HqmH6N8oSMmRr+oHRDR8dRej8/n3fc3X+E sHBpee8K52fMZ5Sju+XAaltvzyM7jNlIK2rlqKkp2uhH/hAITyWc11DhZYVK0npW/R9Dq3g2G/6g VZyz50qBqaK9cqtW8j7SZjTcZbxoQu4rX6/RbYfUrPKimgZP39k/MAhlGGlcxev1+3cbGoD0KeHp kDAOI1vGVO9uuyd2qAq+WOllC4ycSjL3/2ne4AEAZDKxr7Ac5j/FkqQ+VnzeljsuAVPEUkNO0HHo bGSpCJ46NB7GUHblRLwtoZgt3I2lKepxAxMTzJWQpod+hRTkiJqV7a/t3XaTVMGAtLMzTkhgA1RM L0V6VGjMeaDaxQ0omXaojq3yPhB4b3gxylyBSNB9e94sYikfTuohpn18Qyvv65P7hSkHurXZ2VMR Ij2a3hQk2xOnQ6Wqz4jN1c6ckZOuXxyHEp5qGm3kUHTjt/aqzlustRsyPPC+P8ljh+RcQNE6iJ8E ypj0KnzcUiMzLHNj1LB5sw5d/qznfB9kzK5+WCyK2fIs0qm1ZOKYlrKzsmJoEJxvbTZ4jSlmKB/m dYKjrzuNbj2FlS6U/UWB6NovQZAZFiGL+hwAf0/24/T1tPKuxfKbgbsume+dkZI34CggGeDKct1p sKh4JkySVb6J8yT8nmaa3eCDzlcqw1WT0Ng0KeevfpomEZkbt/u3sFMG1tGtEOwvf5IjWKmVQzBR jF0kgylbjvU1bMTRcKmtoJa4rVJMp4oPn3yTi0uQdjy5k+bgVPCXFt5T4L4crWCFnF4PgJpiyywa 0dRg59dG2n2Ctq2xOYIrULXFOKzrhW37o/jtsrkMpIMbndxlXma8Rnb3dOrX7Fj0o8nDG4+1O+nV EgsWUoi1S5y/zsOgQEDNNxDi6ygJBaG/lnrxUPZ3btWC58d/2PjG86Qjxtlew1544JdGBnPFVQJf gG0gQ2kj5Nwq1kAwGnoOeafFmGBoVHtBUM6B09fHZ5xemtixplY1rJG6jWukg3Or3G6a2DYbH6bp a0Cr+sEbbJMmZSjcti7E+g6wDG8OTY3333illS4CTTxGboCDB2bspyp2/EsO03J43iqOsU9d5okU +MS3RVBxnuHGw43l3j5Y1uwUcWN40JFxaJwjOE6AxoauaBp6IYvyBiJCCfyO9TL+N3xMBasjmTvH Pml1dkVxIAKyshcfWeejFvgrEusSKScpr98fcu03YCHFOKzp8pc0OYn7XwUHAET0pXwkXm/aiV7u bNMiOJYdgvB79zTi63tZ+z45as7PdILGdfNeBB6j1rvoo0cHHCWlo0n9o8EcmsrrRszMQLmj3KPY 7Ny2PC1TxxDLava5An9GxiHGIl2ZLRzr9JjlaxlMRbSkpmdfQvzc7A1IlD3D/JEEH+OZSmNow+b9 J466LxQmrXIJinRDKpwK0a1wH2OfJa1KfjyOpjQwyROlgXvTrffq8xsRJsZN8dnd8xQ2A0qffxEt Kje1EqYiT8C4IrM9pIHuY6zwdYA3WOepvtsqG9/IWVE5WVyl79qPq44SV8wk+AMTkKPDxpPwFuT3 vHG3/SCEa8eHlWM4HrMocpDzIOM5sUBeAPqOe14wlMY3GAh90W5Z99C9D2Bd0AYN2P8vatArO+2f 0j8hH66Or5Qnp4nktUl42ZMVxaUCMQdd8bW5fFLr+sWyxLeVDWMhV3DiyDOxTIlQl0FhWbTZ0b+i 8TQHpQJDuGzJgQiqvMnyIsA2lySZJhfbhx1LavEHhakWQt6Dp1HCjra2CSN1kXqKNJr49Qr6WhJn Uq0Zy+v/yOag7BAeXfbHxxtcoum+/s/zZwDgMNa/KcL9vQ8wAjvo0Ocl/s2dBB8VIt0OJBnPdCGk FsrJBTdURHx9pelVLqFg2q4oYfOeo+TUuGIBiM8wdqaoDFrvFwVYTJ9PgsbDF7GmY7sgG/kEZfGM oXVnMa/Fs9KjOcY8z/dEHQPN2+gLDQ9RN8k55IknODQ7amBwOiICFCZLI5oNQOQArfu93EdQX8rU HE7sCbVB6YiY/RfnVAvV7M0Xw3+vJBe5Seca2e2TwJzivLTc/agYGqCjewVtrIW6JmBksjrRH2KF 6Re8hz9iI2G34f8xVIHq91L+kyx2TBzjhr9nXSZNASDYsschxwR4MbMIZuc7Vuh4N/iWlYjGMbue QD7agYRlG3qxdnB6RPBEEFU2zL0FhCHQmOVdaEBznV2C4Bbg0YfYZf/9iDBUxFF0Ufuc6+1eQDmV 6iLLYFDwMFjI9cF0wqPxNIxmOjipfZMzidIjvGW1ceCI8kaKw/V+aJ9lPBHIwpRvUKroYz14c1Uy kvSbDKw2yhxakhxnqO2Q/X1ysbbRV3TEZKfq10lcvNvWdo5DdjXOtuDMRDBTeoc84lBiWaIOk6rL C1+pnOVTcK3FmVIBb7UCT7xBmqw+onr5WW78Lb/OfxfJGLSzE+pvGTOqsAbtGEHmFQfBYgkaLsxG 4CuJnwl1DOZg2VYIeI1qIpL5xogZX2n6ox9gkMLglGXUzgD+drf7TBYvQPVco2p75/PWE/HpZTvR HRHei0M5hxEt5E2LEDbyYfo5kiNFu/fMqglfQN37zaNKuBOVkh8Xg78ZP5MifvrCvu4M9XrJY7fM DN8GOxKSz7rMqeYBzxidpCH/lBZq+RoIaxXoa1/Zk34wmYwjslvlj9Toc1Eekt4gbq4a5keGI6on r7lCnX3P7wh2dQwpBFVh6JkK4Xu+lrFBOasTLGr96D8WuAb/tmGvGrF6i5JcAS/8ucJxOhH0AReZ ucAXWRLpMxQ7pHKr4NPxziAHCiP6qIhXz16AYE4cJbMcREA/42Jqb3CyaVt/NSY9dQqcZm8IrTd/ 3QFCoJALQlpVQwRLPElLFZzrUHuPAsRHqmyiza0+PGo3Ls65+JGymkMW8L7kP9llTHZpl1PIStbO 6+ZBY22fuYq1x8lVxjmPWTBjE/WLkn8KsCepQ4W35wbmLksmyqQfJFI5tym5FcKVC4d8LKqHpyyn nqAVzzPhQemV2aSkPSIB0Q5qgd09NLTR3ChNyyuVbYupwUmilp8nUMKh7uZ2xlIjUGyMKjslTpnK fQ2stPX+ME0FwIGtqTnR++UQ/wKzT7T9gWzOiT12FEETLGhRFxZVYEyYiGZYyBoFufpQKdTyUuWL LydOlFD0uQiSj/MLZ9PR3YdwwJTQWLB5lGbcQagJhEjKQbeDkMvyO5UI9L0nDjOFBv795+3SH1fi NnNXIazh8iM3YzaRT7W91Xd9wReth69wJNUCMhCaHclG+Yl1CvUKAnuJsToqGvWAmVgqbaDQykCU BqN5bUweah3grDMuSh7pJxUvQXhis3qxLuEns8dCG6lwoUaCKRimH28keVXqYMX06vjGyODNLO5Y dqNZFa10KuIoZQD5XPbI7BCw2C4ktUu0neM8M9jDw/l9dVVD/QjaRCphI26a1Dj5hH2f5hh7wfIb PT/jJzQTSgQDOZ+Zg5Mfr9J5OonD9uhGYSrYlY9nOgFQun1SCKNjLMAncLioirrROhE40bdWuBQ+ hbKEMnpD8bLSjgB9NF2SslINXFOG/5pT6Z0d5a2QdBtINwuNpyCNpKTKzvGi73HR+/zIbGmXtfG7 W15DqpVaimqRVJ6gmR9q7dFzB0fK3ZY/bXlidguwQ9pFGhoJnDpTRnIi729dgvX8ici+WCLpuMu0 kUv1bYHjNsGR5cWI6OJpFZ/gbTH7IqtHEh/80qwrTk5AqP9HTURanopRgolWSsQ8HNHs702rypBb yRNXGOtByskMd4svtUKuvI08MXqWyGpwB/5oPz2zCFjmE+O5dG/dGR9c+3YvKKbmMNX3/tGCVZ5l ZtNdFxq5qNJPi4jIiudeu9URe1HLB5vybPBQ9E1Invmk9oBreo5V1aaNJgLHgSFQShIOe1zXbufK CyzpGwSsT/Sy8KdqXelHfMrgDn87ZWosDibZe7ZDBC6W+7NjF/IMKn2ja0a0o9epmFHpkCJOxL1f nZWy4uEwhAauoMLDc1qxKnZpVspaUJfdS+vsXydgFdWcHD+um4K/9CRqO/wHfcKzzXXNe4bod+6D ikeUd1e3iC82M2xba6lrJXf4X62vkOuTyZB9deWglPtsphaeTjguCqvT3vCX6hFBpc5EBpEVmu3Z tU1pFlmLDgwFoJ4HZ5comrVKrtdVOiGlBMPjWqdTcnOd8WKG3dQQjL8XMxDXQSwU/1kQ660GWY5m xuMvVk2qROjGtvdligDBLE6TQzWnYT+NB0VHbfQA9xCfSxJ1pZWmq9aVAc2qyVpxi2YdoGGVZbLD N0uJmRCrrHDSxtFfd6cyao7s7s33R+2HXD/7PgnJnPUyxWPizXYGIYvOUCTPpV/+jFV8O8euGT0r gnUcw3FDQKJac+6sthBXKLFedBmwbstQyoXv0zSEvRZKvZzUTMVzztitlVuhW8prQj08yp3VHqra uKNHW7aKbzKezSsqGcOdIOcGk3/zWzDyUcjQq2PvJYqBaOWxY0yJrbC6cyrzSRVkaFCVxicvuTVP jOa7Px/PaFf28KuyhvaQh/dwo6Q2RUhkAbfTjZ1W2N1IhS89wJWLCjyjaKgPQpgB2UCP4Fhti42c Q5n4YH5iGUy3zTtddbjbfnMyfpX8UJPqfqM5vpOUmKvnP5AUinb0Wos5Ciyz+0c9x5SCIvRFzZGs SSqNTNXWXgLiIKOPyUmR28rIF4K69LPnvn/pxKHKTiEln3vXxaZc9KtvQP2BznISZCjSrdwi20oT SkMEubXO+0m1wiCQBMsrc6Fh0bOwtEqEl3t0Yz9kWAESPb2oiT6aRWokzhoxGJ8+sC2Hnk5/t3Rk E7+nR6ssgA19Es9MVjo4FjVpk6S5HDcoBXFpHkXmy+JYMQxu7QGCb9Uh3T/N9hjUggBX2fVgV4ey 59CEALEi8AsZHyTb6GIUEKHhih2YPVp/viU2dbCJ84I2eGhpft7395ER4qf0JI5F2eI4MjmrD/ZK pBcMwfQM7Bd0fOiGAztJPqjpD0H576dJwI8b71hRE4fhzng/Q2JPbKLHV9njzbaIoBHiFWfyI0G7 tDS78sZuSyZx/6oN7zqVM/C05NX8tHkUOIZ5DkV3pRP0WFLUWVUEWPmFpXcoROXO8aS/q/qdStO7 yKkYIOJkM4lT6biyOn62j+A/PJkijBEr65rL4cYQIhX7CNnQqlK/UYPlXsezcvClun7wiiuKsT6K 501WDf2Sw7v1tvwQ6/mroUGv/ZmWJ15+XaLUywRdC/SXkfyYlqru92NinMS1o0aEK/YioReD4ltY SrU66tLHZSFmlIRhZIloVGKATvVOQhsvRhsPgqitPEn6/phrZu67MpJRMnaFAfnydZclrilV/n9f R+GN7qwYMkmu9Ulb3pi4DcBESs6BBoprJOkY5YPfNvXXkEV/KmdQG+/wmUu3raZZ1h8Ixj/uquVz 92z5tzX8TQKPakuS4q1pA9dl82nQiZ1/yDugkXWxF42GPZQuSXj/+6/myxcRdSvKjkJMw9yst3o4 BmVuuZ6sui9P62FzjjS/oFpiV9DZ729pXO2INYSPUmLCjxVQr3Tb3ywKk6hHfKyg1n8rD28HWQDY ddfc5xjqwvOiwRiwYNeSX2P2G7KZPomRLa9bEfX3db13Bk8/AUfyZ2f4mqW0UMnuvThaNG40UngN 5cQdBSvWu6wSbgs7sM1l3xKMOTtAqT01VB6BhaA89zPRc5mLDmZdGlUDflmpQbN8cWEMPrtRX/mc xF3BtqKYgYNvu8MVcHzSOdw+WU85HIo4+n52AxFPbUq6AJvk5wpLQ2zbdCNdje6fbu2rbFowMOsj bzhJwcBACSR5StEuCJFkop4qnwhj3xjumSCrtlitJMHZEelg6rOssT2BCK2NrTdJzDFZwRcMwvRz Pyi2qUnEnQBnOKqoCiN15plmUco8+prhIwTTmJ8zjr6MZDzySrqodV6CWw0/XuKiWkW/OkNSx/fP bCnXKBtB53PGYztvGaTDfOFLssWqHzVCxa4m/KB/Z2xwGxvdFdrVSvs2l+wBrRErEsuHxeoprFii YYOCDdBAZyKDYSgYBLmcAI1xqIgJP38BYRWV2qtMrJk1gOIqw7JyGfgVw/oz2cdWsBfukHDEIEby xtVgoD7u+MYj5eL0M99s5402GowLsaFDJHmOmsGIQgjLlHTvHfZmVCHPr6QgxLV6q6YfPZEY3e/O +nz4PcqXufwlL67moTsx0bGGxT2trH/D70asMuClOWP1tp0SeHzGw6g4v8nVoaLZPiP46bB7FtQ8 KBgk3k96IwQ8yW4KbmF9TyX4TrZNA/xKOScTJHVvifgcePXUVsoN6vPvL/1SzacUP25n3ZDEl1OO RRVFmMqwenJrt6PTTusXVkQG602SrDD3HRABzGMvCjZzYlRSR8F7nRXIdvoWM+1Rwd9p8RTWYodD pOhGvkZ6MLckF6qoRlKQs3AhisGH9c4tvDcOUBvVPAF2GsoV92JBLKvo69Hhiqoblrj6aAM21Pty elNrb9n+Mll/TEtfASDzzZNVgv8tDoxvkz83tj32c4dq5Preb86Jc02ZItm4ovk3unFbB6sd86pn f8cA42tY84GGODo6all3N8mXLRebBsBOklYiG/M/7r/Q5eSy20qomoxU5lVlgDCfddXkRv5Kr73Y +8rtWvbaQRzMSUnpLcyTU8myJQUFSucGCnSpiNwVXEyLDU8QmCf1jKUH+SPJQ3rzw+Z9qn0csaVb +tYS81V46ZNm8jky/H+PspAxmG/7uMrNaJXGG3dZd+FaK/RmOM0FJNM4Wjg692aDbux5qvnLl3DG jGk7LWiBn/uRbUybseL0bwkhMZGEEn/t0wgjtm3fw40im8ItnrXN9kcOpZEkDByvwvBy5XtbD5Rn QIpfuNu2pNKmX1NTOlhZqDMnL6At/CLqWrPtHECgrmLWBpIH07zrkOKk1QUMNLHJW8GK9xSF9WKU lFBx/24TR1Rbk43gxdJJbnvGt8YrpgeOFEjbSozvZZBba4nMhdZIX0EXbPY1D1qZBlRMvuhz2gdi WoDXgxv7BKX2vY25E04EKRYsYq95eYhIWWzmFCTjCDPWcLkkBEqRhVv23HVXtX3FrW6LYOVo4Itq odvGH9qLpKXhraPMzQ3eXNJi281EhN8t7HDyHiBJXlvNPz49bNu9eJ4eMK1NKRZBv7bMSrLr9+Vn gABRH8wAB0Le65AsWmPtvkVzNbcjfwIcud0mffEPQuiXfipiO4a2p3Vu3PVTXwe9c+RMeA3oOPnD skIYTL9Q6o1AJxStcuByqZKcE4MfbKLS8SwhfLK0JKZRj2snUAiWZjit0rsNMrV0OJqV1xXByIdK kQyyRpt2iZT8ocyW4BvCMlLmgq6iqencLVaFF/JqdsBDBwN/gqCULyUpDrRGe6UG15eKGLjOhbNG tsQ1f2cOZj+xoY9QVNbOqJ/XR4C48iz5gopWVlJDh4YhpyZLhQo2jIaao5XQaJ6tVnBXPuwDN/Ti FHbI0LCk7FUvtuxaa0CfS6M9miLs32HikFNr9SnjOxAng+1h33qRMgcMN35H3EgOREEf1yLv7URs 5wfEjSiaHVtYoe8F/fl0bkJloENBepFA/qqT4F7FQBC7F2lGQxJ2kyoaVOTg7Upe8iV1NyGq9+Dw PSE8aTVe2QtIb5ulCbfuQ4uCOJiYfokfmjfycXErlyKs6yaE2gdMDp30AQhg6THGwF+ZnIx6udzV cc1fQ4WUZn9Lh/OES1V/BEWv0Bd3EVDJL7TBMIbRooeaYVj/+asb/jLmqZoMgzS2zplHbKm4Vh90 RG9b48XBlRBW8W/kCviOpl1qdTFEmLlaJxTUqJWfUCZvoA4nj1MQ9GsGICV1jOxUxVWXDLwlKPrP HgPz7M9BvvvUYpKQnKosZtVjEwpkw8/zY9KKm+CQnaKVYN0HtaNy67gTf4tZmwAUK3lGD3bzH2ea 1CV5R5RffyZL3K7RooyiB04scu67K3fkSJJF0UkIbOjRatJBsK6J1I1/PJs/aJC1H5/vXPaiIXIU 23kpEs8nzBQNRDvnraOzrIKMUadGNocporzg1RaBMsHEaJpwWL3IJVwYFpwEsXTfVzAAGqp5IHqo X98TyTAVimcFn8Z/GBWgMfcxTkPh9+oXAGHK58DrjyxcfwDSSi6wA3aYCQm/EfW99sZ/XVd9GhVH u9HNyQQtBa7YdvD2q5a9B0l3P70eNSP+mT5mXp2aaMTxqFAMejxEFkwacYGgQwDE1c++ZlzVPR7j kupdUWTSf6N/IyG4zUF1/9Wp6NSoCrepO+q7aStQJNwHHY1r0cSkw1mCIZ5kUzfIQ+SCFB/673od fXeaI6Sz+q6orzvJERMHFDtEjbcRhiMmb9OdYeJa/Cv5A/9GtkuQNAJ8/SewKjYzZmWPaYW9Dcve LvhDblssPMXVTjKodcCyAHJQxo+4/LIkO3GMgffO/eE+6sfja31zcdEV3DPyjbFjsFZ2Fb9XkRNf yOAPGYoQyNYjyFANkNv5TnLalFyHOGNt63avIjYBeerLbK9CJgKjBku0dY7d5j0ah3glejnZCgGF dbwHnvjBh5IYSoSuz6K9FqI/FFMrLVQnnpMs9G4fhc1an+mXiv54kje9JHDhGDgKEnVoEOgoa5Ai 8+2wVTVkYtSYNGkeYHgz8wCYysR0ygRKqifRKg1Fy1CfnfGGlYcmUisCon080WCHMXIFulDMxxXO Ud/cNNWLngcUN7665Z3/pnIEzHgCP0eFKMGDNpCSAAQB3tlGixfRK+CLKs+ghUpiE3ghuyjdGPQ9 +yVPnBbnh/NZ1lmSj4QiAISx5PvmwnwsIxi3h+9pTLLBbB3lbPwIpCi+WQGC8d6ONEJmP1W5JRqi N+M92jxbkjWJ4CKbmPqiqyU6ErhYVBAl/j85suiTosen1A5ma97Ibwtk7RWb47MiN0uaaZQgDPlF VbmTf0iTTCQaKVL4Nzv23rBFYBX6wGjoH4xrgzvlQtlprabDKqh/duAEnDKAy2PJXtSMkNnMA5Bb yM0YXGbrQAYFQscIJH/1czjAhK0Jmsq6fUX8Nm224uFgf8TEWKSvQYFHvGQLMthPSF4cT1BqMKAp VMI9F3GUxpYJFQuY3fDcrSFqYmleLkItxaKylhDBtJtJWnDy7zPfEhyqypD5avFOfyQokmL2met4 oFSfkEk1fWoAGU9g2qWgyRysEYVFVqHSnZb7mlTl/FH+INPF3LMT3PUTNAEfxPtSRvR3Fyk0F0+0 WgjgZg9B+iVSj6IcSiQZa/us7blzMbLrwLPYV/CcXLMu8sCd6SQSAlwXitBixfRarZRAaI2zeSUs xIaTBTbOPyuzwTHGEcykLMq6VfPdvTrUKQTHTuanYLYcJA2nsrmhnE0VA/1ggUY7vOkHNbJrLSSP Jv9hJp7yY+Bs7QhlCmAABDELrNrlAbjWO/+GdkQ4WDYYzzMuZy5Ad5CthG4bZRf8Q1vYb8mWbcZh dEJ9PgkaKtQ8WY9Vvr5rrmSaXMuYYKsKMuaiyGr/fSbMO5uhCSjFDgVKbGEq+ZtXeLwEn3Vkpwkj 5vHP7EUEFfr38Bfkns75TlUITisMtw4RsWLa+PBHnU4CJj3ap9a3h7PsTsHrh8PkxaAb7w+IpCl2 BbZtzSUIJl+aKIi+ae2MuwAenrd91X7TEqeilvpR3G6ryQhCzKvKPb/kAP7nxpQrAtGVcUAzJLCW huYH7xCMWxK0aGJHn9huw7lu/rf78epsug2HCVeJoaaR/NuP6EExwfplyAqP3K97E6pNieYPI+Jt 1LwJ1TZ+2MYWxep085FcQel3Mdr9JT4wFB3LWVVt7EiX6/rrOpiB923dGbprpdFGHK59sUKySS/v LmdD5MIvfpvvk9IVlUQyl2VXvznOjBinXmOcqfxaBbKyXzPwI/6gFcXZr/GqtL7tdunxGrMYtjNE YbcBOqQhgVXO0PWB6NtxBtZpwfFplL4qkHkbq1QjNcXnWRQqTRF8Jhz8pLxxnMYpWje//TKKHigT iw18PoxM3dPd4eOBVYv8mngPg55/fUWCVdq8fCzcbaGZBTLax75OFhkVInOjllfnPfvQz2jbQq0A B3srzu/3f/1UBSNWdwmugt/bsD9WaKsdYshmOXg2quLVQAIULzNDOIYpE5wbnPmYW2/mta0+j2y1 AH9T5dZ8EV7LyN59H0rHEXFQ1p+XDDGA9szvBo9KgvhNvHK+B3HFgeIjWFx20z/vKpvb3IC3u//P b8+nruBtCN+zrbTdP81nPNulY0ASQAIU8FOH79Ou3en0aIkAgNV2HkIHyjUwa0NUDpUUgEux4SXW X85PUfv3IdaCczMBxxd89GpGpp19elCDNAyb97TfuCYv9BDYe0vGBrX/bnHkIU/ENDyQ6nRZtvBB 9h62Syk7rqRAOh/gw85+R05QPQkurxMew1Xj+DDro3QHQLUuOVZpzjDmiohRKXCDeJTIy2Mnq6Wd pT0z1RRlMGBIWYqGQQ1zok3T60wAydi9Uf5btwydrlTAeTJp1oPbzg5L7+W0FbZ+tIISc/N1D6bO c28Knel1oaiaZGWUfY4u4+h8sDNv27umIPiVjttItK8hEq80leUvOV2ZP5jaX76ZT3lYqeHu53aF 9LlZqLHv5EDJi0XNs8SaMVE7Ozuz5RA5bSWFo4rxc3BdSXPoLAVQRP9jrgrNDNLQIlUwJ0IzsLNJ MgfXgPYdS2q9CC/tdQUQBYoWFwR/rcDmDQzg57qxLWdeDOe4/o67FrI/mUb6CO+qpxZu4VOwaFhR xHlFdHKnTiQ7fnQLVGK6eRdibgqPAtDSWlaGVXmM1NCSAJ1FJjVBj3NPUhuIwxez1sCYIXVHQT6f DXvN4V1812oFxSMSLqzCrMJy2af1vLB/vOwSp8tdkYrRLjQl4W/PE3QufJZ6ntj7y+GAsZPC8bWz yythHIDcjkLinqG2fZ5pen7GkzwhB9iSg2sE83qj59Cjhzdo54HfYZ8ZbVIXZLRtmAvcR1c8nklU jUb0t/AUENtz9z4juiD12I+LVJbPbCpDWwvavEBBT7iY2qZ0NykJ9zJrgQP00/RlSbbPbqlDt+xM e7m1EEIfHBa4UXETxt67HnBbr7g5vWNlbYv6QA9Izuvvq39PNjtkObNi6xvJITdVk0xf3O1arhdm uaW1+Vy5ecK+Qlju6GOQ5yJ/6GlWetKsU0HqyXcmW5piyVi9wfzFAHhDqINdWUjrUDQdxtd7lUIN 84laUhNf94tU9qdm4aAlG+LY+HC18kpdeoJHlcOMXrMLzBOHxHprhAow1cA6lcZ6gvjeQscsPPau 9UQfobnAIjPuTNHrZs46hMjKwWjTKJ0yDAicGQp9eid80jAufqeNzL9CeyZES8o7/bS/tsfYzvXn IzlwSZJd354k90+bLK54iJBmKY5i26DkA/J3nA2hg7O4MJPjaLSoT7PzW3HNJk1f/hve1vF4SOuM TqWV1Mi7T3EcB5r0KiojLZTwM8TWnIKqoH1YDXtvhrytrITy7T9i8Lk9vZ119bmPHIuKALntNKwb j8UQE6AUOxxqPo0suw5BFJ5GwEI5sSJ0FC5R0xVGsjlQKt3UmYLh2mT2pPxm9IdMvs7UQc5Ss+g+ /DlzthLVkQ6vyRiBOeEAn8/rjZ28s2clzjFXkQIyu2vEmteYAYkep2tYzCNOHFRDHnQFzhNkoBZ/ PVk4PjVds/5zFtqUc7/5f247/stfDtmgl59ukY6kEnw/0sZmH+7jKHyy49uUY7dINLNBvI7Ypnoe CI3+ktMQpJ5bk4BB3ZDF5q4eTohUnclYQvu9o60mpPSuNF0fyS1O2Rk+6lCPR91aHKhqkjbHQ3ra uqn2v7SIKUNBGihY1VoqPr/hCgtZoT44sLIf8GTlreOpWE0LfTrPhyEOhX2978zR5A42Fve1cFpm 8v4pJhkTECU9Ofr7f/LMyDYsSbBfOQpk9Wr7dgXoI+LGJY4Rzaz9rCVS6o9KylRRMnSQ0qRLSg+W HhzctrNgOUFVEvOiVpJIbEVJlm4qnkFqwi5UF+3P3ZG44Ycx2PlykQ+1IS4YwHYEXIfp3I8waYsQ 1QZOJ9lJxookdjCEOpPNHxAeQeDE7WNPOi7gTcu/nIcmI7JgwSq8YiXAacD2cLtiq1TRaJb1NLIY pD+NZrLZLzSwHS49uKlS2aiSvFC+izIJCCG6vdmGwZLmCInvkS7F40SE9Idt0iqMfM+tBEg9a2M+ rNWSGrQtYOTbY4HI65i3Hr+VykVf/KoSTUKBO1Zpxz9wmJ8fg3BPJcXr7RTTcarWEzgesrc6LjY4 /aAGcQ1jN29qGCVPRdi99QuvwUBRoGA4IbLZS6QGZcxeEGYovwe69B/LaNYfeQIPvgN9S0l4YAkA CMpfeBYCqiyyzNjuNGVJIW0MCP+bB3WqEqfGtI2XccEF7rePk4ITkGOYJRdgS54y3SGZ8snlRguq OsQFHDgzKSpun7VtRo+K9KUpWo4hkYKWAG+eiqD+AmICq5FekWQzGHqH88ajOTBs3k+AZ2Kad8gA IYcnY0WVgeCPN/DhYeyV4o9Z7XewhebOi94pci2UEcK1ThDkQGFIqr7vogGJPfpgghCuy6/8oSnb 4mD8Xfo6yMYuUGXlobkSAGsn8iP+UZU1rZwfr+oPONXaTPd57Fr7weKqTJOyEZQMcQ4GICHLkPeb E1514aTmVSGJhB0ejVINO6iYTzKUGFY+GBlYqUEGJVNgzBZuVee9qVO1yqYfrLIr4S1euNNMOgd4 Ubr/wGtjPYTZA0ptfJpECdnROtFsDRi/ZnWpPh5gUEqmHIcdD5Xe2443VJI9uKH0bnXfGObsS/jY E3xBsSXO9Mavm9ELfr44g6KcUM6fVebZ+OQ8XPMvW5JvIy8PbqDHy1GE/+pTM0xK9zVLzDcSu/VZ zIAVRGBDeH0KQouIVXGnY2tiBnAzY06ny5aT74IkEZYSz3W8aS7lQIHBmAdXmAUeYRAV/CBswvBH PBIiAKBdRveDV6VHGrN5z4p046VthSbT2+t7bBsjDwR4Fe8bkhbiwzk652AeuEsYyggJ4JTdMD+p CRnoHaapA9u/wMexxCrLSaRrAuWok/i++ptodlB6AfNxXFhgrgtdb4QHQM9/yTP8U315kwKkYRo4 Jcg8f/ZRZq5gzPq3XgLjA3p17xhpWRNqnCEtBtPAc2rXqfyWY7qFr+p6XrESkaTgdFeAA5zgaijP nPUa9OGTXQo2pGsMia691OKMBCL1V8smWE7UtFhocINHM/gJXeaOATRUtG00O0L4S26Y0GMd5WPj q1M7E7zh9WRMe3Orh+n6CZoQCzu2ymLj7QB9nNh7DcySvsjZa/4XfuQu4v3Pthn6hNS89kyrTBtS m1q2uV8g7ktR9QBhFPNrvzEOFNPn8L9deHpNxzImn2bK6twbd7lxxSlTNssD2EPXhMF3xSPNGa28 JjgR4akLTRNx9MnO/2bwxAKUExMxkzrJIxFl9X8NA0O86VHM7litIxPt9pNWkA186UBeEmNq1KvZ XyY+HtW8omDi93u9gjVcVFMTjyeNZa0lSoGzqP88p3U6zrrT830CdC+XWE1UHbaS2ymAdLip42So Gae03Wy+NPEMQQnrWa6W/OH6oTmynhlaMg/kBgy9SsSr/ctoycsqhDoj2E9OWENem4/64ztjGnPP VoqvDCyhzrsK2L+n8q02g66x0k8Dk5Qv6KiFVWiKjjbT80KCs8sENogGxLJclxlrzRIBA1GmjXfx GjXBoYeEa+ZJFA5S4k46LsFKZXabFuzKKSC7REOKgO9TLNtQLeCz8Oj/MQPxCSO5CT4wMxnVarc1 FYLPQGtC/7WYndm5m6Acpd7V8K1rENzZxwFbcqU9HDIPz62j0+Gx0RP9YSQSVo6qVSA/j4EbiLjA o3wYPvHBcOfKGxR7YuQN3o6pxz27PLrH8izI1sM4mQ4dco7wngw7OQbBSub/owfsbvTzW3vhJTL2 BezZ9ojZhFn7SXEoi2KRJV5JCGWbS8QAgidwXg4F4WZmEw2pTvyS1avey0n85gf/UYp1ghQTs8Ck q6+NOXKZCnD3tA2AO5+rCY27ryU39cWNa8NnsFA/O5HHFYj5p4KPHVUJAjTw9XlTyyj8mLoxvoYn uA5U3b32cyuYVVwlbkR1ztjAxAkgpOv8TuECco2Wodqd7RDaMjTh2y3ynfrXlVA8B0Ar0URd9AJm OoZpr8Bo/d8G/pry/0egBZ4ahnRxFx/GwDd8R8fH5gglNNLZ1RglVj8HsWjb6ZsjV8UOGlblmBBS 5d7d/8STkfJfUU1JBULmSwTzzrxnqFyxlbQXHjPgqCF40yYK2kB+DEn3cTIvm0CHnLAqmyvRwmv8 DG1EtnNQIeWd/for0J6Hh2rShSHy3M95I07hYMgOgt7r5jqZLA217Soosikb/wp1bDIy0VASiPUx Y4VQaKCM/5IBeZvE2labm2qBoOBWGqiSqBjbtWAbvEQFCFS+Tc9tDEiczybMcfjOpp0ykEoh4Mh9 cxT73XPer/gZoz9Iec7ZfMSfXYECoXnBv4VKpfFTEAo9npHU20KkB4ErJ2MTFdhCUwg8ga5V6fLo D/Ki3RnDwhJuugVSdx+Nl0zpbvYhu5v6HUKcgslz0+aFskrSCIX4VZpq0QIwHUdQBErU1WGi38M2 8rChHVMM7wn7EQXFP+xd6vW5qM/fdL5yWHk9F9NCHfkQhuLgphYY3Kyc0TXYBMFeODFV5lJiQLLh xz1KCdrLTkee84zVaW0MDe/07z2m5PAi1jfehho5Kjf8lF2uUDna+SjCqZfRVH0o2z8LJag6ySPG alEZqXAj/RroLGQ3v764Ihxv1B4sbkkFZL3OR5FUrSfFYX9uPH4g6tM/aNybByGLi+a6iooD7vnY aNcHLZwQrZaJgvRO+2AGSObuzuuk/BIHpbP4sIhMTRyh5xHF7yIYArvCAp4IUBeJ7ALlGnYTotIk VguRGuL6Hk+ZEVWazFQYHlvU/bg5BAO/K0oq8oVRNmd/Dk0kaK6LsHjs8RojnWdsH/vqdYASBTEq 7u76EGtc0Im3gNpIjPAvbAn+hJ4I4pkBlzO3TjM6FPsQRm+o9dB9JieNyocz2T3ONwWE81thz6uD FeQ0STVspc8DNWj5CgbntaPHzONbkSpDjkiBZ+lTEsQBIE724ydPPtjN7YxGGjr4xYobMmwj9/dH FatlRTALuQqwvGzuog4nbhei5c7JYkXYfmXnmvOX5Tbv4WELLffOzsgAsy9Cr9SfYW0dFPmAWL5a C6nNU0mm0Y0dZQKJAXoybqQ94MOVwnqSPS6QiWqiQCt8nxJOe/SY6Sboyu9ovchDBavkcCckD0AH qcuQOSeHKvigUvT22bU4nF4mQAlgU34ja0ip9fdQZlJ/1oKMUEruleKk3S00ck2Io4D1/g8pHn52 YZsogF/XkNgA0avBkwgfYyYdtZzOQELdSCEKZ+7165BvAvslEOotarhCg2a0ms1ERedKtj4MqiJQ qYnMKpbqSkC5qMRdFyqd828XTmF1Ksy6vdXEPH0SnQqC8Yqod8TkeyHDmaEM4xvFTB5YeBm2YvEI fzKsr5U7aTkXaWa5NVvTr73CennNKRoUWdpd0wosZukfd5bN9A4Z+ic+VDQa2lOgfD4IIdVxhnQe WH4fy8OoRLXwQsc0T0W+vqr5VwCiOFONnitEJXZklypxT2yGAOhZDE/kmBpXYqiHB9M46KU50lTj 9WrtQ35BlPRGmKeZQuBFcRJZrKDjuLd34eYa9EIVKHY6jyaW3H2jhMi0NWcnDPjeqeOmqHrWB0qk Qo4leip3ByoU9s98VoYi6kl47VFGaJUbsJE8dxuv6PfQNVgDwWPyNAdZ3UcYzkjzMl62TM0itLt8 JNqWMUZbBnZrlOAQDVKsj40sWy6PVOkt5LkOhJ/SnlXve0RNlBWMqpVQ+3KE/C25+2J2uZgvAa27 O4ZiQXmh5CS4OMDSeqoSoZc0/jNABPKlVbOLzia+S6ndMd4WW/y9ZnWOaXNGfcMiy2gUaFXRjOHn xCE/LpaXFIN7BNGIqNWloO25DXWZDA8umOGRo7posoxa8YWG8kWqsxq13pHVBptTjO013QN0CRQb ItTEHCWkvVdnUrb92kdFzS7zaC9pptRpIfMw5VscoApI+8dDL/IAwr45CIJd6N5VzyC/7HZ8Sgr9 ozWk1u9MgOegVk1mYlj7d2qI0Ak24EfkCe3JDmLoBTRWI0Kq7eTrqhYHEsDC+xhehIP/PquD3mlE lnZzd/TUHVxN7Fv3N1Hp6UPyMzLO4FhpQU4e0YpHujak0Ibdp3m1eGOvoPeC3YAW9dxw03jw4KM0 DKY2YuRTAhIjRF+me7vH6CnFJzSyzvkhcMtYd4h+eJQF6dUadi84UXSgeSLHn1WYxWU3T2TRAMdS 9oi06Jknv4bGMVQD18Dey+9CHR1zaxf4Hy4/jcXXCxJYnbVNzrRbuPSClwh/BHAy5W3mMBPEn62f 4fgFpSL6QHYvQeQBHIvmjKgAzM809BS1o2q4IF1Ns3V5b9/kxXgz8/povU1fd4uJl56KuFwwsWtu xTURadAe5ytJRbG3/GEEXObxGHOVOO4CMiommMDwqB7qEGS+Hsw/6GEy4DsFjGYDWvRKIeCRu5XT /QqAZLqYg5g2bosII+yIU8c09QuAdE+uwx2kIEGJSxmEi8gYWP+YSWojFCn+xITbBf1bIdrXK5b3 0eOB/NHUqKsRHpfLXy0j5X+g8Urfjv/1vdlviLiNGVTx1T2pi6JyMpqitOO8CRVtOGNumsw2dI6P e4GOye71kGvgmk3bPZcXUVJxWWogpSDScaxYQqcFargUQUJWgHqpOfJZUsYKcvzDLvWrWtgzgJpC XJSTMZlLDX8n/+AnYbQETlUhlbkcQH0oQIo6rlA5pci9pXgTJp30Q1I4sQVGesQzLsHna9ywa6+H hkPi+dCfFW87NkAxqY8EXFCoIXT6hMqlSj4m2Qp69CapGLnInm6ZV+Dk2mfbab7o30IWpKldFX9l B/wpMJ2nx1Y4YDSt3y+OZFYlaxHYT7S2VXvwt2wzY3n8k7DylNmuGZgZnxicy08DHl61WSDOM534 LZOUrpqpWX1eQQMbbr5osQNM6uX1y269064nnVwStze30cuO+bcJex7xYABfjndyuWqyOL0Eky7k wR0qJtcUw5NXU5BLZ9UKTQXv/+4Z+CezdOUUusKodGwheC5DG3XRdcKV8dLkYbPvqHH1yV/xCkWS 5cgCCIdB+NVqtSdH+QQ2y79JUxto+lXmpT9oncL4cfUjSSKhoGD+YxbeZcOczXvGiclnu5NGp/9b HQugp3RGc94CysGJnoXPgrjuUgl5AxIjFHZCBS3TmmvLrtV9SX86kXQ3+W/zthzLtPZmcVauQfWP aJCdZabieI3PeuiJAihagKiknpBaku4Dt4/FdgSMjbO73evNzfeSWAvTm+33NAZclFtDT83PQ4YO +uEVA6SW77F6Dvo9ishf56cvZld+b24BsTXBsQVMLdSPZh4abskbe8pcuLKx5si1r329G2l8ijTA 1QPu1Ca5nvMb/8yZ4KPDMXLwFquMQVBFG9M/e3SbDiCHaOhnt3S/+fWaClt7rNhj1femU1/pdUnL /0hT9VjEfhCc1WJVmXs3mwD1ncdAl3pnVqZUlpqxe+ew5Q6A7nuY7y01Hzg1CYRrrsRZFWMyLk+4 /CdkpcaD358I/JplD2TUAqzCklzF8RZy8uftPA+AhvZF/c32PDXfNHriBvhEWRqFL8avH6MnyTzB VBOxOprV0xVwxN0uVyWYqx/GQ4IjINTFthQis43rpKrAcTcmdvm5dyd5R5u2S2zZJDT8kXZ52LWV 7okb7Rt4UvRQfMgRfTV1uUUgJQosaB1kAY/0z2R4UBOaSGhZok3uvwdCpZrZpybDG2TytLngnvC6 WzZtI68nOcpIkrzciC0MsNKnas6JCU1SnbnA+aUvthtLkewesXiQEVfEl4tDwASG4dca374lvcGC exMiWSI/yddrG66oFQNcRSmBC8al9KfV1436SXpDcSkw/o1AQb2meWN0UR2O1IonxEcOmDx6SO6Q VzxjOKtDhoSmRWfiv2EtPEG7mpTZ5QzjoDposR8TaBU5m0jiq6uhYC10Q4DpG8f70udjRIfymujU /ZCistts6bMIwmQcaslrQ8b5+FXYKW9j4QQwz9FZQzM5CXEMq42gbKMmi9MscLBCTyWt1qn7k6Za 1E0E1N8QVjZ/pgljvw2ioBtN+AsmO35v5f9wg5LtMwwtK/J4P/GGLQhCzl+ikTvpohXMtZR/1HEi SFddJ52v3yPKx4xjnFQJqu55M7Ka2JggevGgYy+iJiSn5pfNZFHqoXW+5wHrwXej5aDx6J7fcz+P flj/qqKv+/PAQ2ZIgRKf9Q3Ni3M6lR6LQs3FA5+E4ISKrbzF8p5bRik2TE8uWbPd+Tx+7v8A2hCA 1C1ehXq0LdBO9G6rlEqKZQhY8LevR03fJjrHQFESwbzWWITlRHgrzhjloyZsXZvOwGPQFa50tWJl sNloPGoxJmpJytRHCK5/TRk0ibdtI4CBKb4xds6+kdXR8sKQb9uzygjNCrgcSppX30xH+sHBhEkY kJd0sKrX/D3W5PKLaWPADocS20wQifyBKkV4DO8JAT87nCTNmwh4YHrVleg+0GwDa+CQp0nQCl+U 6c8Rx4DXqgcY5MjHX2n9Oq3ojYR/DJHTWNAk+oaUejE1io6QW5mSRL9q1THLxAnWN6ZSO1+ix4aU DRoKC67aVZap7R62vkWCFfgNsz4ChlVEuiC0bKEDzoduZcZAyntzuBhVKJHGv08KQc9/d+8jL5MB o6MrU/zngV/51AGJ7NVOkABTnzEDJMESxYOn+wxRJSJBuLCgCxco5eVhJU6hr8Glc58LNNc3cRxq XX/leeHiQkNy7xtZ51hBm44Ug6UE4cMYexbVC+bLbG1GTzkYns4SQP6OuFvAoRBLNF2SLBkZ8/CY 3vgQpUxAJ+XB07639Iz7zVbu0JP8junBcaqrYqV8vaP1fBcvsWl8BDc2EgWuBNZQ7NBzx7rjH++6 0VbCQeunplgopbXrQOQys0ffRas1RKT1hg86wE/L08ILFbtAGfkomzSkWVH6KaIxEe3b8SbNsMB5 1yocxWpT/BJjZCclkhTz2WUKwAFaUxabngQpdLqnvJtWV5Ol8KbrjgiRDrzdGvVxwud61qt1IMNQ iilsETzN1Lfki5WZKjz1/Y9CNyTDokuFTNrtjmX3c31+T+7UqyAbAsXY7BjCtXd9g5Rx4hOGMv7l anitZU0ffsgrk+Ff35chSzoYMU+jBoIpRmuFlj9Q1W42LvDnu53RIpZR6Yg6BOzJQiWRHkylZUGe nUpb8YplFX2S4ZCL8IDlyReJycqjDrY3p5CA0Th4MKBT4UYaWRrGUMpILMfygljAon5rud8te0ZR 4brj9URsoZL6bVt6N/AL+K6Ud1P4xBYIH4DGx3i0AtSyF5dMF5t+GAj8CjAzvrnBk5UiUbVJVTQi hKnKVXOAv4w7qDvjootuHkvlUCfP2n4F1k6BTl/sWPx433ygN5sDWuAXsjH0SzleTBSk3XCD5ZoI AgarHmHsZ3j58rCIBKRl6hoa+zVqA80guwpFGr/AtM1FOpNt3Zn354tnBtDu1iBqn5j4WBkM8JUe /6R4z/twhuAquTrA2NTwtuFtusrFz0cKUuSJmLpMCWTQ1FngLQa/N2cFvCa0j75mka0ZydHSKoqP tsYdNtXa30R0kTXV70XZgd9sl2uy3LN4xFGFFyMTv+PtIKxNxYz9012IehtDdgGXjP38HByshLZL DiRBU7SmWN0OvCC/YPL5H/HzDBXXQgaPPJZ88/mzw4I0yyUDMMQBZ4t+mPsQt9honk6vgMCSH6xe s3eOxckYg3dDwTlmDt5f43JZD7Q3ivyQRDpoVfgQqWg0Hy+1DafxCWSGGT+FAXazsRHtu5EQ7umT XRuE3bC5udGEOqyvc9wqsvLak9Q3ylQiDNFz6JU8n+/dpEcMbZHEkKlUAZQ57L9FqICXfX8TklER wHgBlBRdUSuP/H7NTPe8Qzjn4aPp8hjsmpcYgjDT3uv5ajkL1RAYxZ2u9tRFONmoWhuZLDzZ7Sxn MyEUdjQlN9yg3KEjDntPQRogOnHD1eW/jRjvZxfvM361Bm1syazBMFEnPCwmlowMMPYdEQpN612c 5FYaaNLZxl5NOBwnvbSX/qctYLQwbuoUZdIHjNH7wD6mQkdB0X5dBUz810In2i2iY9/+Y/QQWZca +zsbkezGflse2DIKuNJwnYqe7McNrwCy4YYf9Uc2Yna/D+eZxct2RSzSAijwRFEbyV4oCEq3ECoF Sc2XflFU++HRqMtP14yKISdzxkwYGzuI5CZO/qWDpPYdKPIfn4feqDHezX+MCwoQnrtbRVNLi18n yIhLJ45devNU8HTBZtP2jTSoSZWeGBQDFP5af/BXSfpc6o7jGrQchCUpH5iD+HMhFVBjCwWdXyiQ JG2Qd+XotUFeTp56/aJQpHqxRH1q2aN49/HL9GlJkjU6FQSB0USVAcB7qLkoOsgFKiwb2z+kWdTy 3TYvfyxYTvHKYA8mZe8d5vf+5FLNZ7EUh992jysz67aj6aOs6KmNTksenHBWmr4f4Kzs6ZDeaxrK R/YYgXp8jQui6VqrVD0JQ8lou9HN2T1Ihg/G4Utzw/Xdtq++E8neEjudaAkCMOJ/cGNM7WwdxA3W Y7JPURZbgW+wBuz3+EJ0zSa63Xhz2P7kIA/vqlPPJxVqg4LbKwHKps0rIPfUq6Giw4SAf9nLRkqb WE+i9iV+3C3YXTYup9WgIFzVLv/khBlrX1jzJax25y90SIttWy0x8yuGEO3BbWKqyvR+pU/3F0YM 3aFV/hBITmplzeT/ZREGFI6qk4smCsIggkdDs/b2aEQIh2dDcg/aQIlPHp2X7SrEGdg5Zn8AhmZq eM1X7ZflXGkUo+RmWE+3bn+YatboHEpVTGJ9Lp7NGNJjF31SRpLSzDv8wWMbvKMqXYKMaN6c/Msr RHOVGjvf/IxiG1SKWr0pj/jML4ioEl83h8E5+FfPaoBQZMFhLAVTvze3u2/WJMgXOUvsq2RlxQ2M SaA4SHQnI8+bk0m7W0yMvPgm9jzWlLwe03hCo7LplpTY4qY0372S41tDAHxLsWNinzOSZE54ttx5 66qn9PnN7/tfTMIhsN/1BHksgEYfo2q3H6oHLUV6Fes2TvnNLVVIIM2RNQLyUqbxqCLIEwUzykA/ 8cIqQLR1R0XVlAcM3irq7voKTtzisSCFoS6IwjNczNZfxF15t7F5mdJlhD8uj+FN+q05s24jq+i8 PvJTIuqofoB43TSVpPaiqkDYcHOgG9MzOoOoo33i83qAQ7kJInJd6mqVtSFDVK0QvvrTR5j32x+v +6NsAkAjINDAn/UCTf60ougTHiqOLzxZ2m8Sl8yspxb310HO0Z4uPZEYDG2D5Dy2xBn0x5jg6QV2 R9eGsENxOZDikxUEpYH9z20QDumcz/SC3W8AnZ2gEnLW/SzyDXYy5gmV/ar/R08hMCjqvAFNdrIg /Gqnl10VOhtg32IBqdsT2eIYzk3zl+7UEpyCuLRZ9xvtqz1bPNkb2OlnMqya/4oQ8pdPsTCs45zf zKLbO6hHtL+XxjGIS1ZR2u5US0U9wcbN4CLlshx/7W2T1ZnHKMNu03RfCc8LKc0MvUSqKT3PmPJA fxMrL/XfuWXFBQwtGg+f+/zyi8S5O9Svcnonxumzjx55Hs77O21CGdA5fxjWklbf+r71tITvtpLm 9f+6dA4r8I529VZ+0cemhcmXsIvslpEyU7YX5pfkSrf2vdf1jCTQgZjd8ZIrDB1yxmPjx5mMtuDR XnUIJZ8RPv8Ja4HP/XMIt73uHN+e3NsMwcXaK4kMY2LYSMiX4HzCB0lONfAJuVqVk2WvBsH+9gO2 Phrc8POsF2ynO8MtefwWoi6jLnSD8HPjQY+nIwhu9UVym1jXnbrepNSBvhwcIfKlCueBAouT7MwY jxEWXtldhE5DLWZ3IQIY14zvT48mJLaperM0zYfyw0W2veCfF3wjmcWcAaF6aJzL20FPCdypwFSe qIHP8xPtvyOgfXjnc4Rr4z/qyZEr6I9fSq0O2zBg5rAWgle2Pvz3uZoE1vRiYpsAYssmmv92wMkN +VOW5VxGQd2BzPCkSYvzCvbvxx0sXsP2iKr3GqI2zyIzzLYpUaLx5HshFQE6WOPQioKX7XOeWQEb SAfULoDLmBE8LvZD4MDZif/DF13VEt5YlV6CVuud79Tz4t+wHWR+czZagLYi9hx6/gSD8T04lvDL Xrcyfh0Tq6Ta72Gh7B6jZGZen1S7i4j+04bceKG6dTYMv/mD0Y0E7JTywpRG9OcdV877CeViDoIp w3s52bs3nLpt7n3bHv0WtIJN4vFqQ2mqAXjMzo4L8nnb7FoPGxRTayCl3Dtvv52sxjjqkHZWbhhJ sZyhHJPapCz0Ud12pvKitvR7rs3ap9u3j92DWoX00KW8u4QatFRLIf2FEShnt7H8t+Ym2vSu8Lx9 KmPm18+ZquuM+WUWAWohRCAQD6GXkShKrvcPcgQ43kmmVkO7z/h0m+sSUtRo6/MhbOSOcM8UGzfv y+ED7CPBvt2ypi1Lr8VBbjZH5Zv0QhByCJW8a3pPXazfAuBuryNQGtdE48GIbnFmznGBZbi4oL8i 2ibfV6fm8SvE8NcEu0mS+rsO+qgsS6nDkaBLYANS4k/WV9HDqWx3mZj0ZK/cz8CTiqUYIWj+Tt3T 8SCBVhIVLFjuYe+z3DG0Ufm7qQCOHOyK4emO4lG+Muc0kAgu8ydjQlUVAUaBPY0j+zV2vnF6aMrO 5Nw95zqQNwRXG62264z7t3anILysJEw75+QqzloSczLrCHaVRzZ2K0vq1NnPLPub/XkS5i5aRCI8 yHpmCMYdN2Nw63im0zQ+gwBjNUa1se1Hjl9fbwfSSCpxSonBh1dusQoRaKG182sdkZrhjo+1crry yDuXWKnQV+Wsw8oTYW55mDaNF4GbYo0LyX+d81wZ/j5tAzWRV25E8KCMihOjR5XjKcIUmCXaGt7Z cGsN0HRU90+wADaUrCsfB4yee9azzzg0250+EBY5yHzf8/fySsx7U0r+k8tcZ9O341Kr2FTAxUI6 KUt7apJCNQqKWTVljJT1gK916ntpuGYqLeozGOYoOo5L1hMpWMAKMnssPa3qcMVDlos+pU/qj3c/ aXfeBEaX3b11iQqr+PxvD7bliEaN0L3ypUS0VPXkL/da6ldV6wfnesM6oAeNf9WWq++wMWhXp55s SnOYCmGrTEWWa+ipi1XdDcC+VANamG1zgXclEwkXFOrtz2Som7y+O1FNEpEmWKPHqI2ttasnZA3t RfBLUR7Yc1HMJUR7t1vnmM1b/44q1oCMGTsGkOHgVAw+2k13XcafRhNUMuJOnYHM9vxu+DL3PUQK vDLeECeZzBVrY91/C3dDt2XW1fjyh6EbeppKcRXndzCbRgfPuTTCeUb5FyEbQ91DhP8Ap2XM18rU yaUzLeP0t0Z6MVq/6i6ztSRVzCO14VYs/tH5comVPzzVBfcAyLE2B8f0K+WVLIS9wJKp9XKFHCRy ydmENcLNdwnsLavPtqZlOgc9tVPxyBU7vLI/Pwu3YLzwz+k3zHcs4nl1vEnQk+5sIrfo3iJ1Z4fD oNI1oZqWm0YOkPAA6Uc9D9WlNrOL9pOxc/mHDJpawaB9glwgpbJoVGh3bb9qTPEWfHFCFYHFh1kZ Osqi8j1WJW4r8Ox20TlzNCC7xuqEHJjcjfqhsVAIKVefwZ7ladzLKyeU+2+p8hselUCVmT5Jyif6 Uk8hKnGT1M2Mc78O8EAZS72IyAY1vUssmkuwY8kCF4SbThHDVSOLd+4I+jO9j3jyzOddAnkzo3+I B/449Qfq8wAx4QY9AetAtSxI2NdhSVdgTMGzYs0LOCcBnIAxsy/H1qQ5oXz7OtNniqgmbhEy/5eu SCCOz1rVv9T56liZBpoW1vCOHZhkfoWMnCs5MGkEF38azjyXEY6h8ly8QON6a8k3xE/Fbh7b2B+q F8IE/6oL2FcT9RU0LDkRf0SCWu3DQ/J6jabDfc294cGB4qWmIDCovAKFhVr3+qDCT9Sgs+vyVWx+ WozpCSfa1IZO8H/TQsaUDjSh45zDHJ3A8TU9NHDpmyKMB+VpRdD8cesw6WxOLnySpSs8mK4yIDXB Bjk29/Luf+GwMPl+wVR+GQLpk5oiDqcfZvN4JWdO6DVcjzuFngOjGW1nvHefVZR2dgHTCkneJTvF 2HA4bW41wHaUawyOeTqh5X7iKqoKfi9+RnUaiVzNj/ak5S2J4CdZGuxGnhBVpI4bDLpLY5DrRQME V3UtWmdhUkLrTSUu/3C67hKGm4QB3fE6Wx17NNgRDwWIu+Y9uWh3T/G69PekXtmG23D7zTxuO4Cw tK5Sric1XndCzjbkinsUfXMEXvZCH+VZvDd65RpcID2gH5ea4skZLz/GpP2Ut27T1MaAwjWUHWNH IIBTHUuI/ljALMj1077rRoo68oMpj/BXxbWBxbjMNAN2N8gQkFCbMruCuZmyruMC5vbyqS3CHThv 0Yfn+0m0cK6obrH5mMWZmz5XQlVsYRSM41OxjQU7FQZdgFlOn1RCRVbOS38mT44kn3Atvv21IVqN wn5857ftvLlsCYLyutjZoGzXoNDToDep+NJPYUvCoHOfq086yL6poKfTrt6kzGqkFczXNvJxbij1 VfMM+CrWxbS0OgvV0fnDiFJZ8D24k9DdrVbB0si2CeBwncuQ05r07MEevFpWQxRphNpBrOBZgZuF /XHri2mOLynwa3WTOTedwrGFEu+xYPbkW2tOWUwmUJozpg/bt0C44rj9TCsbOV6kMbg6GdyymXLF kOXglo2OfTZGEYM7+52NzHSnMlBfoB0vEUZ1auHO7Lvbd6SRykm8KPPU8njH8DuSsJecmKoIlPLT x1vfQuEczCVBc/0huDo4+y20eMnDHFqJkkMBYafL2gMoBYZ4Ck5OaJtaHO2idQoWF15yp9HYDCr3 fJ9B/DBbGJCOVZl6joYZn/QaaFSbhdEJCS2Mf/C+8b6Le5qjpO6i9r2aclPxB7EfLkIY3k9elfhU g2HKJQ+Qsiy3mVrfIzGLlIKtZgFZOPoJv17pAupr2DhGqN7X0g+KCETTKz9B2Y+b/+4ni+FqFrFH dZVNiEosXMFBti2/16+YzNJQAbbK3PCuWPa8VEjdkcNpSlNAlNaaUVNoascUFe6HT6lmm9/0CR3c emOQIO6fwqyORj9GLBALq8mITbyR3itZXnhp0bSz0qjmZQsepubU4w/8P+42/KkViZF9gGn6YhSH Jz5ArOG/y2EEYUGF8BKssM6jobSZ237G2tC+3iHxK0v5sxQPeHWt1g10Z5uYzIAyqdOCzUOrrB/2 /Pt06l//ck40ha/UkarADuDT6k3d9UIXsN/saQlTNYWAExBWYaxc6X40rmy3cEGLoxghFmzJE9td JM8FIdLCavcbh8xRNe/RTlOZQsteEJHnys48jMRmCLEQnOaPsqvFVg6PVPEL+661o34e0KvGDBVl Q3IZ0VdasIaQ+AtlJxYJ6BSehos/B7m5ZFKq899dGz1oqYTScjuNOWSCYsdLM8Qats7UjyMNSQoY QhaBVnoN/nnlWZcwwkpOXj51xr3amqykaeiBzEmPOMw2LkhvLmzAwyybIAP7Tu/H8gXk39oe9Vyk jPWCGC87Yf+6GnxS42YwvJooNqFYKWuX8+f8dCbt9n66KwXDxRqq/1A529xJ6hLuvAfFyMXMDF2j uQG3h7TNqPcj44QuNShAw8PX4E8gp5W02C3NsxdJTdj/czxMX8BIeMHqDzH75BUHkpzqC6Ypl+b4 xjie0Yn30aOaQN6O3l6OfGSCWwSY/ihXhzP0AtWwzxhjJUSf8qShYN0Xandz+DbyyBgWRZJvOBZL FiqD1yv0y7/TLsO0F9HBBOhtB3wB0lrvuRGGnbGCusLkPl0z0+v9JsHC/W0eZMCVEcYHsIFiLgJw FJpagRHZvDy0VpFPfOMOIoOfdSOQGaa42Qwo90+aGRk17Q1iRAa8HYCPG+GwTiDPqoYaABvOD2HA tToubrcl0GLpnvQQ+lXnzlcUoG771KoIp3UF8osaUU2ngFAHbOYMebmVg/WASwm2o9B0XBQXhXhD yDIDxif9UEn3zq7ba+Hnd3XuqMa9MHeXYNbij/8TOFuBzVTD1ZQsap9IEjpdtYZEVPTc0tmSR5ob XUCNNGgjyT961niZ2q+4SNs7ClKoPq4QVfl0A+sATaIWOj7L2Bl5UKn2RL2JGxJ8VPrGquJVJ15w 2mZkGF9+xveNhSO1ab089k8xbr7bknluPqCV7HUrxDmlu1B26+ImI+kyvxlt+dx/a53ujiXPy1uE 6o0SJe3qlR2UskE3uaWu4HFzpOUQ0X7yyEYt0WAmXdHGNsr0lwLz+I5A6z9vApR+dIivdxZ+QgUH 371z2nuEO1mNoum7a2GoKkuvmxAb72s9y2X7dvZm5RalEebE9/vyO9DjNZ39RYcz5msKcTcAjWhO r8zm7tjkNYocpXIhhu+BVzi8HXz2R8JM4xU24pU0tCXcAq375shNn1+gCgcOki1pG6mnJLIXZ3Zk R23Xl/JDs+9b7fArAzYxASDhlWdm/pWo2skfvC514MV7hsjGBwTppDGLquVFMbzuDCwsX+V77be0 XB+37oVh4aw3pBbX95ifDQebh1aYFH0Nn4dOFWwbQIHnf+jF3yyA0ML8MbGaOyV69b7c1HOgDI0F vUiTr5a7pGGYa1PbSvPPNP3+rIBFweWQkNs3kcbo13l/j9mtg9hVIe94kweT5vLgi32FW+TG3M2b FBNrHyZcVpnWaknpCq5qnKIXOuHC2U7RFQ8xI8x9Iu8mYOG+yFo50g+J78b/0lyZ24zLJzQahClD ZOePLvaKHr/kJdkRLfHuv3hplTFq+dQvrYWZj8EnfotSpk+UzAtIuxrd38vNO42L/QtdJ7bddiuP rG/bifr3Dt/eiR4n8J0CXTJLgQjlmFveY28/i5gUa8XetouOYQDEd82HNkvYsjS6vBd1MbYWkG6e PWmGfG5s68HiUXrQ548kZt1ds+7Q7srD3lq8qnxKDfSmvHkY00QwrEZck1DSZN9UfbmJJjhQBp5C JrAbCvDBonklkBMKFYPaidoTj7wzZ6+1j9IH8tfZnO6/WPi4UdmbInoR2pBZrmVbfHWMO9uo3AGt lpMn22KXrCsazWt8teIIi4iXYUMsVYJxC8KD/WY1azScXxnJuGLWqukE5KAFpXH0gTIWzyU+31uK IXBmBlzjXajcatKjYCOcR07REBDy7MiWLzDvgMriqpVpzplLZiLSuuEkIpyTSWX3zSA58H12QE+3 X+lFLUor9nc+dPjJ3BHBD1o2qxxMqol16/YFHC3aDxjrfPz6SPEPJZBOnIQab9zWMy9KtMRI5rL+ IktumzNV7sg8wo4c0fYqy92lA0m2SAEnH4e8p/K0hY/0Tpxbq8XQFPEvYFtAhSocdgWe/nRszFVL 66h1Lwc2gm0IPrL2JQd6xVwl3AMSyszBaWZw67Pw8usfy4e/H7/UP/XUIitPaJxWSPgp2AJn94CS AJF772zW7qP+A1McRp5Pm7tORWj/dBB6gRXBfEHq/mKWxvrowOXPv7KTo1oi1u2HVq7n0mJbZd3D j7AYTsOe6mplpLqrNDgO0ZNeY0eCouZ46XVz63NbBoBw2w1aN9N2uKqrYxtPeK22gWi2babRzIrg WQY5Lpdoflc5Qjk+2xEHhjGGXBT9bQN2uGTkKbLE0MfkGB9VT7ihY3fOoShW7CmPWt7lLxDApBld 7tw4BZzZaA6hkjpzySUP249Af7GtKyNQHIZxYUitaWF1m/h7ONTAlbovrzickbizJl9M4O5EXTSz tsmOSscnskf9vvuSYiJFBXNJ53dSH8B1oBGdIdk2jPQ4fXeK23N38pLHdzJyo2bLhwwaagt9nHTS +hMP6AO9ytQ9MPc9Y9ZcidKXEWac7FopzM3qg2rQwRmkJxM9OuW3qINA1vkPcAY+m8xuGBB4JCyb 5CEUDHOyqqwWmqzcRJJlrtjeM4+Sp0vkN7ayhnF2GlI89y5F12xY7KFKUaUPo5CuRhXSy/obs5HK jLVKSy+jaiwaTZCrpYvXjb1m2Pd1pI/hfl/BSd+0EH6u0xBaUJwTLBkYFV68jLmhGK+Dg1EuKUD1 Y2eQLVwDvS30cAogP7d7RPyxN/XaOpopu7bbMXYx8ZrcwTD+u6jp7pvpA+zr4exSSha6d2GMDUIk EviroJjXsQx0t6HrMMOAOivvuxNYslmGonZrnpID+BRuo+VXk7JEyQB9t9GNq3lgqCDR9uk5FFBj l+Qpvj3hXfOsWRtkShCRGdKR+40u45tkxK+ug4/GNC2WifQHk6oxz98bLpPTsa8vNnBKRjfOsZE+ pEF/eBWdZKEsiZ1lml/JIN5bh8TWyO+vGBJo+B3V3a4ZUOasVUxfITgR75ahC3HenWqqASxszpnz mW2/5JTVbI9uFNidPd4pGVaPSXXF9Ybbb0dXYXNwlx6a4AkNk8egUvRt84lQDwo9EIl0b38LmNQ5 UH9k566PYqn7IyuJWjKcGEdHWjJmKmMsUmLz8Pk+snwxJCLl+JnT8t8jwvbFCbUV9b4BwUX1gVMw bN5nGmhuBR6s9ijGmtk2berbZWvVYXrpvyIOVHrmFU7Y3w8WHxAEc9nDOeIlvx61G9SsF8xTJqrv 9m1/zMG2leCNacpE4QXz/oFPM5SyZheAukJbZJomSqHvFuxHP03Shf/SG6WmDESzwjARkeK1HxDl GuHT+av8f5u3R3wST5YHkGdV3SSNYOOMCRQKOK/qPwAeykxl+YulW/Icj8AnoH1yDseug5qoUKqn suIILFZ+4HhYc9iNvi/B0z0SV1Ag55U9e1u/x2xA7IBA2QNnMJie8gUCMguF9T/k6s9GUyx9pDXD Co0WpxwKD7Rkc4qtTVSKmir+BDuZOWl7SnbmoRGhbTTqD5FJMZZn6lkCVCxttxhQmFrF5SuD8h1k KGT5Yr90IZ8t4Bp14uzhu/spUl+gXNRCzxm2KrBixZDqdc4sgawZzIEiZohvSvoLGM5C+Szz0oug dlyajZZNloo/sZnaGeK+2Eo43M+N3rLCF7iz+n5NZp9bIccKM+9gDHqDpaJI4g3La8/3+Qd3Rsla vpkb7iaRckMUOPnbZDwphUjmUHSCA8aMuIqjeS2tmK1kI6AFjcLQ9Z5028bQtcblWLlbqIqIF0cb QSVrrNG/hNQjnonWuVJm5SeUoGx8iJTakl+notJfPh+GOEg7SqW4ilaT4LgTHf8Orc66ygexBr1m Gkm2InHm/B8yp50w9oP9VH/POUcPfXKj2VYn3XNIkXZe29PcDooFrWPBqBUAGZ8VUKTPYrXUdhwK YqvAPlFokviTVaQ+quT3NCX8pAHdks74e7sdVptJ3Bb3R8O5HKVYH3g0MTrJDrYv/3nhOUbBDxz5 DJ29hO2Ly4hdBPXhBsiGLvKdUYc3J/fZIKAS/D+/LRcbukMKPBSFM9eZyfZgJUCQQDQbXZgmYQQX 1zZjrZx77Be3W7E+E0ax8sDehJcl2Ssi7d+cxKuhDxfJSa1rN2jRZX4tsVQIY0vHeo668hVxexaX lB0iRf8J7Ixq0vNKNK7s2y+SUxsRSOaNFOxUOkYm/1qLcj5yfTLk36uhT/zSlOFrupVSqbfSIYYO KjykwQsnWIrzJte1HQHnJ8+pO0nF+u2yXSlRQXwHH1+auSfRtOKYqrgZnw/KeQBynLLzXVLLvvC8 7+K8WmnGlIGg7R30nu2lS8drB8+vLpVhgzkUaQjKtTxzu734+Z4690sUTJU8tFiLoKZTSVirFt8j 1M7/Wipxxj4Q5/xc6liSBIkPD5rCeHIbz0Z7tbotJNfbgg1AMYc21mQtvUQFaOrTzt7/v0MQga7x SUYe3X4AyHpkzJa87IZVJjHAlOj8hHS31xmAoBI+hR6LMEf4CWt70yDY+BDX+CxBQfO5QOng3Vsd 5Xokhr2GPmPqgRuQqzL1+KHixNin30uyVLE498MNQ+e3dOYEK4Z0f6Wv2RHobcjSD6ZADCNqdwZF vV4gyDuYHb5N8JzUBO2Od7jBaFNrYBTcREIVuChlibp1NEkTUMq3vXHi+nAbJt3eqhXa7dmdBLp8 B23yi6RqwB1XqjFguQestPaGijW1lTgOuj28dGVm116EPrv98hAeZAXF+2I8Bco8J0pNFH95Ytl1 wGxw7M4jmMXZDFUNp6YWV9LfllSyKv/hqxdwhCk0w8i1ZCQi8F1PoKvH/cuy6sgE3hwFOuf6JGwX lNXgX+47HtPH8UyQapEv77mI+rFg90cQFl+sb/8ER5z7IATpa09t7gjhAq1NfEzRHsBy5TEeh1kJ P11jRJQJfIwDj9f7JDTgxTbKFTD8GxLbStUYk1L0/gGPOFOyUhRdSBEXCNBAjdo2jOKVVXLnZEpj l7oRPGBdBPrHxWlonS0lkjaG0UXIwWaHryjhhcbnrXWSJC9QUPs39a6RjnJ9medPfNNI/Mpi9s0S 7KQKPlD5ruDMJncEC4c/KFYs/YmryeXC4p5AnbzhJdfciNVatzafNc1t8IvOYaB7fgEuv8Q2s2Pl Avu22cDuNtyfTC/ZpTNvF4gRp+QiHZwwHAc+zrplUmz3LzdPFfbqyXnR6ZxiHgk8GTQbUign+aHo +reNAYMMyaRvhsCi2Xo0arAjLK6TgdmhXz4xV+aRzdGdFEhvMRxdPpM2CP3MYymjUXXtkzs1yGnG zdMydYfOPYbzqE61DFkE8v6zvJ1qRAeff/Lk3XuJgZ5r0I0Hchvyk3bRnGgrZgHOSXHEF9pX5zVA 3APgwtNtAQKkuyY/A3KR8eWgN3U8mIqZpgm2gg4Wboh7SXC5lEBisLqepYd2AYT5R5z4cy1usp6I JsDKZGMYKUOuRLCyKi3e9NqonZH0YSUJx90Z12Y9rNmgGxbuZpsofsc0B4w++GF1dTM1JYTaI7Y4 RFCeSKiHGtFtsrWpiHyAp+UvNq1SfpdMdh9yoxD7aKfyqkZK+UoapEEOBlkGNTyufRuagEq/44Vf Yn9Xoty/1SDVTYCbhcvzl0BmWLEfodNnJuTfGTcbut/65dqrBY07a/yIrGwPd8gQGA4Qub3MUECw DtgcMoQTDxp27uaQxaTma6sVJO0345h8akWUTG7y1bQHfyj0lGruphf5maJ2SO4MYVGlRNT5YWEj 212J5KdV6duJINepbx/u274ezw8kfJQC6auosVu37Xb/CTqM6CYdCSTj7gTtX2S56M8takd+5bqD WJ5trIIOTXW7T/sNNMuowumamJI+I9FrHB9C5SKNlSjISE2dq5U0ppP/kVA8qBshHoRsh3O5mP3m HDqpbscRXJI3ze1UzfukiuDyP9F76s+UgnAKwq5ZjxAUrIqm/TL+AtNT+ZkyzpdREhiIA2jgAvyh 3Y4QKkCWYIzeGeDavNm53IJ65Yc84M7gppTWbQwYYc+5AB9TXY2dEf0HywYmczax4bmpaXF0Ik5q K6ClXw6yXbhsCAfSTtDIZlMyyJOXx3RVgR+uJNIUw3bJ9gSj9iIK/+lxDKDSzazJFB7Y7GUlGnVC kywwiW3sQribWNhCsHsFAm59FxLQYlr6Xq2NssxVZMKMwnvTTBtT24/ycwieiksHuOftmUm7Z/YG Z5O4mQDb92rUOyf8CP70zyvC1DzICCssFKVebipb8WTv8PMqTmzta1z84uhqlewHwFYABpuBMyNv Ywj2WRohGPj0SLdUBa4PCji20ZXmsh2oJ0vymynhxTs9lM2m7citdygMcBzeyv163h0OHLb+Ir1q 7YV8AcIOStHGKCcZZleSjT2IfjB1ZNeFHnoQerwOCn7Q1ELQ8fhtV8AUQOSyXB0v61TLw1/vxXDU +X1bkARGr9afhLbtK8wpQfXVKaN6Y2H9TZr3kVIYlTsE+oVWeRVhcC8kYHTB4Z43SVSFHzCpHFcm BghrmmJ5uwaXqF4C+78iHWC1KsUJnJEwlOwDdARfzFftFZckAWj3vBDIb3ikw70G8s5SQbowVNnv TINLlrQO7khpR9aqBCV/HgfN/QAXrH7rujnrzCzdjmosUQz7Y7lmGH94zWQWm4TFQ5C1PejVBpvJ GowtfPWyaOZGF2WcCFgfpdQTvfLVR1HlNIGgasOzgMNcHuQ+KTOp+lMJPRrgkJkiGoridafMO5tY XoFStP0w2dbUHI4dG+Y0smM7yb7pUKSVPoxGia/YvhKKyPRu74I2L9MNOjJ0Uj0gJ8QhiS9F2op6 Znm0Ycc2KSo1o4sW3UkYvw2UB6BlnS9edxhq0quX5FZTCIaWewadbIPSk0Ucqn7wZOY5yHlcsRuN kPmlwUN74/j0X4Qu3012C2kiuCkdY9RIMOjYa1aUBvHEwK8CzbY9yosAwSyY3um9+Odrw4pwKGn+ 7pht8LuclSsDQmat2FqEOxmt8ZEN4VpRc4mn21znfhe+qpivADzSgIXCJ7WBqkwbVDgwKduhtMvI 8rC9/hWEUiENqgpfUqA71jd5bSSMfZFvQXGkv/Z1V+JN0Qhp+30+TxY2+2G8PZ/tQ927KqmFs9kv ebWR6emrQS3Fug6O1TxhaKu2rE6DIWgUrRFsMd4kBL0K+N13PbFmlNgYfOwc3vJN1MZZXL06OBZJ XBwz9SsbIpMqPwY11qSBO8bbw57t12ANYj7cl+CfMNs8GBEYaTFnMlYADbmBFosBudbCa7MZQJpX 8ABHFlg8eI62z+OKr8YZo134Zh0+Q4f5RsSFDtAbPyVF4mXLWvHUvLWezXy8/tiWt0zsikMeq0hC DbXYmzosk4gyZYOBVC6EtBIjNeD4gSTFvxo2rX1RMxofQNcyKonhjaDVZz46jZq5y2gn09CbXsur +SCvW9CNfcStUOo1nAB3B95LoH8UbTpnHbajy/D+h4T54cioFn+JIf6Mrd/jUpy1hHiyvUjYqD8+ I/m4gB+OxpVny6NE+pg2V0EjixzLxgOGJgzZ8t/xffQauzWWOvXegkMSfvsMMaPaLzVOQBEteA4g Wha7J+q2vmNSvxkeaIVDgs5dj7iZjOYoLxbjTMKXdSNlDYIE+Pv49EtvxhweGDoz1qfbGd3Ef3Lu /g4TgQqhMDNqQAJmB14pIV6qIraQswZ2M4xyMv9YrgOZnxhW+EdfvziqdOPQQgku0/hmeQxTl16z 3ADN1bi8mPhuS7UNeaZpy3rB+N3o1PSBOlM4oi2nQ/WPqJnpwbcWQGGvXfm2p3fXT4GWpOek94iH MprR5ihjQmJeO0KZYQv8W/KYXymhofdji8a7jiRM/rCtzH3UEnnsMiH9W+5/RlTytSHzIJgak4UY 47eyn5NVqjN6XHK6bZWoJ7sulVjMjFwItKvtGRmX2fvHOr3chMPIVq2IFxlC0+XJNmOiia4b+AZx opSuk33Z+jSU0nnFOUdwUXLcU2qBCOAbDRoPWJoAtt0HECpS/clY9NivcPxSUlk5TqS0HgzqPaOW KIw8s7ieZPSjiGLh0E5+2vZMgVUf1M6shUR0DclCZXQNS3e8mZzG+5HAWQpGvS2EkCAvz8OQ5Oma iNHi4t/kv20l2VhxuPJyVTTFk32v/chWs1EIASECRWGvK33WsH9UTrhipWf5/mD4wtykuTrWWavH 0XyMlO9NcSG57MNjYyrZlwaTPkTrEbMdEb0+zH/ESIlK19vX51gyHNJJfVFlWf+HTWbTsW/e6HOq mHU12AXPDBArC8Zo0FKMGZ7wxAlKYhveSMjnQotz2HrWqBo+imYCOyyb0aPbVQiY2xmMFYvdGCSR mPz6SlDEaCVkp6WJplaMJVU3DKaYMkX90Vlt3cugopCuhkxBpIJRP/xlqi3AUB3u/rn8j3kfSQGP Lpa2wDdAfcYqArVdGtM/okDw4Uw6PsZfw0dGScgqRUmufsIAQGLmm4GsU3PVveZQ7k+zgwpJ+4GT RyTQ6BgEXkyz/vs6zMy2YCM5R53QJJrpPP+Qz4uAzKVAEOhbUQvk8lXxR2ZwWBJ/m8RYLJKYC/BC txiBlQ3/7fNVarYxqgkyWurrKwvy1PoHSNGcQnzY1XMt9NEZ6B1aOWe5OROGZvqgCvtRaazLxBkp BnxE4tnfJ8oyB8V6WupI1b7a1wEjdy3BhlhzIDaS3L6iyMmRgZS3nx68Z84P+kpLYeJZuFWGCeJu 8buyh2nBDCPC83oS20S4TdCqbxpgqUFigosllDkqco9KdwCKbUP/q/WA0RGf+mnkJ4OB7nyZDXu1 g1uH8sM0jWWntJ487jGaEdOsvclE/z2i7ZTA3c9PuzPDzoD8H0knBZSygHL5Jk0KCeVcr0EKaEGv gh43CRAmVeXzISci3YHjX+JrYD15dmBnOAIdX7D25MY7HZnwUZW9fAmd1aIZr6wXWG6g5JW8bfL+ cQHJeMi6t+tmZ33HgHGzeo0mdegEbpzMLvqfuP1O1c1I8uAAnUN8t3ln4btLtuDQ962V290VKfCC PWywtbvOu5Qt3mFTmPJ54W4gE6MeRUNwcwdhh86l3UG7ZI90PBxjv9YMA0pterXDhjOlLlAmmEfp 0sZLQErJQdRLGU7Ev4uToXlJKLfHFkAsHfiEe6TipfafEqflptm0GwIsCyJqTv+NqFHIcQr0R6qq IuhMEKOFeeD37+IxKG8a1IbYROTkE81ZuB08OELHGZN9KTqdCBEj2JSbBeW1NCkQDxfM+vbcGfuL URWRfHz0u5pkhVr58ppG/IbULJHvGFz9guwDm/Cyuj2GGVkmSVdsI3vzeqPSpr1FjQmsQlEL75ls se1Z5vtuR/6DDCcLp4/r0xqi5Z+03s8IaO0l9rbUL6vRGiIsJLoiDK4JLcHUshhbl+fMeLXA6Z3R tmy4sa5EVdhnIRKrFQH+MLf6vRhJWWHFv8mqnKn/seSFG18utZWqRfW59rpz2S8e/+NwERX+lQKl TVVPF3H79BHYkAIid+modprab6hLM7qv67sYCiutmmUGpTenE/+3DIX7hEm+tIGdc1wyrlRuE5WX VaX+DSw74N+Cuvz0RNWmD84KqG5U+nz2/qv/M2Otf8HVlQBs6fWaIGjSlCyLGnGzoK0k96WGx6Fy PUyhZ93LqClYKNRQ6QKAfFPDV/+2lOVNZIBfLpU7Jem5c7ajprZaClvz7wx+bCASL2uDZ9gOYZzl bZnfbEBz5c+83fNBO5Sav1VdQlyrGEQtrslDAK6jZfLc76qWRtyKrAKeADR4Bwjr/LEs5Kmdj4f5 tCf0MSCDLj5+0NpONzbh6uJQpG7BoqF0U8TPvcmwbGvfcpWDhZvChchuG9zcjSoJTGZswW0DBiUv j8xhcgzSkQJI8vPv7iCwPUvytUxcvuMjAMTCif54o/2C53zm/mhTlyfObRF177XibY73wAq/XbiD Gtku+WT2sVYBPIsjZleFfXKG0zAwjnSbUJiJe62/ELXMNYr9MjDVw1F2RqYZp4Tw9u3e3GCCTOqR 5ujaTZyn1EJpucFdO2wX46Ai1RbMsvCMSY5UyBeI8/MhOMFD2AFUVrSlltbDyFVbpnW3Q0IrxWfy nSIqper6sSJ3dCYB5SZ2O9/sSqlGXucQ1o0ETYQ95ZOzyKt7lDvKz54h+zcQ6QTmSOkpxtY6ChJH +lcayUYWqjhZ7WP22kyq1l/EmzRmi4xX3CWePLvexuiKJQdMj3+NTlmrTkkhg6jwUASLeV+DeozL UGCRjoq9WTYqUjSMWq5KQPNYmMJX9kVyg1RiuRbFqnARt+G8dSbLXxj2baTmH27QFlw3PTAxywFG YulTAQ2DOfYS283Kok1BBs3a/8uPnj9TYfZCNMqP4JkuNiaKmq7OLgbM8HGtTfOQ8qtoFmyUuOjp Zl+1VSZ8C2vTc7LEj26qKRi+iEM2sCr0gUejssSKXq2BMaQAxuDz3hyjnLpD4wxoTqpZc1XUOqqJ dCtT5TvQ1Zn02OjbTXEOJw7GOrYrQ/Uue0rD1VgROpQmjF4ghmSsk3gnB/J/7ZcAGE22FEJW7jcB WuP5akZ6ZmhCHI6CzDbWaiOKZEmSUiif6OiYi64M2dyXA3v31CTQsbsTSO6dxNMS3gfyzw7E+3Gv 1EuYcLN7hDIbXq92A+2byp45DJqEgRtnNil8+R+ShFdlP957jyYuTPPsixbALZYuLyumhOwhXxpj j2QF9qso/U64qjtYgaJNkkfJAZvoqufUcMJ598kGL/mzl0RMsIYBntmzvoF+WMOILIuLvfr+oaOa aY9jPUGdQsb+/MuP5/o+//J8Xs6HJrmJ3/QWcy1n+4mmoRdauB/WQkeFd831HU/+IDPa6Fq1TUlK FEQuWi9owfaT2xsrQ6dBZOw8ci1Rg0qilzXsOYD0ipc9YtkY9McSwMsmDyVqLDHNSV2E4RKdSEbs pK56rz2fT9R9roB10p9/5BCt1a267QvP7w0+pOZLGrhZhnC64XPz2bG1bzfQKK9G/JiP1ZFwUQtO t4tMhfqY7IcOWsvfBgko6hOIDvx3GzRBxY2mbZKp/su5s4AB2aEGAmbDinsjmeohYqtZzZbsx+hL RfCLDpNktqrJqGDkOJ4/ADDhx11Kysui+RE3ypEz8gJ409qU6J3wp9ac5+qAZf8QGEDqRH6yXjS2 c/fWB1GuITfG+5Rg6Jji4J1dPkKKPT9jy3kLMscUrNuI9vwShMfSGCnsT4yX/dycpoWIDjU3hMmA EbdponFaolc4cgHQwcah9zUDIXgdDoszfFndIq3mtJjNovTMBXY/Q98Dh8aEZtj1zEvVKZTvIUto vhRpL90BID+xIBGf4+NvYsSeofSgps3e0Ovm21hdsQ0KXhSdyJ9rULRc+bcWrlrUhBE2bvBz3nlS uInNwM0LWxQquPTCnmXLqAiQ33E9Z8oiSs8bAY17pAXoOLrhJEyiv4EPZKf4AENiTejaSi8OENwY jZIHgPUW5xJDI9npe1JacG9HzDbfQqEilOwQmrK1eydnf8SY7nB0teOVmCyPLnnPzmdnTOaPAyvU KH33ygNqrMDHUs2MpeZMyJAiBviYVTGcfCubDHre2ZE8huGKz9MixWkyeJ+8JgjMyfJT5OzO4faJ 7DzGszyEoXwtMZqTs7YT+90DhZjKfZ+Vsq/Yppg9/PfOerRpImIFEmqPUR51Qz7qQVU7pMSgpTra tQl3RfnFnjE8oRhLZ7IqczKsacbxpjQ9zZNB/flcosJjnXHr2GCMPF/H8Iy4GhTHPVYggFu0Afrv sUWHg0c6htZcK2uqnNve3hRWhMgOubK2hC0RzPLO2z+RDHgsErxHV3yYxuJsW/u+DTp5juhv0m7N c8sZ0xkhSS06XvST8ysYtAd7aH7TWpG85ONycwNH1ZAitpeP08uvYoWbtPRx2E8hCPyf4WnlobyX 0nUlE8syW3fxVZNAVsM+OfNlVkRtlyJjsjeVSJjyUGsFiNn7+4hh+feP6KO/PzximmOzrn4JpTXn YvfCQU/IrgnqcsyIfDafC3iYhy6nMj403sFL+zZV6jiJ4HcyHJg8tr0zJwt3PmcKOSptHrbKC/+I Jp8+ACyIdsSYn5GPC3OomgjX12+TOAZJvtWyLKUJ3wmSZuMdNMaRGJlLTxLjuGiledPYT/RRYVDd pvJ+pxm2IdB8zQ99ewdodTvhaT2/P01BzJ/bRyn4KuQ3Bj0tejLVluaFIblDlqQN9sX0/L9V1rqZ lWZfgsdNsvVWYEZIH+tNAXGSGtOelDBYXAzn/7bFRgfwOGiSEIT5DlvYfeosqkti1enYev5p9mF1 x4fYSwRPDpwKfGFFaZWUokE1bUdWaid0IR+wI4HCOaiGjPK2g+haG6zK4R1dUlUSO5T8ToyDkckX HjCUfflGQrhW/yahqUrm4aOOOEjfwphrw0vKT3KLMP1ixsK7W2GkW3yrM/HkbFGHIwRDN4FjE/mD eoI+YLhAnFVXeYKCeqa73GSQ+rP3wgCOD8gnATLfHco3NW4YTIGf36R0Yf4dFTdXompJeAXKwdQw +9KPgZxKPLYkAgloS18DBGqOSRpOfdTuoVyHW7duJW9SYcwa3V/p0KjaRKrr5HkVD0gsQ7gr2R3r R3ybRQyR7sBGOM3e35uzDqzgaw8j7Z1a376Fyavt8Ox5Cr454Y18RXI8GylZXtGiTIR5H8rrnPQR fSEiw88IhOcYQ5Nq7R1S2WS31FVEYHIjvs0dEB7NdunY2KT/BlDTE0A3u0PfRWkrRUGNciSDS3P4 B5Ecw7d1f5RoBdm51hAjleFP2TE2wmttloSz3FdeD/DmKFGxOry4J9HPfRGzy9MOMIO9hem8yQrV CDS+6KuMWvucRpVOh5mXbXzswVf57egKLkZ/zGKsiPkZeHkd+wxI7URn3FNURuGpONtZhLxPOd62 C9MuG8o3Sb3YFs2t5Ya4KziWOIUgUBENDFhJE804KV1v2OrEUURCKa6PJm/Ww82UvEPyUD43iq+B bV33FRLyMUbpmPLYR4nRWDimoCPwJIs3TLY4FY3Y5wHaGUiheBWOXleIfRMh+sPn5SQYNgvUVzHN MPzZVkLoruaA8BkxajwR5R8Jw2Whiz7ABw3I4Umyn90EhHXfo5picIzOu5mT6HLxgrHlfmn6p7pC adU5Gsg0L76o1thWino/H4FP+/O28qhHRGNye59OxcodODGQXyZAzOykJpqJPLKiSttW5PEGlU4m phzkcpLW0NIDReDlZrzy4r6CbVQ7rU73XCixluR+yclhR3jYZJC6XiJ7dCSmhD+eWtyj4Lq8SBIv Aq4UM3UEU+UzjQNZwXu/RtkuZKZktqI+bYYHcN0Zasgxagd9AcPfgWRkSHMzKY+U8yOVCO1PTbVf 6xjPRrSwVWe53A/4f3fEcBwuXgoRw8EblNrp/hK8rkJJ06qV5YDeAI9efDyIeNF7W7hqQPxANUH9 u0WDyM6NXh0ZhI7FRhH59XYXA9Jt2zvKjtk/V6GjIRHH4pnlXx5tMeqAdxiRjg/o5oGcLqsf1gQq tcRBqfnYo9NhrbMVRwIiBjrGOcN3KtDPcw2mleB/u5DgL13GWvphHV1TBiuUE5XVBBNZ7mzmI8dP nLF/w7ngn7kpRoNDXzv95BsdjgknMMe0oHTTTTaEuOtWFUimb41etpPyJN+aZWZap61u1c4PVLRX GKGlp+Fc6otEC5yVB0piob9oGSKVM1H9VdAAupgCyo5JJYrntGHHTOZ5xvVwhXPNqnfrHxVT+iBe IXqefXeUjV+8tHlyr2d5iK5m4lJFX2Rhcqvp9FJnFRlrMIqSQ/uc1Bs4bxV217Lyd4rKJ62VFrqN X4gBGmfGFs98yjzeWNgH/WgCkR3a7ud5HbB2Uf+p0Mn80X6X7+DvxK5xynyW8rgoUbj8N2bK9Ar/ JstAxrqpVhYoWNoXqEcPvAwWgYLLOtBBnV/ZHVoREvNlsjdax0xL+vHxUo6v1iFh69RfxQhMghpM oF9pfjfJJMAacQBnMV/g6sOiTpQaPDESwEb39WyrF7/Bqv8d1c4OXi2+ZjgO2Kmo3wXSFgB7kBbq laZrwSu7OmeJuqlQIONHmHEVUavjjuma5NYTZJxWwbLOKaNcHzIpNmv41HAl7viYAlo9mkY5OUcG rFG4wToVd5JL82Y2SqXoSFuB6lEaVhoHo2ku8KG9X+He/hzwoQR9apyL3E1o/AUfR50z9VpvijDn FJIjCp7XdsBF/i0k4YF6n1TvusYEO1qckPC1aIkhB3utKdtjc/ENbED1lrWuYnKQAYbZ5vu65xb6 MseeYcjK729WL160dtIMHvsV/WfbCfOaTaUoAHSvMQN6fUQVQHA91JuBzRuGXxdCnnetoNkafxHz Kazlj85h1kdVAcx61POehtKIZacgzsHCKuHPw4VRAMxd9G4/a7yVEgUpZu/kd4K/jHQCjWU1eu7/ EAifq+rEQLEwHkfvLWgYiMsK4d6La0Zh+vey0JKPTmZfszSjwsrgLfmeP5jVuUglSX8XLfXAsb2U m1OHJb5nq06eNEqlJJuEamb0isLSciN1/QYSTPtZMyR+RCaEsgeo00PIni+rWKMhNM2w3Rl8VD9w FmuJ5haNVKOaT9K9kDznRBH0GjBXTNLQMu0cpvH8UlcIH/+zImyXmbl+AwH6PBT9KgpO7yHyR6D0 RSOHcjBHiw6HT4g5g9FA3b/sqOh7A3fTkFBfP6NyYvp1XLOokyFf6Ic8nuN4MI7CtXP/UUtbDzSZ 5cs6LDN9YwjGzavcJwQ7Glp8RO60pPbRr5F7pkrcAyECFEDkiyUIPO2+mj6qscomNur4LRKMXmqB jrgob/22K/rbs+epzwGoowWsQ0lSQ0G/OI9dsA60A2nLvEGyd9Y/pGosfMdFuBC/ptuC+Wvlolbb FdiwUBPpaalG61Z5m8+F8jKVXccaUfhzFEyd3ZpiqTyVk50vr5x2wFLuyhp17uIWLxZnFZGdCBJr pvk+JUnAQEyjVQHuC1Wa7VTs3ylaSQ0k4y0gITuW3+1h4rldtc3latV/xOPPTWVQ4aXdI/iZdQ5j Yx1tT38GlRfn+yt8rWPyretWmGToaB1bECE3o6l71VZM25OBXYpY2spQQZ05ZgxPOUPe8roJYR81 qKR8Y1ZlOqoIubAnLPYaScsHSH80c95HcPeZaX4iO34YSuWaG3xOUTvbyWL/jTR8YpUQ4rnd2nJc bP2Gf2Ex/48gRWUH6TowI8F36P5AO5CTdvA6tws0LjKJJ69B59S0VZEiY+RCHGCaGBK+/u+tDzFq 8nRG+GgxOKrtoqAcqHPzpSM8RiWOZUh0Xr9DBlZTL1pI5IL71V/wUS1dj9QXt5WeNdkQa8RYJJBz k5vFqlLo8MFmh2QM9QeWahEydxR1GzgDl/VcTI9SmWqFCw83pzbMHQF0GuTbpPKpdE1igqjQob2e H70b0qZ7VEfNVgOkbKIGFuhHxllsVuFfmf0xtiSFcGvlwtvlClo4x585ca255+SF1ZgOznQYy39o nkHSX6vYr/Ot+cMcsBVjMtzy+5tdS52pr6GgAOpZIOv0ykHeWtN7ZsGF5ZIcYYDK6gpTkaeDddt5 km8n2wjkSUcCAaiNiONg4lt2DuN6XKh1+2wNBmP9McCpn70h8tSflKv8tmnnJjcAJbbu6oUK1cpd X17/AVJJYNjogmdh+y2N0Df2u5MkSxPOKcI9F37hiXVUqEeunEYojPocDhoyAYLfS6k8UWhPRGff g9FKc0PzkFNZTbQNo27b2CPHpunNBAWILmkXYvMWvYfTPw2pTlGr0Uqut4EyVZ9VUq/1+ZRHkcUN ZOGVvMtSnbizlUzNnziGt0bVRXKYzE1QrZrLebzz+qnqum4ARR7RBFLgXO8xMcCpAq9UCE9dgArV uB3nXgWcktuXVVN1sEfMkpvgEDMFjMGHI2bDg/gcWUW8MfOcwWszHS5oIctiuwNJvsMwPYAa4I6Q tX/7cdH8C31L5dmJSjoisbefdqKzn6JC8k/+8jw8bS4mX1frxOeMtMU3CqjjLVJU9ffA15Rg37CE X1kAz0njOJE3t5ywXS9p8NKFInZJPQktXtFLqMAGhXU3d2my588KD6pt1m/LiNur3nlK+8l85seU EptTphDsqGRtXb6klub+DBBwnrlpmeBHs2WAd8VF7sUx7HzO1Yk9YGdXQ4zQ0bsqQ894FdQiji47 eijmO/4JZ+kvCyab3y160ryZUIS91VfjqUc37JIquRIFEvLYohw16tmjVqQ5wgl6tPLQGq93oM9m qKwjyeEC4GlhxmkRPUC4rkS3r406yZ14ONnIPkS/aoiO14QYBP19Z0Bi+ZuhiLVJ5ohmFV0k5JWp UtJ97Zd5dMFIPj00FIYlB0u/W8h+cBVEuQqbrb+3MSdpzWHNucDZ8iFDFW4EDgOPJGCFbyXzAjeo LGUz6af1Kcaf8EzwDWBAGBXWIUFhZGRXlCHKumbWLi+pAB0PG0iB/+vBvDQm0jSkMNFSm5vv8SNM IBu7pmtsNUsyPKat9b59nJ48b/TIuWHUVHvzMueYTCO6wQtLhSZTAZ7F3TV4cjRjZeTTFraY1uN5 JDzxx0gUGEJ7lo3ZwCZIfAJXJRLNGHG6EbzEj+QKO3DqerccD3sE1INWbS4H/kcUDKIlI6qbMqJH Mzv9WNcqzOWFjHY2HEc/Ex+PuxWE5XNRIRznIAv0RhvCVk5FaV/pGU0r30Gvjg9x9an6B/XyVHfz MzOQm4tTssCjUFCZGvHByOaFOJNrLxQL8I/pmoIoEi0U0hlIogKj3EdCU9qgJAN1FVCiTryWrESr k5d1JQZgN36SLNuByFmfNOzEYKJoL0ERm3G19hyZRfXrWIKp415pLOZS/Y52QSXH8vD6gSBLRHoI RSA4F5Ou5zHFuLNQw94yXSzGJmuBR3w/HjnIgJFcxTwsDeyPO3FBhmbcHhgEOMOJLqv80KV0XL4M SdGl+psoOMoNjztlsI8cRblYOVOO30dBtwYs972RZBtAwi6f8cuGceaYS4wEzVIF7RsROPZwINXu P6D0YJFWEqIcMpmAYuxH63CzPL7Qy1HfHVvGJb6SbDsuHDLGeUSvfA3C7MKe+3o6ZbCFy3NCSo9Z seg2OE4n2RKIOWv9CHaX7CUW7icDbtvLm44f9xD1YDHJPBfvg/f/NJG8P+FnLqxaG022qeHGnsgQ 8XKp5TMYlkxi8BVBik/IHO2i4s6aCWCx+ZhJX/2Ud29so19rhk9vsc2j58nBV1cFx3IHhbzgPpOn 1aUVwy+MQFHtIMmY/ypFhv+03AObEBrdsCnv9kNaUp3ZstsuPWs93T5JPP2vKVk1EOX2FmsOXEjx bOS/9PcBMqY5Om+83jpeWyi/d3NAsU4yjOdQpvKI5sg1+0U4m5o5gvfm1ndla9FR/z9ZLh5KFX/+ UyKZzmgPi4gU0WxOrlOC4IU4yG0QoxpPLm7uQ7mSnDS6RfAYTTrrtA4an87/KlCwXRRh8mjZAhr+ tYd6Fov1yeIQlTzf8+6W0p6d5mNqY1VZsj7XBBDgvij4F7tghCSlFxB7MGnUNlx9tYDKivGfiWj4 p1paMpG5iRzhCbdm93KU0d2iVHn+c3rOjN/WaXKo0LxMNlQ4pSozc+VawuEMsLjBQITGkJG1lWa+ lTpXVwlMQn7pvgJiK22TnYRqaTXkcbjL6hPnHYfS2xYQfHC1qeO+Vq1icq3iD4TxEs88gAwKu0eK ulC78VX0OfJoGWq8Kg2OSosd9unHKX5Ht3zN8rDafzLgXQ6o7+f8JR7xZ46bjIh/BrZxrsQod7l6 TPY0xa8gi2SOUogCWczO2eO4U/IovfhTNsqbuJmR06bDA3Ziobt4vKeYXk1OQ+3pZVsaY/Lnqxw8 /2OOTXrOXyyYu7cR9kBZrJyA/E9g/CBm1a3D88NFfxjz7CrIILqZkkbEMTHG87DNKWWz0ASYMWKM 2H8KVTDmg5AsSY15hMFuvA77j2qloTyZMKQu+79YQj2uIWKI23Rjd0mlioVc7HcOxm/Bj8znlpY/ nTOYvEKOxytnmI42FgzMuJ03sIqV8cuzzHj9DqpMEaqnla1eN5B/5By+rW27itaFR3Tu429jw2Cy 2R2ypC5CC+Bxpu0BfNWoHBGLMG3ZnhWbrP6pOfYYrg7uoTLfOjbEIEqeSUsIEA4VEswimUIa7V6I o6U47x4X2764k5isjBe28XLCRYWHdKcpsmc36P6QbU7pDzeCahPVXjB17FOGJYhhbPeTZibsW0Z1 aFce51Wst0s/yZOTliCe2NXVZcyKGf/mPes3kfYF4iQUxyN1sse8WSoSoMA8l7isOctLYgURfnyr zh3sSzbpuNtk83Zs9DDrJcshjeqDNIAmu+kMFBq6g/wVRpgouB8SN9peZIkNuW1SFwtJfUcxxtc7 yDl3AVaoShfsOx80xlle4t3HSguJd5VdwaqcJa1nYsUh0/pB80+BN0D/NEato/zeRLMsr6wHsgZQ 12lSVEVCkBMJL2OJQxqOizKEfSlpNh7wVsIDOdL1gORArZ5maHdqljkNGaioYeSe9X7GGL6abErl 1PwpHjPpFyjw9th0hSyYLB3dsxBtxI1h/QEdC7y9Pfw0i4CE2zLonTDCG1/yUjihVurQiaIqUR3t aRx1X6H4PNdHgpB8Z1cL2OLpmk5kUJGoQRcYzrXjCA0IgibttMZgVC/tpygh7UsAyJzHhjoNrf/c SpDGUZ7+hBJ2XQ3xRnm715E2WQC+EKb9qhegqHaIxviVpGyPPm28AQ1f0LmEWBC3ZrtdZjoW5n78 nI8pcIWBA5PicX6qf3IEGfVTJ1mzhgT6t7Et3jlls/GyKyc/CGuLqFUQ4oFGPtuLE+waWjKVTm37 9jTdnGv18/0QqUz8PX26jQzqBupAYKcD1HRFl/uDZENy3D16RTFT2hjkU2+6s/EMnM5F04XDT/oK 3v3XBdBCDUaCDNDxlm8MpqoKHpWgXXRtrpOfYUwRL2HbrfP2g+Ce8LfOJKX9Z3UhHzwa9m8KMc0k zYDruOLRv3zWxbfh1f7EOCKLIk2l1uWF0KSJTGstbjZkRjksyyjJz41CUyVHkWNpPn/USdQO6qug YWZJB4QbggHeVT8I9B+yfdBdHfsi2Udo/n6wQoO5/tEM6Gc6UPTmDaO1eePKf//MmTird4cF/mlT tta0AtmIPNzShOi+rA+h/+2TUAHj6I1ciyWCGrGWXHVGCE8zyPPQLSmdK09Ed6IQznVgOqxb8v68 5qpDg2PV0X0XjQrPaBHalG3JvMCrkUChiOg9mpfaHgtMlNu0JzAS0MUfioPpp39p5aEUWg/hZgC/ qcIoDJXQQC5c0b2xQXcT6b8IB5bW6Twp73pECDfjptxMbOWCZeB50aYK6DyMY7f9hQ1BS5//4t3k 42HUFRxaXe1gB0XFBjRSoBOJ26A8lPb2c46vVG8Q3J+tt1eL25XWiKsHd3gyxZ3v3Mk597fQmiBo O9YSMYCqRrRRbdDdTL1T6RXukYA3hjE8SnyMcpIsDvclnNMYCU+EmbH310VTV07UmMuejXgO1Kht CvG75hXTa+tOP3GQ0Ut6yLQgq54y1nKC0yp/flKfIdFQicQnSNEtAJ8Q+SjAatzgTZXG9PLtHxBx Dgv7KFhli4auS+/7QYfAvByf9l1PUdFbnF22jc7ICjN965rDrXupDPWfPdwTNIh50ePFIj2Eg//W u5755WBln7jIfkEb27hsZMZDnPHd8qnYyD2/xaORaZdaTHXFtDhkFOSCtybKKfIUQBimZGhRqokc mdHuQWFjhiggg3mhqdfONZdkXYHz29Ng087kmJld+dHisLSH7DgCPInAxMYJAI27H+Hp82JlTdmB AujeHHYXlCoIQRRFRv32bmR/4zwCJLVnWwRVYilEmsk72WrGLEOOCoaMMvCqbwADNKJbaZIJli5v uJacS1KglSdpQUl10GfsnlZzkMd5qt67lLgyWqrGB55sudgbyJxMRWabn6FYqs7clsrr3ZQydnWI Er93b+AKBCf+lRfRflqGNLfvA4Nj5wCncbiQWWXxA448GmTvtIWJ4nX//bq7vRriWjya6UkzCGlt 6Lc3iiTBCK92msc2+duGzn4+BnUF5IScIzH1bDvfu05/k592MWciZpCaE8y2H9iUim0112N7x2Gw pYOtPftoRWjwUbzArTQL02ArXgaPEOqg2YxDya25fu91eoliiyvYQUZfr16HnAeM9w7xSeJCy917 lC1m4CMnBsipdis9yTYlv2a2vwAYvWS4tt1R/j0kdw3iFnk+CzNp8bQywXcdmHttImG+dToUj2Zr 1hEMMEkHpeuWhzljFCrYI5PF6qEpba4FFW3gPS5dairn5u72QKx5AvXZlpJr8kOp+Q+Sv4L+h4/R c4Ff1YcHBWcQPkbkk7HyWJchU+suRAVSnd4uJXE3cOCrENaGTd686a1gSkKyHXUQxMD5YLh1Wf90 ryf7qY2UwM9gQDzIYgjofBxuKmBi+sHgDmPHT+WGu+8d4K/AnAZU0o3m31R0QThzzruSZlfMRDfi CUZXdZqLkmxAANkGqvUobMRY6HmLCA4/SzUVBPI78Auy3XyDym8qQOq6CjIaAuM2IZlrxNkF9DMZ L92QjGo4NRCy2qZMolH+5uM6kduvJgzlbWb5rIFmtWNlnTcn7/ynl5wSOSpZrQbelkJ7aY10VLDv AOmnIFkJIzqeEhkLTr6zh+42TTCCQ4NUEhkq2Fsd8Vk0OdHtv3noqegNEj5toOQ1m3mSPDK2GthV xwtUvLlqj/2WK1+gSdjS6I98VlcIKrtmnEfXzkA9Z55lno541pL8liQJ2/kwurnjJQwF3GXGiRfL 7rC0j36KlnVaqEQDbSKPKNBGmjFf1RCtloaezyiMfxLvgtNYOM4fwxszQ+JvmsXYX2Dxh4f2cKn+ VUWhNStQoH8dAvLyyv4NfoEsYj/pQ+DkIzAtukdofDeGF16Zjq0aAxYPqszgTDX17KAsCDgUn0K0 LIoYW8NQz9DqqoQonP27rAofu3gQTIwC2WK/WLqTo2rcIfb6yCJrL2faY7Qs5FhwQW/ULex5vHTt uuKKR560qZ5+vsk6dnAhinSUa4MRmclVxUKF3s2RSdP0z2Ma0RnZ3lEk1fYzNtFCr6Z8KbbqvbFm MC+In4ey7XLCC6ZUNKZ20cNAxVm4hX6eIPQFctLpSV6m8Z6VWYlKH7REqMi1G3lG2aCTiigOe8Uu bjjo0wjqvz8yj/AwKFxu2bhz7cI3Fo8DdTPPthYcqn51GSfsKVJI+1l6478YY+7DcBAK6UNu/ca4 l6x8jWWjAQME2B9qsE4CUg6yVPMWuToY7sYyh6fx7dFbhfIHotXZFXx8/mEqJ8LJrIzBhAODrlr2 GKoDj75hr0nsFpJgroVnYeBBQIn5h/cNFeufNAoTKdgP7Hzqzq4EgmzqOwixeMZ6M4xBYPxvvvyz x3CAVmgJ3YTIIBDOzgehiZDh8YHEiGSOcilii7+Wu1gccD9TwMpjRPm4n5p9qAy9C1pknBVd0r/L PFlZ38REwCrTzwRVgFqme1fN7vdbI3ZV66yE9fTpQqgA70BuwtRuWFNWmJFEPNqD0kb7qAexENZN UbTV3RdTYhTkbo1GHTTpYtq4i0J00lI81NB+k7/zRPU6ETOn+NIHY7nxuStERw43wsZgjizUm13l qbVG/ySB5fDMcrb5lRLKt5lUuvK8BKmcSn9nvekwU9jOJ2/bK1Uzmz8tZVXDvoSx24Uk6LmaZjOr 367AiWFKHxI27rUMYh0LmPR6htgaHM/mq4Bl4QM16tdc37DUvo6/gpE1hJCpUjnw6xa/uSzGtIK5 GpHtSnzzgXtglFRFHv9cPnCsFKmM6VbhU55WtCHiZ+kgKYQN8SZ64SBJ/KUppINpnFG01DpINr2N Yar4d0HRhlcMX/pF4djSTQjd9xBk1QFmsjqA8h4OZWor28KUiFw+2UaQIC8MReOvFAJpBbCLR65L 5NTrLGz6nGz0/ZWjUj5L5704hP9rn18RQDh0EXNe9WiMrwuAb+hk0Qij4/qQW5/ZgG/DxYsJ+y92 6Y1p/mXvjMx4LtmUFq1JEjcItOzdVXi1sBxrSMmphKtoc1qjLCLEYKYMOfCBqFefu+p24WtBkqlF +wHsa8XtG1N/TA3bMm4K+ZekMW/+keqUOlu9YtOq8sBgASGX5U1Eomp8yz6oKDKSn77NdfW1qOKd f8I4zvTvGm0erNXvxcKvnU34SpV1haSaC8H2mEYtU3UbLN8qqe8Xx2bTcn/KM371G/+JxF+CHmHb IU0929TsfjEJ28ByNIRTDQzzb6FIaxVJs1VZhp2w+TYPdJbiDIDIf+sKIxJzlMwQseas39SWgiIA BbJcDbIH3ii1qC+bHmwL8USr3i77FhHOO87nGTpjW6f+AyM65KJLIcQsTuw3DqaZJTs2ZDrwat1W M5AlDsbQM9ohqh8T4Ec5qmCSZa6XIg9lr2EKGYGBntWg2Bq+RSCgP1YcX3gELeb8Biv84dVovqBy Gpd2rl8+eZZqGJSbZesU5uNFfp3dL/eaACiNkD3WLvnEUQTWunDP9Pyq6eLX/3iqrLkl/C5btBVu GhdzRY66dPIkeMH/yt+k0C6/vO43qFG+B/Q78ztOZp7Avl2324KhM6ivMnfc2VzBtw+8o5ZWDAVq vcggKTplZL05eNJYV7s0a+6GX6hpm2WV5Sb/vmK928ffwYhEc3oUliTg6xROBL5O7UJkaBhIKwF3 NSSTqyiEPZ7Q/ALxZ6aKbFXI7ooLRo7NSkiHJldoKc2PvoYketk1ON2BHIVp3BqGBfnToA/iuKne GyFD/X8nxDg/gM794UBZqjpNAt7WgpgG/7fpZhv8PMj666ZlmibdG6J2Xrklo1dd7SyYzppGG38D agriP25gmzv8voWf6v+bCnTlr66nyJszXsCT03QW+CUXd2M8NiHBmQLTLu1AMGhzt5Mgt8hmFoGN eOFEfamR04nbR9yRIY7nxMbrjnudqdiaiSxNQVyDg2E4JPz0iHYvcMCuqg0LprtF6ZQNhqnm97vi z2k/ZGV0TU4dHrNCpwxbej/AaENM+b8Jyj+q/uLIgbRg1ADyxEUU9wUu8lXMGAsXCdZUNZdPKiO9 cJMlaPWobuA428L5IO5mqZXSbohv0/qx4Mvlb0gi4YuBxw9T7xhHpXP7et9SCBbxiDLJ/dayb/Q9 xJbZ6ZuaQfvxCR+Y97wayP4EteT9VW0Aer6URJRgRuJ01yp4LHYHhbYYbiHieGkLp9DDZu4/vDPr LGdMjjoWeNC+4V9Wiif2BKmeCWS8h9kY2vxIHphaYZpo8Y8RutJJhrBcyDmHGtaGNgau6tv348D/ 37CKzNLBP7fjTQ4e0FtpMqG+Qz8xCoOK1dtcbyxcIP3ORT2bFN+T0uddFvXl3IGmclONZ7ktGe1H bp03mujI4cWbgFuGAWOxmAtZLHGQKa1abwDG0SuNGhQ7stYa+6LhYtplqce+eONIZM3vOF8DcpcH EGGIstkHM41rBy95eoRsTLxK2Kbfw5BBiFdUkkp3XMIp6OsXfQgNh2Wg1syUX6rkQBdJPfWzWQHx 61qW4ewXFSFai3Z1IRyeWdnd8R07B4NwAyCyQxuDp7oGpjG5IdXRKPPgVMDcyZSeOSV2fPIHw+a+ HHG8CvPHjlv03C8BBnWPbQLr7wZjb55VyTgtL+gmqHuy19laQ28lQRogrzD4/6ZlvrOETy5MbbLV ekEacV/pc52r0libBmCm5gVutIQmOJaZsf5raqEfyzDlrH3BSC9e+V5pjlmi8Cv4VyRrcqeJxrzn 0HlvYo+xVEgmkT63rtaE3/3k75pPyP0yqn7zt7FwX2tOBEPGlysulLrb3aEeg/R863744kV1mshS tcT6zuCCB0kA+l0KiVXgQMJIwj7qN4W6gUoGESlrw7W2RNeS82wuNb1EIgkBhaowYnoadbuUBk35 iz3BkCXfQyomMyuh/R6aW+6nsYwOGvSkbB9huMjj57oKa9U3NoQW54KBTrUp1Xg/cwbQVPbR6QHI yDSgXPhzJgIWb+YG8fYCjf4hkxMq1r5x+1mZwlAArnklvCpz4CuML0UrkfptcLQzqfUl6ogEtODy 0CXLObVReBXfFM17jPKGbNxO4Whrh2abqts/0iS0q+Dc1EfmOpYYiDd6nh4BN2FwBePfXyjUTtBR uP9PVP4jn3w80nmyLVxz0hzIg8tXmP/LXUv5/sAaG3Q2zgAjbipj1IjxuWGxIPNWqmTQNp95WrQN nppk4FqOcsvxK1drm1+IXgxY06bOlPvmGxOQnt5yqfBF1gdQCY+nrgle7Gm7aAjwQt6bjuCW7v1A si80FO1oXWAoZoNOWTZyvZgNOJYgQtcoYTjGv5QleRr4S0hf5ngVMvo1Z34tHUSQUV2u9eRk9Zdq befHBk5YSEChBCKYW4809jNBIQRVEuhfcUss0NfCMs9PDb5k4e8HyCleqEmd2kOlz0Q2oAGmpMf2 8fhAvgXobI16t6NDi48tI462HKT5QYNMyz14ADIbz4ld5R4MU9BmIXlSrt6FdHrzMl4UspP2fAK6 cXE4Lee/wNFdCgb4nEBcBVttJQ1dRHxNrOZ1z9yAJuBUUzoulaMwLL5i8MwCmQ/Bl0rQ1y38j8R2 J3e+gFCZcz9LZ+IPN61NjCMKLSFwloe13YoCecHDYSLClncTG8FdR4JWvDQjTG6UPvBX9YrZVWkx hL9JYrLMuSJNtowKMTgKo00UmOv8l0lwJvcEJupDIoaaw0jaLd+0N+unz8SlOTXKd9sejoGhTFgQ tl34A1qImq0qJB5RPWQEhCIDB4SdAjCFD78bUhTkMze6kHfPCMKhBIdK3ldMfiy33GN/pkEOCjtZ VC3UkEacPbV8YpKQvnlsPgqx3Czy+40PVz0c3Xwmvwg0H4p5Fw/2l0Qf3+3TmC1zYRiafnoEg/Gr iMUvIo5rux3p4BnMUWWGTcpsw5KbwCK5mNd/6KVfslf3z2jfJA/u4eIeMYu7JuYwFGduVwyiJ6Cx JeW4rJ2TvMh7mnDsbMBqyi5eR6Kz/PACI8NiD8eqKAZamg6POD6O/EVU9h6OTtzpB6XKw2/aiuUf euZNDXoXy+Lol+kvpX5QWu3FtvrVzD+enR66MZfPz6GlQgdfl3cSHhPVX0CcKn6nGqZeFsuE3oF4 hS1Gk0VRup1xjepG52wHSkLP0jTgbCZDQkOvKNSqP9AOuzXBe9C3+HTJ+9gjKDYFxso0Gvm+OATC M1fbdKnPU4ZSr/GoCkVj1qfz7gA9ku2Rxn0j3TM4KqJFBMye1GLhQnOrAizFOBd2TpPtxAMxcyqR xQk+ws7UeCYcMtdtC+wGAAnzEeD/r5kke2obk3bHhvWH1uYcK5m93raiuHY5/rOeUuu9tiyj9DpD WniD+rE23De79//a8EPctVOfOL0dsaai6TpipTi+bJ3B90okaLiL9hF5wSoqA6hXwK5iPTF5FILb gyAcjZRUuQLj27+yK1u/3PA9mUX+K1waBAD7eFunXlHa7bjwe7o8y6tb7PAOltVpxdrOemv00Zri Z8rLs2xCOVr2jaLHPpmpnTEgFT94t7V9LDL5YGBbFM0xleBcd7aaooAugb3EvQG6GcFhy2oLSsQs iFt1WuMbtWumODYy8d5CnXfqOC2tJ3RtVlkEF3wQ7w7kAr6RDIc4lc4hmOSubs6Obqls/sfLXBP8 f3iS57JthD0f8VK+WfJnXIUxRxkj4HBwxn6ftmj3saaflQSJjRmqOdPNAHGhH+zSoZh1HwL62UH9 QfO2nMY2crpUp+D8wk4OD7K7wQTAm817O5mT2QKC+8GX8uF4+HoK8r7HGeEFVJzL5BeDY4/t3QRJ genGgxgGHY8FBrknu4Hk09bYifsDjg4NJ1+PKgU7L8h0DGIwWK00FJLzC12iIwJJiwHcSdcGhulu VMc0ASl0/0aBTMwktZWbOFQg2kM/NZS3wW+wpvptQrVJ7tGIeT9Zi/DsHvugBIyM0s+lIUhoymtW yMqeHt+kwfi8XTyjR95ahUFgCFwGUEonq9rrCD4B2uTan63AJcOObk+Bx2oytkkwBbMW9cYLyCZq 3ZWzksgoZTBGdvHFwY4jXF9M/PLe2fj0LxbllFRYjCV1gBc7J/GK3iplK3xdMXtAULK1ENbrgmE7 wZk/rqNTHVYuoRWk4rI4agBXak1K02Yb4xGjuVd85pesnnr5NXPIMuF+70chYb0NlviJAqWwMrlu N97gstZ8rCElPgpKw2yhAW8tpMe3dfSEPon4HQFsho00Kj99zBRCuFlPRFiLf6g/YPhXzs3Ius9c bdQlA7xec2fCWU1QU9rUk0oCG3o0qMh+CLRAYU5nItmIocTvFHwPluek52Gtp8rkNT+ANIrXOX5e DgNMzHOal/hsYqailtoljd2oeWL7L63v7aVO0qJD6iE9OzQ7JSlBuF9q2DRrPgA+VGkCyxio0GWF 5ZmmbuO29FwXzWRXe3Yfo8h5qVkzG+Jl2goQedLpYTeymCCzwpAxhkUcYMRzW0CEMEcvfegnjSa/ iu+64gFE+RXuZ1zIeI9JCmeI5gTl9FO50kcfy6//1C0ne2LL6kNk7L+rQ/c5z5VdwQXFLqjctCH2 rTkeHUwTHX2nHNmNWFxL/93mJNsuusM4h8lhSH9TKHQ1EeddNaftICWDBLaT0QoBIKlFHjteMBZg X6Amd7E/OZxk9Jk/DVRd45u25Lo78EeoQbAW/r/z7uYDGn0Zr+6a5qwCQRbcjruzFvRTTilfknWw cEvCGq77gruGj/ta9xdeNd+KT9snSt4aqpKIS1V7N/MvWd9p6HBgX0MqNBlSownpAbUOa0dShxiB Wa077QxR9iZqeOh1vdFzgWrv0Hwmmv4Q1Ug0Qs6HDJ5MZiViH4Ya7cCj1UV/3kLvz7U+DAuZKD7R Mo7YDy+/IpE8qGbR0S+V6FpWUhoENHvZlIvJXFmsBAtuPFoMsgGFl6i9M9Ry3KXEWKmL7rnQttT2 wW62W/Re41NTe52lPqnRB4G5zGxHGaOQS0bj6Bo9mT9nElXimFDtxoKNl5aRuL8ylrFNIE+3IEfI 9CjViM7UMQWlRB5CyxvfMFVGSD8nA34esgVBE2S599ScaWbF9p9wiWqa+vO4fEgcpElahxDRIx9K MBiE7KVqs9G//ozkoyFm0R+M1iIY5WoGwYetAeP3hHPKtDgNRM+X+n5kul/ztR4wW+t3u3Q93tbA pZolqibigtiCfqXqBMoyyIGKRTkLGQXVYyUUgB4ChZ3T1xgP2Fe0Q1q5OnZim/nUEQaes94du3nr z88H/Y4XFtuGaPMLgNtgm2QkWigIHfeJzIG3Z5DVAgWcwMbvWSTBYA+zhS7e/OZ/zJljWdtQhLHm hclKh7yXCP1/1LQXL4KcrDQCp8TrtqAOQXmrXe9n8KQGSSY8frgn00wPE0g4cKAdwSCC2x+yta7c TlWyYuWChm2OkjRO5L19URfgSIyAILkWZI526CArC+foTIExGmYaWpHzTgnYAIugyh2yuQJVVsFr VFYYMlOqrOiSgV2dhud85DbyfbmeC4eYtUGjSnrDI6LqmbRWeIH87A6/PX2SfNRsNeC5UOM2sulb PJUvYubeqNtbvz3GtyDwcgBUd3jDzRYdFtRH32rl2tJz5e7ZZ/hW4Hi+1n2NVfI1TsHdNZLuKeVF cTP9dhhFnqeEFKoPw6WzuTsuo95+jh62LurxBps5l0dB/bbnHbW11afseJijO9+bK42UqzB1AHAr yAsXOzRvTfZPGNdN97mvV74ERGGKxYp8VWNnHfqbBBEGf3mPYCiidannQqfEPvEc/aV+umlPNEkS Vz065jV6U+RU2a5Bsa8BOqd2mGrN5tvY9rFWl77g/Y1YkxGRictke0swBSJp7vwv8k4e/9MjFV7O T0LYm/+IuSBnvt0SDggMG86g588XM5HEAAPJuQXwwm2FwI5E20hZDJJgr1R0Uy7phbiUvGBLW9yT svDr0+qxBa2logm6pv8xG6w1BYiBf7A3MpuLfPYGCr6hsrQgJusz5gUwL6wFXXEYLlb5sV+LXqt+ rePm2uNmxJAi/DMR47F5cM0SZF0KMsWZsA5sR8WWbjVaqdOJ0tTRUeEVAVQXnP7ebyaHKseELG5d av3Y+N5HTojVPgaK3S62sCqnV04ToiKbmm5lZaIoCxx6upCWCCwAXgxRSdjOsTIustwiUZ2UuYCF ULINX1UL221p+5jYnYgOkx2WFJd8AnOjA6JvtWvwSCObts/3Y2IEZ7vVn8CG1YQuEfIz/HwSTU/+ CGfR/wrMU00j7F8MZP1k0/4aAAlFwxwi7mXGNLGHrHeyAF51CBk1JY9hFlfCPjl3PfNTgTzOGjOA LmGIsmEhcNpwS8f0eHpCC3rwBsjR8DNOohwmPn9Pg4UMWx0G4TrIrJuApPToN5JhtI8GvNdZWAxv tdkRGzm8NqUH0W8h3zE4z1mPKYOMy2BFsrmj6euwv4UXkYeuiW/98B0xjwtR13CarE2OniK0wHBu VJEQKSV22D0GFNBwqfCVNdjsmq1dprbS0p+kqhtE3z0mS5JmiCGHiFhXrGl9PDFVWkFt2kvNmjlD A8EiNOl/3XUh71Okfou4+p3QjldnhUoR4zdbtkN7eLfUgExSAcQqWVJQf6XDCDNKmLLSBSBuN9vI EiJUIfjxuUuJ29C/gMSO10R7Ok9yPc8GUKlWWcTGo5YGGEU+Bt/qsSoqIwCZFFrL5Zcu1yLF7BSR blp4kGSl4rTRJX8RqW6Y7hm2IdRGiRT6v3qdOPHtNOdCmqlzP7wLl8Xb1TyICGBXviN49WU4mW8/ VN2MrAx+tunC0iTOHfgwZqFOXdy6jhtj8HudrVA2bLAvT2/3lsNOYOAzJcbtyyrteqHBqwi/iHpA gOmV9yxp+CXMuIqYJhM7OqGsr0iVcYmR/eaKFFQT2aWs18XDlGPAcwSMht7qeMhxDeg6tQFCrRNI 2mAYZIStvT10eyNGBvE2o98fNmD/lWxFJc+7eaolyG6pBq+kONPwm+bKasd+0rakdpmLuQkOVc1w edIRH+zBwWNk8ATPA0c61vw/O+MTupSqFebLjJbYTFjyJkdgOdv9ra+f9G3xOsikSX7LuyF3reQ7 6mUjRTF1Uc9brfkqY1cQR4tXEpdlINLaUSOH6dxa1peeajVSqA8/wiMT/rRdMrbpNnVnQCRceGxz n4KchgLwas4qdcNfb4LvBxAUBM+LUSDXWd/wRaklIRFMDmIcqDwqb7y7K1vPWREROviKmH/qT7uj QN9x4vxJyNwMxcHcMNE0z7sm75N9375VvKOP4bnWld1pAa+DhZLt8x5tVH6XcPkw/sALfVVn6p0w 9H6Dnx8+BBQGbAHz5xhCTxaUvDtpwd/gYyA+MtCadeftM8JATy/jAmnvVQR2zM9W42EsiRyj0pQn RrBbZM071u7IsjkzO4BmJkGHKMgJOdAuQyMWrfWRdeQJ6QEQyg2b/fvrWjJPu+tbW0r3ZOddbLil rJ06EE37G6xe7PQisGvysbAEfI3dHalNzKeLW2sGdjrYxOPCtREMQRjz8/yVXJoC0d51CTInEQ7h wMOdlS+1ToPO51l8/3PJDZy+C5ZUsJXS9nxzqMpf/g9AF94dvzvyWsHkShh1VweDFCUioVMj/RpA G1V8I6PBvDAUCo1uJgUXrM+dUsnNOUDkpDXCx4Lqco0SzaCWY1tc/11x3oiKQJUnsuAqQA9lVWwv U1bMPuiTmzE6NTnJPX8a6RiDVJDkmQxh9dT7iJkXzBln1bGTqdb5uliQemsBNLVeqnM7/hU9Lmuh 5EjgWkfoLKlEVAgs6z72rOQeUECeR2UPWWt8bqdMTXIHUNXe+5bzUkLyUy5K39XJN/+C7p+NG9hF 2VpGDx30qvQwrB7iwKigKQ5mblf4rUOr8UOM/pKuONsGKtwSqHBs4o4ENfvxwHoZHy97jhnLug0/ MQ40bUw/KAC4sPIBFeiOms2JYf45GiXyur03GXJCvPXyldsKoS56Ag1cF1qEgvuPtyghj4J0/C4h rpt+muUCguru3ec08UPjRqhu0IPcMYsBilZ02P8NX/PsCd6RXwhMfytQAWU5rMsymhtlR89VWC6U FE2LISXHlmzLEKg4ysPBN8mHKQK0JR3IeKRSClqYWQwd9geKNd7WSQl2EtmvQSvNZkBjq+2ICqae 2kY9V+dt/q/xLHS/nDEBSNVRtgcNuWXWsJEKEON/rzKp7AsmdNTtJeKJ2R9iyTi/5NnaHs5eThoP lLqA91ZcWqo32QEynqjb+hWGRDgyJgmXY2Kf744JGbX6t4zPefvGV+xvQcbdvqthKJUQmwQu8Kzr lx2Jsc35LCv9IXD9xYMFODsvbNjJPUprvnWp82pX1JfoNCRoqbegm6K/djWcHYYI3zI4rdQgwB3t hBY7gFx9uUMiflYpXE+4ZjkSUAjbFmvILZoaf+6fdlAc9zVXfWYQ0pOx7YlmNFanX6i+jIVVNLJm +q9xCW7amabjWwbztMutulESqqNFVES8yCxXE2RRLxGw87fps3jmbKoS0+0Fb3QWrhZ8Yk2LsZgX VDxPUqNLfOa9NE1iO4aP0F0AKUaAClF5Q6tuqxBFltkEXiGR6CHFxup7Zo9uO8PONFDQ70rjD7TJ F1Bf4IDGo6+TEf7lkmmHPdNH9735uZmllgasK1PUA5vQBj5KnQS+wy/+ElsjEeNaM4KZsj9gLbr+ QcDXz/5vqwzajPFnuE1K9FZZiAK/Cem5C9OvMzh+PesIPIwsjELsdta454H/5KICvFNkAV2pT9JG yoAnPbs2pRw+vV+hfieVu7F61yLpWHzE8r/IaXb69uWVWq1Cl+t7iH9y4+32PkOeYC0d7IVR6u6h oCi8zPCHUQthd/42bF9vPIN5G0vhFyHWURedXiB9X54GFLBMsK0IDPJ3RpMo5pyJhBZ5zVyiVjNd hY7xUoDENtH+8TTJpqwdAGgtd8JjBT6juKh6wXUOCMJeUNqaCyeDMMR+/QShU+nO8nafD6/iPzfb JEd6UfOeizMh5C8wNQWDSoOqbA1Q/Yn/hDM5/K/IqYaItqgsKayiRW08/5AKaxhYbnYAGQqGuNuk wycI3N13JD15XLh7BM9G/yP7yblDi0fmaFd4EoNkkzdj0wc1pr60EHSew8hnDt007+W86IBSvINh R3P4EC6N/bDmqJtHyPYZOvj6wub1D5jJZV/Wg+d80gu4OfDsYJstxBAUcxWnjMm3cB98gc+FPUPj HEiqkV+MdrXKGS9ZWq0+sgKOY7vRtNBnxmSzzegycFb7IFt1AQwRXmJ143Xy8og3L7HhbCERQbyf kS91in/2UXmF7c+xshLhwcWxk5VEquE16Tkd1lKWHy4Jxrm6aD3FpRjy6df8g/a11WUO5NRXKAGH EcKsbFveQsjk42E8btDn+lxYiqRVw9MqdHyzW7LEcgh7TAkkrcALEziuiPf60lwPtzaxYFvpc1xW cFZPQbGnNkRdH2NWWRnqXG7DRBfeAoY+PvJEm2nR5SiVtNhsAksIRzd4jQh6kve4bQENTtFEfGUe OHJJSRe3haSDXytxBN/sQepjMw7q8ysRLra2UyCG60izt0pZB6jGfzf50GNuUywPAUjP81lz4ID2 rHi40zQZjj97cTUddl+cssxqa0RVqpCcPyVWSuvq2BiJnEvMP6Jp6eF+QvDUUu+cbbqSEeprzWqD Dv9/MqWzc8QxzDydUGc5tSWsmPsSeht6UTDjpJavpNqYVum4E6S4+8wzUv6SvNozU5yMT6hgNPBL B/MRQeaIzG6Wb/3Q7Y7sJAK5G18eq9X19AObW88DBQOutORCO8A359gmosxBfrs5jCxgHrCjPTZM vRWs6jmwJPqsaRceB5lT0reYQHqKVjui6rKITIfC0CMX8TIrPWS4bJFpMf3IzITT2Yn22MbZM6lT PPQ8LZKVd3XkRnAXWo4me7xjAob1t62iJN/LdJ0j3uYu7ANv9RDzWCfD2Ms4dk7QRhUlfa+3CIzm gfBIoAc+G1Yb4AxZtZv4VwZTzJTR0eO8ZrSMwUyu/8F/WK1FkuJr+kVcf8CVGmjk4XkHqyP5emMo u0RaGn6Zpli2g/aGTGypzWW3drmOhMpePvy6ArJB1rtGPgM2vfHoIlItQT+HqPB/W+FyOkrDR0w8 92OcbRJeS0lLOT2joROi0eMf9AlpcrfCLg4yHs5a9wo2i/QKeuqOSNU3N2F5b/+jQ+/BpHxKw6JK rwGMum9db0X/TTjC35OTbL0ZXqo/iQWXcfjaTRAOgsUxUhejQMOM40iq5/ifItXgtvjsZmgfuQU7 5KOs3FeIedEbAC+ueepUJ1hbgI6KaSjum/ZwNSNZ1E390VKoxRuywLuWb++rmtamj6mu4UNrNfMZ wHjsKhN/I/KZRxVLbuROAcTdzS6fIjAy68P4dvzHawzB2Lf7WMt3suEHogdGsR1YfdIBb/qeAcMJ TrxGH1SKHepVNKzVFmo/jAq1+L/MLRe16ZHGu6JOLwZNBElcBV04CxDkH0Tycbcb9UudoaJxXTxm LpV6QOFN7JRu0j3xiFUej2t1Xu0v0YJPCVvY8ul0D9pL0gGGBFAEwemrxchu+Farj0Nzw0We8oOi nHVdrp8DwhLJNGlfwKkwSCT4czd73DTuh2wmTZqjaWXwANdAfKm8xsVeOKnxb1CkWjts7hcgVtPZ yHQDwR+upyPeK8JIhy6G5KX91znDYMPHl5116RG5n1sy+SilqbwhnmgiYtf5fU9zEPYfLJVOFAoD suAqHlHbhlfEk4t4o0FpgXzPV7F7UsvtowiwxQI/OFsmNBGMKCva8FcS2Y8cgb5LK1xOIz5fHMWg s/AzgHC27qzGH/e1Mj8+5zmz3jOAAyHy37c74nJBMpu3JXrug+HO5Nc87IvpkuLy4aUUeXZfeO6S c05K7YfOvHuXCrQcJO6X88jimTISxuD+Pw7wycXN3GT9ETK3JLUojrkudmuTIfKMlSh1dWkAdYS4 fPsZmUPimiqsH54cvlkgOv2OHyuwZ0mrmokR53f05mvGp8IYrMXEFFLyppCBZUeE+7LoX2Dhni5A MgDvUbBwpWwkIob+IyuUexkGG0Rcy6iZGOXS/TZHYO7u8QLcNi9Ym1hMop6dmbe5UiaUUJLyT5S6 OjZphr8kNqtRCca5cVFLEEnCA5XEdI+4xL6z0exZnIN9qxz5jvjSw8ERfe+a7j7MXi2/Ar9X+Xuj yq2ybyZyefxB5Hpkcy19hn7YeGCnJZD+zz76k7YLO3fRPU6lYIiW5XEZnfCT3AgC+50kH4uBCDNE 8lhvfpDgHzCDTq0MiwisxTuXbylF9roiPCQg5csY1BnCNh9yxoul2FMGJ3S4Y2LH7cL8XiEqBqHc bQ2u9yn2yPT/qVmqQytHOOT8wTdzxS7m9DxhT6W97mt0f2iPby4o05anTyUnspRG1ByhXpRwQcfA p+1Mmf7VgbcOhT9qEeSjZDI4Hh5y+acIxvtBkm4CseFMUFBp8Eio/lzPNlVMtqAVHpStINuq2OFn sGJKuTPyOfQezDXc76lrodoJgZTWbVjUsqXxUo4XAvuzdF6q4e2xFDjMQDi2ZX2cCVnM4xj02dXl 48FlNcaWgYymeB6rL2wa3sjNLzCbjM7ui02OhccbW6DMkyq0eBHfXD0R1eBizZXrQ/yo4PmcJZK9 rg3i9P+1IGHwubkxmcNfAmkZYbFzt8Uhe9dxj5MnHY0WK3us37n8bvWSgLGcQzk4hmbuHYeyrwnH IEwbro2DLap3V4MhgOU9j8HI+HBei3Gh3XsgvTUZvFatNM3O91TAjW0z5KqgtUOvYNptdrp3v5fO 5HlnSZge+zGyXCjuYp2dofjnqM+42s0GIqh9mN6o63EQHBPUf7xXMRlwc0Ap5ZyPSdPXZPW06C2Q jy2nCQw2ozaFYHofMafVHjriu7/VqqS9pVr5/lU7/6UsYNUq6HxsjOLlGCGDSBBKDJniRahTJ3yb 6htMzZ04ekOeweq0iXCWn+peWB2sxyXiBSAG9XZ25duTGAyYOy2KtyWr2KsVLbU4H/a26UbLgEPm fpQZB+1mVKGQIgvx5BRNjoe1XOzoi4pF7vnlgH5OOYB3L5HLbghPBnuPOTYD5Mcmtwq9l4R8dpcE da7gH5Zm1kzJ7ro6adRE7CKgyRkzAY2eqvVJf5H+Ag0FaJIROevk5+vXRxgTmy8xkrbFy6fj9Rmr M4B7FMYrnsR9fMu+j3/0sQIFfQdSSGmbqoV5Iw2A5D1IEO1TyuVzns7HQqDw9e6NF0Us/txSnyHY 2lPmURlCKwL44ghqaihUKvjWP67V6GkINeKuL7MxQtcsmFFjXqFKQnVLuipuSYYwrs7IM/ucj1AQ hnZ9Wy+BFEm0lNvoipjVu0bTm7NuSPiKJ8YSJ8c6lZoJabsOlvUtZIr38mtnL461e7nQ3AA4aKfq N5nkweYe8LeVXCskglsHg6tKB9345AA5TIpRIKJR6AsBp/FhEcKoeiALD8PYWNPDAyy2IsYTRdqD bZ4P/vK1uDbLGeNuGyB0y8+mOBotZIHqXscrQGcT+m9dnHUsyDyD47IzvbJasmU+KO1I/RR2Lot+ e04ikH0VHghJ7H5oaiIx4eTHKk1/RKZoUhS2OrhVkv+hqOSMOutxIKb5HpLxdmtAdU2TOyCmgUxK oTSNpQnCGZ5mY3QdRL7gzaRx4NAAVP2KQYJvbi+5TKVuXP5kXFTyX/X2x+2wP0i48kBFzevAN4CK b5K7jjKTBRaOb8YBCCfg+AWCkew1lqKFFfxuq2Fs6LPRHpEuxPkI0JoO+iIk3CCOueBNdtUuY9YZ g9ueky2SYvNLmYepD7a26VUaXgnkylh0uB/0c27fO01n+SB66BZ9NPmr7ivfHh/TLulorxvPIIvm fHX9/z5PJAaJRO2C9D0QchNKPonYGAfrerqtN3VkgOQBrTvYZ6sUVx3fZrOJAX3SL6A8AyXi6d7x YOO8mOr6nGOrC6MGPcifn/a9JAlYYsSIFWUxc6sEk8RwKIf5At7dzfNhLI7lBJAdZ2XOL6nUJ7oW Ik3GTp2gH6cQVcYdQEkhwbq9SA4Or1o+59tvjScwn0rbk47YSmIxFNoMzg2/En8tu5B5GWJ63nnW YKeEDZ5lfkyORdP2MR9QUYWqejjvLsZwP70JrLjZrEUo3AkezngMxYJvaBcodgnIhyOvFLrPxjVx BzRlgKJ9KfspFkIfBO5lVAJt5628JbyY6m4Ya1tXMNsXqA+HuFAeuTFyJK1rQhifJrVY8xA296PG ZaUsy2tLvNBfeldKagW0ZWsn+FDtuLQH2soKDapZGzVPFMOHLsrcOgnvmoqj/kapNlAYbySLAIzj FYuSoButyQgI15KnNonvOMHGAbKEyf8gETaOdYTJjKCI36vjk20eiSFvWlGZUcbo47vRqwkAppeu cNpS+KcV2H9gadvTezQeQVHtf8/3Srdxaokw9FFTOOFY4dmiL+79DpDVWjxmfXg13FmGj/JGBmgr yyFKvGBegik1I6Lvm6GUWzlouHDshRd8h1AwBaye1OUPhwjur4sIWWBdAz6t7YGw5Z6uhTYigUN4 51PaImhYJ9/ZAFopaRhRhA6LmJqc7lMasr+/dx1rIoUQpRcwzJfq3TYw4jtggx6li1vvwa6fpRd5 72hzYQKaerPlsh+4fywcKtRRyhs49HxN/DrVCCzNudNnBRqxdgiVSUjT22PSDplOEkGHOe8L4rCd Q0yw4ecdg1zU9liK+xOeg/RpVkMx6hPLtj1EiVvoohgQW15AbcXBk/Pk8M0SiJKsUgAS89P+8PEs tV5Jyc6holyF5cJN2E0iNsO8jjfXIzOAgmF4T3+XW7mrmmVafrHToWXH4JfZ1eyk7jhUIs2p9Hqc oaYig3qcCwYkKzd49U+qiCm8aiOEGuOAxngqMYWWGFmpguV8oBPle6o/2i7dyYk+P95v7S7ld7QC rlaLsKrQZ5C6YVZvTXHvV4o7cqW53aJSsqRBzTEAdYT/0SVf8VA6g50MVRvU2LXbeRVwM6hHPdYi 40fWTBUqKSLZLZBOOrKaYYgyChg+r136B7bZQsmQPcvY4rZlYsLfEIVcEVpsjEhxiGg38oPBBrBh Uc/UtfZB4nmRvrsNg2h4yb5aOKXyXzfoYadAA1uQkzrWox9zvDMzws0ReoFriAKENWuXCpl9Ocu2 2PXC2ZhdvZKuhKLH+sEgx2wDXU0ytnu7HfXjwha8YLeKD1hEBjZsasR/mvZAfy4wO2UKrTAikAjS mqOhvcM2csrDNoaSOUl7uw5AghKbcbeJRVx+zuI7MLQf4C2DUHcZatTZ2KQ6xoixtIpt8OQJ61G8 2AmhvtuJJo77uXbewlkeAwg2Wt1tpFNUilRQNoR2hA0o+gUUntDaQed9Lq1q97Sfsjmoq6f1CLQf nk0Jn6gXkUaxGWjCvn4E2WMN+03j6Vwe6o7gIbDnQY4LB51npZfFQW+jKz1Lj5qTczFEVkKBJRzO 9A2/q1ofe3LZuQ/D/3e0mKwswN5c3eXVsXzz1s5dPP06D08vOxRscfLGhgCWhjBZCNA0abFLcojS H/uf5MX32UGq1+BYmN+7aRER07WhIsdgVruzqSwzD/SbAskBC+7fzeS0EOaOD2CeH4LMtBdx5pOb 1qT6X/NX8kqtueOmKn72Aqy4qS1ZZVSiBvRz+W09GdEpch9pVuWgzf8lFmKfv3oM5j2hrHelcTm1 joCnKc13iVcalhna4QayRPG9W72T/DCfEshpIdwFHKTl4QSssJW5dfpBfOqb1J0SJx3TtbJvBIbk vdXTK4aZZfN8ic+6B4fSBp/dW+3y16dVchagKBfhPGgvs9PiCyPk6M7XYNYQdAdm88yxgA54iQ+1 cbv4POeriA8fj202YJWl0L6BRLhyX8piBOtL0/pO/+/S/5CFIdXd0hYq6MdyuIFfFLwKH5IcEdZe toNLFyk+tz9KcgMJud6jgrPkuaTMY1Dpn8V5HgaMtQ/YE5cfNj93Fpw45MMqasXWpVHDC0Q/jvbK g3ht6kjRyZHv63UkKENcdGyupXf37tw+FTmB5OGjO5I0DKyweP3P/lHW8Nn11MR64xVkZ/SfAZVc SA+iPZerxhX8kSbbKJ6FOuJ40Fc+EzhUcSk+CQn8wbAzqyxTsS1TLN6Bdy7HO0lCZzpV5Ap5Qree NBhg2KUxlMCufyhMnn3KaEEjoz1enYRpRdBUN+XLbOiE6cWv/Ii9+jiT6JGnCWKENMgjziDdwRGD nUWRGSCnM47du+9JVFKaedT4tf2AJtDQNnUGBZRCo9zMhn39LIUVJ+DrcFHDajmvwtyLs4ubQ/Sk wQPMu2Wt3tjjkzFTj1TmEnKBOakPswbY23eADiIclCY0trevjYACV60YrgbSRE8BpjNyZLwfeyRt SdHWFN2D127WWmOoD4iU0x0mMb+nCK32ymke5Vf/LsALskozAvZkcIKkizxHjf4Z5zSMiFZBtqba m3cRMJA2cND47j0ddExpdO367IYuqUguo7Ed4OPIdlaSI2Q5AV6A4ImDrp9tGnRcOoZr9EOXgVHU KajldUGRwtPkskWypYde75f0kcG/PXBFcAZb/C3VmsQ0t4clPu73rUwEPHOVUE0Op42wKpbCSVNx wQGsrVUXrqe5K7fMK8AOAjVu/yCOFEcxfkhNKdrTqQyULR6KIwzJ2qAFUrdfP8qJaZRNv4GDBIX/ j2o/CQeUtH3hdz12jmP2xljKTwdl3djVfSk9/GfLnmzsEjCXsHTYCepXDKoaJfV1gcV7eH+OccFP QNeCyXDutGnG1MMMz8z9RAmjm/0alBAQklhKmDee9UKUVXBczEzqJo+4WOQVCjF3mZkzEoYdyVZb aOtgSWChHzdpspQVgFC5BAB4mvfe3y+Sf6s++LcdVFKpPplHePO4Ru4AGmQ4ldbDK1zO1BrDmQPh d5BOHn048eB0Xrqapvi5pHz03/bhMlg2+yBBWru98R92gj+131j3kqwcvqPHVJ6paNvoYrkZX9BC Cl40KORR40xCVTlss68d3hTaoia1JUB3vGueGUQa94nlNkBvURCVWQYs6OgT3YZnXBfoXZrPGngR 9v6ClisKalpVYeZMZ2RDAQSA2bqNNuKrpqf9IQe6WXz/aI5O3fqb7swGJ4SkU7ArYixwxCMUIcwL FoMMDx4EEf/ekIXUKSvAVFSsn0RTeEqj29/cJAzt5JQ7BpEDHx851s183iDL7E9TXKPViIrYVmon la3cEpKJHjoVSgoiiQX/I1mL8rOaeOLM4gs4VNl1CBSisgSYvTJBZIiZdELtApNh9t5tUqPSb37d spzVGJbAEtbADCe33FRJ/czHQWzwYHKaItyFv6qq74x4CBMBYwrTMKaWTLww+d2YUL8uoVxKwcW5 mXHrR4HdChS/65AQ+dDHMwlS7ZfiUflxVzX5lSNWV6XE6I37WdZkhL8U2uImj3Us6445OAoZxYU/ d1uzhxF6ObudfOoUnIc7qa3qE1m8rA7rEX+j1xiZ1VdkexRFPuNmHHyLq/yQkiHhki301/u4yiE1 7yHeYnteyaI7sXuxlbPY4ZJ0FAOWncs9DMZYeyPJ8rQJvqCIM5BYNVTOWn3g2XxcHjURL5CLD4Ek 5vM+w1LAF73/rvoxsrobBVhJKpPZyfM03YCqRMAY+bsTaA/LQdgyYxrWHqzq+ogokHTIMw7vw0cS +CWIDKiqHM1RbmOBvM2xKbxxBCXULoBtYWPsKlWmKUAzOHe0C1LS6v+gnQ65L4qVVQy31EPrPEOd M+t/Sfyw0H1nLUqexr/figIaxlJWvvSH5cLmMBJHG4HrpbfVBCWRPhKa5knGHhWzDG+utHrHUtnN hHmTpL1SnKboNrQ0HLg2I3Xq6QQDWBw/u9LmBbX22kI8vELDoco9HCJdibtjDuR+aER+zXUkmSyA Jy252XOecko9Zg0vQMJx5UaB6DHyPuU+M7nkRbmX2RKWw+yEXNO4Kn9qnp5k5fVAWWytC5VkFtg4 Wq5TQVMTP09GLkMnzJO82F6JnTXTfQ8qvNjxq+MimAIqio7jiVt96o+cM6gfe9PxtWaB4MFDE58O CiflLag5U8DHO9eYiRUIOFmw8w0RLW94EzHm5Pdtv23qH/Qddb1uS0GtyaLYi/UD/EylYYTpLQPN Lcs4HvTxjLbYvRva69h6t0sK5fa9wnOU6PaAxAY3BcSuwOvg1jhNPfO6GkTLB7DF910G5CFPKRN8 mpN/8qCxysBosFW65HK5IN+nHWcqQXvxQDx731w8VR9wf4p4spRNuf8lKrt6Z3wyzwhwNxpQIPT6 4KsVpKAqViJ4ijTovC0JCQEJSCLDT7+inbE1oJt9HeRHie8DJcgJmNkYOOny/s75T1O+j4Sm4pm2 nKfYE0iq31JfrtEwnSG+PwVhUUZwF1qGddt9ryOmCRhXBVUPfVpooF3izvOCliKwyXt6/ZZhdkvg Jk1iRG3OI4Iymh8o8LLJFJC5VhV7A+sAQfQoibTDTfTzsNXjwFNCaCumkAzbA0EMScoSTnQufj7Z lt101r2HAwX8fnfc2ojZs1VpqOucXr50W0R2IcZFgjiV6cUSZlza/CVuGb4N+OzgfOLxCsxiJ2RQ CgKB1yXb9YdfHhcmUROIZ0uIvPNuJDkSJJafKWSMb62vluIuGmXUBmYCwVSjxNkpEhUGVQKlIn4H vPUp0Spa8ubqQv1NlWne+dBWnZwjVeLMmKgtj2nUvi+ULhmbVGJ5lRbTf9bAcARX/P2phnkByMMj v563CjK3V+vGg6hgVQCrDX0TYl2+7/6pcrsbXU8GlXsI81sxv/VrkYkdC6aOc8y/zxkhXD1AmtOS tF9kNcthgdWJdpwF57w509Cg92p17G54L+UZjWjRzZqiy23paO5Q03MTXOWlkoSVieZUhoMBrsYd OXFfStD8jREZzWGX07N1qSCcsiW6RIL0rBR/64icPmjRwFw8zH86mSvFhvMcjk0QdMl/3bBO4dcH nphow6xpi3fa0AFpeN9PEieCe5LW5GuZ22zIhNwzXbijf7qxjupUkSsKpH03VsIhxnUbMXTadb6U ny/mA9Cwi7gfueC9grbXQdaPX173v9G8KT93JtS35YlfYA1tDTbT+CkMcz/1z/glsH/mG9ruzre1 Lbe8QHRVn9YJxBy678DMgnb9tc78ARyr8CrBtb4Nh/xXlyKRru/Am9VKFfGH7JMy/myYTHs8sMjP M+5QVXGrLQoc/Q10AOD089R+1JLy/eRebuxnm+9XkQ4dXGnY7eCF385d9TPGt+7mrYH1Rw0J6s5B WDLyXMk9x607+qnrm1Y4WkwzQmYhg89mu2jMGUNmw/xZHLQtWWIwP8WW7y0Iv7M8coZ1XCpKSW2t Lk4fZnsOYfjwuAdWhSRBcK3WSPT5uz8kiK+8UfF2PP/svTQkFEpgSW8YgFVCujERYT8+Rz1kBz5m dd45G24d35RfbY1NPChiRR1K7W1ej/+VoWK9ocipRLwWQGID1emVjZVDa+eFofLRVD6j0QOBETDm zYmiFmYKq/+xkIcJ+6BfFrsg08/TNrFI9KX0IUD9/q3VjjptFFLQqMNCgUIrhyy/YAkgd2xPeiG2 KrQxZuAc2DU2dx9YlUL4MY/TvWxvh6xTojd7108LLR3siCgEnxMdcNskldXtDVB+bIMqy93vo4VX Ig+XjDYxUtmx1ds1us1iXBSzo/4UPdf2cWWaTk0UXhYC1r8wOVpTeV315e2w8pipCa6NREZ2gA1c z7uUV4L5pWz7vlH/Z7euojkPB2K3gEiiD5gWaEkMbpjx+CMv8EnTVZc4Jc9eSmGKDGYr18JHo/I7 T1zA+aOORc5MnOytk5i/IB7LdCbnB9XLtFztSV0csNdJP1vSAeQ+J/77JLqNfu1MylluoeCD7S+O Q8BJ4vFAWU9sF1FJ529+D8yIfRDCVnj9nOnZezyuwjVBHhTyn8p2hjVNGNAkUnfF+EHXFl2iv4nU pgtyfpU3At/PIAVzf6qUHZHwFyFQIIMq3d2+lmvGj7/GgHTK2RleZMMpzzJhEPsFk2gvXzzk3K+c 0D3W6D+zVW3ygR3OyXhsNwYcMZ4cVTOm73ebwAzhkMrja6jrivmS9zMgUn9Ni26UlSNx/aS0atBw NOfplOxqBhEGamquLoZM8FLmo1YiaTkuK2D2CU/yP+JtaAyI3KpTAO41pA/+axWM8zPnKC613sAF EPl2q9tLM+nQtfuUyuM5okGUUqBYfX4Bnw/8neMdzf35srRZyB2gwx7Z6Ua4arxqK5L/LyF1ECQz k7Z7Zx5YafH6iWSUeiC9Hjvo8fWoXMP2frphusxyZ84q5QbLgPhLj6W57JXZ5TGccGFltiPlFV87 eQBNLFJHMJ8vG0+QowD9igNGu9KXQWDQuEaTmqKSgZ6qieroEY1jbrHtr+kxeiDcf06s6+pacoV6 XQjx3rFErpJoa+HH01mcMrR7WO/8jHpUc4P+pFvsBucq3O8KEod/PunbUmpvMldpjmFtLFQJYb7h ghkJIHiURxc720rIDXuOUg674NwrK6YEfa00VGA1XkZJgZJziGmckl8mGFVbORMpZEkqXd6bNNYw YnLe3Qr0m89wMakT2LZ//VgnqHrgPBmJXYc0DjYVguX3JQl5MngvB7RRxi/mbXF7D47evHgg87Pa 4Z/LkfZyoD3YOOfrQmrAxOEcPF/n4TChcE8DtoQZLi3XscIYA5U1eEI+/ASCteVlFJQi9rROlV2g K5jrZkXC3vS3zVDzgFvMMChXQ1OqdTkg8P4TKp2zbl3OLED8xFLSDdVG+n/u/5HUs96yKCKhKOGZ iZTNyKmYATLVb6SwYJ4nI2yN0YMpJLEtDV2easHMrY/TlUWf/MRTtAi8/RaWicRFIXyS/2oYXRmJ 3M+THAF/WCzxQRsQfOuUZeUVIhpxiUW22D594JRCjrUiir0rCB9zjevKP1ouHdX6p/XeUMRjOyhD 8AKSmOPjiO2smYhNCm2hQspyquzqg3zaS7rpjVcLnmb8RLIO55oLQZE3/r8zvmRXuHg9Obrhxiuf /DrdCCMvuUgWUvvX0EMyxQyYjdHb7DfGc5n6GIzvxYe+8ErVROCrXMyqzZoKEgHMgfdRRQyq0IRF EzjSZEXDOv2GJ0vLNjFARqNq6VsfiG18Sty7OAKBB5bI9sEA5x0mLsDIP91o4RDoBegd/Z2OKEM0 nlB+Eq7BBA85SFMiCkKLbF5ciPFDcIsBX+iWqp+2ONQdO9bfqqPesZW+gyz/HeNkL65lUuZejmTk wwerbIELEXjT3YxwDTYw50Zwk9QhbCjhTcanpFi84F4rC+vurvlvvrpbjqflNUp1yhA3fe6X1e2k 8tnMAoQGhxNzPOBE/0h9A42VEYCBqhZvHCxAPf4gHBKFTaUDnUXiXmtg3NH5XtgtTK6KRqWAG5OM SVcGFuGxvGwBWVPdEc8isCrD+HVUkbnO6Q/L/kZyCFCqcw+c945dE9EvSFLn5rCL1uYaeeNb6xPO ohMLYjdvDe8mg3obBQF/qRi4QXuoUxgN1UchQBYFn3PUP2PdcpT3bu6E9E4T22CnguRsMONZRf/e yTo+0Nb7dSpQmDYxIleEUWWOG48wvi7O5PI2IXqNfvNWoq2o1tO83c5T/+V8aZLFG52bhs2dYv36 AezFVN68DpsKsDp6qhOCR43+AOy686H3le+bSr0Z0OR2Ne9Ior7EpYMLKbYdCqytxoFSxvBczVHf IrXqWz+X4HR4H65Z3/KoCJn44U4se+zobCABlOgxwgX1vOgfuVOknx5empMBgpRJqdiiHp9Wy89Z cHwB7aiF0QbUzZUE+4u47CpZlmqGsijRqQKT15VoC6mzEzFEERQ3BMBQWtJGxOQkYxcQr3YTl2jl ylL8oRNfw8Z40z+J9cQ6tE/1dRB3L8xTWbbqgq6Cici7iTvjM93ARNbKmGBZ06ilikxoYoHOfDM3 UkVljAbrxrjAxspaWFNlqg87zA6ceEBm+wwVLLC6B1dZlU35WwhMNUA2bZiajUWhK8SLG2y518Fz AFA3uL8ItOLVGcejkzgnoF5EAx9EIoZtaIGB7NQJVTUB+Pw+orbQ/Yy+wZwHqb/CO4ja0JdF396K dIv4mdRE3q7snfubIbqcTp7NB+bu3zBPxkrb8HgcoIgan+3oxFyMUCIPFB0o1l8g4Q4yy7pBb5Iq DQ36WnQmHcBtRb2qUEVrkDlYIv9g20yGG3XvcIsq9roM/7M45HU2HTzAFGllge2Rw2+q1J82jVYJ wd1qXIxK7QxbeQyXPXoD4SwJQaB2/xBtjJGvGYaACXi0A6/+CgdhNpmTbGGwzQFvCd0I0Bp7uc6v 3wj5qvdof+QhvX5Be21SSH16OcVSM5r8fBJ6JeKJLzeengZKvH+XQgAFiDzmZvPVxtPfdxDfd1cx S84UEJFIOyHfMGdOm/wUSZWttpJIvMD2sK/ONDfNBwGc8CYpClh8GHVw6bPQKJVyBEDPYwzXLVfa /Sm7oe8CyADmpr0qACn2ovx4UcNrQi6urT2yAcu2yb+1ueDGznfjO85GzQneFd958TILtr1RQ3Sd AG6vNArszxy9RPo9jN5+RAVoaBWIsshBZLW3zVasKTLNNah1QmPH4F95MUqrPfbo0dKRpPCQFkdO pSDLy6cMh+eL/TZZHuLxTsxxa/d/7BSgwU04XSgXzctPoW5l3f/RpUrxVRJumvoVLZpcI99ldQM/ s2EyC4obTfq/nnEz3hdS2W7fvu5/IOzMHKNZlK6HeW5r4mDS0PsUZlFE49lfOjsoOmOq5/0Oym36 oNoOUuKPt7htNIEqn1SqXZj7sCesV9nGeTUNStNiMnd98muuCjDGIR36G+4lohmnWl4QW8kyV8NQ obizqUTZjpzqr4o/xeu30ec3pC7IsaKMmgVBw2slFNxm5ifH2h+dwlf7WJxMEo+kbKe3MLYMW2Io XUTSNHX+4PYFJ41Hvt8Oflu0YqMz8PUmbjFRIyrHtqPyx/JQgHvRnUleGvIiRPBFrxBbd4j6RoyD wfE+UL993MWTwcSJFI+VF+WbissBhoG9OSVEMC6o3sJAueHe0iyIHMLhI6FQdMfFqTUhgp+zIT+o ZEXeJvrsosCb6tGc4C39JuwluF2Hv+EXFORcucY41l4Vrhe3sCH7cogDPGkjwGhogiKTJhrLSJhf T7iFYG3zHHh9Y5E6tBZHajsEzvEuP8mg6a7tVmf22n9oCIEmlJyjWbfN6/EXQqEriFFprPqw/IkL kUezvYX/S1dEAZ0IlQHhggoAV+3ob2qoC+cv4BOeYSuDxvyTZFN8mzfzyPDQ58mZ+wv4CYmakF2J ThY2CYPVAonar83TdYSDXcvFREr/0eNDcw4PnC+VFFAAY/OP371wg/wKIjfwlgotpSV89F6snASb D/UKIWvg9L1MfZzCCTO27dLeQZF+j3VVjK14xXFa3hIJ8MnMKw4rrNVYmtpKPUl6VJd5jqAl97qB oF13TeOyfsOBzsPT2k6/VukB7bnk5DjNpnEY9vRvMJiFsyOt8VGCZe0b1nwZgRXH4tuOFD5JRU8K WHvlUq7MfzO65ZiIbR34B55Oi/VFOpWG3r2rgsuMlvHmCJjSoS/AR2Ns8QTG5zCmL1bqDzoxKNcL y3tWYMkAhyrWNuH/Gx1e9PJDqP0eWzWiTJtVj1Vs09h7heF2B7KAwcs5TfUJ/YuI1d+ErsYGDg/y U0gOJEJlQnw8F1Rd5fKyTCyrlYcWQxlL0HZoour1DcgARI4T+3EC49jczeRyl2SHAJwr5QG9LYdf zTytXjYI4U1abaSHFktrfE8UrX/dlifLWv5tSkrB62QlrHmWVmuPXEUCNg8F3BOdjsTqwceJgu8z MPLSaZ0wFfe7ImO8sHEH4WFcWwpJ3YcmnePOZmJD20LnC0nexJl7xKlB9oQuAsq9CvHg3QN/Wh60 D+wJqtO0ZzbPob4fwnniNAVRk0oct7F1NNmVfrqUvisCgu8PrwDHByR+Pxgltpf436O8rBS3/N6x YavBkNkRxGns56X5KxSqblNRgqgsj5ytTQtDYCXyCeHrWk82jlH0jBvBKBIm9oPyXmUxMY3uwOR3 QarjcIeeJDVrvhIp5rjWOMpvwALVveoU7UPwPK3USGbo9H9D0/dLcrAC7CL3yjOVoocDLVHg2FDs s3qI2uDXvIGQZ6aHqz0ZnPqu8TUVPaDqwmjhT5ICD7WAFUvttP7ApS9x/Xt3LWaSOVTHq0Mk4Xly zoPWWwLnngkkjeCUCjpztoX8IqQfRGP7GwETPhyzWI04Nia/E9bZBiZx6NyvAUxg9LGInaI/mjKd 39Dqu++RgEKLVmCJxz6W/9Z9ZSBfx0OVFGRD+g7EB8cGjK06iRA8/6y0fg/NEkTzjBynhAJDUjYQ 8Hex9GpinpNndsNaose1QWjOpTf6C8BAxiSCMdg9kXo+eG49f576WgUwpFSa4exaG3P8luvlwwJz 1mPKC/m+J7vZcSRw3jwxisOBIX2s5Yox+PVfWM6ejWGEnk5DdyWP9pedYH5QOh8F1fXgPy4HRaXw PljdJU11hIAWUt9cvas4eAM+UAT2YibJZbIBVMW5JzE9wlL9FbgjQnlAcbURpZK2QJjb2tAf5DAt AkanOGexMBF7Rn5coGnVdqqGFzwp8Du3fzvRQf6xE+ftKMQDaq1SueQ8Bv5EyzRTUqyPDRqlxqiL ftuJhvRyNmbDxBnUvMUxf+i6kCncpV0RdSBlFYjS8TZMyyCn/8yU+PVVskddGszFWoT+IAELEqKL o+nLBO1wjT5CQxfZE2SWDNLaTMMUx8Hy3KaP4dfOdhsxv2rgpZ49KdPW+Wp7oSopu/79QcI6Zm04 7Tjd1O+JJj6+L59u5SBi0tZjplXMVZw4asRA4cnG5XQcF1q3TL2ZpOiuR1/F6XAEcHF/ETuVty+7 NOkXC/9FbDW1Q8mKo0Qvt/zdqxV+Uej2J1xOLy1T7t9ixpBoA2oiYhcMgSwCddlQ8FG87rs7BDES 4ldD2tYYP0j0iTIYCqKHk4BP44wx0rRbwzgGw0g7OzGrnaI5AtXrUsbQq8JQWvG/iGhB4OoYrb2m VHs5YJ2p1R9LlpJv5U8ZzfmSeueYFqEVRhZ4R5SQTednFNm3owUcqmME7iaIVSZ0UyBXpQG0PVI/ NU54GXlWO954bj1tnwlTcsfUMBiYmuOQye/ibu/b56+AyEadAN2M2zy85gCZ0lH/dfLy5xzihHZP PTEYmxXmUiNcjiPDwga62EnCOVOXakdS+MM0qzwC8+yJynOLVjJIpISU3O/k8emCSzPGLhulVNiF wQgQBcyNMSQMWczVRHxreMy33h97SV9pw3IIHaVuscnZtoRZTzAB1EEcgQ1ilL46Zw7uI3KqQcBW eM001CzdC0SuKT9X2aPTb6IPe6qitREOINUQ8wSj58Q9meuR437JzxX7s5Opn3A7767hN7OZrRZ1 DEYPJ1mvALrc7tY83rtU4L/wZWKuAbnOABna5Y5Iv3lrSczT66uqHlVq2kUGCVX3ZURThNJvBmKq fcAIpNPUhVIeNJzZoAjfPaIYHfWU3f6MTTElMUfMUkj9QE/KLQCi66pnDFux71pxGjhc/pJSnDTj UC1rWt04sjVOegx+FplDGFtmWFH9C/OSx2W5+Z2zanRj5J3erbdVQBCP+xHloTjgOKaap4m4jv68 Ln26XK+19YYf34W6wk4vGudVfKJnkgb0KXKNmrGuN+gLhi7cwZMckIKZBbewSsdlXxcg1lFY5xeQ xHDAT37Of1eVRYuiyztEj6nYQmOrYrjHuSbyyB/wd6Eh6sOWut3b9nGeg91g4V65/8+QC5934GEy o2xlGb9WXNGgkJat5hIKe3gd/+GeM4NUDvt5tUmEH1PVg229kojosbHGh+xEg1cIS/tgTg1TeqJa 9F6zusln9FTsrNarWx7wC38HlO/hylTwmSUFCqoqTRwl3sk0dJk9Gx710oimI0vhmMqq4oWFB1U8 M/mKbxgPrSueGGf0EWUoZ46aRZ+NdURAvrLhhuDZhIRxJ243sCU7wgMib3BfJByfmEjSWfpybih3 o41E7tZS8kXAaOKZ0Ovy3pCt0OnYQAlz2bfIxvsQClzGJgMdXbeprKqAkGxM/Z8h0o4aebqSkXbF YUZK0BDTZ+qW5z7pSMRPGOx7uZergHEpCxsqSQbhW8I9zs6Tx2u0JcBTk2mefaC+HjBCCAnv8qSx RfzYfiYSo6atBu3FHvM4ab7PVPrvaAIId8uJ1tAdmJHauTPA4KOplUWempAiaKzVN6UkUtbFBybk PzCcFMnzXOumkkl+Xgx6LTwQLMPrttOoGZsyQjW4Ak2Phh845gMw3oZUlLKWlilxUHfrSXm2f1mZ 01OFHmpHrUW9JfAXZfEZ3zSfia74i1fvuLof0KpTlNBEgT2MC1gTCTtuPhY8CW2XEwRene3TznmE SdT67bmFoJ3IHBAwdbz6VT3bHviGmcGkZ5q+QZc+GeVCPz1Ox24tvJOqVPb2u3LMXaMVnp2i/ryD hOD6zg3G6b7K/QtCL9fp6dzIRBaSuw1e73pNfw/rhiCCp7iy7K+/046xKTsJ5nJM231I7hts8WE3 sJRN+aRcQL7sR8LTYJfUMH7SF2gygmgt6AzCraZrKJtoz+VZEnXDo+uHd5g4hFoQkBxe1zHaG/DS k1y1NNrPmzI4cd9T6MVFByiQxveL58h6eIs6xKowimnilK2Kxn7nLGhKX5JfE0r0ASzeCYzicvY0 NgwuRXOgKDMhw5G8duZTyuaG3DPyvrI1eRyJEhJMbZJwU1Q8BU6NfYdDVDzHE98VHM4lXiITOfIf lnDMbNDSjLDgBeVYxHH1rDgYVPESZQrbhem7fq4KALFrnRDEhq3dW3QzQnLZJphgFa+NGeKeD2D0 /HctRbWZKIunG3idPq3bn9X+6uJaw9s1htTSYMTItD8ZfLOHK3ECFxRiGKyWBUdJDBrz+0LJpTIh 2H+v70H6fBMJIcJcid4GYN0jPUf+PfjMSivJS8VqA/oHCgWi+iQdzsQ7Tpf3Jz3yi5C1W+D7OSHW yAuj1d6i322kEvUStt/VodGbpAgwNcH63krAvzPFCHJxoNhy1v2iXXzHqEvgU50qkQhXG80QiwuF sZkG9bD2ap+WFTW2f1pmhSu7SmGTlLogJ5abmpjCCo0Kd5/A537ng6Xj6bKdvzlqbDzJ6lU4SaT/ qzmn40VbBoQzCQMpB38ocB/fzPn0jWCAl7XDyD20Z0KK35A6rKd1TiTqyhpEgrW9VBlBL7CdY/G2 L/UTMMHoEzsfpDBCxYD/0Ee5FAMGJIOL68hD2bPn+U50ouWdWQkQlNjLjPMqaYSni96IEqWKVXY/ 4oEG/HorqfoLWGIv/G9t5wOQaRdt5HiqLV4JUOHp/wuVePsA49Pnht+TP+P+qed5TbbpmGSPtEk/ 5iEJDqHfvEqMzLB1oJNi2yuIJkOAwq4uG3JQeDUYo5hXUr0RpXaKJ6KIjg4WzLm4J9DnM+q/GfdB 8dJ54zCQpc36g642i7BA4yoBwymMJdhxUtmlYdAza9JeFaGeUFIPzmumfdt9ybgWgGQjVB/eYq1e XZ7PQ94Y+Xiqr6ezGVAhlXUp2Pda+ssqLlk8Kp+1F6yb40uKS1e0IQ6NivrzHIRojMhdwDpY40LX 0GvPMKngQZ/6Tn2kzU+qG4vT7QOSaBjCXd20nDgQ5VnOwFCNdKWL+IAiN8MTHAxdjB5AFV5b4F7z Gl9HzWEFrEE0McSC7Kpj1GhVoZGcDM9r8rzjlqL+yTgeWbtXNUUenc3BxNPZ5OGusnicISu0w80L Czyumam5uucYnBZ8ZmwzSD+4z6m0BxJS1HH5NqNJnXS/ZccYZLdhBg+1fGg7STdIGXMxAU0gVbG9 vBn22peePVE+bedPiv2dAbFAuoESR/ndv9clQUSXqtq1GQuZrU+ZSwNPN0CD8AI329W4JdPbLF0l uwwgRtfHXUppJDy60AEyJ8b0H+pVqKxC40DODFpWuo07bJr6xdDVn/brASrq+7SC0TzknbJ5r7wo lhpYceUKI8xJAjnKR3B3oTkp1zPNw3ddc6AaymNf66kX5+xYbMFWZCaB0eyEoOs1b7H52LGwy3hE FSPv1gml3nHCA+dd8uLRrtwh+8dNVXoHzU1IPkd3HAxGeNRjNqN2G2nSDl4nFSo5c9I2sgzMbnNb QzD4KkWRnX8/pK1NDh6TUj+65tRhCbiP210fTrpQVNcmA/DKP92BNbYr56XtghJll3No2NaoJ8Ws 0uxQYMRSIA/47/LDALg/NWsnbimTt50TtiWfDfJh4lqM+CUZh2fSxmYn9kXV3PoNrnlbdKmRHHfT 93mfNMaSvkbVdx1l7kC+oZEZDFpYL17Eu8eIGj1cIAahnuBENIrjnLwpwpm7+NSraIo80m8x2aq6 pSiCTAMfPkjdgdlhCvayhbIzP0ryHEqaAH+SeaBXL6cwI16CafcndWoCHb4N8COLJjo8iy9Jmn/8 BhZU8sPSr1E7cHvw+uSlxhrhDQTXaj+/8y75S719kBWb8+oV2UXZN+OVgR8pNNrSxJVb8tovSefA uBVnYtQowx2fkNmejJWdNbQPl9PVchnUahUcYN3X+/xwg8NYnSs8ry/N7AmpI7WnyDB/xiq4E3Q+ 3oxVSar2GqTt3cfRIEwF8JH0a6uikur8BiIkMZ4zy/e9o8btJZKK+xwqD+hkzcdjIWmJkwfji69y 8ZXy1jFWdwZU7V3Gjxz+vvFJBypPPFonuxQqWtZt0WfL3PPyqiEOYpJ4PGgLXgGxZkuQD99sjV2T YOdM4ZtmnKPOC97d0XRXE+l2GQ56HpQvlWaN9Igb4SzcOb0okBop02BNx/v7VMIpaSyewgEfUUHy daikj0TmhWep2zuF5tl6+X8nuOOjxPQ/71woXMxZr2Us2wchEYBmwafvkxQFLJvTdpSDInnNwO3E 3nvY3S5C+jlUGfa9/BnwTEmRW+BRkD/0LNvX4zt0d/1Df/Rnid9eshQU2M57UjpEFZvOsZF1QHKS 1MfXJOk+HKY9y0J5p6sCkAUSsSniTj2+DQuATVuVXEq76GfVwN/Cll/GrBvuOsNW8/mAFbS8hsLc sQekbUZHsSzyT98TZMK7FD134JiNpIQ3/szNZqwoAByTr3Tmr3PgfHx3qwc3XB4FQ5Rcf2PhS+T0 heOCkTd7mzFn67FwMylvfma2JuJ43ZMmz8/MQFQ8HTnKYaNnsb1rwxv9QBWMBHlQToyhnrHJwzfi vNjmaWbK1Vg8ZWUbp6tY6lKyRepwe2dRB6VhQnZWlHeMZdjkMc6NH2Ov0ulamb6CsrROGFwqZ3/8 G4WIPRmdsNZ00JLpF0Xdb+HoJP5D6My64p6eFgu2hEIHAEE5ZzHnwDtvtSd2+qB8nTh7mq8KYouQ EwOcLZwG+xHsxUtL/i9Y/dNNR6eyUAVIwUj1uCpwyiW/JXRCz56GjkmV6C1ygkpWJ2CKI4bDmZkB CDXscu1IUn+QAXqkSTYNgwzS0NyvcygyHi8ZNxKiVRyW81gmA8jrBbInANlWYFbJNNWPG83Cc3GH X9aQSNRmxOJlGbfV8B9EgqHCmhrCQE4NfEppIXGF+bpv/SC3Vv8OTy4dvjRJq2Ue1DTu0/suNGJA y4ABPsZrvglwd7vD1nyMLGXsd4eUzkqu9Gan+DD0LC09T9UTJPkOnV+Rp8ZI4gHSPNIq705er1cZ UYcm1ve0dbX8bxJnaCfoCWEcvWdrf9+bqC4D0PtXrWGELv7Hc4s//It0vGzKKRsuSNwya2dNBMwJ HMOgRnqCXKnVmOIBBsTwMzqnVffAmgW41Ohniq/JycE5bgTe5sHOoCWc7cyvmM26+ciCDJST3ZcV RR0ov7GnsXb6ztTVEwMD2e23g/alKHnxj2StB1HotZZNYqZ8edTf7Dbq/PXAoE4P+ebmxqN/NNj4 VDcpUd3TnC8C7y6Eyn4Prjl31juUY9nAlBQl/oWqCQhsy/E5mhKiYiEI11pLXq11lyq1mug44zkq ymedXX1xLjvWlYZueodhNFpkF6Y0HJIjNYc7Ojcnnmvut9QIaTTR8KrFKK9rFoEuwJi0o/shD/h7 C526qKWjM0lznwzwdrLc5yFoOsjrBi1p9ym/gXJP1+SxhJSZN2EUbY//5Y2raBDYEj6vQJ3saLt4 ncBoZ9JAmta7HqzvlmWT+Bp042hxfCNlWOdk+dDJhl1KqzZU2uT1H82i0sRwXeTC8E4Sj0KNxjfM 1BKAsVi6ucQjaga0EmfwAkYrIBWmdp8hXJ9E2CybeNu7c7CPhZn8e5HcCn961TmmtIY6cIVjNgcp PuEnyNuM/FrJ5JVsHHV0UU2ha6NxgXVj1+b7YcoCzHdhGiYQSzIJ/L6skhD7pPC7ovrQE5wqFyu2 P9w9h1sGx9/fSHLMMENdptuD1kn3J9YeJQMDiKk3sKhQVWyAIneVCYyi5R6zI1kOGHH8LE5wU14p aneS/9+sK0osuDQ+k/rjFuWaS06I16vy53dO4qW7fOJMaHafowPSi7LoIayfPC1X/fDFiqEpFW2Z 4GXBkCZRJPKR/wpXXNEAGHHc0ou/shVzTlViuSbavH0ad3KUrltPyohYKL58IhyhpCNi+K4b7Tn/ w3+dJSypai70udPq4IRvYQFRloJzQA2YdmZxZWO8uRfYE8FP3BwTHEY0jA77pVScqLgkd42aWzA2 ZR4o0FjZSR85EBX+yKj/BzR/vEvHqoCesSEu2YKnoTZqKLXQm3MIbfbXjUh2IUO+xvfWY0hbLoLM wsTJrq2IAZNrqG7XMXm9EExrMnhkq97pV1yLNfZq9gllIK4G6SO0cPGEtFtVfHAV86Xl7YrIrPN6 KQYfO0rIVj8pUep79+uYV6RjDIXQpvIOw9zn4F/hWz9n+fdt+ZW1iJSWRPRyo56g6HIbgTk/AOrq cP4YE4/imFmOpy0blhG0SqKJiCkkOneG/UGhuABQMQdo+T95x05/PamhssROzz3cr1BYCNMWAS4c dJYRCTFVpTNQ1qIbtdw6XXm95DZV4eLZxSJw9JuYBFN3EOo8BJlLpAUSNK4CcJ8gHKG94MJMuOtn rXsPCZGtc7OTuAwU8my6kVbkiG9dG4KsJF2EtErMg4NwB4ejjjCtAZMZFuD5rxyFxmYZoQugLiJR IpAImIztrXgL4V4l+Nv1+An/HKRndfow/EHI7voOQQThIOxnKdhZtqMQmOJuSd+FSohZv7Vh0yxe 5oSA+Mhiqp9vPCFDTJIndMTdDb9sRAjyRvAV5xzMueMuFODVz8XMt0lSy0UL4MbpdNQRNG2J0va5 I5wE+LeP82LnVo2X5GLZxGmg5cBVGGxsaa3q5NC707BOlY/eiQdbOjlVLoXHhfm1K/V8YvCVRFie hJPSeDbT47HO3NutdWZb05+Fx+r0i+HfdEpw2+zCspLfyUU5pobpFNutizW/dWWm00dMDyykLqha j7ipRCm3g0e2sV8/4H7pdlYnNq9UgjsP/tLvwht8y48v6yhR5AVxu6DAPtfCBzoSmCGH1san6930 limXQ13SzOiXlPE/S+OqnIAq8Pd6zvegq+BPkxiCPxIXT72KlZWfGma9lDyl9fSYMcEWNvBc7a61 hvz8M9z2mE3qEW7EAlgwf0FDL1IdAMrFq8SnHsareZgI230SkluEXiSiAktXPEa6i4wO9n4/fUYH YQdWSOx3okHaxo1L5f0Hswt8htEKEvYYZeiFxIPTMwE03Vo0sSMbgQ/GEZj5dCNlvoq+9LTVOwv2 T+i79HWc/3brIWxoez76jXDIMxuMMBs9jDLp+zDdMXOnxuDGaf/Du7J0pxynBnzd6IVG0GtwcHSU /cEjmoCPDhtVZdqK4IaX3yBMkl+/dRdJiDyaTVYAZ2k6WUWloksHkkcDUGR7uj51az9O8Val2qci scXp0Gdl6RfM0au1AEkjmDoI/dFNZZ9iS2QGUxgo6/svcGm1p5Z+CA9XaoMd78+qibCtieaVM7X+ tyMQdYLMZVY49wVVZTz9AUuyEB1d01lekECk9rQkxHicfdxFgF5eP7Iut2wJa72ozHTCwEYg70DF YqkCZ/PxYZbwoKiag6U6UGZEoL22/I6CorZloI+XRa4mv18GaJZ+RK1GOtEg75baCO7E5fqtHky3 HsEIIuDVNzC6zJMFJ7K/S4XzbAwDWwddhU7yPF5DIzlNE8K71UtkPx7mPdi/Z0/IyqWGcfQDXrVl lsQO8jtZUECBoacC5YoLQ1sz8o9d1vX5/w51mm5vnPsA+bwi33/G6XvISs2cYIlnuUFwhjjXTgtk m7gsyBgWS4RY+qSTSgEe2wDp3tTgM2HTfmNW7WEofOpIv9YarOTz/QQdMlrPZ2qM4KVfsKlZ0noG bhn8CZ9V7yvJsSkskHwb7L1BKd1l/MsT3XEk/3ZBekqXUYYhvc5yyKsv24YM2BUohlX75mFdQTUr GU0Sbu9DJTnxOEflnEVCL0MM4wzMY9vZ6fV8ZyFsqR/T3oYo3mp9nz9XrFJBnNEXXhLelt9kL3// M58LWgkUtAosaDS0Bwz4yq/ZEs4eZzwfaY9IVZNAKk3JfWUGKiQINLd+VMM5OvEeVH1iMG2G1WuT dmtMJdxSf/H5Ko5br6pL9YhDhHW0R0YsnTfHWcCfzAKpSOgOTWzIFi7U9PXrHoP6nF7MK9mlpGim uzwS3B1l98vhSgemxk3KaGdr2CwLrs3LE5cqRt7Trw4qMyxiftKnvtEJN+V2+pAQ1JHM/Ol/O8zC H4SUakEdNLt4Se4Nkx8BWkW1l3XsqK0QKgkF/1Y7ErpVXmg3ky9/Ixwly/wxby+GYq08HFm1KN8S y2Y8+MR7Gt7kCBByNrWqoBtymYS38U2seai95SKvpCkNw2lGLtZKhtXM1/aXrA8shK2IqG8uZzSt 0Q2nFwkYmd09OXTbRqhmHyg/OAogr6KJiMjteqZ0I4TWai5tyBVHIG7cZwqChsu7hokKs/o9FXU9 IqBFceb09abdohPOM7qks+LA3F67d7pR13fqN6hHyWzpbs3gRBWnlrQNCJKvjTxZNde7iYX0w8DS EsJ1Ud7Dmor3ybVmYRlrd+IqoQiwwryG5rjt2IUmi9kjoop3eP1dECaQYGjGW4DzIgSeoyv4Q2Wi hkG6XD1f3DoH34gsbkkUJI0PVe2nOcaez4lMiNUgH7A3RLq84pSQ5p7aBxUTKaBnTs8XT0a/MfQ1 htT8fDurYLgidUXziO6V2fhH7tQVIB//OM3RKjqxegXgeUkyZiwOkjIW5X6TCVm2gDJGpz0Y/uVL zndS1lu9uhdZBZZW2/RHiysi6HQ32e7O31d4thMLoOqravzRa1ujvh/3lEURqhXXam7C3bCb9mk+ vpaK442qGvN5/cF5MoFASC2LMiAF157z+G34pBbOo//6/yinl4s3HwsNCCObOV75BHLwnVdBeX3V s/j/W/UQVqmhOVNewsps9nk8qHdqbb4HttCwIJfrlnekJk2lhoKBZR0GVLMDKew+RGQxG8d2AEdp Kxwla/aJQL+jCTOevQQLf7ZY5r6z9m49UW/g451wwLPifHJKQx4plbsjnV3icOLhDR54bs8fxKYv /W9z0cfH7xcvhgn+KKHaoBpvcrCP+i4Y/69PyVhocxSn+PDxyrqnWktEsVlBAstR8wIiYH7kf3kd BqIQ+TApPouvYKMnusYdg8aUyFrxyfBm+COonpafwngas0hebAAJH2hGf4ElE9um9ISHskR5a6kN 0fdSwe554XrdUEjo1Rned/abu/9i8GgwTYi0f9yT8ZJKda2JcfigK2nGeYI1RhgzKtMeLAt2vVv8 PzZ810FMbM8DwwtfjbH9fl9wYPtTagxS8w0bFRPjqsvO3JFrdgr/WESKSWwXqSbrnlKBv3fMzBnN gELBiv79LEKgd/z1SKmpGQ8eH9cwnLZG0jNXgJZh2CNY3bKlHRIbuBkDkZfcgFXRI/oOVbjS0Uwy BcO9QX0bGXOK2KrTK1L93S74SGlSr1homDRRBwk/FT52umdTI2cKN+CMivweeemWP4zpCIZ1Zz5H P6eoCQaFwRTcSQUaLQGtt70/rMHTfqYPdAArADTak92BF6qPOhEp6RPEK7D6GKGIOmSKqxtiMybv fPKhXbsdCBQz1FnWDXPUuhqR5m765/r7NvNyJsCPpyYzwboxNdzKoeR8dRJkVttybZTc/kBJidz4 5WFt6ACAHC06033ZChBtZ817QeClSccErbeQAliO6OmTiOOyq+xzr1oBaTkUWH/KeTk3QNf/EpTZ aNEPYcV3j6xzZyaVgeIt+qC927w3A48b5Xk1U6ZkPI/gUmA6bRrSEsDpGPTCXUDyWM/YLCdZEs7T 1YwYesDSZijYYbNFmU3q+e6p1fdRPbDe/pp9Ec8KlevGcAIM0PtB+TEQ6x/NenFsK0LCzqZcvRtu TPvwoV7r8Fs4EVDxNXldAzJI3Nh2eB1CYCDUCMWb8LFvTOqmTHyzIKNT4NKBcVDRSRHdHI5nwry5 BOzKgfNcxmrUlzILAND4rRZx01fw/oLXX4tYT96aONzb3c51Na5z6QOrFZejSbRN+IfKZZSmw5Bi GMvXpJ8cb29jcAXteNZ69IbaZ/aTdAQNpXFJq4ydoJ16F3/85ZwGOCS/J5ysGpRmfLuC1uqFN6xn VO8RyrZyT8VdFQnBqPyEj3WJLbEmUUJg2w2+qM219MBWf1kLkFO0183j3S1NgoD39gHJGCy7+ekc eHRzK5tEU5364kVcNpKP+ardqR+1E/ud66bmHW1G9S9YRUQTNwJxaDJTjakPtdhQ8mssI0uu1BWI UyRODM+rlWPhhyrSKy1MWk7VErZvnlEg4BFEBatFKMrMcJzQvrRZ7UF/er5JZJH1rxC4bH71yhPR a8T/3ehjSHQQstVB2NhIUOft9nsjSYPB7psZ3jKjyiPAfzkhRVIh2amDpDnjJxlT9BhSf/jrlIXD liQp+yDbsWkYKzMuI21h9Ie7a23j1Wh+7KesGhcEa6xL3yn91OiBdwyg820iV9cP6G/+h4EJulN0 ORD+DIPHewEKT60GddJUG6dx1TEHeDFLiC+NNl6cXjS20PgOCb+WF+PD+uVlEDVLc3896uBjsGTS MTVDEl57grfW/cRLPNpEGHtutQ5KGhJco1SlBgLZKkcTUq+YDJekxZFm1VaJ/uTTwW4XznwdfYpI MoACVCrZJv57XshbTvzbDVcYL3um7ifXb6gDeeT4i+PXeNUh2OiAhJ4A0wXIX5rXlk/kMpO2nYDJ ElfD3ZgmCs52qGgYPPatyf6lv8XL5gbJd9yc0CX7zSXZQ/TlRcmUTsRdVFnuJYAHM3EhRpDNzEeE uPUIz/K6H4P/T/7Spn0+JfH9u0ZqLw5GIq83VEo3xshK50yT4HdlRThFhD/xZPNyXddV6J8E1IHD hPFTa55Hjirm9k8B36Cf4uOZvFZpoaBbBfLEj2lWCrwmfrdIPNLgbMaHznHk0juG7lbm97SlojcP +6nOWU0+TzFreYdso/WwlEYQf5P0T3PZI6FmZCHM2pJRjY2a9qr57yjKR3jA+ogckHyjYqe/YRGb TdTiTBn92LlUP3e3saF+kt8a/9AkogUxyXjtGMeHEIJ4CofmHd9jo/NJsegF1a0nAKwqkH8wyb1j xExeJyh6HKcB8t0f57xh/NvyP9B9ZVB08zjZZI5u5//4yj1pF+4eWHOfA8hxqkC8BGZ5bcdjYXxS mhA6arv5Rv1tgKbtEaLBAq1KWVT+zxx71GsfQfFWDTSYziZwm/7rFcFIDBGYvRoz5CkawV+bHl9W 99dXlPH+1fa5UxtU0kw5KbfnEj77EHAny5sxNwwkxNEYe+Wi2ucRWMO0lHNUmmfgRYzBto21nayD goqt1dAhxTHKIErimLcMRb9JG4Na30lgBCTETHzbv4oAdy8NHuJbPKnsjICHUZUJymGxw0EkO+bO n4SdQj2gZrDagBP2ObxHCwoW4FDIaGu4gE4OMAZjhSQh+Ru+i/wdx/RWnXwyfZrZaNYMqhqHDhpS ihNpFFqf1BNeiOnupFjxfQ8P0/2mY9oKRMsrXc7L2WgFtoKoyzCQIIhI+jSHPAgix6HM3rltYgpx Y/SKa8YubQbKmvMeWsHFGebE8ZFwjgOPiV1JmTbUCDnWEc5Z75WnCNk4nsJTrk3H0za2gnWxaUtn 6iF+W8XPnoRSzExIoWUTj2ARt2Mkj4cV7l9OvEMWHYhxRBI+fcy0MeMg+MRd0MgW6nXHIt1wjY1d 38C4njN1aRamZF6L4euXN1kXNjk8qGB3ITHGNzGZE5V7W/0jocpKMqTDM8adFw67BBSmn5It+o/j D+srts7R9zTDBf2pG24qQY6hDsMpQLZ/gXVVLrgzYL8tc2P+MHY9w66C0qm8FZjoBEJsHxcpOXWf 7O4XzGjtC/nzD4j6U/wdcM1CfcmYy70M4C60rcAqO0V7gtSK2Prf+AxGu6+JPx7rC25TOr5C1paM jsBcg+etwY//ur06z0kbijRiyZw6o/T0l80hBFB5zpaHs8ZI+YosopqEq0dxs8u23BhMCzEr2ZC6 WFLdr4WXKpyct9nLIVwldorfvla5Eov1bVYW7gg0UqUKTDM6YcY5G1BWDnkisgTjJW1+VNw14Onc tatpp9gTH3G0bdZlwcWJqSLEjBvI4cZ3+4TFBIQJY+PyESdXpJayE0SmctLC7pP79PTrNs1bSfNc RipKGxCsvwJgFNxXh6pMwDKMpic7m9+v5xs2HbtsCmHCd0S1hnAxwBD3zamAGE0CPqQqkb+L6OtZ oWcB9VEXT51RETgi1q2xoo8OxWH0UgbM3Dd2Ry0ApdBhRBUgTZeWJHbUPDiasDt174mqtTWHszgS 2tAHT+6lhCvqXrOq9ECTJT24uvMa6SHe6MVPFIAiesxPO6GVpH6e6sZ1kEcjYFqHgjn43s5n9iV8 LjUQmEnZovfhQHC0UMLhezaoNjiYJtnGWqDBrqHat6m2s/uG+5GPmlr9Tu80+mOPSbTdnJenrcMq XROaMtaTkVW9ZqXEuL6gwcY8wAitt+paO0JfW2li1BS0CehBP5Y4wOHB/VmS+3BS+RtV0SwtZIra /CnuNL8vmS0P43ivrOpLcD3ETqi9U4udv0JFnwbm8Q7cZT02FzeUyPxslEygg0uCAnj2uWaZuxC5 mXjLGe/iOiLXXygxrzonVURVimcvYCvJgI5nLq6F3YJCcuKzlewgE2oF9lBm8KoGodlqXBRX2qn+ FyUF62QULhM9aV6Bhzuv1GT3jk6Vl7NVH3N7WThIqre+J3/+qjjCfkCHlMFFK9NYGVksvkn5orYh Za9WqkrLcXYCB/wW2v5w2Wb8H5jMMUcqICpEuuRDX/DdqZUawexYXx/3N4DDRhZIT5W5u6JKA/5K 9HXJXBnw4hbwgCwmBSqDNlhw45lPVv6dsHnvAv47KWWsN++3YY9hS/j1/Erpz9U7/0yhcalceWFH P6RpKTOLSPX1RM8mfn2dRohD4p4J8EU8pWjt91OiwrVeW+VYw+9mt09DB/t2WA9QcT7D/Q052Hry Mx/QYmf97SzqpHLjc9DF+2CJoQnzgAgfsnPCIwHAPC2qmJvv5Qu3cieRt4vuPXTurtP8xpCmQwlR Z3yfwwmcRK4T4IU8llP2EW9QBPTTb9hTw6GcprcgChl60Dw3yqFTArOaJo4zsW/ElBv9wAGLn634 vAidny4byPfhxCX8rt76c+f58o7MvMGQGxWJwrd1HGd9iTC8llqw72LJhLG5Zm5Fn8zZwewYBZbL g0y/3mmYNTbgKgKVNUYquNSK4o0xlaMgk2DWfg0aAmp8NnISZMW9fIbXMoO/nTJA3od4TLEgrv06 OuRmzwsgInsG2+GwpK7nPKML51skAlQhLCOaYpz64WhuCjcgli9/1OvBJ/6NCBWDG9VFxLL0CNjq kaAUawnXXpffUWHqHFwDM7EeLJfgq/XjEtBvf7Ilb/js4HDXI86/lB+VaR/MNbSPp05cBxS5xQUA glQXuLlv2YPEsc9sbi/q2ZC8YQPygFYuQmnERwz1HQDhXsMkYAfj1YwRszVPa5afY2DddnZECcWj 3+V8AacKl6KMPc6GetnaGOA7+jV7eXdxIjnLKZiQ/9d/emWY1wOa/N8v3pZfNnGeKkZQ7AmWvWqD CUfAPMvspyY9m5FUgTlfH6oeAh442Whi4QJu+t9J+U58JD0/kEr8KGYMPzIaVtd0W6r3IxrR5in3 6PSvJWhopf1f7FXlE0UFqyiORrC1FPJ8d4Xhxm3tIbIwJttngxl/chl5kZls7rQ80F9wPFhT71Le bMjpPz/ToZD9cO/o5a0ajgy79jG7tI6uZMCoVqjBR/jCvej/zRQFheK3MffvRGtMsbzCNX/7O6dl +rOdvav8LMcD5UX+66UFBdXAdBkV9bBmXVmB4xqA4V/SPQYMqOJi5CU6CLdHgl6zectW2/wIwJ8F VtPTH2OwS940KYKh+/heHm+fv9F3papY+ElVCt1upfZSdpddGYmMPfsFssVBVQkz5soY2dlLx08N ynujdjJKRRL+FpDqgwByCwW39Xq/ts2TB6DcQyabKeny+QmjwZGA9QzF7Zwv6Pr/zCJ/5o6r/W4T L2Phf/MU3AhR/hlrxfhUVE/8JHWCwqioMSCqSA2Gm3S1Zf9PHXYuImw3QthHYZroDzrv3iZ876Dm Jt/7tOww7/YaByGajfeFkZPPohTMRofhFAb/l85Ai2a44kpkGsRXYwCUYT3TYoqS4ZBcyt8WTGuh +gb3xq0OTSSc7XkZhC4T7VTOjuY6ZPtJVHmlkKvpcZ6VLGaRCPYIdrVmdvFdXDmJI+iaa3vzpUVl gyybsyHIFSXVUEliOFf/XATTVDH4nsX7kOu8yY00Lvr2eW9d8gP7CNvliQ948XZKJBTQYRW88gRi h4A/RRLTTEfvnIyra0gfnbfNGanGm7rvVBkJKVctQ7jedw00wd3UoBcsm4M7UydkEOuZnoQqlLh1 me9Uk7L0aDKj2aujur703rZJJW74xZPhmyTJ5+Yuc74p5VKWRL3PVZtVQtvHOiOMAwAnsp1HKRVR PRKiyJWtNmVEacWIPQWiqtPLQb/NP4Wc1+8mlSoa4Qe+IPaxQtwiF7V7g6mr8ybGP7/GpBmGUpHa j0X/gZil/kPnamZQiKCuOO67mlQdNoOGiRsocqgcJAC2D0hwTDfl6/Vfo0IPzbT8XK3ojtx4GcdZ 0z5O/KE4bqPCgyVZwtvMgH8Qt5osUicG0VarwPDtRw1cAsJn6t82WPyNg8dPkYr1bBEe8o7ZzuHp pHPQrF6VzffW4njCZPZFbtNWwfFkaP9Gmm1qa00EmVvpFOcl4BnjxC+or4SULCmZfPCtOC1NZVue KuEI9M96Dhl6hAgel4Pf/b+ZuDcnySi+zRkTQR3L4GxadtFC7M5lh/s+V9DjdnbbuJOddAGcrVFN RSQZVgv5bhCrmw4zqdyt1w5Y+0WiwX6798cJefgpJPMyAiCtbP9+PJfXQ8+ZXzhD6VNtu8wGrmGP tMFtYMwG3VsT4IQUrE62qWiS4LJFv67gXOeZz7eA2XXxSTWvF2FCeazDIdrhmgMHeC4q3SibxLgi fXFDECxbsURXeePdOR7oP+Nokug2Qu7XbtsWTLm/tcCX3UcuDMlijf4xBx7BgBm3KKiAmFelZmri 4s4g5BJNrLxsdVQadhUNqB7+OSsW50a9DznPqeGF+hz7uzroSxv4MTajps6JWMYuTPROUsw10jn6 ScS57yo20CERlY/Dni6prccvsUPvLcs1I4arz7AHdRyxtm0kFkuTudW7BOGdRo7xZa0TbY0fzV/6 zvJz4mfp3XcdSnYTd6S8WKdtIQjgtHo+JJPXD9lgGJZj36eGhishYC8XKxo7EH6Lvfu5zZz57lNN NduIftiGwar9A15xbfcH0eYpoZ4vkmM4fciVAqJalHZ6VBDcld6BNwokCz6ekjmcvMKQtbWDZU7F 7qhfk0NY3grh6ruabify5CEEjFdW0CoqOmmIUN+s5FqSIOIH+6Nz7yNQTv1SlcVT3TM9Vyijg+PB 6mSomvJewuZh81Cd1OFwwi3wxyWBZ6qJm/y8396OMBWz2DjwDYgvr0V8iC6fmIXFSfjdbAgn8pIp 7tLTHZcccadX8AdaWZU7y2WvMrXeakvovZZ8+7X4jXBwFloK4b4g10exbFbMQq8Oa0sN49RwWES2 FEDhNFJY+IqZ7j6iavQekPS6+groKhe2KzgcnF4K2RNWhpJfuR41q+zxMAncN/RT+L3cDHm6B0dO SbtrryJWmu4ZmcHW24bptADI8zFHWpy/Kp+BYNqyYkWUaIZhQLSvajVfvQhFafiXsGbqAaBoVuDm W+eoxWDAisj3c+VYJpGh/EOoYHAGhgPvolKCW0CC1Dx3tyGF4/nounLYIS+LMZVs3vDXqdgLypTS ujBXu+fU6HLhuD54Kw5sri1RPQI92WIj/Jt80L8NeynIEmFHyl0f1uhINK1woPfSj4QLmVtAmPH1 cVeJye14tX+Axz2yU53J+Uu2sN3mPTVGPvfY3GCurK0MVp0bhIU1taCzu2clWUwAiLa3Y0xPM2dl 0M1l1Q6mbuA7Ku5fCQgod+MH4MwKC3E/C2Dq6Il6K5wzo84JI0SlN5bmgBTQwrvB5inGlINedOq7 S5hjrhLdW9nQhT8ZRgQC32Z5V4Un3k1DUemzljSc212XnpN2QLl6EAEwtySSDFSX3EzhT+Zs6rG/ ABqSmCZNd25rckeWIyim07I0SPg1DDlCPyB8Eya/yPrCmdV/Vouzf3TOSZ2PgP6pU9CN4+TgrHwM x/SPhLhJwOMfHOz2BSuX5y5O0iRQcMH8zf/FC3Mc4Bj7+imc8WTqwzbupZ5jt+QONJ45UgmoMcBW c25Om7WCQdmz2lx+O3f9dkJOgFwjHs9Hnv0/5uZrQpyyWM8wMws2jWfVYGvVRPJXqza9ilVCrGr4 KhYZtnqGDdJoBIGcpc8tfXhh5AYuHGYlerjIGmAwvh/WdcY0jUXQd7CdbgHMZWU138AmoBxj18fk UTW8EC+9Oi0XibT8abuexeMaJv4aZxAWQ2q6/wxmLheOdKxUm3t7CMuz8m+URfnGhfCy1t2RQ2T9 gSEKw/hDvZqLpUDcvkmh8lRn4SDM7mBDEFrPyf5nCpxD+8rXzrAPCQZyUF1CrRyrWb6EYfCelIdv HBkcTGcZOKEkfyDpV8tNc63mXNaqtUPz5QzHxF+ub5bDMwHnpAXvs3ndm9B6VSrEgV3K3yC53SYd qCyTN2IsFJ4IEuvtiGZNSa3/9BWUTtlkDUSeu4F2kDsSpMeyR7mcj7Jrv68C3n9aKgVs0TwgBuIs 6ZWgRfUxXO4fSKA6PaqTIEzKlpwJpFEyfpUOzjQasS6yADl+ZX8QyPZAi4Itqe/p0Z5U3YIqKxsc arvYRcxveVHCJ1P8vqjtgAXfxBtJIlN/FwqzhzWDP8dALDj/URVOcP6m2ERVIovYTAFTEtKX+j5R 4AhM4ZdhiSK3n7Y5TkoB16RUtX+tCg86jQgpIbEY4iTpAhOL9JbXoCECqMETGXexpKOR1l6gSNbn UQLZXqx5BLjoZUI+JqlRnokPmAutcFkUP99yyeKJ5gEXmdg7h/3V9axvBSFVEBljhiEu+L+jqf6U Z4LDH85oAQcnEYzmEQFbWStBE3Zugib1LonqUAQow9VxrMTJszx4btqpS3hheSPsJdXRLrO1u+PA c3R/XhRltCOjzwSbj4cXN9N5ZT+UjFV4VwwQvICWuIYfWDxwIhH/KPgmfnV8l9SPDM5Yy13TwMqS zCLOgh51tswcC2HJ2rxG6lGNe9jOoKII42GfM3MB/UEsfbLxxiMVNV1XYRr22pA8iTmgeaPYT+BZ p7eqzxQ7x3k/VwC669ed67LodDItrn+BBEk+ypwVtC8FDDpgQa1QzbJQDkHgwR0kJfaes75NjIzn W5dpzaiMhi6SvWZNYc3r/PR4MnwRKH2tTZd8qcGxRvymrcLS9VLy3x3tR+l4RGlIa6TD5v62uZ3O gNM2JN3gOEQo83qUpyl5kje6wIAXht9Cp35K2hFhB/0XQUwUBI3ycjfmIb6VrnRbsdbvkZpRVRzg Ly6TrN/fa1OJuwAoq9dKPqlJNUYV3SfrvRP2WN10t3hO3id6vL70TkRujAukgsDsSUXDJOcMhcKg kSVJhs+NSFW6DTunNaYe28kOZ0puxR5wNNk+B7MO+URUXuZ8O3xrLneWkw5KBPLi8jWBCRK5YyUV 4PUI2mbUOZ6jLnMuVm5LNQACzO4Mykom0YwRdQRXJcc16O32Uus93hZto9PwhQ4RkbYOx5T3wH3D JZmn6DoGzSHBD1H1u0U217EnaPGgX7H7CA/Uc3jmtJjQtXZgRfeWj3JPebMhP5icBapJuFPQexzL fAhZSW+JePusK14oOVC0lEZhXl/lLTU0GK65WupliIMDxeo85XZGXmIB7HtJST39IUsdfC1jIuVS jYbcnzm9DAuPTS1f+xxKkk5ZLVYne5zLJrfkbQyVqq0lgyz9OXXTPVSlqh6N6JI2fFpodRWzV6en Z2hg8t5yX5YRwxibFOeA+P3Ob8YEOHhMtTC3eyO5u+zHVsnQwYv2iJEGJ0lRZ4KVyVPvbmWdYFD2 i60w213q/jqLT+6SapwMHL7CHLQ2nkAm3566b80UBwlMV7jMju8mF9thaCja42OzropZ21PiRH+P FL2V4wh6aaYypQIef6Ggcvg7Ou1S63DCjkURj9azhB12CPmhWG76S9TfvhpYjmpeFa8UBRPFrrZ9 Bk1AgmlWyo7lmh5hdA20po+LFbgOaQ6ZOzJzw8C56R0XVMGR+iTw8qOzkP6z1FVjMImdK7cGrfnF ZQejNeg6FDDZ9LhK2HTR4UTPo+3J0BYbnxC6+zwypldVY0zV2j4R/jr1JGZg7EXOHSfLHdNq/QhV iwt8SwtJE7fKEU9WLmoqvBCJkgw6/ICRdq8Vhh6OxfgakaGywtZ6IhMpRKo4FkIIr0ORrsNPsBKT HpmWuDMuClAi8FcDojqKJBALgDCBAWlw0E99euKYVO6DdSAoGkvCXoiIe7sO7aCEZv3kMqSVhGdy A+aRhU+9mpoCj1YYECbnn3ybEgnHwJj6AfYevoRP9gEqYZcq3xlv16cT4gah2ugEcxGmI5J0+SlB HSviEMdajwJWOu0395uV8637zjBARU+m/S3cNr4zcE5kTrIuAwhJR7Un4Ps30vu51TZ7s9Qf+CPH 5eVZgCZ45JLtZJ3Q+oGLlMfWLlAkFkEs5lOj9Tx9d789qJGSJ4JrU11P+ieFlyY6xsoJZ5dZ4c8r MXDaeff2G2KNRSxoAeRdiV859jX4D4+C9EjCw5Dogd9Zo4wuA7mc9CfezgIS90Dp+Q3F8VWL8jb8 td+WyCLFXFR/U49D1Jz/OUaMy3R3WxVKzxD+TMhX5YzWqXn9G/oXw6NS9xLR2bEsdFz0ba+q+2J1 SLAlurFqUK293Q7ZAEdilDRQZkDfEjY7F/z51CXBGMLffnCoVmtUMvrKatoSTlFURGHTufcnfu0c d2rEfTzYn47xw2XGBAmdcqSacWAgodIVyi/WlAMIR3PeAmT1U8OoTYM6kzzffLnjCTq/zvIfmoy0 4AswuZyu2NPCs42V/as4TSSPcyvqSpsU7OtaRQEv3/RZnz+kHwLwrwnRdejs+oAOcb+e/+ldBLBL Nimt0MsfgjuBSeomJcrBZaY94AHubVBjGVzHxy0Y60pB2htmpIJKhBDXWbJg945Jf83ZPWaktUPs vVvGH1vkPDRGjECGymy2FP6NMcu0qO3NkPgn+Ju1IAsiLIVCFTox/5GaC+Jhq2hXanuyavGx6Yop 4FRTDoEvOa0VsgqW32nTD+YO1/NR0IZ869OCTLS6+69UiFC/0kg6Qx9nGhEo5rtxHj/0PdDbjT0d wf+JwWM4ZB5P41EWPLRXjCr5MwA5LqAicfEUiCSdtZaUyew6QQ+wWmiss3vi9B6XUgwEzMjOwrS7 VcU3qZ01Xyv76VmvFUsQkZCg7XIWrWluCIwBMf2/cC9DFRmSjqeQ8hHl5yltH1dt33jKq4QJPhpO pzFLWjJe3ZTACHz2F7728hbRAtWQVo0EE1o2Qx0ejxhCIq/oIsZtAVNcXf2L9Hm0H70MO3IiZ7Ep zPtIA7/VdbVYaAHofzsg89xd9sn4rlUIhZrJevPPG6sJcdL6hM3oIbiugyaauELJiaYhBckDbBrw TLp6CUCp+Bv91MeRfL15V27uWIRUIr5w2Kn0/6cjhZm3mweIZ4vvgsDLO7XDFfJu3O7TeLxpdX7q L88At1kxBnjjI1hG45NGgZ9y3ekSE9YTqRkJwEXEVrCCycJn7tdSA6kLhDm2ma57/yFF6Ecbi9AV 4TnrFcd843ny1CSecGWg3aEmbmxHRtke09HfbYDyvpggGyz8U30j0hDVNj/dNJY3ovgrsijUxfEt UogJSzYEyql/3g4/YAe+smVwpYJFCaRxut5y7lJjFsSvlLGCFD2kfM0za4lqAQzoc+Bu9Q9QDAIT qUubPuhIALPP36m+FSOyikkmBUhPOG3APjdTU0NVi+TeTPcr0z7tr78yIOUQ+P7mPXATy+n8TRUH 6acqQkv+qp4hOCIr2HcXs8SleLl5TmV0Unlvp6IwM4S/OQTh+EQ4YoAhfLEkcMsE2g09qVY3EJTD QatAZxn9j25IHC69UdYp7tCZVs1HRF1IIQ50HuNBN6cOr+L9Fm753Waf6LGyDXDlGOyMFj24cuPY A5R/Vzkpmbx4vqscbHu9HNuvqT+MVRllg4Z1n4wbOrANIVcTIo+oGZibYWebJrKxsvZSpjam1MRh CqiywEyjt0O6Y5uz+C0E4gjoNJGWMYMIKS4hSjH+NR+hFlXPBr9zZbaZdRF9V++2uFvlKUMs005m ceaA9eTq+J1WJveTOl4WgUauCx/1HKV1URkN+Cor/ZKcUTfY+YM+JxG2qIhIm0DVFxL77MQ4rVyQ H9A2pRxzLQF9K2BfkUYCnvZL6DVp7xl60hmsa2vqDhDqweFk5xAjux7ipCryQoMM2vd8MXU1Vvkq aNze1g2Cfd1RhStb21lCjmDKin19/3GSCXIOf3m3mVcpGgnq3P0MXhg2R3gdiQsDbcPCzPu8e18b dw/93Nt1PxTKdqReRnplE52CncbjWtDlNCR6ZiM1SnUPl4Rkw9kW3X7X0Ehyt7rqc4M3b+xruCVK Xo+Do/3m3attnvp1Dna0y23B/suYKFYWmhfl2YyU+xg1XFVtWwx3XdVEyZ4W4gVzjyKNvd5PKB+F R1+PoEzcRGunykvnS9ecLgURbl7XnHD1uyUU0fWNjdq0utRXFaiJkutYA9iWXiRrWTd/hCjfVonn 0wYuEQmAJgU72fU//IGWD24nlzK2HDoD9DLrNk+SgBlcM2E4LmfmJdQ6NErrDC5SiXw06ZYfRbad NaQYZwpQxCUNTwsy7pO+wlq/m5KL0vv4Ml9Mm3XiuRWfoPRVhY9BWxMowrzSZqJxS0pj/IaUtG5C jjhcOagAxSjTxPat4EbFlAmg2oscxdTrhitLmU/6znOG98+wtBu2DgSrDaECulm83qASn7ek1KQz 1TjBmkwXC8Irvq8RFgdQKunUeAxqMYXzHb2U9friE6Aa3MCsS0nIgWV2Zz7hc95jVRE4WanWRt1D Ar5IcJOlJ/P5oMyAVASWuiL9InWzuGE67ffAo9PmHlq+4VQDsqYJAeYcarZfGap7+TNqB6JaL7+s Uay8ggJbrRN/J1o9wgnGVibqrCjJU+YkeMo8HCnSuHWX01RoUsqGK1dbDhxaUwdHBgPp+Rg70vMd OggiDIisumcZiONBHhshqH4MmsUragHG0t8e4uoO2WydZ2yhn4H7Ngtxg1G3U9Ow9XIAI1ueCOwL BNqmhQKVUIqJPNjanyXHmQGJUmFgMFxGsgkb1S8AKInQ2jHu/DiD0PF54So+noloUHI+cIxYcNx+ LPon57kQHWZ89GaPjykgGkOFa+PEuy7EydtYLR90+IZ1XOk0ZvnLLvEbGSDZauXfd9wdHcS9nsrb mcA9Rxnk9nBlVj5bFMogW1F13zE8og6FA6INaIAG8MpJqQdCTwaEI39RIFCTDQxFw1iKZK7Mioa4 O2P+arzG9SWeqDNZB7aLKsixs1+agz6DjLV9Z/d179Vv0oQ8FNEEHqXp833y2NEkZMVajwZRXlvb Le7yM5w8dRSIoSznyX+qL6R2AO9ImOvhAN+rKCVAcfeK2IY0kH6f+dTqpLu75HFUD8wJAh1GBgo1 qzWx4BNsl/xFzc+5Ya5sOnjeSw4PWbSHHTDMUY8qrjm5fQzekkP20FZkBdoxxdgl9iFnRmtp1OBp oFxCakjbwptZmK3OgYAp4CUHzhTXy6W/8WYfywy57yIcq03+FK5JACqblTprFEbgj5chM8bvNQzl gTQhpT7pdi9J0tYh18BjtKrUGkG/qBSKoKv/2+EMwwc1wRuUKEOXVKTJBrMrDex2BYZjoJlJ4zf3 C21bTuMaYvTSZmgCmAQUteLBtN61QsFmRqCmRpWxPE+xqAbzCFewMd6S8CKDILDD9XyPQLQ71Vsl 35UJUwrHG6TGa5XnTQsN/9hoUXBL6RyRsDVZYluZ57wHGjdqlNWo7SF6jmNIQvGQHTWwMqzWMccE Fzp4PQ5kT3UmMPUexUSfi9FsH0P5bzeGyXCQjQY914XIZLCxkZILJPmPNnbSUYIRywaf8J5Lei1d EyjAD712f4PXb3zeB8RapmMsh1q2hMBiCiH/KHtO947YkIr30ACgOOtqhj2jPd1+glUj7uYAThLw GGtcwgqiQgibXf73aox/K/gebdIb5f4yppw9w3QLMmlad6WbrwMIirSYZjoLpaSeaE5V2BYRQ3Af DrfBN4CuCUCCUZOyArORyOF4QGgBBYDcNdWnoM9lZjwy7JeG7c59PJHAKuy2a8vx/QAKnN2hSe9O trJr4Ljujov/z/FmCAWcTM/p+byGAXne3R8OV7PEPyKiDDdmdjTZ9bpqGTthu0xeOHf6trLxdqi7 FICcPxUaf3tNprWBgCzwrjiJEzzpH6ocjcpLdqKOUnr6NEUDAJTjEGCv/BGJdjHAI06K6wqLrBXD /1VEp5sMdhq2kyHlsRpxFN4cG8VbW/lbu+ACOMCj/xCPCfz+ot4aT2stBxIbQaulw7GF/9V3wgE2 dcy8tVIZkMZUJrNua6DOzis1d6Rpvi/eGWN3y+H8Yi5tKr0UjZvZcUpk3FVfPxlRWu4G2G6uSLm+ YdOtTACFnGuQXe7TCohFJ6sKXBmYJAecl7KNwMzXCdLyyHE/sYehpucTr7ghW+U/QPaiexJgMRpz IU0ou4VLKYmB03w+COOQT90jbk85ULr+/y0KC3drhtX4vwC4Ya+QQRucH91IE+TuZ+QnE6oACWtB pFYuilKpTAr77NqIGBhLUds6frcnyEqzCfHIKnGz/JcO+e40cUhZJz5ZOtsge5rNpxCOJ0YpaRif sXYDrD9BzR38/g9q16EV+3KmNvtYfiZHtO5omnm6Mgf2P8HqNcptJaFJS3nV8i4Voi4St8GsFbX/ gW0YyFFuaM1EnmcGr6VUxIBH3AjA53wozzifVh4RaPJywGsTlZIM400m2PWiZNE2XQwuFW9WUM/7 zibXJiNCXglcg33wRwWkYsYFAMOrx2ktCHCtgvrv9pSVvVaRhrylWl7932ZJHW5N+dc97Avh5CH3 +vdAKUYChYJUrBjaz/t9vBDIhPbjEYSbhUkbGc9Imd87lrYVMfo1QaGeRyN+kTqDrC2SO1JjpiId Kg3iqokQH/nD+ZDQkcKngNpLlUrOtsUXkp2JvA2vRFwPf8BTkmUTsZ13N3dJ0Jj0tJyXRXBy7UN0 u8psX9NBaaPRncUcISonnP1rO172hJ13cHaKzlZci2XaNwRArO16iMX0K7OvQFwfMTk5ALbJqDMP lDpHyN85mgHwVrW46JPeobA4BZ7BNuD94GcXWJkvkP8J2SfLj8NSsKBnANdYT2OQUNasi1xqllJ0 PqbNuHhTVQtrX0X1pYMSwj9daqVMPnoE65cxftUygWt5NW6zoVIeMEmMtdgNUJyXraLvWKFhRU1o AQdo4HyeGd7BkbxNhxj+J8rk4BPvkyitHiJCltU+qlKAY8Vt2e85dHOGVecfj/HesVXoyCbeJS03 UlgxP0P51kWmhP02QzjRF12Ya9AVOLFYUSS3K65J05ehchB3RzxZC3gu6BoVlvDDQfKUdRvctGzp VIM0PrYFUVAbjrn1ELQ0Jqi7JsVfZ5yR/dZlJTI/glTRqHcrA5r+gv3evoN1ehcUmtAL/2pt6X1K 9iZ+PzRrttl1F7MPn/YelrTWuiAoXmQfJVD10udISn8u88KQox6jSWB/wUIBDu5u7vrSEYUU393J ENJzrpBXDC+KZl0pSTiIjsfHzDj8yLZkeUB0WiqHCXkTt8SRmUt553/HVGPPh0N04zJ0/EIh9V5J F5XPSKON3dkCHa/m3UqwTA73OxkZZAyK5dCp+7kbpd87RuB4C2nQT5J4Ld8Q8ZNzgAUkBBxaXGN+ teQp+oiRIiGhMOE/aMwS5Quo4G/HcZ88wSx8clb0FYbagRUcbXqc2ai9LTVzgoMVHXzagvs8Abx8 +F2o/h+5Hb03f02cpfeKx+ukERJm221OnLyXctvaL3I2YLqj39DPG4xpo2hljf+vFQyQtn17D//m lsnQ2yKwCreM+y8ySDBSe2d53QafNKBZdi9bN8d790tmWh3FgxtTlR3uo1uPHwSgWnu+6zzsk/Ti S8ujh0vLk/DbpFgvMeC9UKWZ2ej8bS7jFrvaeRKu0qK7z+UY6Ic+1u2+dYX1S1XFwyZf/EUnyw7T YhvyZimE23tSeZJVnZZcM/u0W+DVTGgWoNer/17MDSaDfBI9vbbhTAv2xb13HxFJTGrYvJmKby49 I/pHQXYX5V5qTkqlx3XjbO4mYGXpgktMHtE0CBD5/qwcPGNP2QCa77QHn640hK2ffxRtwLxNPjAR HV5ndt1EKmyCSZ4jskxL4vm7V3X9J7OxcoNgGhPwM45uriwvSMC8N7dwfkKrsMU6ms82zyt4YcRs lgqCNsduzUhZf62L/NSjZmHOkEkclVpye3B0dfLfiCruwSGPrjqz2KaRHQkOzxECmQ8qSs+a4m2A kbsNR5rX6a9NF28xOlKXbpx74YOjiE1AJxkBtx8wBH/V9HCXBJCoGCi5AZ/bUFCjVoxNf+M4HgzR kjNOL2BXgCZwUyUEdvhAOXpKYl1Rk+XMfPvF0jGZxoswdCh0jHsa4GNnQT7rIQGFh0xtYRuU+yQ2 HkBjZ1x0+J2cWGejhnPXhrCNW3YD3zG55CyekRWMgWdjyq5wQT3AR/xmLRUC16Z87/ZNPRt/BKkU qV36NHteNLsXx6727IqTn/5VdKbQs7UhSoFODXikf4MFSGH/+wcSTBOjXPx/yxuIR0XLrUhKjGJw 1HuJV9gfTuU0xR3qKfcqvSdcBoPj8pBUGBO2cPK+dEFEg9Jmwb3F6ioRLclft84+ZTRumnXjQvVP uu9sEeiOxp4DXr0x1y4/ksmRCCC9tLg7F+9TteX0J0DpI8IjuLwwq7P1Fsy1qd5+uT0Y7CwEukMt 9YMA0LjSGU9i1ReMdw4/w1nK+zBn6cTFKjnaUkb1RRZ8Xn1u0j0ChK3HLe0nWkyVo32r4nUFSXsI PvDtAGAR0cX+NDgIygPiYv/l8gIwoMclDEb+qQffxzSERCWrLCoC8PL5/B40qa7qBy6n28PEjx5S lpqkZBjpa+GrvoNfshEcsx+LnkBQKYq913Mb6Uu3vL2hri9vWtuWEz5v5PF5Tyl2Q/HL8Ws8UKie 4zrtLoyNHC+I/z/rNj+Zafhpyu4/vcQcplWiccPd2cYBzBgkyrcD6DAPuYRKBjRAvGx2WXxSTBqS pDfKjio1112q2MoOVo7waPbAw25uizIFcyhl1LAAl+Bc7gmnNeHP3eU9+6IMmg/cGlLOvYRU2C04 IfqER4QUGnlMMlbg9apMHr4J7+AQFW49/ytjp3agCDiQ8eZvs+Fyz8FsZ3KYCE0K/BuzpfXfJzoR vOyIaMIxx9vXCkLut1RVaon/kH2zTfTHmIeYTMgg0G4oPjLS8R8m1P+5/tA2wLkS8SVoWUuLJowE PmK/3P/AE/PlphYtkAp/xjoeOCp8J5lvaflWFwVdELt/ba95ZG/ncYdrbRwE9l8NyLVsZTMENzms K59+sWYqXWnj/v7FG1tmtsq3q7K2N28Vaa2TpU2gnJ33rswgJyXee19qt84l3okGTwk+Lmmgww6Q ACa/8Xx6sbKDrgerQpZmlYf0bBUk9J+TRl+QLSweJGyy9RhXy0fzroC2fTp1dj4mkBrIdXShGZqC qKMX3QKR+S4Eky2tdq2nwPLCKjfeRDOtNKWJ0o3Xjo8HSgG3bzEdF0t7uOnF8RcBx3DQJPUZqvfu Wb6AxWOWQuR2iEu2cjV8s6/L/3fNtRWjp7xEs7Qv9pTH5q6hsknUpjJ4jx507FfvZ+LLRdeicocM aXCDngENo6yiL4l7JEtITfrtoP+gAKjO9KgFpSgWdUY4sjTS1kYwuY0a9C54DcK3mOp6gZyBSYtS ER7OHt18Dsy9zoZ6WHv7IHSC69YsuXSHxIPz13xCY1fFHjrUGudZVQOPadbNEIP18k6DwQBv9r/q OF6rYfNA9yyhlLa0qQzOVHghUvcY5+hSlwy4uBo1S6N3ou/VXhSiZjorHfZjwB0oCZgzjHbNiHf1 htuwjJ8681eT7pCkyUrALQh1+Te4sbEH2CmRp/7bFohm4ekSX/cOHcDre5dcS6K0nuXsMfHfLdoB YJRObdAJ40/Mt70Xu6JtTd7Ln7SliLAL3fjUYiwU+x7xbprIRMvAMZMB410zgS+cliKG74PoCXzg noBEafyn+bDgPjdnVpKNtAJkUun20DN6xwPXBKdG7fzrs5Vo2VneWyWsjjS58jqOrb92AhYYxKH5 BQTEXiE/b+mD47TCP6fEl0veSKFvMfvCZ5PO7q2WTe1nGOgV21dXW9cjhxn5jBJkjLvrRLS7yM6S auy4QRpuQ8k5MShdrfS83uZDOdKX7jhlbVxlRy/8+EMizqyZc3GOc9p2N/Z30UAiNCI1iXfu84jK 3b4LfPX0/qhyJbCTEYFkZF4lumvvxWRYGUtKXfPyUHmKyOW/WUrrEWLwyyI95i2KQrVA7askLcAR D2lVMDbVzkm3U1JTH90wGoWpZqm+kfRKAS5bUKWt1k8hY9lQFptlEl0hUOUVtQN+D1s4YSU9khPU QgNAO3jPwqvxluJqxOOU9qPvW44t6I1HkbX5t0X/wHur/qJdcpc0JJ+7oxhIj51qMBAvCj1oauw4 E1XWWESZqo/Pt2QvYOQQxOM0MEG7FDOESQg3BSHwWlCDn3j6aM5vOLYiia/lLfSiJiEygUjt2us1 jqOxpM9IH9XqCrH2hnqXpf11x4o9CDCWCQPm52vGw7GKtv7S1ncMLZR3KhgS0d6QMWZEvPtMZogr RBbAzjIcvTVWtqWv0n77t0sS8ZVqG75uJ9o4WO3NV+WCMMDJ1zsRvNLll/HEgXnRdTdYKL2XKsEb FAHqN6NMXUG88xzI1sHHENcBFOAHUahO33sdgdTSCS0TGBVn9r5Zzulh3ipke92ZT/BjfMIXG93F lCfCGfQQ3aDzBCuFA5rKYQY9xidKTdRiKal9qyWA0l1QZkq63Ep47imML9cYjBXPRcZ1BJ5H530o vJ085AJlelLmud7ZUj1VIvHUUTf1SbVB4Bdu99ahH5OBPkBybNUf703qUkAmJJIEoq1OsXdMotUj CKnSRSq9tFBmm8pkOugxlyfJnyP7gRk66lqxN5vFcR6FuZfwJBt+rkT6bCdfJsfP3bdo9qS56weI FLuIt394AwEiI5vigQ0KDS9N3rwQfum5V0P5dw3D+BP3wR5SgpNUNYS4SJOvnTo+r1VyQM6MBAb0 2TOF8Kw22kDDiQj8ZY3R23yq8Qzm69IJHBblCajUtMS/xrXiiMge+IZhZmjIJnMsEGKF8u663BJ+ DzlY9W/LuXMwyGLEMPdfvyk1UUnxMiTPhfyoy/scZzz3nGpkBzFWaoMGLXgAE2lpLY+LLR6BOL4I iOmwaQ8vhZue0jTq2/onhJmi1YRFTCRiSlQZRyfECBIkWiQRNlvYTNdzpKRvh/qMBTEZywTYk1Pl OfZDR2MgR5/7V04Q685pIJNZniOjImD6sA2VJmLeRzpoCqZNdT2/NBnvS+apC4oR8LZjy43bbeLe D7kS6Q0XthsosryRm0crgL1TloR/4ZL0fOAv0BICG2MSZ5XVWSJCaHPsvobPSwFu1vhSmNr0y4R3 BZvWajs0jJzjXPOpIo//j8NGq4oRn+xLncCBoFXTCpqeAwdO2fE5TBuXbd4V5Pu4LWw/weDOPMaO WqdxeixNH4sqY/XpiZF9IigBiZ5dJjIPrPKBdVSCqm8wja6WDe1A2T3ddywicO/cDfjdu272dbib H/psoL0Fde9mbim2ERpTMfl2puGIKpbG+N+qN2RXAAiOIzXIt0V+UXsH6KLWeokivWNS6MIb/0su HEMSdzXyPQm2uYu2khbA6tVh3Of/mqgWeQFV+1QRG98PVZnf0if4dz81yjzSX0PqBMAD7sf8LDeY R/oiT3kWJxXTRzVKIR6aBOtuA2LQASZ8b+VodBc6688rzAYmYVmKJwL7GxynyvcTkAWnK8FzAlpe P9dpAeMflHiGJU15YjktxljNHXwlO2RR8X7k1QSwldOKdDe+ka372FGgNQHGk5gmzLqicUcfKEjv nAF3A3TcwwWTma5dbSc2aPAcHDFVEswc/NRtKoBTZadWw6LhCvKxwCUxVkj2tIzatk9rd4YM1ZqV P4/dNeTCvhhIQxTLSKZ97P+8wEi5iszmg2MTLpl5Fnw8+6eSlP9reEXXCldAqJY3WgXSQGyRzhPk iklgU/HAr3CKlCV1OACTuuTqEUhC2RayoFn3d1cJopXRqB+Pal89GYE4QDEaYU5vmcu9AOV1G0kJ zxtSyixiAEyQ7AKxERLAQDwnXFafR0V2oNCez7t+l2XkCbhcHMGLMhqjkKSp7BT/UV3SAMY3pv+Z AZ0E3uIYHivi33scmUWpE1UJvFuIAFU6PFIxkfbTR41nwMgn20hpmpfRiUEFHAztL5IHilmfTkkA zH4AY/ouubNMdMh2wZuhZvGvs2hQL3uyfyqTw6TxGTuo16faLAOKl8KfiMokHdlbnwYuzI8rzRj8 C0AgVsAnBW3kRVK6GDKaIVk4xCe6NOTEP7zO0G8n4TNA8epo4rQq81ziyWb8Z+4+dlI+TxdX8Sd0 reWoTSTlzpV0tzaa04DnhBiio/lOXgMVgAM0lT+J5FBMbWCZaFDDumsl5bm1SHD6JtL1wDwya1zC 2FLX7SwDBVVH421YoSsGKEMu0YC0BUyYNFnBjaxtMF/Ws0oPAgTmU99mT3zORnZX8wjYuJcsQtxT PVU/rH7MovchBV/9VqHOf7AUQmRerR3BlzWXpnHJPskv3kRDzFYPTV4OlarfH/6Elb4875/IEwCG 3d+G1Fsj0MjmFvUNKTLlNkHp80a4SVolE+l6GbZ+XO2OGmChQYG2iimd+4krY1BLb0AnH5IkFCRP H0WFPQEQpOZ+NkwIL3y7UALi3vpGcJau5VV5U7mMZBeDQjD7ZcmdZq+SdAzp9qd8PGYOh89//HO+ fvXBlW/PVZxmnqyvsF0QhENN5cnrtIMuKvf7TTCwc6ltdSpDHHS/Mt/z0rce/sWoewNcRASamryt AAh4sT5KleV9JlU0ug3ESemyKqAJMysmb8J0+MlVWTIGvCmSWdr6wt/T4qm18BYF0B6JtQfvzNmI 47vzG+kVE3LVfo4BXzlOUwqnZrzAVR6D5t+lu9maBmHP6a4UgFI2BDBNH+9mSkHt3uIdxlwNABaN 6njUR+49c4pdTYf+uLWlY1RqzySuz/iPcjc7NZ2L7DKCZyhk0DTTjnEQOlW92/KVFLhf0rn+JHFA 6DnjFONGvUQKPoqVSaXtss2Qj8RYhsHfqARqlLmJdNeiv4HbJdoYkbfvZH9htOU7C89JEOVJyXcd DxKvc1JnNWUJ2rBomWctl0LL7mqTAh2c4WDHzIQuZvxH5KM224uZh/u3KKXrs8tfAItSJWT5t/SI JQcdDFs9R/gZuaYg933BPaBXXVD3QzPC0JPOjj5ApDfDdaLglBRKqe7e+t0JRRIIMDlckPzFtSse p1gOswSAePEra46T3s+3cfe1bYoQWymQLTOWoE0zK6z/qSo8UiP62AjxgjH8AnwBkEJz0vKi/XD5 Wt0ooWdwN2TtkYtxSjGSlNUvUMlR6j+KYuHmVfE7+vuePAQ5NKpGQ+NMQDEuzzPG8aSH20gg59nz QO/2q3yRZEzQSIta3Ui+n1LjfC3NiQXoFW3Eh0Qeq1mqb5dXhyNrGIlQ8FQQ8xcMTBsXo5fo1cDz l0S4tf+4C5PInr0oVvfkF38fQPKG1N+lkka2WkBaGLVAdFFzD9YGbMUd76kMyO+fyaLYHWLEBKB9 E7SaKs4kRro2zUNk06rd7T1zNi1ICcLhC02fSi2/XV8Lab7U92dZ14qxVsoA4im5TL4flG3dH0ed 9ZxEZ3J4Z3iwLM1itJlx2VC3WUvVVpvU5UwuKeztLmNYWdfEdgz/Trub9/MMK+Sjp2mB8YX+QD5E 3ovNwjUKeP11YmbILfUHFi6bJd71gg2QOjEoG6bYZ9No6AFdHys8hqFNdB5Rx4z1Pos5UMNtANMu AkpLTA5aX9446tRDX1wplTyIgB3PNIBE8tDcPmy1pzB/rTmXWMYhe5P/wXyOJ61gP3AgQjgL5Jah B+Bu6g7jmcDWk5V/HUtm9ENGguTReoyyCuB9EFUPBsqulw9Fhcu26vfhfoZVRpG1D1KPM2tud7r8 m5qB1YumgZhQy9gvlOoB8Ta4ayt+5UJN46uPHqQvbHr0yjjuqRHFXB2MtpG9/T80AnFd9qB4zGaV LDka/GN2NP6tFhF7B6I27gIVWdbusZ0JaBoV0pdkL+v5UviakT1ZX5I7XD6pN3097p3jFZR2twkY kxzB679MsXgWRKa47VHio95mSxNn/2w1BF47RTzrZql6oWT8vKzipEXxeFQ3BJsA8EmeJjL/TUV5 WhnNQ84+AJtF7pK0PwubQBiNPZUDbdobxxKBxAZO4dmu9ZpkHZrT1mEZw/P7qn46nQDWS4d9jnHv ZK13pALYQqkpaXV/OkdsBCyWjrxtDLWk0mpD+FGaQKIx8P5co4JoKEpJUBI/kTjemxKBqBnoVRkz 3hBLlnVF5zX0VtRTeQUrdyhJzJ8ZL6crrrgC1S3FGrElMEVsNKRCwRQFjVSmbABTqbvh62DqIHVP R8xjkI2MvE8vKE7uK2tYHalmv70RLhrRrb8DchVQY+15/wk+G8DmtxnxeLw9xAIFuN5Fjyj5Cbfu tAy+0z3tZQKomuoUam6c2ZYO6RGASRprP/0WW/fBhuGekaXVO0Tum212qPX+TsfW6hZ6I+6yJkpY Q7PHs2bkyPDeTGfMyJaZ7XxzBWc9iC07PdsIvFnRVI4i7yZ7y/dORgC4R8h8yxQcW44d2GvY3AZt bOLB0+Ph+AAgYYN8Cg3PHuNYy+haI43CedSOjdVPraRAy67Qbr5IfjdJViBjbar/PAd9utDh/CSY yP/SYG0tb9bwBLDENuBQt98re0U92cDgpkPfdI/a7XEoGx5xtyX05hb8VLq59pFZySyKGqZ6MrpX heD2xbjhHb4/q+jrizZR3pugXOD0nh79NmxFxkJvym08clSqonrCV7dRWKiG6wRMCytyua7fI+JZ iSu1R3dm16NaOXSnOnfS/r01p8Q2Q0oedukpJPaUS4y977Cmdouf5pmdNtpNpYkXvj03PnjOBLJQ LLPpqx39Hksj9A5aQ+L381P37I37Q0Ne4RnwbhSroTaKl9M2SJ+jqIkqvc6/TXPHLnw/O9ubYbfj x1Tv3ILvBCTqK/yY25tmzIdycIU62aAlDljGZAzr+RAdWMv7sojxOnevmrBUdm7TmWYb65ufGba0 G7E72ABAjQvXuHqD5+UajVVpvmBUcui8K1+oMASTeTuxdDsOYJYN+93nBrDEVhYg28m+s8UczHZJ 0qhUbu4ahrVvPUf5ZtmIPen3qTDzLSmeilHb11Vr4ANrE5c5yr//f/EYgAEiSZKKhWPe0pvXTl66 nFN7OfyT3iBKrEuMjl0Ysmlh7BUTEDYPpbp0xGkF9HuyZkyjpaaOhYzkBGqYE89GlG8mKzVUrDJB ozK7CnK2z89V/YDAlyH3duIXCg5qS7SIlmglNruY0rVTNaFqb0KDaY1R5YJfeCiCRuuGl8sg55b1 Ay4iZzfyjNeOIV1BTfSfgGgxvGB34DLZhMiGoNAXuitdXcbb8QbhUF7ClIpgVpuZ9NzM0A1abBH4 H2qlck3Wb0R2aUgYTGJUWuNkVoYjmmSQhRI4izrHxdX8DFf4QtU+XonJZ4i1KdctYabfd/bFkuRP BjiJevyPlyLMY/OEmxQtAeWYDLhPzYx/mrFZEKpB9Nj79ZiZHzUvUmxtCm6r69MRQ+/761X43FF+ LiF6aM2LFh8UuM9RLmiShE5r5++JAsX8G6cDdhXH+B1pqkS/qp/f2qzQ8kJso5Tsp6XWKa4g5Cgg oM/wmd8iAt82yaB7Wc2Ow5Xc0Tzrp1b9qWbO6GwAURLL2tFRLDNK6h0YE563nzgOWa5xcT2oHwaA MziwWtEYwuJIWuN/f40vr4ok3ckTuBT3z0qUUlqA96G0k4hjleamZNG68KYS+1ESBk4XFNA78DCe jivT1cTM8ZY8flWpuBwCXoHZqsb1yEpxveBkz830g6siQequOlLiykFOuhRBKi8eAvvGIAPYz5Lq W8MTjXz+o/sxpWO8PTPeAxchFuNu3Nc+kj4Ng0Z73enIz22rqs0MQhPu0bGl9H+DFqJ77WW97hnn 2BqXZQ3YGmsJTuBR9Li4IYsdPAz0DBVWppBgwkiY1+9/NvHUs9gwjqcrxzmzSEyXTUPUQ0M+YP/m Up2boPZIzVPr60i9MoI3w8mXT+ZG8Gfcigju540cErnI9Hcz3CJYX0DGpSYF7COHrrfJlJQB3pT4 gv3rfXYX8X9OJ+Z/nD34HEe6nmUuA9wsvDOqzKj8rxmmcew5wMbQBLX6xvHQaLridhY1mNVV4PaN SzFDHUAYAnWfzUWjfBwfFBEEgB8YMEcC4CTMC6OaSAf0BSOQ90xbyEP2tonKgKPofjXcTeGCUevE ksgybqAYsnAPriA0HQgev9iTdbeo7gV+MatGo9FFWoeGOPDssBfw7upm6tTjaQHeMUhlih7otGEy UlrczgsJdU0Anh0+oD4XwO2GpwjwLcfc3hvn7GK4b9g/dLYsx45c4FypPzvoIqhwgZN8i8z/kl3O uomFNcgDPy2EjCZiAOAa2011wbba0b4cls9SOH6jTt5/nygTDNj7iLtwi8bXtQkjksfq8CP4EWVi NQzOl6d2Xzwp84GO2CD77aBDDFLCNFLBelEakPP98VavU35ZstSCfP3a5FbImh1mOU2lE6WDlzQj momKlYnxlhIoFLA+gdip+SLZhv0wcluRZjpkBsGhcCjGSVvtDWZvIedk+fPtTDs23eFOLGi71r4/ bNsFc4ISSREP9cKR0wJMKJHXisLDZ07dI95nU1U+MAfxQUMtahWz/ds24JU6P3OSPJx7mv4/goUm 98qWuJ/PEVHgSgZDvOLqqrtmQ/RJiATWq9rEGkmjrpYwfTwDOVEkgULKTv+QYNCl8Tgk3itxmXqK IrHBPqgjIbk3rwOjKU39NMGHDLjPrvSsrm36sivhZKdO4A9IRLo/bY8dZ7tJNEu3YKWZRKjeLM9K LcXshZ1LpJWMuQdM1Bf9Ynf2CdyEz/3aFWPm2+CJoINkOU5+vk76ipc0QzC0xkdqnTR3GTSJlmJj L7+8urLLSqjxehesDbEMvUS8n0/mQbpbYchBg6K7T5v6uI1r/aHPNmtJts21YRtySZsBJ26QHqnX BuKofsS08sMbJApGKFh9MPNqSNBcV/OZHNae0fBDtbH8CO0EklphGB9aho1t1KFmZVLuJV/YKpbH 4fEaEfZAjfMy7T3Eu4gK0q4+gbUULFQ0ka4C145HWLzGwUro7pV7O8wpsGespAsLuzQ0VgRmX+64 ewF/Isw9/bo4FwMBj3SKhBFoLQoVUFZ7L+BbuNc1fjlKx2+Ld3nC4BFTk1PKUls+ybvxW/yQ/vTc C9Nxg8kpeRmwdVJ0WPzD/ZfJhK83nVbf8uPDGRVJ7Z7O/bwRJIWBKrBJGs3yM2iELgUCy2osGeTz jt08fRWrT97jqlh74+pxtaNsv2Xbmzs/vQB0n+1dtymA+C/tl++01Q21pvdmxAUYRl2A+X+Tao/m rdu8oCNSV+8gRSDjX2MVbwvFTb27RvzAITTRgH+bZbAto9qrlbeVzYKmAH2dgc+DM1tufzK/WpmV sSx15RzAZkZTVDVX0zb8jzQV70EzgbxVtG6PHMj2Gz1HpqHS9jMvvBJBVHVsrw5QZup3PDuxkVMw 2ACe71VX2dHWsvRDjs6ObYAAzn4ooFm1OUppa/gk4XaJqrZG65QyWSG+tRiDpByQRukgqu9gjPyU 8eI8OoxPE4I47ZIwPR6q0dY0p/izw8WTtIX8mj/7fL5ygsA2K5NgiGo9L+8GfCgRzpKKegkCB64h WoM3uyKauY8ETtFYMKW2VXfPNs6nRa//rbVbgG+Z7U5j9sJpYw/FMMSDoSZW5RHJnoQEDAYOAqBY 3AHBcgkuGTdjU4vHeuykCIFi63Cr8QTwSvqp3SG0k5a0PNzsg/nC2lCxgNvaOXPips0dSolM5qZK uQnDn66SZRmT/6k1q4JB6DdHJVl/g1j4aYz6UJRIMwY+LiC/uDN3gDFaj7MLyTJSuFesToGtcrKf 2WvNpmPB7i3eOcOkxt7cb46d/J2N6yJJSCv9npt96SUy0p5pAz7YaZWtCmVu/OqYV8/TLBiYR99/ Lb+BT8bpuioWIO4bCS0NlcSqzHVKtwklBkQ3FL6/NI6SGVeRkdzNYH5HxgZSqANs2L2fxSh8M9Sr A2AG7Sl8S+ILmKbMHGlZVanzyiFBZEuHo4CGsBTMupwXxxfSQa0toB/3OODa4OfY8VXph8BQZt8u NSNvPcvr5YJyoC/1FZujF9v2GE1EWzLvF0fo5GQYHKWv+0gbAW58wqYYzcrRPOZ4ytvN8Fj1NFG9 NjR5LQimkA9s4AgwWDWBJCGDrkp7ET9lvG/dAxa6lHUzIJpwV4EE44AI7pjtYAe258eYZmPo/3Xv v0+9d+abMD0SLgg6nGOiqlDm9hEGi3Vvc+z5k8bluMyz2hIEa2ENlFs4E0h+KaXQa2a8FzlBBVI0 QFglauWXj+Mks/f7EDBTly6AupRSfAH+FG//Tpexe/2lamrsMVimlr8f4CsICLzdryf5p5axHpam IY6UD0oStzHvoFcwU2+zsBZeazUuOko4b5QWFxQWyiy4/lXa+EOywyTp74E2oyEooRH6VOJNUtlY ec+huSVmPuIf78bjQpT3fH6YZJPCXNuwMNZpexTsGKORRTJ1w7T2bkfI2D4fOFAwcqPW4LXcH/dl /aUeupsy88dDRk+t/zVabcPAgcac9dkohVmtZkbzV4+PXwO7qbZKVL/hhIdm76gO1Pu6LheZwnNq wTOwY7Jz9fJn3RbSwLsvvpx7i55Wm4daAX3x5dH8BE3jAoG46L94tVfyEtAR82hPstLzHjoDiPBY UNaFv2+hCv1DnCxo2vn4u7UGSvXIXmrUN+sT3MuafdY7EO2MRRYV1xv9inXNg1ZJSkmysFPfxf8Z +7hfito0pE/4DvmGLJ7wTnIdyLjixzGSkaiTYtNYK9s0iEVEyIefwmCViXVfrbXzQYpHmB4V9OhV N5aZKuKuntn55PNXzQi/l+NutstqPGMQisPnYIFdA69wRJeXKchhghPBsaAYI8pWo3DmFEuZoN6G wgkwyxAQQuokCNpgDHuImI/DlvSN5GBwGzZYHBbbsiD+XVbr91XYRSqRVehUBO7Zf+LFc/gteIWC IWUqnZmi9yjQfCodFNjgG5CdRAoWhXlHFJU0EaCHzigJ7AU6Bph9LrM/mjozhknwQRW6odiiy62H 6mqRnMZ20H6kwriA+zGaBp+eTlcjLJ7eUnVtyE9wFF1Z0f6lARiY16mpSb7uMj0ELzHHLCJsR58a dYb3KXFUBELeGVVh6yNwgJqCZRNVoxvMYOin1RVacfihrqG1eNopJ+9QxRiCeFtH7kxSP4eA8MR0 /60O83u4ACTSkjjw1WouWugFIJRA7HgPeGSjkr0tJflFOsfV7qr7wQMdWdhz8J415i21iIEHAreB ZiiANksqMEDgWUKNjquyqPulQXhU+a7uPQxqV+TJnrU/1KM+W5rpRYFIlhTBqa+N8nfZ16CYWmqr ISxedaSYKou60g6fpzrkO4eer613K6lEGR+IiBm7cOhVFdDFneeYVKV5mV4Sj+5LESRpZBdLQdwJ 5zvAcPThuVGoYGMSHRpc0kOfbiw8MGbPGqb7zUNbBKa5RJSp0PMlhTAXoCF4PnBaOhhz2q3tzYRE 1AfGqrrJ26XDjWRG+rHWFyTm52ZZwQ0NV5wA7Qp2fxo63+IGNePr8Xz+JfphP9adVkiTUVN2a/mc D53+e4Rs/duV2JbBcW2zwukjoCvkHPZU0zAqf4ums6G9s8llDY3FK4mziM43IXtQwrjzxkrIUXKb TV7k4YNBAeQ37rO97xBZWI1Wuzhg1VGzmvfR4h1Cswz684rHgq/cMyWB48SKfAFgvEBBIRgq/3XU aEaYQhAF3sgSGQDVXxrJ5u1mBJ9+shmbbkEnNpUjYdWcJn3B+8wVUd/VgDpKKZTC/N2vOaJWRjeg gh2NyakCV5OuBUBHsI+X5CsxzbA8MjPR975IppMUkEsDd21B1isrzGVHXbkmoNNx9x6r5/VmWdCM 0OabA7NGzlm485kp1Dzi6elRJniwMpKvufzfPiutdKGRxzXTGc30SAVLMMD5UrQNCgvhhqb6BQku yB1fF24YBQZ910sJ3JI0TQIrhn+1LTIdMM7O1g2Qg/NBdtx0aX1PIagaPszRd3ey+Rq6wQJczK47 iJapX3yGr+keC3Ev38zSpANYUudCVE6B7sKDzBVRVH52p0XghbC5ueiqkRBgGiRaW4HzdAIDXpSh Oy8Tqw9hhFpnhvaDwWRDYFj3ecv8Kj6lc+m1cISHchWnhRFht3N7Ol5k+c0jwWnlEKo9QTlmjj+H OD7Mvzs5ufYlnwBqImbtOJpgBZ7RWkMaaDmAeG7ROCRFcBD9WpW1K8aZrEiV0Iqw3dSiOc/9/sGj 69ASJOgqvQ9daGI8QrL3ixrfK40WQKx+fGkSg3nU2fsRbgrSIXB6mmhbaHyBZlFM7Tf8JGiApjTH tv6REoKfKJ3Jl9CJJC+FLGdtmi8ESF03P9SQh17dZXY/gWtWa/45vNNdUTUY6ftkSRVtTyM26XpW gWbK3/LQB4NybzWEwm4OpK4etb4Z3aoJm5Biknk2+jEW0bZM6l0/ddupEMbRir2w1WYrBSHCJPzh EIomP4smnf+MSeAAfAt8fzMcvnwCOIcKVj6n5xzj9q3Unl2gtQh0Ha8n0hETV9VHbmXVxL/7fOGL 5jucbUGiIKi9ePrSGy9kgmnVziHPODjWnLoUqU5ic5vXjN15kvxVmgXl+iDV1qTqNe8QYT4zRSsc SeAwOqkrd8tQM6PN642UAt+qxJBY8Rpv4I1MMUct90utpTMpdTmQp1zQALu+GZZlyrwAKcCk1BLa +YQ1XErR0uVX5gbFLGmiQDEWid/ANB5C9ky0IRMFasxCGcdx8TD0+PQNCSQux597zpi7EpotYTI+ yUTGNqwUY9Zr75HJuBx150uQEkf4oPh9HwlTPHZKpXF8Q28inFm94H8wBfsV4wNF5+4bPelJLtdN zG3bi42MVUReULJIn1Z+LRNUrZWN2kssuj8zXavzCi7tHNoVMrCS5ji5xHorLlFklcjoZndgA91N CGMi4Ox/uTl8bBb0dNa0c8EOyYBu0Xj5OKiglo3dLUxg1luK0gRpjfH8DyKhUX16qwmYBQUXYKKQ 73exjY3GsZCMC/hnJMWvSeldo027IVg8Io+83M28tbATyYtyfF+c0PynBIFJQtpXdIfa60XjxZrI ZW9USgB2J5KnG20aOJFdG9w3bh8vYyJoPo11l9Ns+ZxPTUIU6Gkgq3in1oFWocPttLwLI2ej36ZF ze41QONGz2si+b8rDXr7x5lWRpmWrjxe622XMPShSqrCx8NMONp3VVf/6FQCWreGCSqPSsCD977j 7gveJsSuNYCSOG6cK/o9pTYpS4FSomvoqBsrtTbQDJUtZMW4Zdb9obG2v6JHJxBcZLrd/5PrvjIH qmAQHt0l1Z2D3UEUarYF9ZUpfFCiUjOafm/pnWsJ/8F+HYi+28+SqvrmEyoODrU4d1Ep2knrWIYv 07xxT9mDl/xzrWJ9m6c0K32lr0ngaN+IUXnrXMxdqqFXH6A1F33w/RghApMyD7b+JdZ6tbZe+CTI 6JXeRAY8BUXqh3U9Pa9XQz3iUrAwtMN/I0fm9Er8gSg+/07UmDbjJWtf10ojY3YSRgSK8g5Q/YOq d0if3Wjm0VAZg8+jteHBqdg6Hidxk4Izth8XX8IHdKD9v69eIggN/SqyS/MfTAw9N7z94v1hCarv DPqg4MivLEZC3L/xRhnq6akRGfl7rdk6ZGXbfCQLted/vjMVsCJhCo//3qT7PV9XDRh1FoctjjYl XDsTAtf/i4zQd9a7AZU4i71ut5CQg1VnWzHAEOCLDBZaIt4e3FMGN+BhyNRrxf6fl68oQ/p3tTLV 4hab1j/7Jwm2DrOoDyIsi4J5N2hYxVWMTRQlf9dQVkTcoZbAxjz1xlDxFwxe3PtOYehLu6PhbcxN aLkTBVTmyR3Iw2qwFva86kH2ZVL8+g3NBzG0y8DNZNxlatpvz16NxT9pK15SgBteBzx8o4wA9qrq 0EKO0BqmYWQSh6ijLCm3FUtGUqFUkNIyAtUK+6LRjWE6NuawCV0YFPTJf+dDe8lhO9zwbs33dYbG HomLwK6uT9m38mYjZqDt2DORK85gLRedsvbQ8EKvnVDqmF62lMmwoA/NKvJeUw1om9/GJcd89FJD YfI24xYWQLvaUiBvGhE4/hmbFo21e51+dHiYIrUeE2dnUD9WIZTAhxupysjmP0X4eoW0BvdY9KAb jmJJWyNskzO+x6iVSpyHG41eUzaIv432g2mLjW1H6DOGaVLQavTKRhl7+di/t6o9Np3ZOwbhu4jp nF/TDzW1ypyNvmyrTKNbQpWxImUEaec0B1E3w4UM88n8SROKJBC+uUm9JClui+yOzqM7B/w3kUYm 1HwpcMZTV/KbRcduAGcUmcYaa5OtVS8BRNUnmR9CmlMMna1rEHdkmwiKtFxoKOktkMOyTWoMghnt HhUe3W4CvTvb4UXqIREJv2oMuGyyylVMUFk7Z/7EcDyeSmMGuOkBIhP2NPeGsxfMKNM7wOXSxAAl VDp6baU21sM14yHrqjl0lrZOEjNeGyR3QOj1pztKJllPUYU+MMmzxyI7CVxCUTXiJTTFFKKgFFp8 uHWVxxetGR1CgMsQOzeWgxykRHzV+UhbzBhKjNK6WLdT6z4/LGlf/oSCmF9qxjZzHUwd14V+lvnz z0quUvr+/0tKl5oXo2ItmOwixo4WxIhge9mG62sToZ5EjiuZGljfRm70Gm54FB6PTcTuyQilOLLf ETun0lx+dhJDKloBbU4TbtLVzNfBNS5MvTN9Zr5CalFpDd6J8RQBqa67wpnEkK34HWzJKKYbh5I8 sx46CgTyIhhIRXg3OI2RzyzwjG8f+zlv/KIPhov4e33/QtFpn+znc20Z4DCW1+oQZiX1Dya1SF+9 K1tl7Bb3/OM0y2JWJ2noGDl1sK4OaAFiIKOqyhGTZXHAvxaVVU6CqGA3EDXV3hALi7GUmx45W9RE JLhRJoZ1XQljUUDYPAjYNj5elk1TJUN3YhPZH7zwa1ghuGaPGPuI4hXdwtx0PyHfa2isE+JwsVsk sY0x95nVt0UknPinYKQQmb/yirIFtvcU+YUc2u9bSXat8iJRW1lN+6EMm49cpYpdqqETW9Hbhakk VZBMXhQrBzlEjREGPYnnvMkLYy2C2r5e3FS3efzhnMpf/wSpA3akxl+A6UOaqM+nQMACAqC+a2Jx b1gN3cWmDZYrhAYX4HiW6JUefELpYQU1ID0B3ZCc5FoSEjoVJPTLjFUixY8zHTegVyI+Cyj2nUWT 4mgVjCCqNo8WXHmCEuKbFhkjPr8dUA/3CZy3izwwS4WK2l2SzU2Lt/HPp2ILSMhCN8vTKw/DYT7W kSDPKDnlPDGVUiw4fr8SQvdaXPUtAgQhqIzyZuZl8Fiqfwiltecch0lU7qt49VZgOjtUqR07xnRF NyAEPbmH14vTERscsg7vZcn3FaG/s2EbnTlKdWceJhW5ersu3h4UsjADkaq0Vm6MwXoQA8CAnFAA CXtDQdgcx6R4g74XenAs66zNHNR0HE/9HaDGw9P28/qQjfYN+/wV+OlohtHj0JHF4G0vWjhDWnIG LwnZ0bkElOF50jZnWBOCDakfQAYIaEpzUWJEM0e/XiozY70rsR3nYYe9eZYVPrTK5Hvl3X9Lv7zI ENJkt/h0+PL1Gom8ZZQx51ZU3zLHhtUR/czS0Hb5cTbPCiwp5A7H68xQxGjPi1u9nsZnl8Q9Tz06 48VKfK1hxNO5Gyim `protect end_protected
bsd-2-clause
e6679c94e38c47f3aee9eab555550e7c
0.953877
1.814491
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/Scratch_ram.vhd
1
1,202
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; entity SCR is Port ( DATA : inout STD_LOGIC_VECTOR (9 downto 0); ADDR : in STD_LOGIC_VECTOR (7 downto 0); OE : in STD_LOGIC; WE : in STD_LOGIC; CLK : in STD_LOGIC); end SCR; architecture SCR of SCR is TYPE memory is array (0 to 255) of std_logic_vector(9 downto 0); -----------------------------------------------------------(1) SIGNAL BD_RAM : memory := (others => (others =>'0') ); begin my_bi_dir: process(CLK,OE,WE,DATA,ADDR,BD_RAM) begin --------------------------------------------------------(2) if (OE = '1') then DATA <= BD_RAM(conv_integer(ADDR)); --------------------------------------------------------(3) else -----------------------------------------------------(4) DATA <= (others => 'Z'); -----------------------------------------------------(5) if (WE = '1') then if (rising_edge(CLK)) then BD_RAM(conv_integer(ADDR)) <= DATA; end if; end if; end if; end process my_bi_dir; end SCR;
mit
b427432322fe20038cb91bbf4f0360d0
0.476705
3.545723
false
false
false
false
rjarzmik/mips_processor
ProgramCounter/instruction_record.vhd
1
3,510
------------------------------------------------------------------------------- -- Title : Instruction recording -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : instruction_record.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-07 -- Last update: 2016-12-10 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-07 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.instruction_defs.instr_tag_t; use work.instruction_defs.NB_PIPELINE_STAGES; ------------------------------------------------------------------------------- package instruction_record is constant ADDR_WIDTH : integer := 32; subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); type instr_record is record pc : addr_t; predict_next_pc : addr_t; predict_is_branch : boolean; predict_is_ja : boolean; predict_is_jr : boolean; predict_take_branch : boolean; commited : boolean; commit_is_branch : boolean; commit_take_branch : boolean; end record; type instr_records is array(0 to NB_PIPELINE_STAGES - 1) of instr_record; function get_record(itag : in instr_tag_t; irecords : in instr_records) return instr_record; procedure record_one_instr(signal pc : in addr_t; signal predict_next_pc : in addr_t; signal itag : in instr_tag_t; signal itags : out instr_records); procedure retire_one_instr(signal itag : in instr_tag_t; signal itags : out instr_records); end package instruction_record; package body instruction_record is function get_record(itag : in instr_tag_t; irecords : in instr_records) return instr_record is variable o : instr_record; begin o := irecords(itag.tag); return o; end function get_record; procedure record_one_instr(signal pc : in addr_t; signal predict_next_pc : in addr_t; signal itag : in instr_tag_t; signal itags : out instr_records) is begin itags(itag.tag) <= (pc => pc, predict_next_pc => predict_next_pc, predict_is_branch => itag.is_branch, predict_is_ja => itag.is_ja, predict_is_jr => itag.is_jr, predict_take_branch => itag.is_branch_taken, commited => false, commit_is_branch => false, commit_take_branch => false ); end procedure record_one_instr; procedure retire_one_instr(signal itag : in instr_tag_t; signal itags : out instr_records) is begin itags(itag.tag).commited <= true; end procedure retire_one_instr; end package body instruction_record;
gpl-3.0
70836603189ba04fb5a4192629516a9d
0.480912
4.376559
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/variable_assignment/rule_008_test_input.vhd
1
456
architecture RTL of FIFO is begin process begin -- These are passing ret := ( data => (others => '-'), valid => '0', sop => '0', eop => '0', empty => (others => '0'), error => (others => '0') ); -- These are failing ret := (data => (others => '-'), valid => '0', sop => '0', eop => '0', empty => (others => '0'), error => (others => '0')); end process; end architecture RTL;
gpl-3.0
5e638b19c10882a4ada144721cf41be3
0.438596
3.507692
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/rtl/memc3_wrapper.vhd
4
47,982
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : memc3_wrapper.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $ -- \ \ / \ Date Created : -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : This module instantiates mcb_raw_wrapper module. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity memc3_wrapper is generic ( C_MEMCLK_PERIOD : integer := 2500; C_P0_MASK_SIZE : integer := 4; C_P0_DATA_PORT_SIZE : integer := 32; C_P1_MASK_SIZE : integer := 4; C_P1_DATA_PORT_SIZE : integer := 32; C_ARB_NUM_TIME_SLOTS : integer := 12; C_ARB_TIME_SLOT_0 : bit_vector := "000"; C_ARB_TIME_SLOT_1 : bit_vector := "000"; C_ARB_TIME_SLOT_2 : bit_vector := "000"; C_ARB_TIME_SLOT_3 : bit_vector := "000"; C_ARB_TIME_SLOT_4 : bit_vector := "000"; C_ARB_TIME_SLOT_5 : bit_vector := "000"; C_ARB_TIME_SLOT_6 : bit_vector := "000"; C_ARB_TIME_SLOT_7 : bit_vector := "000"; C_ARB_TIME_SLOT_8 : bit_vector := "000"; C_ARB_TIME_SLOT_9 : bit_vector := "000"; C_ARB_TIME_SLOT_10 : bit_vector := "000"; C_ARB_TIME_SLOT_11 : bit_vector := "000"; C_MEM_TRAS : integer := 45000; C_MEM_TRCD : integer := 12500; C_MEM_TREFI : integer := 7800000; C_MEM_TRFC : integer := 127500; C_MEM_TRP : integer := 12500; C_MEM_TWR : integer := 15000; C_MEM_TRTP : integer := 7500; C_MEM_TWTR : integer := 7500; C_MEM_ADDR_ORDER : string :="ROW_BANK_COLUMN"; C_MEM_TYPE : string :="DDR2"; C_MEM_DENSITY : string :="1Gb"; C_NUM_DQ_PINS : integer := 4; C_MEM_BURST_LEN : integer := 8; C_MEM_CAS_LATENCY : integer := 5; C_MEM_ADDR_WIDTH : integer := 14; C_MEM_BANKADDR_WIDTH : integer := 3; C_MEM_NUM_COL_BITS : integer := 11; C_MEM_DDR1_2_ODS : string := "FULL"; C_MEM_DDR2_RTT : string := "50OHMS"; C_MEM_DDR2_DIFF_DQS_EN : string := "YES"; C_MEM_DDR2_3_PA_SR : string := "FULL"; C_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL"; C_MEM_DDR3_CAS_LATENCY : integer:= 7; C_MEM_DDR3_CAS_WR_LATENCY : integer:= 5; C_MEM_DDR3_ODS : string := "DIV6"; C_MEM_DDR3_RTT : string := "DIV2"; C_MEM_DDR3_AUTO_SR : string := "ENABLED"; C_MEM_MOBILE_PA_SR : string := "FULL"; C_MEM_MDDR_ODS : string := "FULL"; C_MC_CALIB_BYPASS : string := "NO"; C_LDQSP_TAP_DELAY_VAL : integer := 0; C_UDQSP_TAP_DELAY_VAL : integer := 0; C_LDQSN_TAP_DELAY_VAL : integer := 0; C_UDQSN_TAP_DELAY_VAL : integer := 0; C_DQ0_TAP_DELAY_VAL : integer := 0; C_DQ1_TAP_DELAY_VAL : integer := 0; C_DQ2_TAP_DELAY_VAL : integer := 0; C_DQ3_TAP_DELAY_VAL : integer := 0; C_DQ4_TAP_DELAY_VAL : integer := 0; C_DQ5_TAP_DELAY_VAL : integer := 0; C_DQ6_TAP_DELAY_VAL : integer := 0; C_DQ7_TAP_DELAY_VAL : integer := 0; C_DQ8_TAP_DELAY_VAL : integer := 0; C_DQ9_TAP_DELAY_VAL : integer := 0; C_DQ10_TAP_DELAY_VAL : integer := 0; C_DQ11_TAP_DELAY_VAL : integer := 0; C_DQ12_TAP_DELAY_VAL : integer := 0; C_DQ13_TAP_DELAY_VAL : integer := 0; C_DQ14_TAP_DELAY_VAL : integer := 0; C_DQ15_TAP_DELAY_VAL : integer := 0; C_SKIP_IN_TERM_CAL : integer := 0; C_SKIP_DYNAMIC_CAL : integer := 0; C_SIMULATION : string := "FALSE"; C_MC_CALIBRATION_MODE : string := "CALIBRATION"; C_MC_CALIBRATION_DELAY : string := "QUARTER"; C_CALIB_SOFT_IP : string := "TRUE" ); port ( -- high-speed PLL clock interface sysclk_2x : in std_logic; sysclk_2x_180 : in std_logic; pll_ce_0 : in std_logic; pll_ce_90 : in std_logic; pll_lock : in std_logic; async_rst : in std_logic; --User Port0 Interface Signals p0_cmd_clk : in std_logic; p0_cmd_en : in std_logic; p0_cmd_instr : in std_logic_vector(2 downto 0) ; p0_cmd_bl : in std_logic_vector(5 downto 0) ; p0_cmd_byte_addr : in std_logic_vector(29 downto 0) ; p0_cmd_empty : out std_logic; p0_cmd_full : out std_logic; -- Data Wr Port signals p0_wr_clk : in std_logic; p0_wr_en : in std_logic; p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0) ; p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0) ; p0_wr_full : out std_logic; p0_wr_empty : out std_logic; p0_wr_count : out std_logic_vector(6 downto 0) ; p0_wr_underrun : out std_logic; p0_wr_error : out std_logic; --Data Rd Port signals p0_rd_clk : in std_logic; p0_rd_en : in std_logic; p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0) ; p0_rd_full : out std_logic; p0_rd_empty : out std_logic; p0_rd_count : out std_logic_vector(6 downto 0) ; p0_rd_overflow : out std_logic; p0_rd_error : out std_logic; --User Port1 Interface Signals p1_cmd_clk : in std_logic; p1_cmd_en : in std_logic; p1_cmd_instr : in std_logic_vector(2 downto 0) ; p1_cmd_bl : in std_logic_vector(5 downto 0) ; p1_cmd_byte_addr : in std_logic_vector(29 downto 0) ; p1_cmd_empty : out std_logic; p1_cmd_full : out std_logic; -- Data Wr Port signals p1_wr_clk : in std_logic; p1_wr_en : in std_logic; p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 downto 0) ; p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0) ; p1_wr_full : out std_logic; p1_wr_empty : out std_logic; p1_wr_count : out std_logic_vector(6 downto 0) ; p1_wr_underrun : out std_logic; p1_wr_error : out std_logic; --Data Rd Port signals p1_rd_clk : in std_logic; p1_rd_en : in std_logic; p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0) ; p1_rd_full : out std_logic; p1_rd_empty : out std_logic; p1_rd_count : out std_logic_vector(6 downto 0) ; p1_rd_overflow : out std_logic; p1_rd_error : out std_logic; -- memory interface signals mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; mcb3_dram_a : out std_logic_vector(C_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; -- mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 downto 0); mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_reset_n : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_dm : out std_logic; mcb3_rzq : inout std_logic; -- Calibration signals mcb_drp_clk : in std_logic; calib_done : out std_logic; selfrefresh_enter : in std_logic; selfrefresh_mode : out std_logic ); end entity; architecture acch of memc3_wrapper is component mcb_raw_wrapper IS GENERIC ( C_MEMCLK_PERIOD : integer; C_PORT_ENABLE : std_logic_vector(5 downto 0); C_MEM_ADDR_ORDER : string; C_ARB_NUM_TIME_SLOTS : integer; C_ARB_TIME_SLOT_0 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_1 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_2 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_3 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_4 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_5 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_6 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_7 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_8 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_9 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_10 : bit_vector(17 downto 0); C_ARB_TIME_SLOT_11 : bit_vector(17 downto 0); C_PORT_CONFIG : string; C_MEM_TRAS : integer; C_MEM_TRCD : integer; C_MEM_TREFI : integer; C_MEM_TRFC : integer; C_MEM_TRP : integer; C_MEM_TWR : integer; C_MEM_TRTP : integer; C_MEM_TWTR : integer; C_NUM_DQ_PINS : integer; C_MEM_TYPE : string; C_MEM_DENSITY : string; C_MEM_BURST_LEN : integer; C_MEM_CAS_LATENCY : integer; C_MEM_ADDR_WIDTH : integer; C_MEM_BANKADDR_WIDTH : integer; C_MEM_NUM_COL_BITS : integer; C_MEM_DDR3_CAS_LATENCY : integer; C_MEM_MOBILE_PA_SR : string; C_MEM_DDR1_2_ODS : string; C_MEM_DDR3_ODS : string; C_MEM_DDR2_RTT : string; C_MEM_DDR3_RTT : string; C_MEM_MDDR_ODS : string; C_MEM_DDR2_DIFF_DQS_EN : string; C_MEM_DDR2_3_PA_SR : string; C_MEM_DDR3_CAS_WR_LATENCY : integer; C_MEM_DDR3_AUTO_SR : string; C_MEM_DDR2_3_HIGH_TEMP_SR : string; C_MEM_DDR3_DYN_WRT_ODT : string; C_MC_CALIB_BYPASS : string; C_MC_CALIBRATION_RA : bit_vector(15 DOWNTO 0); C_MC_CALIBRATION_BA : bit_vector(2 DOWNTO 0); C_CALIB_SOFT_IP : string; C_MC_CALIBRATION_CA : bit_vector(11 DOWNTO 0); C_MC_CALIBRATION_CLK_DIV : integer; C_MC_CALIBRATION_MODE : string; C_MC_CALIBRATION_DELAY : string; LDQSP_TAP_DELAY_VAL : integer; UDQSP_TAP_DELAY_VAL : integer; LDQSN_TAP_DELAY_VAL : integer; UDQSN_TAP_DELAY_VAL : integer; DQ0_TAP_DELAY_VAL : integer; DQ1_TAP_DELAY_VAL : integer; DQ2_TAP_DELAY_VAL : integer; DQ3_TAP_DELAY_VAL : integer; DQ4_TAP_DELAY_VAL : integer; DQ5_TAP_DELAY_VAL : integer; DQ6_TAP_DELAY_VAL : integer; DQ7_TAP_DELAY_VAL : integer; DQ8_TAP_DELAY_VAL : integer; DQ9_TAP_DELAY_VAL : integer; DQ10_TAP_DELAY_VAL : integer; DQ11_TAP_DELAY_VAL : integer; DQ12_TAP_DELAY_VAL : integer; DQ13_TAP_DELAY_VAL : integer; DQ14_TAP_DELAY_VAL : integer; DQ15_TAP_DELAY_VAL : integer; C_P0_MASK_SIZE : integer; C_P0_DATA_PORT_SIZE : integer; C_P1_MASK_SIZE : integer; C_P1_DATA_PORT_SIZE : integer; C_SIMULATION : string ; C_SKIP_IN_TERM_CAL : integer; C_SKIP_DYNAMIC_CAL : integer; C_SKIP_DYN_IN_TERM : integer; C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) ); PORT ( -- HIGH-SPEED PLL clock interface sysclk_2x : in std_logic; sysclk_2x_180 : in std_logic; pll_ce_0 : in std_logic; pll_ce_90 : in std_logic; pll_lock : in std_logic; sys_rst : in std_logic; p0_arb_en : in std_logic; p0_cmd_clk : in std_logic; p0_cmd_en : in std_logic; p0_cmd_instr : in std_logic_vector(2 DOWNTO 0); p0_cmd_bl : in std_logic_vector(5 DOWNTO 0); p0_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0); p0_cmd_empty : out std_logic; p0_cmd_full : out std_logic; p0_wr_clk : in std_logic; p0_wr_en : in std_logic; p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 DOWNTO 0); p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0); p0_wr_full : out std_logic; p0_wr_empty : out std_logic; p0_wr_count : out std_logic_vector(6 DOWNTO 0); p0_wr_underrun : out std_logic; p0_wr_error : out std_logic; p0_rd_clk : in std_logic; p0_rd_en : in std_logic; p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0); p0_rd_full : out std_logic; p0_rd_empty : out std_logic; p0_rd_count : out std_logic_vector(6 DOWNTO 0); p0_rd_overflow : out std_logic; p0_rd_error : out std_logic; p1_arb_en : in std_logic; p1_cmd_clk : in std_logic; p1_cmd_en : in std_logic; p1_cmd_instr : in std_logic_vector(2 DOWNTO 0); p1_cmd_bl : in std_logic_vector(5 DOWNTO 0); p1_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0); p1_cmd_empty : out std_logic; p1_cmd_full : out std_logic; p1_wr_clk : in std_logic; p1_wr_en : in std_logic; p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 DOWNTO 0); p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0); p1_wr_full : out std_logic; p1_wr_empty : out std_logic; p1_wr_count : out std_logic_vector(6 DOWNTO 0); p1_wr_underrun : out std_logic; p1_wr_error : out std_logic; p1_rd_clk : in std_logic; p1_rd_en : in std_logic; p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0); p1_rd_full : out std_logic; p1_rd_empty : out std_logic; p1_rd_count : out std_logic_vector(6 DOWNTO 0); p1_rd_overflow : out std_logic; p1_rd_error : out std_logic; p2_arb_en : in std_logic; p2_cmd_clk : in std_logic; p2_cmd_en : in std_logic; p2_cmd_instr : in std_logic_vector(2 DOWNTO 0); p2_cmd_bl : in std_logic_vector(5 DOWNTO 0); p2_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0); p2_cmd_empty : out std_logic; p2_cmd_full : out std_logic; p2_wr_clk : in std_logic; p2_wr_en : in std_logic; p2_wr_mask : in std_logic_vector(3 DOWNTO 0); p2_wr_data : in std_logic_vector(31 DOWNTO 0); p2_wr_full : out std_logic; p2_wr_empty : out std_logic; p2_wr_count : out std_logic_vector(6 DOWNTO 0); p2_wr_underrun : out std_logic; p2_wr_error : out std_logic; p2_rd_clk : in std_logic; p2_rd_en : in std_logic; p2_rd_data : out std_logic_vector(31 DOWNTO 0); p2_rd_full : out std_logic; p2_rd_empty : out std_logic; p2_rd_count : out std_logic_vector(6 DOWNTO 0); p2_rd_overflow : out std_logic; p2_rd_error : out std_logic; p3_arb_en : in std_logic; p3_cmd_clk : in std_logic; p3_cmd_en : in std_logic; p3_cmd_instr : in std_logic_vector(2 DOWNTO 0); p3_cmd_bl : in std_logic_vector(5 DOWNTO 0); p3_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0); p3_cmd_empty : out std_logic; p3_cmd_full : out std_logic; p3_wr_clk : in std_logic; p3_wr_en : in std_logic; p3_wr_mask : in std_logic_vector(3 DOWNTO 0); p3_wr_data : in std_logic_vector(31 DOWNTO 0); p3_wr_full : out std_logic; p3_wr_empty : out std_logic; p3_wr_count : out std_logic_vector(6 DOWNTO 0); p3_wr_underrun : out std_logic; p3_wr_error : out std_logic; p3_rd_clk : in std_logic; p3_rd_en : in std_logic; p3_rd_data : out std_logic_vector(31 DOWNTO 0); p3_rd_full : out std_logic; p3_rd_empty : out std_logic; p3_rd_count : out std_logic_vector(6 DOWNTO 0); p3_rd_overflow : out std_logic; p3_rd_error : out std_logic; p4_arb_en : in std_logic; p4_cmd_clk : in std_logic; p4_cmd_en : in std_logic; p4_cmd_instr : in std_logic_vector(2 DOWNTO 0); p4_cmd_bl : in std_logic_vector(5 DOWNTO 0); p4_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0); p4_cmd_empty : out std_logic; p4_cmd_full : out std_logic; p4_wr_clk : in std_logic; p4_wr_en : in std_logic; p4_wr_mask : in std_logic_vector(3 DOWNTO 0); p4_wr_data : in std_logic_vector(31 DOWNTO 0); p4_wr_full : out std_logic; p4_wr_empty : out std_logic; p4_wr_count : out std_logic_vector(6 DOWNTO 0); p4_wr_underrun : out std_logic; p4_wr_error : out std_logic; p4_rd_clk : in std_logic; p4_rd_en : in std_logic; p4_rd_data : out std_logic_vector(31 DOWNTO 0); p4_rd_full : out std_logic; p4_rd_empty : out std_logic; p4_rd_count : out std_logic_vector(6 DOWNTO 0); p4_rd_overflow : out std_logic; p4_rd_error : out std_logic; p5_arb_en : in std_logic; p5_cmd_clk : in std_logic; p5_cmd_en : in std_logic; p5_cmd_instr : in std_logic_vector(2 DOWNTO 0); p5_cmd_bl : in std_logic_vector(5 DOWNTO 0); p5_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0); p5_cmd_empty : out std_logic; p5_cmd_full : out std_logic; p5_wr_clk : in std_logic; p5_wr_en : in std_logic; p5_wr_mask : in std_logic_vector(3 DOWNTO 0); p5_wr_data : in std_logic_vector(31 DOWNTO 0); p5_wr_full : out std_logic; p5_wr_empty : out std_logic; p5_wr_count : out std_logic_vector(6 DOWNTO 0); p5_wr_underrun : out std_logic; p5_wr_error : out std_logic; p5_rd_clk : in std_logic; p5_rd_en : in std_logic; p5_rd_data : out std_logic_vector(31 DOWNTO 0); p5_rd_full : out std_logic; p5_rd_empty : out std_logic; p5_rd_count : out std_logic_vector(6 DOWNTO 0); p5_rd_overflow : out std_logic; p5_rd_error : out std_logic; mcbx_dram_addr : out std_logic_vector(C_MEM_ADDR_WIDTH - 1 DOWNTO 0); mcbx_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH - 1 DOWNTO 0); mcbx_dram_ras_n : out std_logic; mcbx_dram_cas_n : out std_logic; mcbx_dram_we_n : out std_logic; mcbx_dram_cke : out std_logic; mcbx_dram_clk : out std_logic; mcbx_dram_clk_n : out std_logic; mcbx_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 DOWNTO 0); mcbx_dram_dqs : inout std_logic; mcbx_dram_dqs_n : inout std_logic; mcbx_dram_udqs : inout std_logic; mcbx_dram_udqs_n : inout std_logic; mcbx_dram_udm : out std_logic; mcbx_dram_ldm : out std_logic; mcbx_dram_odt : out std_logic; mcbx_dram_ddr3_rst : out std_logic; calib_recal : in std_logic; rzq : inout std_logic; zio : inout std_logic; ui_read : in std_logic; ui_add : in std_logic; ui_cs : in std_logic; ui_clk : in std_logic; ui_sdi : in std_logic; ui_addr : in std_logic_vector(4 DOWNTO 0); ui_broadcast : in std_logic; ui_drp_update : in std_logic; ui_done_cal : in std_logic; ui_cmd : in std_logic; ui_cmd_in : in std_logic; ui_cmd_en : in std_logic; ui_dqcount : in std_logic_vector(3 DOWNTO 0); ui_dq_lower_dec : in std_logic; ui_dq_lower_inc : in std_logic; ui_dq_upper_dec : in std_logic; ui_dq_upper_inc : in std_logic; ui_udqs_inc : in std_logic; ui_udqs_dec : in std_logic; ui_ldqs_inc : in std_logic; ui_ldqs_dec : in std_logic; uo_data : out std_logic_vector(7 DOWNTO 0); uo_data_valid : out std_logic; uo_done_cal : out std_logic; uo_cmd_ready_in : out std_logic; uo_refrsh_flag : out std_logic; uo_cal_start : out std_logic; uo_sdo : out std_logic; status : out std_logic_vector(31 DOWNTO 0); selfrefresh_enter : in std_logic; selfrefresh_mode : out std_logic ); end component; signal uo_data : std_logic_vector(7 downto 0); constant C_PORT_ENABLE : std_logic_vector(5 downto 0) := "000011"; constant C_PORT_CONFIG : string := "B64_B32_B32"; constant ARB_TIME_SLOT_0 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_0(5 downto 3) & C_ARB_TIME_SLOT_0(2 downto 0)); constant ARB_TIME_SLOT_1 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_1(5 downto 3) & C_ARB_TIME_SLOT_1(2 downto 0)); constant ARB_TIME_SLOT_2 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_2(5 downto 3) & C_ARB_TIME_SLOT_2(2 downto 0)); constant ARB_TIME_SLOT_3 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_3(5 downto 3) & C_ARB_TIME_SLOT_3(2 downto 0)); constant ARB_TIME_SLOT_4 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_4(5 downto 3) & C_ARB_TIME_SLOT_4(2 downto 0)); constant ARB_TIME_SLOT_5 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_5(5 downto 3) & C_ARB_TIME_SLOT_5(2 downto 0)); constant ARB_TIME_SLOT_6 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_6(5 downto 3) & C_ARB_TIME_SLOT_6(2 downto 0)); constant ARB_TIME_SLOT_7 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_7(5 downto 3) & C_ARB_TIME_SLOT_7(2 downto 0)); constant ARB_TIME_SLOT_8 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_8(5 downto 3) & C_ARB_TIME_SLOT_8(2 downto 0)); constant ARB_TIME_SLOT_9 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_9(5 downto 3) & C_ARB_TIME_SLOT_9(2 downto 0)); constant ARB_TIME_SLOT_10 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_10(5 downto 3) & C_ARB_TIME_SLOT_10(2 downto 0)); constant ARB_TIME_SLOT_11 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_11(5 downto 3) & C_ARB_TIME_SLOT_11(2 downto 0)); constant C_MC_CALIBRATION_CLK_DIV : integer := 1; constant C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000" + "0000010000"; -- 16 cycles are added to avoid trfc violations constant C_SKIP_DYN_IN_TERM : integer := 1; constant C_MC_CALIBRATION_RA : bit_vector(15 downto 0) := X"0000"; constant C_MC_CALIBRATION_BA : bit_vector(2 downto 0) := o"0"; constant C_MC_CALIBRATION_CA : bit_vector(11 downto 0) := X"000"; constant C_MEM_DDR3_DYN_WRT_ODT : string := "OFF"; signal status : std_logic_vector(31 downto 0); signal uo_data_valid : std_logic; signal uo_cmd_ready_in : std_logic; signal uo_refrsh_flag : std_logic; signal uo_cal_start : std_logic; signal uo_sdo : std_logic; signal mcb3_zio : std_logic; attribute X_CORE_INFO : string; attribute X_CORE_INFO of acch : architecture IS "mig_v3_9_ddr3_s6, Coregen 13.3"; attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of acch : architecture IS "mcb3_ddr3_s6,mig_v3_9,{LANGUAGE=VHDL, SYNTHESIS_TOOL=ISE, NO_OF_CONTROLLERS=1, AXI_ENABLE=0, MEM_INTERFACE_TYPE=DDR3_SDRAM, CLK_PERIOD=3000, MEMORY_PART=mt41j128m16xx-15e, MEMORY_DEVICE_WIDTH=16, OUTPUT_DRV=DIV6, RTT_NOM=DIV4, AUTO_SR=ENABLED, HIGH_TEMP_SR=NORMAL, PORT_CONFIG=One 64-bit bi-directional and two 32-bit bi-directional ports, MEM_ADDR_ORDER=ROW_BANK_COLUMN, PORT_ENABLE=Port0_Port1, INPUT_PIN_TERMINATION=EXTERN_TERM, DATA_TERMINATION=25 Ohms, CLKFBOUT_MULT_F=2, CLKOUT_DIVIDE=1, DEBUG_PORT=0, INPUT_CLK_TYPE=Single-Ended}"; begin memc3_mcb_raw_wrapper_inst : mcb_raw_wrapper generic map ( C_MEMCLK_PERIOD => C_MEMCLK_PERIOD, C_P0_MASK_SIZE => C_P0_MASK_SIZE, C_P0_DATA_PORT_SIZE => C_P0_DATA_PORT_SIZE, C_P1_MASK_SIZE => C_P1_MASK_SIZE, C_P1_DATA_PORT_SIZE => C_P1_DATA_PORT_SIZE, C_ARB_NUM_TIME_SLOTS => C_ARB_NUM_TIME_SLOTS, C_ARB_TIME_SLOT_0 => ARB_TIME_SLOT_0, C_ARB_TIME_SLOT_1 => ARB_TIME_SLOT_1, C_ARB_TIME_SLOT_2 => ARB_TIME_SLOT_2, C_ARB_TIME_SLOT_3 => ARB_TIME_SLOT_3, C_ARB_TIME_SLOT_4 => ARB_TIME_SLOT_4, C_ARB_TIME_SLOT_5 => ARB_TIME_SLOT_5, C_ARB_TIME_SLOT_6 => ARB_TIME_SLOT_6, C_ARB_TIME_SLOT_7 => ARB_TIME_SLOT_7, C_ARB_TIME_SLOT_8 => ARB_TIME_SLOT_8, C_ARB_TIME_SLOT_9 => ARB_TIME_SLOT_9, C_ARB_TIME_SLOT_10 => ARB_TIME_SLOT_10, C_ARB_TIME_SLOT_11 => ARB_TIME_SLOT_11, C_PORT_CONFIG => C_PORT_CONFIG, C_PORT_ENABLE => C_PORT_ENABLE, C_MEM_TRAS => C_MEM_TRAS, C_MEM_TRCD => C_MEM_TRCD, C_MEM_TREFI => C_MEM_TREFI, C_MEM_TRFC => C_MEM_TRFC, C_MEM_TRP => C_MEM_TRP, C_MEM_TWR => C_MEM_TWR, C_MEM_TRTP => C_MEM_TRTP, C_MEM_TWTR => C_MEM_TWTR, C_MEM_ADDR_ORDER => C_MEM_ADDR_ORDER, C_NUM_DQ_PINS => C_NUM_DQ_PINS, C_MEM_TYPE => C_MEM_TYPE, C_MEM_DENSITY => C_MEM_DENSITY, C_MEM_BURST_LEN => C_MEM_BURST_LEN, C_MEM_CAS_LATENCY => C_MEM_CAS_LATENCY, C_MEM_ADDR_WIDTH => C_MEM_ADDR_WIDTH, C_MEM_BANKADDR_WIDTH => C_MEM_BANKADDR_WIDTH, C_MEM_NUM_COL_BITS => C_MEM_NUM_COL_BITS, C_MEM_DDR1_2_ODS => C_MEM_DDR1_2_ODS, C_MEM_DDR2_RTT => C_MEM_DDR2_RTT, C_MEM_DDR2_DIFF_DQS_EN => C_MEM_DDR2_DIFF_DQS_EN, C_MEM_DDR2_3_PA_SR => C_MEM_DDR2_3_PA_SR, C_MEM_DDR2_3_HIGH_TEMP_SR => C_MEM_DDR2_3_HIGH_TEMP_SR, C_MEM_DDR3_CAS_LATENCY => C_MEM_DDR3_CAS_LATENCY, C_MEM_DDR3_ODS => C_MEM_DDR3_ODS, C_MEM_DDR3_RTT => C_MEM_DDR3_RTT, C_MEM_DDR3_CAS_WR_LATENCY => C_MEM_DDR3_CAS_WR_LATENCY, C_MEM_DDR3_AUTO_SR => C_MEM_DDR3_AUTO_SR, C_MEM_DDR3_DYN_WRT_ODT => C_MEM_DDR3_DYN_WRT_ODT, C_MEM_MOBILE_PA_SR => C_MEM_MOBILE_PA_SR, C_MEM_MDDR_ODS => C_MEM_MDDR_ODS, C_MC_CALIBRATION_CLK_DIV => C_MC_CALIBRATION_CLK_DIV, C_MC_CALIBRATION_MODE => C_MC_CALIBRATION_MODE, C_MC_CALIBRATION_DELAY => C_MC_CALIBRATION_DELAY, C_MC_CALIB_BYPASS => C_MC_CALIB_BYPASS, C_MC_CALIBRATION_RA => C_MC_CALIBRATION_RA, C_MC_CALIBRATION_BA => C_MC_CALIBRATION_BA, C_MC_CALIBRATION_CA => C_MC_CALIBRATION_CA, C_CALIB_SOFT_IP => C_CALIB_SOFT_IP, C_SIMULATION => C_SIMULATION, C_SKIP_IN_TERM_CAL => C_SKIP_IN_TERM_CAL, C_SKIP_DYNAMIC_CAL => C_SKIP_DYNAMIC_CAL, C_SKIP_DYN_IN_TERM => C_SKIP_DYN_IN_TERM, C_MEM_TZQINIT_MAXCNT => C_MEM_TZQINIT_MAXCNT, LDQSP_TAP_DELAY_VAL => C_LDQSP_TAP_DELAY_VAL, UDQSP_TAP_DELAY_VAL => C_UDQSP_TAP_DELAY_VAL, LDQSN_TAP_DELAY_VAL => C_LDQSN_TAP_DELAY_VAL, UDQSN_TAP_DELAY_VAL => C_UDQSN_TAP_DELAY_VAL, DQ0_TAP_DELAY_VAL => C_DQ0_TAP_DELAY_VAL, DQ1_TAP_DELAY_VAL => C_DQ1_TAP_DELAY_VAL, DQ2_TAP_DELAY_VAL => C_DQ2_TAP_DELAY_VAL, DQ3_TAP_DELAY_VAL => C_DQ3_TAP_DELAY_VAL, DQ4_TAP_DELAY_VAL => C_DQ4_TAP_DELAY_VAL, DQ5_TAP_DELAY_VAL => C_DQ5_TAP_DELAY_VAL, DQ6_TAP_DELAY_VAL => C_DQ6_TAP_DELAY_VAL, DQ7_TAP_DELAY_VAL => C_DQ7_TAP_DELAY_VAL, DQ8_TAP_DELAY_VAL => C_DQ8_TAP_DELAY_VAL, DQ9_TAP_DELAY_VAL => C_DQ9_TAP_DELAY_VAL, DQ10_TAP_DELAY_VAL => C_DQ10_TAP_DELAY_VAL, DQ11_TAP_DELAY_VAL => C_DQ11_TAP_DELAY_VAL, DQ12_TAP_DELAY_VAL => C_DQ12_TAP_DELAY_VAL, DQ13_TAP_DELAY_VAL => C_DQ13_TAP_DELAY_VAL, DQ14_TAP_DELAY_VAL => C_DQ14_TAP_DELAY_VAL, DQ15_TAP_DELAY_VAL => C_DQ15_TAP_DELAY_VAL ) port map ( sys_rst => async_rst, sysclk_2x => sysclk_2x, sysclk_2x_180 => sysclk_2x_180, pll_ce_0 => pll_ce_0, pll_ce_90 => pll_ce_90, pll_lock => pll_lock, mcbx_dram_addr => mcb3_dram_a, mcbx_dram_ba => mcb3_dram_ba, mcbx_dram_ras_n => mcb3_dram_ras_n, mcbx_dram_cas_n => mcb3_dram_cas_n, mcbx_dram_we_n => mcb3_dram_we_n, mcbx_dram_cke => mcb3_dram_cke, mcbx_dram_clk => mcb3_dram_ck, mcbx_dram_clk_n => mcb3_dram_ck_n, mcbx_dram_dq => mcb3_dram_dq, mcbx_dram_odt => mcb3_dram_odt, mcbx_dram_ldm => mcb3_dram_dm, mcbx_dram_udm => mcb3_dram_udm, mcbx_dram_dqs => mcb3_dram_dqs, mcbx_dram_dqs_n => mcb3_dram_dqs_n, mcbx_dram_udqs => mcb3_dram_udqs, mcbx_dram_udqs_n => mcb3_dram_udqs_n, mcbx_dram_ddr3_rst => mcb3_dram_reset_n, calib_recal => '0', rzq => mcb3_rzq, zio => mcb3_zio, ui_read => '0', ui_add => '0', ui_cs => '0', ui_clk => mcb_drp_clk, ui_sdi => '0', ui_addr => (others => '0'), ui_broadcast => '0', ui_drp_update => '0', ui_done_cal => '1', ui_cmd => '0', ui_cmd_in => '0', ui_cmd_en => '0', ui_dqcount => (others => '0'), ui_dq_lower_dec => '0', ui_dq_lower_inc => '0', ui_dq_upper_dec => '0', ui_dq_upper_inc => '0', ui_udqs_inc => '0', ui_udqs_dec => '0', ui_ldqs_inc => '0', ui_ldqs_dec => '0', uo_data => uo_data, uo_data_valid => uo_data_valid, uo_done_cal => calib_done, uo_cmd_ready_in => uo_cmd_ready_in, uo_refrsh_flag => uo_refrsh_flag, uo_cal_start => uo_cal_start, uo_sdo => uo_sdo, status => status, selfrefresh_enter => '0', selfrefresh_mode => selfrefresh_mode, p0_arb_en => '1', p0_cmd_clk => p0_cmd_clk, p0_cmd_en => p0_cmd_en, p0_cmd_instr => p0_cmd_instr, p0_cmd_bl => p0_cmd_bl, p0_cmd_byte_addr => p0_cmd_byte_addr, p0_cmd_empty => p0_cmd_empty, p0_cmd_full => p0_cmd_full, p0_wr_clk => p0_wr_clk, p0_wr_en => p0_wr_en, p0_wr_mask => p0_wr_mask, p0_wr_data => p0_wr_data, p0_wr_full => p0_wr_full, p0_wr_empty => p0_wr_empty, p0_wr_count => p0_wr_count, p0_wr_underrun => p0_wr_underrun, p0_wr_error => p0_wr_error, p0_rd_clk => p0_rd_clk, p0_rd_en => p0_rd_en, p0_rd_data => p0_rd_data, p0_rd_full => p0_rd_full, p0_rd_empty => p0_rd_empty, p0_rd_count => p0_rd_count, p0_rd_overflow => p0_rd_overflow, p0_rd_error => p0_rd_error, p1_arb_en => '1', p1_cmd_clk => p1_cmd_clk, p1_cmd_en => p1_cmd_en, p1_cmd_instr => p1_cmd_instr, p1_cmd_bl => p1_cmd_bl, p1_cmd_byte_addr => p1_cmd_byte_addr, p1_cmd_empty => p1_cmd_empty, p1_cmd_full => p1_cmd_full, p1_wr_clk => p1_wr_clk, p1_wr_en => p1_wr_en, p1_wr_mask => p1_wr_mask, p1_wr_data => p1_wr_data, p1_wr_full => p1_wr_full, p1_wr_empty => p1_wr_empty, p1_wr_count => p1_wr_count, p1_wr_underrun => p1_wr_underrun, p1_wr_error => p1_wr_error, p1_rd_clk => p1_rd_clk, p1_rd_en => p1_rd_en, p1_rd_data => p1_rd_data, p1_rd_full => p1_rd_full, p1_rd_empty => p1_rd_empty, p1_rd_count => p1_rd_count, p1_rd_overflow => p1_rd_overflow, p1_rd_error => p1_rd_error, p2_arb_en => '0', p2_cmd_clk => '0', p2_cmd_en => '0', p2_cmd_instr => (others => '0'), p2_cmd_bl => (others => '0'), p2_cmd_byte_addr => (others => '0'), p2_cmd_empty => open, p2_cmd_full => open, p2_rd_clk => '0', p2_rd_en => '0', p2_rd_data => open, p2_rd_full => open, p2_rd_empty => open, p2_rd_count => open, p2_rd_overflow => open, p2_rd_error => open, p2_wr_clk => '0', p2_wr_en => '0', p2_wr_mask => (others => '0'), p2_wr_data => (others => '0'), p2_wr_full => open, p2_wr_empty => open, p2_wr_count => open, p2_wr_underrun => open, p2_wr_error => open, p3_arb_en => '0', p3_cmd_clk => '0', p3_cmd_en => '0', p3_cmd_instr => (others => '0'), p3_cmd_bl => (others => '0'), p3_cmd_byte_addr => (others => '0'), p3_cmd_empty => open, p3_cmd_full => open, p3_rd_clk => '0', p3_rd_en => '0', p3_rd_data => open, p3_rd_full => open, p3_rd_empty => open, p3_rd_count => open, p3_rd_overflow => open, p3_rd_error => open, p3_wr_clk => '0', p3_wr_en => '0', p3_wr_mask => (others => '0'), p3_wr_data => (others => '0'), p3_wr_full => open, p3_wr_empty => open, p3_wr_count => open, p3_wr_underrun => open, p3_wr_error => open, p4_arb_en => '0', p4_cmd_clk => '0', p4_cmd_en => '0', p4_cmd_instr => (others => '0'), p4_cmd_bl => (others => '0'), p4_cmd_byte_addr => (others => '0'), p4_cmd_empty => open, p4_cmd_full => open, p4_rd_clk => '0', p4_rd_en => '0', p4_rd_data => open, p4_rd_full => open, p4_rd_empty => open, p4_rd_count => open, p4_rd_overflow => open, p4_rd_error => open, p4_wr_clk => '0', p4_wr_en => '0', p4_wr_mask => (others => '0'), p4_wr_data => (others => '0'), p4_wr_full => open, p4_wr_empty => open, p4_wr_count => open, p4_wr_underrun => open, p4_wr_error => open, p5_arb_en => '0', p5_cmd_clk => '0', p5_cmd_en => '0', p5_cmd_instr => (others => '0'), p5_cmd_bl => (others => '0'), p5_cmd_byte_addr => (others => '0'), p5_cmd_empty => open, p5_cmd_full => open, p5_rd_clk => '0', p5_rd_en => '0', p5_rd_data => open, p5_rd_full => open, p5_rd_empty => open, p5_rd_count => open, p5_rd_overflow => open, p5_rd_error => open, p5_wr_clk => '0', p5_wr_en => '0', p5_wr_mask => (others => '0'), p5_wr_data => (others => '0'), p5_wr_full => open, p5_wr_empty => open, p5_wr_count => open, p5_wr_underrun => open, p5_wr_error => open ); end architecture;
gpl-3.0
2303f3215033aa72f023c64e28ba2173
0.425806
3.495956
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_028_test_input.fixed_upper.vhd
1
823
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : entity fifo_dsn.1CLK_FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity fifo_dsn.1CLK_FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity 1CLK_FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity 1CLK_FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
64be2eef7ca817143b8c527895cf7577
0.484812
2.707237
false
false
false
false
rjarzmik/mips_processor
Caches/mask_feeder.vhd
1
3,379
------------------------------------------------------------------------------- -- Title : Feeds all first set bits of a mask -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : mask_feeder.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-21 -- Last update: 2016-12-21 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: -- Mask outputing the first set bit to clear on fbitset. -- Each cycle, bclr bit is cleared if bclrena is set. -- Priority of operation : -- 1) sclr : latch sdata -- 2) bclrean : clear one bit ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-21 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- entity mask_feeder is generic ( WIDTH : natural := 8 ); port ( clk : in std_logic; sclr : in std_logic; sdata : in std_logic_vector(WIDTH - 1 downto 0); bclrena : in std_logic; bclr : in natural range 0 to WIDTH - 1; fbitset : out natural range 0 to WIDTH - 1; allclear : out std_logic; dbg_data : out std_logic_vector(WIDTH - 1 downto 0) ); end entity mask_feeder; ------------------------------------------------------------------------------- architecture str of mask_feeder is constant ZERO_DATA : std_logic_vector(WIDTH - 1 downto 0) := (others => '0'); signal data : std_logic_vector(WIDTH - 1 downto 0); function get_fbs(vect : std_logic_vector) return natural is variable hlen : natural; variable hzero : std_logic_vector(vect'length / 2 - 1 downto 0); variable vlow : std_logic_vector(vect'length / 2 - 1 downto 0); variable vhigh : std_logic_vector(vect'length / 2 - 1 downto 0); begin hzero := (others => '0'); if vect'length = 2 then if vect(1) = '1' then return 1; else return 0; end if; else hlen := vect'length / 2; vlow := vect(hlen - 1 downto 0); vhigh := vect(vect'length - 1 downto hlen); if vhigh /= hzero then return hlen + get_fbs(vhigh); else return get_fbs(vlow); end if; end if; end function get_fbs; begin -- architecture str process(clk, sclr, bclrena, data) variable next_data : std_logic_vector(WIDTH - 1 downto 0); begin if rising_edge(clk) then if sclr = '1' then data <= sdata; fbitset <= get_fbs(sdata); elsif bclrena = '1' then data(bclr) <= '0'; next_data := data; next_data(bclr) := '0'; fbitset <= get_fbs(next_data); end if; end if; if data = ZERO_DATA then allclear <= '1'; else allclear <= '0'; end if; dbg_data <= data; end process; end architecture str; -------------------------------------------------------------------------------
gpl-3.0
7c12431a5bae566d57d955a910d5c967
0.463747
4.135863
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/selected_waveform_assignment/classification_test_input.vhd
1
1,878
architecture RTL of ENTITY_NAME is begin process begin SEL_LABEL : with some expression select ? some target <= transport some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; SEL_LABEL : with some expression select ? some target <= some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; SEL_LABEL : with some expression select some target <= transport some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; SEL_LABEL : with some expression select ? some target <= transport some expression when some choice; with some expression select ? some target <= some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; with some expression select ? some target <= some expression after 10 ns when some choice | some other choice | some other other choice, null when some choice, null after 20 ns when some choice | some other choice, some expression after 10 ns, some other expression after 20 ns, some last expression when some choice | some other choice, unaffected when some last choice; end process; end architecture RTL;
gpl-3.0
f70dc72140d9eece8117be581bd1779a
0.617146
6.038585
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/constant/rule_016_test_input_assignment.fixed_assign_on_single_line_true.vhd
1
478
architecture rtl of fifo is constant cons1 : t_type := ( 1 => func1(std_logic_vector(G_GEN), G_GEN2), 2 => func1(std_logic_vector(G_GEN3), G_GEN4) ); constant cons1 : t_type := ( 1 => func1(std_logic_vector(G_GEN), G_GEN2), 2 => func1( std_logic_vector(G_GEN3), G_GEN4) ); constant cons1 : t_type := ( 1 => func1(std_logic_vector(G_GEN), G_GEN2), (others => '0'), 2 => func2(std_logic( G_GEN), G_GEN2) ); begin end architecture rtl;
gpl-3.0
096570f03de7aa3400962ceabc582cf8
0.579498
2.529101
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU/InstMem.vhd
1
3,075
library ieee; use ieee.std_logic_1164.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; entity InstMem is generic (BitWidth: integer; InstructionWidth: integer); port ( address : in std_logic_vector(BitWidth-1 downto 0); data : out std_logic_vector(InstructionWidth-1 downto 0) ); end entity InstMem; architecture behavioral of InstMem is type mem is array ( 0 to InstMem_depth-1) of std_logic_vector(InstructionWidth-1 downto 0); constant my_InstMem : mem := ( 0 => "10000100000000000000000000000000011000",--Load_B_Dir 1 => "00111100000000000000000000000000000000",--OR_A_B 2 => "00011000000000000000000000000000000000",--IncA 3 => "00001100000000000000000000000000000000",--Sub_A_B 4 => "01011000000000000000000000000000000111",--JmpC 7 5 => "11111000000000000000000000000011110000",--NOP 6 => "11111000000000000000000000000000000000",--NOP 7 => "00110000000000000000000000000000000000",--RRC 8 => "00110100000000000000000000000000000000",--RLC 9 => "11111000000000000000000000000000000000",--NOP 10 => "01101100000000000000000000000000000000",--ClearC 11 => "10000000000000000000000000000000010000",--Store_A_Mem 12 => "11110000000000000000000000000000000000",--PUSH 13 => "01111000000000000000000000000000000000",--SavePC 14 => "11110000000000000000000000000000000000",--PUSH 15 => "01001100000000000000000000000000010100",--Jmp 20 16 => "11110100000000000000000000000000000000", --pop 17 => "00100100000000000000000000000000000000", --ShiftArithL 18 => "00011100000000000000000000000000000000",--DecA 19 => "11111100000000000000000000000000000000", --HALT 20 => "01111100000000000000000000000000010000",--Load_A_Mem 21 => "00111000000000000000000000000000000000",--AND 22 => "01010000000000000000000000000000011000",--JMPZ 24 23 => "11111000000000000000000000000000000000",--NOP 24 => "01101100000000000000000000000000000000",--ClearZ 25 => "00000100000000000000000000000000010000",--Add_A_Mem 26 => "00010000000000000000000000000000010000",--Sub_A_Mem 27 => "00000000000000000000000000000000000000",--ADD_A_B 28 => "00010100000000000000000000000000001100",--SUB_A_DIR C 29 => "01000100000000000000000000000000000000",--FlipA 30 => "01000000000000000000000000000000000000",--XOR_A_B 31 => "01001000000000000000000000000000000000",--NegA 32 => "00100000000000000000000000000000000000",--ShiftArithR 33 => "00101100000000000000000000000000000000",--ShiftA_L 34 => "00101000000000000000000000000000000000",--ShiftA_R 35 => "01110000000000000000000000000000000000",--ClearACC 36 => "11110100000000000000000000000000000000",--POP 37 => "00001000000000000000000000000000000011",--Add_A_Dir 38 => "01110100000000000000000000000000000000",--LoadPC others => "00000000000000000000000000000000000000" ); begin process(address)begin if to_integer(unsigned(address)) <= InstMem_depth-1 then data <= my_InstMem(to_integer(unsigned(address))); else data <= (others => '0'); end if; end process; end architecture behavioral;
gpl-2.0
4593735da65ca205c4345d2143c96548
0.755447
4.767442
false
false
false
false
Yarr/Yarr-fw
rtl/tx-core/tx_channel.vhd
1
8,857
-- #################################### -- # Project: Yarr -- # Author: Timon Heim -- # E-Mail: timon.heim at cern.ch -- # Comments: Single tx_channel -- #################################### library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.board_pkg.all; entity tx_channel is port ( -- Sys connect wb_clk_i : in std_logic; rst_n_i : in std_logic; -- Data In wb_dat_i : in std_logic_vector(31 downto 0); wb_wr_en_i : in std_logic; -- TX tx_clk_i : in std_logic; tx_data_o : out std_logic; tx_enable_i : in std_logic; -- Word Looper loop_pulse_i : in std_logic; loop_mode_i : in std_logic; -- (WB clk domain) loop_word_i : in std_logic_vector(1023 downto 0); -- (WB clk domain) loop_word_bytes_i : in std_logic_vector(7 downto 0); -- (WB clk domain) -- Pulse pulse_word_i : in std_logic_vector(31 downto 0); pulse_interval_i : in std_logic_vector(15 downto 0); -- Sync sync_word_i : in std_logic_vector(31 downto 0); sync_interval_i : in std_logic_vector(7 downto 0); -- Idle idle_word_i : in std_logic_vector(31 downto 0); -- Status tx_underrun_o : out std_logic; tx_overrun_o : out std_logic; tx_almost_full_o : out std_logic; tx_empty_o : out std_logic ); end tx_channel; architecture rtl of tx_channel is -- Components component serial_port generic ( g_PORT_WIDTH : integer := 32 ); port ( -- Sys connect clk_i : in std_logic; rst_n_i : in std_logic; -- Input enable_i : in std_logic; data_i : in std_logic_vector(31 downto 0); idle_i : in std_logic_vector(31 downto 0); sync_i : in std_logic_vector(31 downto 0); sync_interval_i : in std_logic_vector(7 downto 0); pulse_i : in std_logic_vector(31 downto 0); pulse_interval_i : in std_logic_vector(15 downto 0); data_valid_i : in std_logic; -- Output data_o : out std_logic; data_read_o : out std_logic ); end component; component tx_fifo port ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); end component; --constant c_MAX_LOOP_CNT : unsigned(7 downto 0) := to_unsigned(4,8); signal tx_fifo_rd : std_logic; signal tx_fifo_wr : std_logic; signal tx_fifo_din : std_logic_vector(31 downto 0); signal tx_fifo_dout : std_logic_vector(31 downto 0); signal tx_fifo_full : std_logic; signal tx_fifo_empty : std_logic; signal tx_fifo_almost_full : std_logic; signal sport_data_valid : std_logic; signal sport_data : std_logic_vector(31 downto 0); signal sport_data_read : std_logic; signal loop_cnt : unsigned(7 downto 0); signal loop_empty : std_logic; signal loop_mode_s : std_logic; signal loop_word_s : std_logic_vector(1023 downto 0); signal loop_word_bytes_s : std_logic_vector(7 downto 0); signal pulse_word_s : std_logic_vector(31 downto 0); signal pulse_interval_s : std_logic_vector(15 downto 0); signal sync_word_s : std_logic_vector(31 downto 0); signal sync_interval_s : std_logic_vector(7 downto 0); signal idle_word_s : std_logic_vector(31 downto 0); begin -- Write to FiFo tx_fifo_wr <= wb_wr_en_i; tx_fifo_din <= wb_dat_i; -- Status outputs tx_underrun_o <= tx_fifo_rd and tx_fifo_empty; tx_overrun_o <= tx_fifo_wr and tx_fifo_full; tx_almost_full_o <= tx_fifo_almost_full; tx_empty_o <= tx_fifo_empty; loop_proc: process(tx_clk_i, rst_n_i) begin if (rst_n_i = '0') then loop_cnt <= (others => '0'); loop_empty <= '1'; loop_mode_s <= '0'; loop_word_s <= (others => '0'); loop_word_bytes_s <= (others => '0'); pulse_word_s <= c_TX_AZ_WORD; pulse_interval_s <= std_logic_vector(c_TX_AZ_INTERVAL); sync_word_s <= c_TX_SYNC_WORD; sync_interval_s <= std_logic_vector(c_TX_SYNC_INTERVAL); idle_word_s <= c_TX_IDLE_WORD; elsif rising_edge(tx_clk_i) then loop_empty <= '1'; loop_mode_s <= loop_mode_i; loop_word_s <= loop_word_i; loop_word_bytes_s <= loop_word_bytes_i; if (loop_mode_s = '1') then loop_empty <= '1'; if (loop_pulse_i = '1') then -- new pulse will restart the counter loop_cnt <= unsigned(loop_word_bytes_s); -- reload counter loop_empty <= '0'; elsif (sport_data_read = '1' and loop_cnt /= to_unsigned(0, 8)) then loop_cnt <= loop_cnt - 1; -- sport read one word loop_empty <= '0'; elsif (loop_cnt > to_unsigned(0,8)) then loop_empty <= '0'; end if; end if; pulse_word_s <= pulse_word_i; pulse_interval_s <= pulse_interval_i; sync_word_s <= sync_word_i; sync_interval_s <= sync_interval_i; idle_word_s <= idle_word_i; end if; end process loop_proc; sport_data_valid <= not tx_fifo_empty when (loop_mode_s = '0') else not loop_empty; tx_fifo_rd <= sport_data_read when (loop_mode_s = '0') else '0'; sport_data <= tx_fifo_dout when (loop_mode_s = '0') else loop_word_s(1023 downto 992) when (loop_cnt = to_unsigned(32, 8)) else -- MSB first loop_word_s(991 downto 960) when (loop_cnt = to_unsigned(31, 8)) else loop_word_s(959 downto 928) when (loop_cnt = to_unsigned(30, 8)) else loop_word_s(927 downto 896) when (loop_cnt = to_unsigned(29, 8)) else loop_word_s(895 downto 864) when (loop_cnt = to_unsigned(28, 8)) else loop_word_s(863 downto 832) when (loop_cnt = to_unsigned(27, 8)) else loop_word_s(831 downto 800) when (loop_cnt = to_unsigned(26, 8)) else loop_word_s(799 downto 768) when (loop_cnt = to_unsigned(25, 8)) else loop_word_s(767 downto 736) when (loop_cnt = to_unsigned(24, 8)) else loop_word_s(735 downto 704) when (loop_cnt = to_unsigned(23, 8)) else loop_word_s(703 downto 672) when (loop_cnt = to_unsigned(22, 8)) else loop_word_s(671 downto 640) when (loop_cnt = to_unsigned(21, 8)) else loop_word_s(639 downto 608) when (loop_cnt = to_unsigned(20, 8)) else loop_word_s(607 downto 576) when (loop_cnt = to_unsigned(19, 8)) else loop_word_s(575 downto 544) when (loop_cnt = to_unsigned(18, 8)) else loop_word_s(543 downto 512) when (loop_cnt = to_unsigned(17, 8)) else loop_word_s(511 downto 480) when (loop_cnt = to_unsigned(16, 8)) else loop_word_s(479 downto 448) when (loop_cnt = to_unsigned(15, 8)) else loop_word_s(447 downto 416) when (loop_cnt = to_unsigned(14, 8)) else loop_word_s(415 downto 384) when (loop_cnt = to_unsigned(13, 8)) else loop_word_s(383 downto 352) when (loop_cnt = to_unsigned(12, 8)) else loop_word_s(351 downto 320) when (loop_cnt = to_unsigned(11, 8)) else loop_word_s(319 downto 288) when (loop_cnt = to_unsigned(10, 8)) else loop_word_s(287 downto 256) when (loop_cnt = to_unsigned(9, 8)) else loop_word_s(255 downto 224) when (loop_cnt = to_unsigned(8, 8)) else loop_word_s(223 downto 192) when (loop_cnt = to_unsigned(7, 8)) else loop_word_s(191 downto 160) when (loop_cnt = to_unsigned(6, 8)) else loop_word_s(159 downto 128) when (loop_cnt = to_unsigned(5, 8)) else loop_word_s(127 downto 96) when (loop_cnt = to_unsigned(4, 8)) else loop_word_s(95 downto 64) when (loop_cnt = to_unsigned(3, 8)) else loop_word_s(63 downto 32) when (loop_cnt = to_unsigned(2, 8)) else loop_word_s(31 downto 0) when (loop_cnt = to_unsigned(1, 8)) else x"69696969"; cmp_sport: serial_port PORT MAP( clk_i => tx_clk_i, rst_n_i => rst_n_i, enable_i => tx_enable_i, data_i => sport_data, idle_i => idle_word_s, sync_i => sync_word_s, sync_interval_i => sync_interval_s, pulse_i => pulse_word_s, pulse_interval_i => pulse_interval_s, data_valid_i => sport_data_valid, data_o => tx_data_o, data_read_o => sport_data_read ); cmp_tx_fifo : tx_fifo PORT MAP ( rst => not rst_n_i, wr_clk => wb_clk_i, rd_clk => tx_clk_i, din => tx_fifo_din, wr_en => tx_fifo_wr, rd_en => tx_fifo_rd, dout => tx_fifo_dout, full => tx_fifo_full, empty => tx_fifo_empty, prog_full => tx_fifo_almost_full ); end rtl;
gpl-3.0
658b2dbd9d143233f061ef907e6c42f5
0.577848
2.944481
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sg_if.vhd
1
79,676
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sg_if.vhd -- Description: This entity is the S2MM Scatter Gather Interface for Descriptor -- Fetches and Updates. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.srl_fifo_f; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sg_if is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1 ; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0 ; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 ; -- 1 IOC bit + 32 Update Status Bits C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for S2MM Write Port C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- Slave AXI Status Stream Data Width C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- s2mm_desc_info_in : in std_logic_vector (13 downto 0) ; -- -- SG S2MM Descriptor Fetch AXI Stream In -- m_axis_s2mm_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid : in std_logic ; -- m_axis_s2mm_ftch_tready : out std_logic ; -- m_axis_s2mm_ftch_tlast : in std_logic ; -- m_axis_s2mm_ftch_tdata_new : in std_logic_vector -- (96 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_nxt : in std_logic_vector -- (31 downto 0); -- m_axis_s2mm_ftch_tvalid_new : in std_logic ; -- m_axis_ftch2_desc_available : in std_logic; -- -- -- SG S2MM Descriptor Update AXI Stream Out -- s_axis_s2mm_updtptr_tdata : out std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtptr_tvalid : out std_logic ; -- s_axis_s2mm_updtptr_tready : in std_logic ; -- s_axis_s2mm_updtptr_tlast : out std_logic ; -- -- s_axis_s2mm_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtsts_tvalid : out std_logic ; -- s_axis_s2mm_updtsts_tready : in std_logic ; -- s_axis_s2mm_updtsts_tlast : out std_logic ; -- -- -- S2MM Descriptor Fetch Request (from s2mm_sm) -- desc_available : out std_logic ; -- desc_fetch_req : in std_logic ; -- updt_pending : out std_logic ; desc_fetch_done : out std_logic ; -- -- -- S2MM Descriptor Update Request (from s2mm_sm) -- desc_update_done : out std_logic ; -- s2mm_sts_received_clr : out std_logic ; -- s2mm_sts_received : in std_logic ; -- -- -- Scatter Gather Update Status -- s2mm_done : in std_logic ; -- s2mm_interr : in std_logic ; -- s2mm_slverr : in std_logic ; -- s2mm_decerr : in std_logic ; -- s2mm_tag : in std_logic_vector(3 downto 0) ; -- s2mm_brcvd : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_eof_set : in std_logic ; -- s2mm_packet_eof : in std_logic ; -- s2mm_halt : in std_logic ; -- -- -- S2MM Status Stream Interface -- stsstrm_fifo_rden : out std_logic ; -- stsstrm_fifo_empty : in std_logic ; -- stsstrm_fifo_dout : in std_logic_vector -- (C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0); -- -- -- DataMover Command -- s2mm_cmnd_wr : in std_logic ; -- s2mm_cmnd_data : in std_logic_vector -- (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- S2MM Descriptor Field Output -- s2mm_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_new_curdesc_wren : out std_logic ; -- -- s2mm_desc_info : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_baddress : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_blength : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_blength_v : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_blength_s : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_cmplt : out std_logic ; -- s2mm_eof_micro : out std_logic ; s2mm_sof_micro : out std_logic ; s2mm_desc_app0 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app1 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app2 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app3 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app4 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) -- ); end axi_dma_s2mm_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Status reserved bits constant RESERVED_STS : std_logic_vector(2 downto 0) := (others => '0'); -- Zero value constant constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); -- Zero length constant constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; -- fetch descriptor holding registers signal desc_reg12 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg11 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg10 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg9 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg8 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg7 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_lsb_nxt : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_msb_nxt : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_baddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_baddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_pending_update : std_logic := '0'; signal s2mm_new_curdesc_wren_i : std_logic := '0'; signal s2mm_ioc : std_logic := '0'; signal s2mm_pending_pntr_updt : std_logic := '0'; -- Descriptor Update Signals signal s2mm_complete : std_logic := '0'; signal s2mm_xferd_bytes : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_blength_v_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_blength_s_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); -- Signals for pointer support -- Make 1 bit wider to allow tagging of LAST for use in generating tlast signal updt_desc_reg0 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg1 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_shftenbl : std_logic := '0'; signal updtptr_tvalid : std_logic := '0'; signal updtptr_tlast : std_logic := '0'; signal updtptr_tdata : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Signals for Status Stream Support signal updt_desc_sts : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg3 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg3 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg4 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg5 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg6 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg7 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal writing_app_fields : std_logic := '0'; signal stsstrm_fifo_rden_i : std_logic := '0'; signal sts_shftenbl : std_logic := '0'; signal sts_received : std_logic := '0'; signal sts_received_d1 : std_logic := '0'; signal sts_received_re : std_logic := '0'; -- Queued Update signals signal updt_data_clr : std_logic := '0'; signal updt_sts_clr : std_logic := '0'; signal updt_data : std_logic := '0'; signal updt_sts : std_logic := '0'; signal ioc_tag : std_logic := '0'; signal s2mm_sof_set : std_logic := '0'; signal s2mm_in_progress : std_logic := '0'; signal eof_received : std_logic := '0'; signal sof_received : std_logic := '0'; signal updtsts_tvalid : std_logic := '0'; signal updtsts_tlast : std_logic := '0'; signal updtsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_halt_d1_cdc_tig : std_logic := '0'; signal s2mm_halt_cdc_d2 : std_logic := '0'; signal s2mm_halt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s2mm_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_halt_cdc_d2 : SIGNAL IS "true"; signal desc_fetch_done_i : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Drive buffer length out s2mm_desc_blength <= s2mm_desc_blength_i; s2mm_desc_blength_v <= s2mm_desc_blength_v_i; s2mm_desc_blength_s <= s2mm_desc_blength_s_i; updt_pending <= s2mm_pending_update; -- Drive ready if descriptor fetch request is being made m_axis_s2mm_ftch_tready <= desc_fetch_req -- Request descriptor fetch and not s2mm_pending_update; -- No pending pointer updates desc_fetch_done <= desc_fetch_done_i; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_s2mm_ftch_tvalid_new and desc_fetch_req and not s2mm_pending_update; -- Passed curdes write out to register module s2mm_new_curdesc_wren <= s2mm_new_curdesc_wren_i; -- tvalid asserted means descriptor availble desc_available <= m_axis_ftch2_desc_available; --m_axis_s2mm_ftch_tvalid_new; --***************************************************************************-- --** Register DataMover Halt to secondary if needed --***************************************************************************-- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt will remain asserted until halt_cmplt detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_halt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s2mm_halt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- s2mm_halt_d1_cdc_tig <= '0'; -- -- s2mm_halt_d2 <= '0'; -- -- else -- s2mm_halt_d1_cdc_tig <= s2mm_halt; -- s2mm_halt_cdc_d2 <= s2mm_halt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; s2mm_halt_d2 <= s2mm_halt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through s2mm_halt_d2 <= s2mm_halt; end generate GEN_FOR_SYNC; --***************************************************************************-- --** Descriptor Fetch Logic **-- --***************************************************************************-- s2mm_desc_curdesc_lsb <= desc_reg0; s2mm_desc_curdesc_msb <= (others => '0'); --desc_reg1; --s2mm_desc_curdesc_lsb_nxt <= desc_reg2; --s2mm_desc_curdesc_msb_nxt <= desc_reg3; s2mm_desc_baddr_lsb <= desc_reg4; s2mm_desc_baddr_msb <= (others => '0'); --desc_reg5; GEN_NO_MCDMA : if C_ENABLE_MULTI_CHANNEL = 0 generate desc_fetch_done_i <= m_axis_s2mm_ftch_tvalid_new; desc_reg0 <= m_axis_s2mm_ftch_tdata_new (96 downto 65); desc_reg4 <= m_axis_s2mm_ftch_tdata_new (31 downto 0); desc_reg8 <= m_axis_s2mm_ftch_tdata_new (63 downto 32); desc_reg9( DESC_STS_CMPLTD_BIT) <= m_axis_s2mm_ftch_tdata_new (64); desc_reg9(30 downto 0) <= (others => '0'); s2mm_desc_curdesc_lsb_nxt <= desc_reg0; s2mm_desc_curdesc_msb_nxt <= (others => '0'); --desc_reg1; s2mm_desc_info <= (others => '0'); -- desc 4 and desc 5 are reserved and thus don't care s2mm_sof_micro <= desc_reg8 (DESC_SOF_BIT); s2mm_eof_micro <= desc_reg8 (DESC_EOF_BIT); s2mm_desc_blength_i <= desc_reg8(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); s2mm_desc_blength_v_i <= (others => '0'); s2mm_desc_blength_s_i <= (others => '0') ; end generate GEN_NO_MCDMA; GEN_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate desc_fetch_done_i <= m_axis_s2mm_ftch_tvalid_new; --ftch_shftenbl; desc_reg0 <= m_axis_s2mm_ftch_tdata_new (96 downto 65); --127 downto 96); desc_reg4 <= m_axis_s2mm_ftch_tdata_new (31 downto 0); desc_reg8 <= m_axis_s2mm_ftch_tdata_new (63 downto 32); desc_reg9(DESC_STS_CMPLTD_BIT) <= m_axis_s2mm_ftch_tdata_new (64); --95 downto 64); desc_reg9(30 downto 0) <= (others => '0'); desc_reg2 <= m_axis_s2mm_ftch_tdata_mcdma_nxt (31 downto 0); desc_reg6 <= m_axis_s2mm_ftch_tdata_mcdma_new (31 downto 0); desc_reg7 <= m_axis_s2mm_ftch_tdata_mcdma_new (63 downto 32); s2mm_desc_curdesc_lsb_nxt <= desc_reg2; s2mm_desc_curdesc_msb_nxt <= desc_reg3; s2mm_desc_info <= desc_reg6 (31 downto 24) & desc_reg9 (23 downto 0); -- desc 4 and desc 5 are reserved and thus don't care s2mm_desc_blength_i <= "0000000" & desc_reg8(15 downto 0); s2mm_desc_blength_v_i <= "0000000000" & desc_reg7(31 downto 19); s2mm_desc_blength_s_i <= "0000000" & desc_reg7(15 downto 0); end generate GEN_MCDMA; s2mm_desc_cmplt <= desc_reg9(DESC_STS_CMPLTD_BIT); s2mm_desc_app0 <= (others => '0'); s2mm_desc_app1 <= (others => '0'); s2mm_desc_app2 <= (others => '0'); s2mm_desc_app3 <= (others => '0'); s2mm_desc_app4 <= (others => '0'); ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_S2MM_ADDR_WIDTH = 64 generate s2mm_desc_baddress <= s2mm_desc_baddr_msb & s2mm_desc_baddr_lsb; end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_S2MM_ADDR_WIDTH = 32 generate s2mm_desc_baddress <= s2mm_desc_baddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate s2mm_new_curdesc <= s2mm_desc_curdesc_msb_nxt & s2mm_desc_curdesc_lsb_nxt; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate s2mm_new_curdesc <= s2mm_desc_curdesc_lsb_nxt; end generate GEN_NEW_32BIT_CURDESC; s2mm_new_curdesc_wren_i <= desc_fetch_done_i; --ftch_shftenbl; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- -- SOF Flagging logic for when descriptor queues are enabled in SG Engine GEN_SOF_QUEUE_MODE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate -- SOF Queued one count value constant ONE_COUNT : std_logic_vector(2 downto 0) := "001"; signal incr_sof_count : std_logic := '0'; signal decr_sof_count : std_logic := '0'; signal sof_count : std_logic_vector(2 downto 0) := (others => '0'); signal sof_received_set : std_logic := '0'; signal sof_received_clr : std_logic := '0'; signal cmd_wr_mask : std_logic := '0'; begin -- Keep track of number of commands queued up in data mover to -- allow proper setting of SOF's and EOF's when associated -- descriptor is updated. REG_SOF_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_count <= (others => '0'); elsif(incr_sof_count = '1')then sof_count <= std_logic_vector(unsigned(sof_count(2 downto 0)) + 1); elsif(decr_sof_count = '1')then sof_count <= std_logic_vector(unsigned(sof_count(2 downto 0)) - 1); end if; end if; end process REG_SOF_COUNT; -- Increment count on each command write that does NOT occur -- coincident with a status received incr_sof_count <= s2mm_cmnd_wr and not sts_received_re; -- Decrement count on each status received that does NOT -- occur coincident with a command write decr_sof_count <= sts_received_re and not s2mm_cmnd_wr; -- Drive sof and eof setting to interrupt module for delay interrupt --s2mm_packet_sof <= s2mm_sof_set; REG_SOF_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_received <= '0'; elsif(sof_received_set = '1')then sof_received <= '1'; elsif(sof_received_clr = '1')then sof_received <= '0'; end if; end if; end process REG_SOF_STATUS; -- SOF Received -- Case 1 (i.e. already running): EOF received therefore next has to be SOF -- Case 2 (i.e. initial command): No commands in queue (count=0) therefore this must be an SOF command sof_received_set <= '1' when (sts_received_re = '1' -- Status back from Datamover and eof_received = '1') -- End of packet received -- OR... or (s2mm_cmnd_wr = '1' -- Command written to datamover and cmd_wr_mask = '0' -- Not inner-packet command and sof_count = ZERO_VALUE(2 downto 0)) -- No Queued SOF cmnds else '0'; -- Done with SOF's -- Status received and EOF received flag not set -- Or status received and EOF received flag set and last SOF sof_received_clr <= '1' when (sts_received_re = '1' and eof_received = '0') or (sts_received_re = '1' and eof_received = '1' and sof_count = ONE_COUNT) else '0'; -- Mask command writes if inner-packet command written. An inner packet -- command is one where status if received and eof_received is not asserted. -- This mask is only used for when a cmd_wr occurs and sof_count is zero, meaning -- no commands happen to be queued in datamover. WR_MASK : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmd_wr_mask <= '0'; -- received data mover status, mask if EOF not set -- clear mask if EOF set. elsif(sts_received_re = '1')then cmd_wr_mask <= not eof_received; end if; end if; end process WR_MASK; end generate GEN_SOF_QUEUE_MODE; -- SOF Flagging logic for when descriptor queues are disabled in SG Engine GEN_SOF_NO_QUEUE_MODE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin ----------------------------------------------------------------------- -- Assert window around receive packet in order to properly set -- SOF and EOF bits in descriptor -- -- SOF for S2MM determined by new command write to datamover, i.e. -- command write receive packet not already in progress. ----------------------------------------------------------------------- RX_IN_PROG_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_packet_eof = '1')then s2mm_in_progress <= '0'; s2mm_sof_set <= '0'; elsif(s2mm_in_progress = '0' and s2mm_cmnd_wr = '1')then s2mm_in_progress <= '1'; s2mm_sof_set <= '1'; else s2mm_in_progress <= s2mm_in_progress; s2mm_sof_set <= '0'; end if; end if; end process RX_IN_PROG_PROCESS; -- Drive sof and eof setting to interrupt module for delay interrupt --s2mm_packet_sof <= s2mm_sof_set; REG_SOF_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then sof_received <= '0'; elsif(s2mm_sof_set = '1')then sof_received <= '1'; end if; end if; end process REG_SOF_STATUS; end generate GEN_SOF_NO_QUEUE_MODE; -- IOC and EOF bits in desc update both set via packet eof flag from -- command/status interface. eof_received <= s2mm_packet_eof; s2mm_ioc <= s2mm_packet_eof; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- --***************************************************************************** --** Pointer Update Logic --***************************************************************************** ----------------------------------------------------------------------- -- Capture LSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD0: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 <= (others => '0'); elsif(s2mm_new_curdesc_wren_i = '1')then updt_desc_reg0 <= DESC_LAST & s2mm_desc_curdesc_lsb; end if; end if; end process UPDT_DESC_WRD0; --------------------------------------------------------------------------- -- Capture MSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to --------------------------------------------------------------------------- UPDT_DESC_WRD1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg1 <= (others => '0'); elsif(s2mm_new_curdesc_wren_i = '1')then updt_desc_reg1 <= DESC_LAST & s2mm_desc_curdesc_msb; end if; end if; end process UPDT_DESC_WRD1; -- Shift in pointer to SG engine if tvalid, tready, and not on last word updt_shftenbl <= updt_data and updtptr_tvalid and s_axis_s2mm_updtptr_tready; -- Update data done when updating data and tlast received and target -- (i.e. SG Engine) is ready updt_data_clr <= '1' when updtptr_tvalid = '1' and updtptr_tlast = '1' and s_axis_s2mm_updtptr_tready = '1' else '0'; --------------------------------------------------------------------------- -- When desc data ready for update set and hold flag until -- data can be updated to queue. Note it may -- be held off due to update of status --------------------------------------------------------------------------- UPDT_DATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then updt_data <= '0'; -- clear flag when data update complete -- elsif(updt_data_clr = '1')then -- updt_data <= '0'; -- -- set flag when desc fetched as indicated -- -- by curdesc wren elsif(s2mm_new_curdesc_wren_i = '1')then updt_data <= '1'; end if; end if; end process UPDT_DATA_PROCESS; updtptr_tvalid <= updt_data; updtptr_tlast <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH); updtptr_tdata <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- Pass out to sg engine s_axis_s2mm_updtptr_tdata <= updtptr_tdata; s_axis_s2mm_updtptr_tlast <= updtptr_tlast and updtptr_tvalid; s_axis_s2mm_updtptr_tvalid <= updtptr_tvalid; --***************************************************************************** --** Status Update Logic - DESCRIPTOR QUEUES INCLUDED ** --***************************************************************************** GEN_DESC_UPDT_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate signal xb_fifo_reset : std_logic := '0'; signal xb_fifo_full : std_logic := '0'; begin s2mm_complete <= '1'; -- Fixed at '1' ----------------------------------------------------------------------- -- Need to flag a pending point update to prevent subsequent fetch of -- descriptor from stepping on the stored pointer, and buffer length ----------------------------------------------------------------------- REG_PENDING_UPDT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then s2mm_pending_pntr_updt <= '0'; elsif(s2mm_new_curdesc_wren_i = '1')then s2mm_pending_pntr_updt <= '1'; end if; end if; end process REG_PENDING_UPDT; -- Pending update on pointer not updated yet or xfer'ed bytes fifo full s2mm_pending_update <= s2mm_pending_pntr_updt or xb_fifo_full; -- Clear status received flag in cmdsts_if to -- allow more status to be received from datamover s2mm_sts_received_clr <= updt_sts_clr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= s2mm_sts_received; end if; end if; end process REG_STATUS; -- CR 566306 Status invalid during halt -- sts_received_re <= s2mm_sts_received and not sts_received_d1; sts_received_re <= s2mm_sts_received and not sts_received_d1 and not s2mm_halt_d2; --------------------------------------------------------------------------- -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data --------------------------------------------------------------------------- UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_sts_clr = '1')then updt_sts <= '0'; -- clear flag when status update done or -- datamover halted -- elsif(updt_sts_clr = '1')then -- updt_sts <= '0'; -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tvalid = '1' and updtsts_tlast = '1' and s_axis_s2mm_updtsts_tready = '1' else '0'; -- for queue case used to keep track of number of datamover queued cmnds UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; --***********************************************************************-- --** Descriptor Update Logic - DESCRIPTOR QUEUES - NO STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_NO_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin stsstrm_fifo_rden <= '0'; -- Not used in the NO sts stream configuration xb_fifo_full <= '0'; -- Not used for indeterminate BTT mode -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_sts <= DESC_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; end if; end if; end process UPDT_DESC_STATUS; -- Drive TVALID updtsts_tvalid <= updt_sts; -- Drive TLast updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TData GEN_DESC_UPDT_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 20) & s2mm_desc_info_in (13 downto 10) & "000" & s2mm_desc_info_in (9 downto 5) & "000" & s2mm_desc_info_in (4 downto 0); end generate GEN_DESC_UPDT_MCDMA; GEN_DESC_UPDT_DMA : if C_ENABLE_MULTI_CHANNEL = 0 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_DMA; end generate GEN_DESC_UPDT_NO_STSAPP; --***********************************************************************-- --** Descriptor Update Logic - DESCRIPTOR QUEUES - STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- Get rx length is identical to command written, therefor store -- the BTT value from the command written to be used as the xferd bytes. GEN_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate begin ----------------------------------------------------------------------- -- On S2MM transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- XFERRED_BYTE_FIFO : entity proc_common_v4_0.srl_fifo_f generic map( C_DWIDTH => BUFFER_LENGTH_WIDTH , C_DEPTH => 16 , C_FAMILY => C_FAMILY ) port map( Clk => m_axi_sg_aclk , Reset => xb_fifo_reset , FIFO_Write => s2mm_cmnd_wr , Data_In => s2mm_cmnd_data(BUFFER_LENGTH_WIDTH-1 downto 0) , FIFO_Read => sts_received_re , Data_Out => s2mm_xferd_bytes , FIFO_Empty => open , FIFO_Full => xb_fifo_full , Addr => open ); xb_fifo_reset <= not m_axi_sg_aresetn; end generate GEN_USING_STSAPP_LENGTH; -- Not using status app length field therefore primary S2MM DataMover is -- configured as a store and forward channel (i.e. indeterminate BTT mode) -- Receive length will be reported in datamover status. GEN_NOT_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate begin xb_fifo_full <= '0'; -- Not used in Indeterminate BTT mode -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NOT_USING_STSAPP_LENGTH; ----------------------------------------------------------------------- -- For EOF Descriptor then need to update APP fields from Status -- Stream FIFO ----------------------------------------------------------------------- WRITE_APP_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then writing_app_fields <= '0'; -- If writing app fields and reach LAST then stop writing -- app fields elsif(writing_app_fields = '1' -- Writing app fields and stsstrm_fifo_dout (C_S_AXIS_S2MM_STS_TDATA_WIDTH) = '1' -- Last app word (tlast=1) and stsstrm_fifo_rden_i = '1')then -- Fifo read writing_app_fields <= '0'; -- ON EOF Descriptor, then need to write application fields on desc -- update elsif(s2mm_packet_eof = '1' and s2mm_xferd_bytes /= ZERO_LENGTH) then writing_app_fields <= '1'; end if; end if; end process WRITE_APP_PROCESS; -- Shift in apps to SG engine if tvalid, tready, and not on last word sts_shftenbl <= updt_sts and updtsts_tvalid and s_axis_s2mm_updtsts_tready; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_sts <= DESC_NOT_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; elsif(sts_shftenbl='1')then updt_desc_sts <= updt_desc_reg3; end if; end if; end process UPDT_DESC_STATUS; ----------------------------------------------------------------------- -- If EOF Descriptor (writing_app_fields=1) then pass data from -- status stream FIFO into descriptor update shift registers -- Else pass zeros ----------------------------------------------------------------------- UPDT_REG3_MUX : process(writing_app_fields, stsstrm_fifo_dout, updt_zero_reg3, sts_shftenbl) begin if(writing_app_fields = '1')then updt_desc_reg3 <= stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) -- Update LAST setting & '0' & stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- Update Word stsstrm_fifo_rden_i <= sts_shftenbl; else updt_desc_reg3 <= updt_zero_reg3; stsstrm_fifo_rden_i <= '0'; end if; end process UPDT_REG3_MUX; stsstrm_fifo_rden <= stsstrm_fifo_rden_i; ----------------------------------------------------------------------- -- APP 0 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD3 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg3 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg3 <= updt_zero_reg4; end if; end if; end process UPDT_ZERO_WRD3; ----------------------------------------------------------------------- -- APP 1 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD4 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg4 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg4 <= updt_zero_reg5; end if; end if; end process UPDT_ZERO_WRD4; ----------------------------------------------------------------------- -- APP 2 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD5 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg5 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg5 <= updt_zero_reg6; end if; end if; end process UPDT_ZERO_WRD5; ----------------------------------------------------------------------- -- APP 3 and APP 4 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD6 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg6 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg6 <= DESC_LAST -- Last word of stream & s2mm_ioc & ZERO_VALUE; -- Remainder is zero end if; end if; end process UPDT_ZERO_WRD6; ----------------------------------------------------------------------- -- Drive TVALID -- If writing app then base on stsstrm fifo empty flag -- If writing datamover status then base simply assert on updt_sts ----------------------------------------------------------------------- TVALID_MUX : process(writing_app_fields,updt_sts,stsstrm_fifo_empty) begin if(updt_sts = '1' and writing_app_fields = '1')then updtsts_tvalid <= not stsstrm_fifo_empty; else updtsts_tvalid <= updt_sts; end if; end process TVALID_MUX; -- Drive TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TDATA updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_STSAPP; -- Pass out to sg engine s_axis_s2mm_updtsts_tdata <= updtsts_tdata; s_axis_s2mm_updtsts_tvalid <= updtsts_tvalid; s_axis_s2mm_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; end generate GEN_DESC_UPDT_QUEUE; --***************************************************************************-- --** Status Update Logic - NO DESCRIPTOR QUEUES **-- --***************************************************************************-- GEN_DESC_UPDT_NO_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin s2mm_sts_received_clr <= '1'; -- Not needed for the No Queue configuration s2mm_complete <= '1'; -- Fixed at '1' for the No Queue configuration s2mm_pending_update <= '0'; -- Not needed for the No Queue configuration -- Status received based on a DONE or an ERROR from DataMover sts_received <= s2mm_done or s2mm_interr or s2mm_decerr or s2mm_slverr; -- Generate a rising edge off done for use in triggering an -- update to the SG engine REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= sts_received; end if; end if; end process REG_STATUS; -- CR 566306 Status invalid during halt -- sts_received_re <= sts_received and not sts_received_d1; sts_received_re <= sts_received and not sts_received_d1 and not s2mm_halt_d2; --------------------------------------------------------------------------- -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data --------------------------------------------------------------------------- UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_sts <= '0'; -- clear flag when status update done elsif(updt_sts_clr = '1')then updt_sts <= '0'; -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; -- Clear status update on acceptance of tlast by sg engine updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tvalid = '1' and updtsts_tlast = '1' and s_axis_s2mm_updtsts_tready = '1' else '0'; -- for queue case used to keep track of number of datamover queued cmnds UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; --***********************************************************************-- --** Descriptor Update Logic - NO DESCRIPTOR QUEUES - NO STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_NO_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin stsstrm_fifo_rden <= '0'; -- Not used in the NO sts stream configuration GEN_NO_MICRO_DMA : if C_MICRO_DMA = 0 generate begin -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NO_MICRO_DMA; GEN_MICRO_DMA : if C_MICRO_DMA = 1 generate begin s2mm_xferd_bytes <= (others => '0'); end generate GEN_MICRO_DMA; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); -- Register Status on status received rising edge elsif(sts_received_re = '1')then updt_desc_sts <= DESC_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; end if; end if; end process UPDT_DESC_WRD2; GEN_DESC_UPDT_MCDMA_NOQUEUE : if C_ENABLE_MULTI_CHANNEL = 1 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 20) & s2mm_desc_info_in (13 downto 10) & "000" & s2mm_desc_info_in (9 downto 5) & "000" & s2mm_desc_info_in (4 downto 0); end generate GEN_DESC_UPDT_MCDMA_NOQUEUE; GEN_DESC_UPDT_DMA_NOQUEUE : if C_ENABLE_MULTI_CHANNEL = 0 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_DMA_NOQUEUE; -- Drive TVALID updtsts_tvalid <= updt_sts; -- Drive TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TData -- updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH - 1 downto 0); end generate GEN_DESC_UPDT_NO_STSAPP; --***********************************************************************-- --** Descriptor Update Logic - NO DESCRIPTOR QUEUES - STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- Rx length is identical to command written, therefore store -- the BTT value from the command written to be used as the xferd bytes. GEN_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate begin ----------------------------------------------------------------------- -- On S2MM transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- REG_XFERRED_BYTES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_xferd_bytes <= (others => '0'); elsif(s2mm_cmnd_wr = '1')then s2mm_xferd_bytes <= s2mm_cmnd_data(BUFFER_LENGTH_WIDTH-1 downto 0); end if; end if; end process REG_XFERRED_BYTES; end generate GEN_USING_STSAPP_LENGTH; -- Configured as a store and forward channel (i.e. indeterminate BTT mode) -- Receive length will be reported in datamover status. GEN_NOT_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate begin -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NOT_USING_STSAPP_LENGTH; ----------------------------------------------------------------------- -- For EOF Descriptor then need to update APP fields from Status -- Stream FIFO ----------------------------------------------------------------------- WRITE_APP_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_app_fields <= '0'; -- If writing app fields and reach LAST then stop writing -- app fields elsif(writing_app_fields = '1' -- Writing app fields and stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) = '1' -- Last app word (tlast=1) and stsstrm_fifo_rden_i = '1')then -- Fifo read writing_app_fields <= '0'; -- ON EOF Descriptor, then need to write application fields on desc -- update elsif(eof_received = '1' and s2mm_xferd_bytes /= ZERO_LENGTH) then writing_app_fields <= '1'; end if; end if; end process WRITE_APP_PROCESS; -- Shift in apps to SG engine if tvalid, tready, and not on last word sts_shftenbl <= updt_sts and updtsts_tvalid and s_axis_s2mm_updtsts_tready; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); -- Status from Prmry Datamover received elsif(sts_received_re = '1')then updt_desc_sts <= DESC_NOT_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; -- Shift on descriptor update elsif(sts_shftenbl = '1')then updt_desc_sts <= updt_desc_reg3; end if; end if; end process UPDT_DESC_WRD2; ----------------------------------------------------------------------- -- If EOF Descriptor (writing_app_fields=1) then pass data from -- status stream FIFO into descriptor update shift registers -- Else pass zeros ----------------------------------------------------------------------- UPDT_REG3_MUX : process(writing_app_fields, stsstrm_fifo_dout, updt_zero_reg3, sts_shftenbl) begin if(writing_app_fields = '1')then updt_desc_reg3 <= stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) -- Update LAST setting & '0' & stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- Update Word stsstrm_fifo_rden_i <= sts_shftenbl; else updt_desc_reg3 <= updt_zero_reg3; stsstrm_fifo_rden_i <= '0'; end if; end process UPDT_REG3_MUX; stsstrm_fifo_rden <= stsstrm_fifo_rden_i; ----------------------------------------------------------------------- -- APP 0 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD3 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg3 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg3 <= updt_zero_reg4; end if; end if; end process UPDT_ZERO_WRD3; ----------------------------------------------------------------------- -- APP 1 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD4 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg4 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg4 <= updt_zero_reg5; end if; end if; end process UPDT_ZERO_WRD4; ----------------------------------------------------------------------- -- APP 2 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD5 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg5 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg5 <= updt_zero_reg6; end if; end if; end process UPDT_ZERO_WRD5; ----------------------------------------------------------------------- -- APP 3 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD6 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg6 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg6 <= updt_zero_reg7; end if; end if; end process UPDT_ZERO_WRD6; ----------------------------------------------------------------------- -- APP 4 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD7 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_zero_reg7 <= (others => '0'); elsif(sts_received_re = '1')then updt_zero_reg7 <= DESC_LAST & '0' & ZERO_VALUE; end if; end if; end process UPDT_ZERO_WRD7; ----------------------------------------------------------------------- -- Drive TVALID -- If writing app then base on stsstrm fifo empty flag -- If writing datamover status then base simply assert on updt_sts ----------------------------------------------------------------------- TVALID_MUX : process(writing_app_fields,updt_sts,stsstrm_fifo_empty) begin if(updt_sts = '1' and writing_app_fields = '1')then updtsts_tvalid <= not stsstrm_fifo_empty; else updtsts_tvalid <= updt_sts; end if; end process TVALID_MUX; -- Drive TDATA updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- DRIVE TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); end generate GEN_DESC_UPDT_STSAPP; -- Pass out to sg engine s_axis_s2mm_updtsts_tdata <= updtsts_tdata; s_axis_s2mm_updtsts_tvalid <= updtsts_tvalid; s_axis_s2mm_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; end generate GEN_DESC_UPDT_NO_QUEUE; end implementation;
bsd-2-clause
2e23cc21e2e87f2f4023c02aca7564b7
0.432916
4.529362
false
false
false
false
rjarzmik/mips_processor
ProgramCounter/Instruction_Tracker.vhd
1
3,930
------------------------------------------------------------------------------- -- Title : Instruction Tracker -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : Instruction_Tracker.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-07 -- Last update: 2016-12-10 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Each in-flight instruction in the pipeline tracker ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-07 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.cpu_defs.all; use work.instruction_defs.all; use work.instruction_record.all; ------------------------------------------------------------------------------- entity Instruction_Tracker is generic ( ADDR_WIDTH : integer ); port ( clk : in std_logic; rst : in std_logic; -- Input instruction recorder --- Acquire enable, (i_pc1 and i_pc1_instr_tag will be linked together). i_record_pc1_req : in std_logic; --- Acquire enable, (i_pc2 and i_pc2_instr_tag will be linked together). i_record_pc2_req : in std_logic; i_pc1 : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_pc2 : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_pc1_instr_tag : in instr_tag_t; i_pc2_instr_tag : in instr_tag_t; i_pc1_predict_next_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_pc2_predict_next_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- Retire instruction recorder i_commited_instr_tag : in instr_tag_t; i_jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- Misprediction computation o_commited_instr_record : out instr_record; o_commited_instr_tag : out instr_tag_t; -- Branch prediction module i_btb_instr_tag : in instr_tag_t; o_btb_instr_record : out instr_record -- available on next cycle ); end entity Instruction_Tracker; ------------------------------------------------------------------------------- architecture rtl of Instruction_Tracker is subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal irecords : instr_records; signal commited_instr_record : instr_record; begin -- architecture rtl itrack_recorder : process(clk, rst) is begin if rst = '1' then elsif rising_edge(clk) then if i_record_pc1_req = '1' then record_one_instr(i_pc1, i_pc1_predict_next_pc, i_pc1_instr_tag, irecords); end if; if i_record_pc2_req = '1' then record_one_instr(i_pc2, i_pc2_predict_next_pc, i_pc2_instr_tag, irecords); end if; if i_commited_instr_tag.valid then retire_one_instr(i_commited_instr_tag, irecords); end if; end if; end process itrack_recorder; -- Misprediction forwarding commited_instr_record <= get_record(i_commited_instr_tag, irecords); o_commited_instr_record <= commited_instr_record; -- Commited instruction forwarding o_commited_instr_tag <= i_commited_instr_tag; end architecture rtl; -------------------------------------------------------------------------------
gpl-3.0
cc8915a5f44c99851b0ef498591e20a2
0.489567
4.16755
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/rtl/mcb_soft_calibration_top.vhd
19
21,926
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_soft_calibration_top.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:26 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design top-level simulation -- wrapper file for input termination calibration --Reference: -- -- Revision: Date: Comment -- 1.0: 2/06/09: Initial version for MIG wrapper. -- 1.1: 3/16/09: Added pll_lock port, for using it to gate reset -- 1.2: 6/06/09: Removed MCB_UIDQCOUNT. -- 1.3: 6/18/09: corrected/changed MCB_SYSRST to be an output port -- 1.4: 6/24/09: gave RZQ and ZIO each their own unique ADD and SDI nets -- 1.5: 10/08/09: removed INCDEC_TRESHOLD parameter - making it a localparam inside mcb_soft_calibration -- 1.5: 10/08/09: removed INCDEC_TRESHOLD parameter - making it a localparam inside mcb_soft_calibration -- 1.6: 02/04/09: Added condition generate statmenet for ZIO pin. -- 1.7: 04/12/10: Added CKE_Train signal to fix DDR2 init wait . -- End Revision --********************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity mcb_soft_calibration_top is generic ( C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000"; -- DDR3 Minimum delay between resets C_MC_CALIBRATION_MODE : string := "CALIBRATION"; -- if set to CALIBRATION will reset DQS IDELAY to DQS_NUMERATOR/DQS_DENOMINATOR local_param values, -- and does dynamic recal, -- if set to NOCALIBRATION then defaults to hard cal blocks setting of C_MC_CALBRATION_DELAY *and* -- no dynamic recal will be done SKIP_IN_TERM_CAL : integer := 0; -- provides option to skip the input termination calibration SKIP_DYNAMIC_CAL : integer := 0; -- provides option to skip the dynamic delay calibration SKIP_DYN_IN_TERM : integer := 0; -- provides option to skip the dynamic delay calibration C_SIMULATION : string := "FALSE"; -- Tells us whether the design is being simulated or implemented C_MEM_TYPE : string := "DDR" -- provides the memory device used for the design ); port ( UI_CLK : in std_logic; -- Input - global clock to be used for input_term_tuner and IODRP clock RST : in std_logic; -- Input - reset for input_term_tuner - synchronous for input_term_tuner state machine, asynch for -- IODRP (sub)controller IOCLK : in std_logic; -- Input - IOCLK input to the IODRP's DONE_SOFTANDHARD_CAL : out std_logic; -- active high flag signals soft calibration of input delays is complete and MCB_UODONECAL is high -- (MCB hard calib complete) PLL_LOCK : in std_logic; -- Lock signal from PLL SELFREFRESH_REQ : in std_logic; SELFREFRESH_MCB_MODE : in std_logic; SELFREFRESH_MCB_REQ : out std_logic; SELFREFRESH_MODE : out std_logic; MCB_UIADD : out std_logic; -- to MCB's UIADD port MCB_UISDI : out std_logic; -- to MCB's UISDI port MCB_UOSDO : in std_logic; MCB_UODONECAL : in std_logic; MCB_UOREFRSHFLAG : in std_logic; MCB_UICS : out std_logic; MCB_UIDRPUPDATE : out std_logic; MCB_UIBROADCAST : out std_logic; MCB_UIADDR : out std_logic_vector(4 downto 0); MCB_UICMDEN : out std_logic; MCB_UIDONECAL : out std_logic; MCB_UIDQLOWERDEC : out std_logic; MCB_UIDQLOWERINC : out std_logic; MCB_UIDQUPPERDEC : out std_logic; MCB_UIDQUPPERINC : out std_logic; MCB_UILDQSDEC : out std_logic; MCB_UILDQSINC : out std_logic; MCB_UIREAD : out std_logic; MCB_UIUDQSDEC : out std_logic; MCB_UIUDQSINC : out std_logic; MCB_RECAL : out std_logic; MCB_SYSRST : out std_logic; MCB_UICMD : out std_logic; MCB_UICMDIN : out std_logic; MCB_UIDQCOUNT : out std_logic_vector(3 downto 0); MCB_UODATA : in std_logic_vector(7 downto 0); MCB_UODATAVALID : in std_logic; MCB_UOCMDREADY : in std_logic; MCB_UO_CAL_START : in std_logic; RZQ_PIN : inout std_logic; ZIO_PIN : inout std_logic; CKE_Train : out std_logic ); end entity mcb_soft_calibration_top; architecture trans of mcb_soft_calibration_top is component mcb_soft_calibration is generic ( C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000"; -- DDR3 Minimum delay between resets SKIP_IN_TERM_CAL : integer := 0; -- provides option to skip the input termination calibration SKIP_DYNAMIC_CAL : integer := 0; -- provides option to skip the dynamic delay calibration SKIP_DYN_IN_TERM : integer := 1; -- provides option to skip the input termination calibration C_MC_CALIBRATION_MODE : string := "CALIBRATION"; -- if set to CALIBRATION will reset DQS IDELAY to DQS_NUMERATOR/DQS_DENOMINATOR local_param value -- if set to NOCALIBRATION then defaults to hard cal blocks setting of C_MC_CALBRATION_DELAY -- (Quarter, etc) C_SIMULATION : string := "FALSE"; -- Tells us whether the design is being simulated or implemented C_MEM_TYPE : string := "DDR" ); port ( UI_CLK : in std_logic; -- main clock input for logic and IODRP CLK pins. At top level, this should also connect to IODRP2_MCB -- CLK pins RST : in std_logic; -- main system reset for both the Soft Calibration block - also will act as a passthrough to MCB's SYSRST DONE_SOFTANDHARD_CAL : out std_logic; -- active high flag signals soft calibration of input delays is complete and MCB_UODONECAL is high (MCB -- hard calib complete) PLL_LOCK : in std_logic; -- Lock signal from PLL SELFREFRESH_REQ : in std_logic; SELFREFRESH_MCB_MODE : in std_logic; SELFREFRESH_MCB_REQ : out std_logic; SELFREFRESH_MODE : out std_logic; IODRP_ADD : out std_logic; -- IODRP ADD port IODRP_SDI : out std_logic; -- IODRP SDI port RZQ_IN : in std_logic; -- RZQ pin from board - expected to have a 2*R resistor to ground RZQ_IODRP_SDO : in std_logic; -- RZQ IODRP's SDO port RZQ_IODRP_CS : out std_logic := '0'; -- RZQ IODRP's CS port ZIO_IN : in std_logic; -- Z-stated IO pin - garanteed not to be driven externally ZIO_IODRP_SDO : in std_logic; -- ZIO IODRP's SDO port ZIO_IODRP_CS : out std_logic := '0'; -- ZIO IODRP's CS port MCB_UIADD : out std_logic; -- to MCB's UIADD port MCB_UISDI : out std_logic; -- to MCB's UISDI port MCB_UOSDO : in std_logic; -- from MCB's UOSDO port (User output SDO) MCB_UODONECAL : in std_logic; -- indicates when MCB hard calibration process is complete MCB_UOREFRSHFLAG : in std_logic; -- high during refresh cycle and time when MCB is innactive MCB_UICS : out std_logic; -- to MCB's UICS port (User Input CS) MCB_UIDRPUPDATE : out std_logic := '1'; -- MCB's UIDRPUPDATE port (gets passed to IODRP2_MCB's MEMUPDATE port: this controls shadow latch used -- during IODRP2_MCB writes). Currently just trasnparent MCB_UIBROADCAST : out std_logic; -- only to MCB's UIBROADCAST port (User Input BROADCAST - gets passed to IODRP2_MCB's BKST port) MCB_UIADDR : out std_logic_vector(4 downto 0) := "00000"; -- to MCB's UIADDR port (gets passed to IODRP2_MCB's AUXADDR port MCB_UICMDEN : out std_logic := '1'; -- set to 1 to take control of UI interface - removes control from internal calib block MCB_UIDONECAL : out std_logic := '0'; -- set to 0 to "tell" controller that it's still in a calibrate state MCB_UIDQLOWERDEC : out std_logic := '0'; MCB_UIDQLOWERINC : out std_logic := '0'; MCB_UIDQUPPERDEC : out std_logic := '0'; MCB_UIDQUPPERINC : out std_logic := '0'; MCB_UILDQSDEC : out std_logic := '0'; MCB_UILDQSINC : out std_logic := '0'; MCB_UIREAD : out std_logic; -- enables read w/o writing by turning on a SDO->SDI loopback inside the IODRP2_MCBs (doesn't exist in -- regular IODRP2). IODRPCTRLR_R_WB becomes don't-care. MCB_UIUDQSDEC : out std_logic := '0'; MCB_UIUDQSINC : out std_logic := '0'; MCB_RECAL : out std_logic := '0'; -- future hook to drive MCB's RECAL pin - initiates a hard re-calibration sequence when high MCB_UICMD : out std_logic; MCB_UICMDIN : out std_logic; MCB_UIDQCOUNT : out std_logic_vector(3 downto 0); MCB_UODATA : in std_logic_vector(7 downto 0); MCB_UODATAVALID : in std_logic; MCB_UOCMDREADY : in std_logic; MCB_UO_CAL_START : in std_logic; MCB_SYSRST : out std_logic; -- drives the MCB's SYSRST pin - the main reset for MCB Max_Value : out std_logic_vector(7 downto 0); CKE_Train : out std_logic ); end component; signal IODRP_ADD : std_logic; signal IODRP_SDI : std_logic; signal RZQ_IODRP_SDO : std_logic; signal RZQ_IODRP_CS : std_logic; signal ZIO_IODRP_SDO : std_logic; signal ZIO_IODRP_CS : std_logic; signal IODRP_SDO : std_logic; signal IODRP_CS : std_logic; signal IODRP_BKST : std_logic; signal RZQ_ZIO_ODATAIN : std_logic; signal RZQ_ZIO_TRISTATE : std_logic; signal RZQ_TOUT : std_logic; signal ZIO_TOUT : std_logic; signal Max_Value : std_logic_vector(7 downto 0); signal RZQ_IN : std_logic; -- RZQ pin from board - expected to have a 2*R resistor to ground signal RZQ_IN_R1 : std_logic; -- RZQ pin from board - expected to have a 2*R resistor to ground signal RZQ_IN_R2 : std_logic; -- RZQ pin from board - expected to have a 2*R resistor to ground signal ZIO_IN : std_logic; -- Z-stated IO pin - garanteed not to be driven externally signal ZIO_IN_R1 : std_logic; -- Z-stated IO pin - garanteed not to be driven externally signal ZIO_IN_R2 : std_logic; -- Z-stated IO pin - garanteed not to be driven externally signal RZQ_OUT : std_logic; signal ZIO_OUT : std_logic; -- Declare intermediate signals for referenced outputs signal DONE_SOFTANDHARD_CAL_xilinx0 : std_logic; signal MCB_UIADD_xilinx3 : std_logic; signal MCB_UISDI_xilinx17 : std_logic; signal MCB_UICS_xilinx7 : std_logic; signal MCB_UIDRPUPDATE_xilinx13 : std_logic; signal MCB_UIBROADCAST_xilinx5 : std_logic; signal MCB_UIADDR_xilinx4 : std_logic_vector(4 downto 0); signal MCB_UICMDEN_xilinx6 : std_logic; signal MCB_UIDONECAL_xilinx8 : std_logic; signal MCB_UIDQLOWERDEC_xilinx9 : std_logic; signal MCB_UIDQLOWERINC_xilinx10 : std_logic; signal MCB_UIDQUPPERDEC_xilinx11 : std_logic; signal MCB_UIDQUPPERINC_xilinx12 : std_logic; signal MCB_UILDQSDEC_xilinx14 : std_logic; signal MCB_UILDQSINC_xilinx15 : std_logic; signal MCB_UIREAD_xilinx16 : std_logic; signal MCB_UIUDQSDEC_xilinx18 : std_logic; signal MCB_UIUDQSINC_xilinx19 : std_logic; signal MCB_RECAL_xilinx1 : std_logic; signal MCB_SYSRST_xilinx2 : std_logic; begin -- Drive referenced outputs DONE_SOFTANDHARD_CAL <= DONE_SOFTANDHARD_CAL_xilinx0; MCB_UIADD <= MCB_UIADD_xilinx3; MCB_UISDI <= MCB_UISDI_xilinx17; MCB_UICS <= MCB_UICS_xilinx7; MCB_UIDRPUPDATE <= MCB_UIDRPUPDATE_xilinx13; MCB_UIBROADCAST <= MCB_UIBROADCAST_xilinx5; MCB_UIADDR <= MCB_UIADDR_xilinx4; MCB_UICMDEN <= MCB_UICMDEN_xilinx6; MCB_UIDONECAL <= MCB_UIDONECAL_xilinx8; MCB_UIDQLOWERDEC <= MCB_UIDQLOWERDEC_xilinx9; MCB_UIDQLOWERINC <= MCB_UIDQLOWERINC_xilinx10; MCB_UIDQUPPERDEC <= MCB_UIDQUPPERDEC_xilinx11; MCB_UIDQUPPERINC <= MCB_UIDQUPPERINC_xilinx12; MCB_UILDQSDEC <= MCB_UILDQSDEC_xilinx14; MCB_UILDQSINC <= MCB_UILDQSINC_xilinx15; MCB_UIREAD <= MCB_UIREAD_xilinx16; MCB_UIUDQSDEC <= MCB_UIUDQSDEC_xilinx18; MCB_UIUDQSINC <= MCB_UIUDQSINC_xilinx19; MCB_RECAL <= MCB_RECAL_xilinx1; MCB_SYSRST <= MCB_SYSRST_xilinx2; RZQ_ZIO_ODATAIN <= not(RST); RZQ_ZIO_TRISTATE <= not(RST); IODRP_BKST <= '0'; -- future hook for possible BKST to ZIO and RZQ mcb_soft_calibration_inst : mcb_soft_calibration generic map ( C_MEM_TZQINIT_MAXCNT => C_MEM_TZQINIT_MAXCNT, C_MC_CALIBRATION_MODE => C_MC_CALIBRATION_MODE, SKIP_IN_TERM_CAL => SKIP_IN_TERM_CAL, SKIP_DYNAMIC_CAL => SKIP_DYNAMIC_CAL, SKIP_DYN_IN_TERM => SKIP_DYN_IN_TERM, C_SIMULATION => C_SIMULATION, C_MEM_TYPE => C_MEM_TYPE ) port map ( UI_CLK => UI_CLK, RST => RST, PLL_LOCK => PLL_LOCK, SELFREFRESH_REQ => SELFREFRESH_REQ, SELFREFRESH_MCB_MODE => SELFREFRESH_MCB_MODE, SELFREFRESH_MCB_REQ => SELFREFRESH_MCB_REQ, SELFREFRESH_MODE => SELFREFRESH_MODE, DONE_SOFTANDHARD_CAL => DONE_SOFTANDHARD_CAL_xilinx0, IODRP_ADD => IODRP_ADD, IODRP_SDI => IODRP_SDI, RZQ_IN => RZQ_IN_R2, RZQ_IODRP_SDO => RZQ_IODRP_SDO, RZQ_IODRP_CS => RZQ_IODRP_CS, ZIO_IN => ZIO_IN_R2, ZIO_IODRP_SDO => ZIO_IODRP_SDO, ZIO_IODRP_CS => ZIO_IODRP_CS, MCB_UIADD => MCB_UIADD_xilinx3, MCB_UISDI => MCB_UISDI_xilinx17, MCB_UOSDO => MCB_UOSDO, MCB_UODONECAL => MCB_UODONECAL, MCB_UOREFRSHFLAG => MCB_UOREFRSHFLAG, MCB_UICS => MCB_UICS_xilinx7, MCB_UIDRPUPDATE => MCB_UIDRPUPDATE_xilinx13, MCB_UIBROADCAST => MCB_UIBROADCAST_xilinx5, MCB_UIADDR => MCB_UIADDR_xilinx4, MCB_UICMDEN => MCB_UICMDEN_xilinx6, MCB_UIDONECAL => MCB_UIDONECAL_xilinx8, MCB_UIDQLOWERDEC => MCB_UIDQLOWERDEC_xilinx9, MCB_UIDQLOWERINC => MCB_UIDQLOWERINC_xilinx10, MCB_UIDQUPPERDEC => MCB_UIDQUPPERDEC_xilinx11, MCB_UIDQUPPERINC => MCB_UIDQUPPERINC_xilinx12, MCB_UILDQSDEC => MCB_UILDQSDEC_xilinx14, MCB_UILDQSINC => MCB_UILDQSINC_xilinx15, MCB_UIREAD => MCB_UIREAD_xilinx16, MCB_UIUDQSDEC => MCB_UIUDQSDEC_xilinx18, MCB_UIUDQSINC => MCB_UIUDQSINC_xilinx19, MCB_RECAL => MCB_RECAL_xilinx1, MCB_UICMD => MCB_UICMD, MCB_UICMDIN => MCB_UICMDIN, MCB_UIDQCOUNT => MCB_UIDQCOUNT, MCB_UODATA => MCB_UODATA, MCB_UODATAVALID => MCB_UODATAVALID, MCB_UOCMDREADY => MCB_UOCMDREADY, MCB_UO_CAL_START => MCB_UO_CAL_START, mcb_sysrst => MCB_SYSRST_xilinx2, Max_Value => Max_Value, CKE_Train => CKE_Train ); process(UI_CLK,RST) begin if (RST = '1') then ZIO_IN_R1 <= '0'; ZIO_IN_R2 <= '0'; RZQ_IN_R1 <= '0'; RZQ_IN_R2 <= '0'; elsif (UI_CLK'event and UI_CLK = '1') then ZIO_IN_R1 <= ZIO_IN; ZIO_IN_R2 <= ZIO_IN_R1; RZQ_IN_R1 <= RZQ_IN; RZQ_IN_R2 <= RZQ_IN_R1; end if; end process; IOBUF_RZQ : IOBUF port map ( o => RZQ_IN, io => RZQ_PIN, i => RZQ_OUT, t => RZQ_TOUT ); IODRP2_RZQ : IODRP2 port map ( dataout => open, dataout2 => open, dout => RZQ_OUT, sdo => RZQ_IODRP_SDO, tout => RZQ_TOUT, add => IODRP_ADD, bkst => IODRP_BKST, clk => UI_CLK, cs => RZQ_IODRP_CS, idatain => RZQ_IN, ioclk0 => IOCLK, ioclk1 => '1', odatain => RZQ_ZIO_ODATAIN, sdi => IODRP_SDI, t => RZQ_ZIO_TRISTATE ); gen_zio: if ( ((C_MEM_TYPE = "DDR") or (C_MEM_TYPE = "DDR2") or (C_MEM_TYPE = "DDR3")) and (SKIP_IN_TERM_CAL = 0)) generate IOBUF_ZIO : IOBUF port map ( o => ZIO_IN, io => ZIO_PIN, i => ZIO_OUT, t => ZIO_TOUT ); IODRP2_ZIO : IODRP2 port map ( dataout => open, dataout2 => open, dout => ZIO_OUT, sdo => ZIO_IODRP_SDO, tout => ZIO_TOUT, add => IODRP_ADD, bkst => IODRP_BKST, clk => UI_CLK, cs => ZIO_IODRP_CS, idatain => ZIO_IN, ioclk0 => IOCLK, ioclk1 => '1', odatain => RZQ_ZIO_ODATAIN, sdi => IODRP_SDI, t => RZQ_ZIO_TRISTATE ); end generate; end architecture trans;
gpl-3.0
392aad444be888ece8a137d81af1353d
0.543692
4.053614
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_axi_write_fsm.vhd
2
61,464
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block q/T1Iy/+MUBBlCcGvQX+JOyPTRTh6qcwsjx1LpKKWPOARQH0sAb+u6zC8Ncgi7zkjfu0yJJXVMqp Wf8VCd8vpA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M1opFEihVmWFAXOD7Q1IGCTzwbpujUxRNCRmHNjJsd7UgyLrke3GKIzEVtt8eaET1PMOPoIm8fQD HZ/rjM3Mv7wmgvEtdC5XK9OI+dSBT3royWsH1IhbnRz++qahjWaXhqUO+4ofwzb3vG8UN5N7nRl/ 5XPAUPalGaYGAmnuI40= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ud9YT2VeZwLBE8WkFwGik3aMFUpP+j5zsGS6qy3s+Ubw0O7OaB+MO0Q390XVW/u34cTYFBROKr9z PvuwUv1J9e5X11udCwfno9JZRym3I7oBp8uZL4T49g2XKaO6uf/IccE4x58v6mucFup5Cv9j9kY+ qN05VbKY0t04tWSDDP1ELf9+Twn0RpLNehcpdlIwVNUH77gv/LmoKsXicSOZ1CgEJ25GCvzwO4cw E1828nrMpOjUFdwDMxSeod8/ZkGIdVMJ9YUBro3GR/+mW+V6oV2bnCZ3dpPKlI4qIdSybuGTB90+ Af2649x6Ts9W6Dq3RyDc5183kwukGy1bf/oB1A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cLcLLOLJTqARkcevlIPrmHxDlZTIawUClQ9tBmSXPARNTFiBw4hCE46as62gNM3JocZhJ2zzZxrG V1sfHt/1QQ2ErXgD7hfR6WScMk3jIDuVcI6FtnEVvWBH4Wp7KmXw1ilBNSVP4oZZlq1M6/13wJ73 jbtO3poVcS1IGPGp3So= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CgZEXG6KWSO8i1V2TS7Ukwn/CzYGfShnbDog5GY2MAsh465RuYecO+AEILUIkQyS/NF427ttFnv8 wYjbNj50hJnei/hHeA0FmdLcfdM2D33yLwUY+Lp8m0F9rDhLFcljLhkPNWixorSsWjKmF6cPoHxY oGiMLObIAlu3bGnhSZchQlqK2aP8Pfi3PKHG9kE/+4ZAUmg6sazQ+DeQue5S4kEu2y6GBsYroSTs WBgd8p9w3Xr5AUXBGY7V0tHxYxNa4IEd+L72lbQNhvymwaQt7Eg4P9x1ZYeYWqzLpfWaQYTLF9y7 vdmR1OAx6Exdp9ex9+5Ah+jkM/ni4UO+GwcZpQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43760) `protect data_block Sl5LNLJiCmxiqNb9bTMzlE/nvumgt6WYRnX7yUGhae9fNAyuRPY7yQ9eCzMrcR5CTdCbwrbUOXbC NqSuEeS+FqgMuNSbYwNwk+KGE7fbvUWUInC+6o0VODDV/tvl9B0UhLPzgxnXh2bYKl4ZC7g0Z7Up 7vAYBhmZr+Ef7LYBmLacL3IQkwXniAddx6k6U9gaW5CVBb3kyqRDqcDUvj/PGsUwMRXPKRYwR9t5 AR7MDih2ERBt4FmkJTTEf3Jhj4gTnp/tpaueE2Lk9gk0m9R8lXGXghqfQzmtQXvd8FVRCdJSVixX MygrmQP4Wfrd+GTi5zTgh5sBuMGUVHle0NDPPLYWUsjqs+cPvEb1t/eeVHiCwik9PqdlWg/hWZ8R WXXoCLaecUQ/vatqn037HmXip42p8mXSKBFjUcDr5Cm4NfgYuXbRkASTybmbmZJcRkqX4lb+YWD5 X/FpVwCwvuILAajlqyNHiYDMaelcuG9+not4Fx/HfTFCKrkrw61ukreJbOwhXAQTPy0unVlhRuEc +2balOelx3XUl4PDr5LxtqY7qpHtpfjGUfARXcm6G1sqvVLag8eJNGw1jnf15f8I0vupFvzJFM9s 4+ajzx0wgVA8mcLAXUVhehxDJ+qAKgREGCe3zcjpV+F04Rk0AU93D4AQAgsImBJvFOzpmb9tOzL0 sRUT1NTS+KvWr1MH6IKrOw6kN4ZN9nHRU0HRVuvlkMUs0TiIvavdp3z8N5NQDcGoQZNsp8qd8c8Z plIsnZfDm7SgZ91Z4/oMtf2bxlJhmYbyEfGxVPiGN/n8+oICillabChpmlne3xT6707zrf8T6myQ fJ+80PvwzGIt2lRxIeuJmy3mcwd6XUrH7Bi8xFr3VmZOi9DSyPA6DpVRPk09wa6Zb3WnbuVEJdT1 IhxWPXMxCLV2CkczbnObeRtfWxnRcwsG1uI8wMGb5PQ6R+cqx7Lb5SSm0eNVPy3qp1OZ/+0nw12x NRWbpEyDkpOMWS9cLxmopqSNuHBW6WgwgoCZG1tduCVYSAQ4oHagOIkSQis2NgusETHmPtVw/tZh ZuDBiuy+2QaPp9Zf6St647XIDVF+qGbaiZ0qAxSX1SP43YbQ/m4nv72rBzbs/ogrBLMUvTPAPl2r QRhcFuBKGdg66/undxvyqGztm7Lt62CasHshioS02Fe18CCmwVyCpa+VAFg1GmpjkoIz2rhNXC/7 5WiqMryy/NWjw99sXQUs5m5tKPI0RW2Xiex323IzZIRjZW6WkNtYH7fvIpXru8xQbXwwzN55vows djiT/cXMlDmhXqNour59Dh1jC0H4DcD8EKtkHD+CcBRPxjSfCbNF59uRrS3wZyb/h4BZhOeI53zd RtdT85L3wzjOg8/skWfxoWOi7EwMjk9hhmlNGrMcKdZQ6GdttrwjA3nA925aY/SkmHQbec0tJvS1 MgkhafpfRmsycVfYpRZ6HZrWhQU4I1bO0T/FmGaDGkhBXahK7rYJHQL67u9fw4ERjQfZGwLrq6Tm oWk5QethrSlo9QWjusaxKrr9d+ZL32PVQKKqRsgVCQnxjRMfiYMl6/nLsN8dr0CUgOF5MEuU+Plr n4R8POTwemXJTqvmCchuBZy5PiOULC5B9ppZmYDcu0xQpOwmQ46uBfSzH6nzYclvFCxJThpxbZgC +Mz2wkw01ADq8W5qJGmVPM5DxFuiQAdp30gxg6s1IcuhdZeY945qDLhIZ4dCSaVRAkZ22glNTNGx gaOVcYWeHuSKVIQZ3B+IpxMO42mMn17XoygaIhAAkkDUVVaDnedqqUKzFCwBJUhIEHvlRbxlJXbW EeGALwiLHosmKYU8BbmsbJA5snhQYWCppsKnt47zMpwxtL1zf86Kwpm0kgXn8KT01kegsPa6i27x e7uPvuz5BqSPvgXx2d6VDOh1l7sLVFH4Vmu3j80asqrzpixMJGRWmdzb5dJ0qRTbCD6odqeqY2NW xYlPr+gUqUOUeNYugvHGTuJrBjyMaZSTLPFpDqJD+RVWIKastoS5tHLEvvprp7B69uK4LWRx6rF4 b/IvMFz8vXGxSVq0ftv+mJCyuC1e++kgyCP0WTRmVFtYSj958P/CGBqILK0yX3fV6Ykr7tIlg0Od +oj6xfDECIcGOalRwyL78Q6ETYMOdyQ/Cf1p8QwCVCmM4Y+MXlztoNCJfU1nRlacA5Epk6ib351v 979yrDDqlwYPJIScMpyKWLdtTmN9I2CLR+wTMo3fnI6KIjvenBoDWpO1se4MHM7APybh0vBt//MT MrK8zjNJfQFPddFDCn/IPUJBmzbQ5iV8/gl70NisHeKPRIZTa/yyI1jhr+3YyPfbBGTqSqYnDhbN uSEXU9+IVFu9uQ3HaESGvuqfflARWFSXPyZ/fpPmXWw6uNlQ868dNVYQtNC3VOYiLm++VKyKrg0s QlJUlYOXSnoeu2TRYHgNtLCbFjOQEdgWMlKozB6nYnDMPswbhXUjTASunQaXZjUpn8rnbYpRduE5 hKhnNRmg0snmM6JBtldDx3rB7Z6x2/QAcaXob2d4Lgb0+e3tGTBzbcUCxdUy8mCq8mV0MrJ5ziYJ wZ4C6LJmDZ2dWtJ3ocNbMkL7nySL1VPv+HRV55Y4nI4SOcdmsCIvSJ/MiMPmCIv/DuvT+2KutiiN XunsiIA80A71zdcsGSP3LOXZH5WI4zovnC9xdrw6tBQcf9Z4QIUdKF8na3y3W9esrpP1nKL+++BO AkMEjtqjIUDHxaIVMiHdg5FmnhqAOFanLDE4OAcvEklGFdenYZ7CRw/UcPANuLgCHC1jedloDaF+ pdd5AI90rVKHf75IM8Chmmxx1ZdkGajFzHS6g19nrR33d9oZIJ+pgYoYfweis/gKYXFs9rtsbrkh +7T9FaoTtAq9NdQHNIqZ09RF3QT9pNFVWAVMpbQwrTeyApqVKYcpOz0m7ZyiJGNJR249aGpij5Gf RpCRVlc6IVsQivwpzaUtvplLZRLgaKKxPpeVVIDnKSfiNyzxE4OjIUTTaqLfxpVYMlSfWgtsKHAp zQkba83qGRMNLSOafyCDxy+6iK+J8lVYdY5MDWp7z8VYHRz2W+wTJ2kC6jLR/NkivQuszRq6cDBd nA0jXxO613G3gFPr1EiR1Y9R5gtZZ4Jfib3a9m13+cMTJQV1vBHdT4qTHiSMonYWgakzEdbUj/ux NxcQscxNVt+iIOnk5ljEd7yJhqOJwJJ3dX5phSj/QAKykkLVE0uNTd+hUqSaGe/5RT6B4VIPYYJ2 22EWkk59Eb2JtwtBw57i7NY78A2MFjFGPET3di5rqyvURcrPkWiKnr+z5hJpfj1CWDSyBj9JjD9l U49UjANhQ9Hhl4xFU9c3vx3eUVEsRreREtm21PXgBORih0NOO/rkhs1WSWCry1FS/qK8gIAc4j6B yjO1gKd/VSZeskVzyAjxS+FYLbFrn0ciToGD/o5Mz7rWrpge9t8bjF7DqAZo0g1hdU0OdCS8tcUU Pm5J6fWRIiWKpNfokjSv/JLVFvLZY3Kkk1AVhk1HikVRWFMJYzAOaCZoC+TUsAOZPjN+w+js0kFo jVhgNDP0W7XqdHCwHHuamyMg7DrKRQ8UA29G1sctEL2Zxog/MHevAzBaebo3lnEyKRtDYI24eS9u OdNhnQ5lRZXUbt4euMjwO0Krm1O6VJ8DNy+58nIUcpS0nEE2IkOx09QhNFYw4vo0PZXYl6YqGq0G 0pDe/mlCLHTvKfLp8M9VZ88c3i4OYwvsCNNlFzRHYlu10FulfpQwPAxhehhp4PhITlrYSEh+hMJf pDpsk2i+viKKlRM6WHKbciU2T0kaJ0xJx4OkHBsTYAgaqYoQz7V2WR6J5Gj7gjxYkzZNgZsEHxrX 8eNEgno7a8qIhZOWWKCqUFaM48PkwAqa8caC9vdpEj1XjYKyeqx0kVWV0OI10rgCpcg6uSJx9Vzz uncYVt/ZFX20ruR40C0gySBIg+ovDuNV1KTFAwnLUDlInWBkQ5H1EEHlWMUmAU7jFd1pZM1OjT0C c3aK40iCrJbvVrTOqde7GaqrdLrbUef7EqTTzH54muIBTpvSKW/J0rX45gTNSNYKOpdH1vx/HYZA mB1o2SPjM1iJwZjS3iw8fFa7uZwHB2cgVL0qn37kNqwxd5GbDzvYjNzQlTGSKRW6PAu8MaJJFayn zgLY6Q491uDEVWflOGw9ofcfQTI/8Hqeh1GFVkjbph5XnbMSTBWS9Rwg1bW13CTbbjLS/JiqRpW6 CSH5NnvCY3M2J3J8d4lYmtpGL3I+8zRFyDIVsHITldW5pFWn53/x8gXCznXFaf99O1zQR5dMnYVr FRv/JkkQcmexCw1qdJ3ceyPSrVLfoke16HXFzgxj/3en+mejqUPKWjc0UpvSMWY2+W/xRjTsKF4A G7v2U94z7hM20WWDeoznW/voj7fojdxC/+OLun99YX+HKyutCDqf0jEEcEJxBLjcvKZga98alpmQ x7q/bGYbBjQ/8l088xt1saOfDthqZSfji20NuXzTt4OPluI4fR/NcLxtBnKos0DL/MEZ9RACmwiJ xL8aVilIT4Ov4RWJqqy2W8cwGpuHLB8SotD6p+lSCCC+Ed5gKa2e+10d/GzuzZvEkcT8y5g/xOln 4Doa9NS+Jtk3FfXpRcx6f91UcJOLd/XWyONxe+j4YLmv5gXmgEayPQjRUYon9DTLnPbSmt17B4tP tUIqlGcpDbbljjs18RniK4i5Lr43kQc+ApsjeOVU8eE3OXPN6ZTsmCLtsw5TYUpKprsHoX6v7+sJ anTsJCmsyf5PXpf600MHsWWDDvpxiZbicr/WQfj7RRBvZLcKarTKMpyv+r8h6+nnjwMGRw5S2rlV gl713vKxB3U6Torah+fVTVASJtvCrYtQ1C4AYWekJ10KQPiV4DRvI7996KzLfoDUDSsxh80qtqoo kt8FmTQuWZNtmqdZwlQrOXkJK91t8GmtEYabPa+z+IhLN1kvdTnbeFQlbPXNuYllEDrbAwNPDfEd /Nmx/VnyGVnDslrG0/02BrCzZ3P1XTb7558LOvMrhQoBf/PoVP0fuCL4GzLtku5HkenHuPB8EdxZ pbS04gupsPtSEcbpJ5umbMIyqu8p9ZlIr4PLXJRslyTJycheUVa711HOpjcJhIuyW/CjzIGkaEKP j1Th5g8LcxmiLOWhegK8r4dhxGoqMATS4ql9lhVKZHgHfRdGGkLRWkySKGE/pjBGPoNBwLe3w2TK 8VPT0+6IADI6iDIK1fsHw50b+a4hwg6WvLRFdkEDkMcbhEY+4uDQ0pfgUUyloDO/E4CMQPhLdQLN kgpVAQI7vGbTtJ78YMJWuwKOLiFkXTHKqEMOqsvmJzK5MRRko0rCpeDhJHmFM4G/IlgYpUfz2tsj XUptnWaG9udBS3BWkHfhLdPWPzeMl57G3yB+isGSPCReXNkwHh8rMlCOTCyjHrAH7WsePv6xvngW MvkG/WQP5czIqkiWsvcMSZmXKvXcnabr5cwAsELJ7Hc9wt6dREF1sfGCuanFz0TrPz67uCZLNfsV gtJHF7+esaMEeoOmhN5B+toeJnAWNU9FLU2Jm5P4daIxZVV/IRJG5+0YCF6TwVn/g1WiVp3Qs2iA S9e9OeKwtJBkLgxbMHHVFHbEf4xFGAD5O5o3BW3ZjM6PCX6DgLyaQcN4NmVc9xtRukh3tFG0+ZUr Xs9/T+/RD9NwZ//l1AVytrP/q8X0YoL2C7pwg98sNaIp3IiHYSN3mwrMSJczVxMj9vO3AoVOvE7G H8fQPM8Dg6+B/AYNX2a9ZQubV522mjm6d2nl8FWZv04LeiYPJtlERbSF+i2/Snfhex4C27iLqLYm dXPpusivW8ZtOUaJbV/ZRGjsLA9wC0Vm8kWM9dtkpecBSM9jl9tYsf7r5vc2vb4JR8pcUTTcrySl TnN0+iIA0UZvkNkImr82h1n2aYYpXZTvaOSmfj6KfREyBZdeSg0nH1faCDAJbvaDM/g8+ayNPHXT EUMbnAf41Kj6FvW4lWIQaFtIawvwHl2JHb1Dli8uN8s6AcEXvnIsWUZES2umePMmPc8uGsfX5PAj RbWinE0i4aIg53dxRITuFSpDSNg9XQstLknsB5UPt1kIPvHMoVIfgLvRE2IMKexHrdZodgleIn+G frc6bC9hwWnCGi+dWfsP3skGPxf0qm2LqSnPN+MoBxdYlPhRjPOdvS/4qjO5JRtwmhWyxa/n8AzY zl5oUwukYKij/+hmMlcZQru23qzyRaYs2k1h/eOv7EhDt5HHkQYJniBcP8qnQy6/f72S1kQT5BpJ AsZGn042SpZ8VOPRd6BZYHWyQJJ5bZss7jswRGVoi5CeHxLTNU5NRcjPsR4Yho0JlBw46d3naiEA /oP9GFSB+7Ppu75Zn8VbGkTsYFzhoH0ixqRS9VYiTy3NXZ1C1555zp8UTEW2t+E3gwcLy+TGFjyn yLLYwP2t+yiS3/ZIrLRDIzUHq/PDyfxQmj0PMNewmDTBVNfPXFS7lxtApIW1jOiqSeWMrus2KMYG viYzTxSs5+LMfnBzGr+eOc2/n/ALMefRdOY6kMpvJ2vaNvxDtmX26Kll23LLZNEBdh4pHK42YPtM IYMMRX6v9ctGamX+ofWh8pp9J5YWzRr4XwEtDJIR/+YAdTfy+gN+cugbHiuqD7w0A9noj4DlPXst k9rKaa+N4xai6lxTT5q0eXyCyOpWjGSqrXHOTBnaWcx4Iu14d2PizJtxyO4Wn2sBUGGTAk0a6aM4 NJu+Y+MJH6LdcqVMrsxEGiqwinhFouQ0Xwig0UDFTW/u1f3Ftkne3jfJm86kcznAsWS+4Zi9ywin 7CidCgsUXDOlu9Spc3QexEfspHlcz9zeH9vAvaViOoGHUreFbRseXSsSqnJ7DZVBjmf8Ulko74f3 uZsTWls0tzojD7shBdOjDUG+XHxcowMYXt0gK07a/ABxUgWl5WfMCaigxuA8+RixGJacsgf3Y9vf /X2wqdY0akap5eYIYSeRwIYH9pY9xOneJHed4QlCFSmI1xETVzXqO/4kJE3aQ9QnVk0srveH8Bww C3TjRAhjIY7miQjspVuB/VIFGd9e68N+HP1f20cr+EbiwSqKuwe0GUC+Nz+//2Dz7NF/9lvtZCzX IgRyHMZKCw3SSpMdtgIWRxzR38Ll8pxjv6PNCz7wTBkO9A25KT4owXt02P7rKyfzmuUqJ8AjwouW 4n81l67pctqbRYT1woZTApjChFKsY6Qr42NffC4bH/COI/1hYFxddk5WgT84daPLrbtoSFVRrAQV PynWvJYCKDvttyYY53d5jccW5pmmvbGgwBDHRF4qMOFNngB1Y0UaqQBpeTE7UI16Eq3xGx4UwHW9 xGmvgy6k3tUJ0HLl7lO5xadywkJHMAG35mHk6h1dfCwlgmr+qCCTRo4zzaCjgbmFFeFqWiGDDEYM 19qYGnb1//DBI1uwgVrvdryBXijRcXAbC9GEKtZyXkrYj0AbbQ5jpNECBJ9u9suHonLtcjYWm7kF PdWwk1929WjTWwGRg4482/YU80V2tlDTtopFRKN/PVOJF61g6Ancsw1xoINsaPaQkg+gS/08LMyq 0sd+xbyZstMWRrXcW33wiAz/sOjle+U31YlO+FTT55H6auGsZm/RyaCPZ9+NWYcEMWJTNAIJU0QM wdOn0Fk6RU460oTeX1MvlIYe+kn25GcGPqQhP7HuyzXQnP5TTOE6AX6xPQmZVSX4E86bz7Ire11V 4SJEYM4V44DIqKSwABZ1VSj0SPVv15c0dvQd+bPzY1h1thj0j1SEtkeeIH3EHDgvWhFLLqaS1rzH 6WXsADwjoF2agWdORN7AHcbZJqY+tS6khOUHVb24+vxGzf/kJ9cmOKY5i2ACaT+KThQwhr61BJQX i+85oTJxId2M5almx2qTgtAWrut+VBQfUsQD9yUmc+9tD6SL+iq9gp7wI7H22TEA61viSoGayYMg RnV1AnGeIY7JXjDGkII7NbnMWN51pzQ6vXyeaSYQ1Hz9RLWp9vpc0isTa+XRwEiGi13Vszxc8VHO EysKKftvSX1vIJkAujQTG/twmGpetInytIhFcwXQzDtpKS9vA64RLUSE8HNa5KhV68ugx90B1pER HB4SdG+Rxws+79uuQacaQ9SbMtm6Jg0fsZpEoTcSEErEygxT/4DKz1cR/qGVWyc4h4/dDzSq4Ekm eFhTo1GI4Xq6JZMwfi8GnlRbvhOxzZIyatnqRnR4pmR1B8vg5fh7FBVdAi0aAvGQJTl+QYsUg2Qh i3h38dlM/69C4o3b34ac9r5YPdz73EbFvXKsl675Z87eBGO29LKY3SZkL0XKJ66Va4IQQXaTFE+c IB/jEGVpgYfWPwnVXTYxwS7jJ+NleOZe9FClJToohbjfEyhl7NJlMKbOfy5bmIA0vd3mdI99E8ou NXZzYph01HsWCWflwfA882t6KxNC23bSMaAJMJaBN1+/vL2oMZ1LbG20v+QzgJz8+cnYE+f1QTt2 kz9ABfadw5tnbclhgoIyVQhZL3520Iphzt19JGYHwhOkGoKMjavJYPS+YlphWhoaG7p+RRqYVMyq sh+sJ+YptMwmT1cAfAly75TiJy+sOvzSm/y/XN2ttfg3Jb7IxR66rB+WG9r5E+BVr4UYLht5Ejyj TfAxpsmqhlQxlr7TMSMWPCj8h9f5VTbJb05FjgUwXekrijEJUpodMrE/QaoepiDbGCf8xS/zLlTc Lm059wBNt3zqPPD1UyNpZtwIyzBme0tEV0DBYqtqEelJyuCFpv40Qj2VnhSYwUIpz8x63t+0bMjY PB1dNQiW+n06O19k7VngWBa/vcxDDBY1EmC3ObQUk+1sw1xV0YI6fEDsdj8GFSUBmLibxUCJkr9Q gwAQotNSZxxbg6+38XTHctBlj2IE/RwdYRMM7ttUBfwPpMN09C7fClVeOAQDzkCjy8A+mRjGHmjg NfUxaqO7jotM+yaEszHWB77uY9nRvUw1Jr6ntDGAAhH3S3EzXSSyiuFoRPvjE3RvBTMsQ9H33tYf 1wi4VH0G1JWaMQF9Nod4MtX4DVJfigMenREDs4NXgSh4HA9FRZlS0uwPdH6apCO0DVDvSTSaHKNq kjErQ6n7HfqWhJTuffoe6e2Dh1jwwJk8s7CSmeb8+UQaI7/mLeqdtFsbkAb9IWp7a7yEp+LlKV+r AFS+pcBywYB7Q5tj06734k5qePE8H9XrGeYCmPY+eDR7S/8B165KL5tz2gBB2MNe+K1z7yUvbjkD 5l8w77s0LD7zypUlhIrSmVG16go6gmCKJq7xLDNFzyCerqWwEHD25OrGEt9YEfG3ykh0hrmXbjq1 lkZySyYt10keigAMWT2YyQC1hVeLCpGqkkDvLdQdfyDUCR0/ix+PSxKaeXiD3aBDx+zVIWywXzll 1jLjaPFXhfgwy+5jfLRZimtlCBLMIrdxk+hIYnwt+DYiEtZGEKQnoyKBC4JXNw38kflYANFNJ+IK wI8wBE4Im1kH2+bGQPcepebrvTHmxsaAHzcEZzi3uT5t780NDG32+WROJwf1j6JF1otuOBMCrcmk WVguhfN+XM+NoSRVgBUF7BwW0OJ9+/drz4jMOHrWVWNOuLmdbzCSIRxpAs3TwDssvY+RoxvhC6vc LiyKzL682zoIGnIefv4nCczURCCNW/Bql0O3h/IBY8R+f5s4s898ZW2V6wnR8wRcUxdO5Lg+P8yk tkC8bu2wn0TrafLawAQ0GTW8irwQlM9Xz8ReIRmDRfbd2Zz6+acGw3ACCkENEhGkET7xRRhfcaTh VXNlE3rgcYgDVcO4mJgHH3srnbCbZMdHfwSxQ21lgDFgf1Jf+X9EgVuPLnDdBThqTehh7pzSx8Dt 84Tx4f2ZQXETWoUKL/THXMJEvRO9DrqPlobkwa6YfrblUhVgX0mxIIDtpUTPRk9jO6m3x9luUUiG 4qGrFN5NSCvOmyBahZovqrON1I48B26ESofq40tAT/IAPG+t4hU/dF/2mhXCY7ZxZnBrpNfggq8D ohkc3kAf0InS9qGepZqZqj9v7Id0kDPLPFK+OTy4/vRnkbAUhr5kFvpZEJfWLzXXVVObaY3sCp81 3natNMuxTDzBdzTAl4K6w8jQsbUiGwQGoJPOFd5sJh7Oeh4K9X65fxZk8n0/5Cd6sXgh9GQQte5g xmPqkqtDYpFhbhVLJn7ouNAJHpzw9dY0HDGZKJ/OrI9w5vdB5w81GROD2LMey0Z8s6HRDFMi2MY+ XCM9+uOXzXagpB/QDGiRB9q3mygG6Q7g6vhejzOlZ0KPNFSskt4YGa1nfziG8Z6cJl9Aw06LK2dY uV2UBJIlTakTvnK2XFk4691qzTLr0bb19/BGwdi31K93K1M8Ak9PBKK96tEz7UIS/3H8VnEvRKzv JvEbD2lYACnuyv/1XforC+55o58rkmrtrTJTPaBP2eVu8TwuqlWigAS4TzDILjVMZ1wbL6Ayc4vv Bufo6aE6gjZ7czyrx886TterZBl6/bkiHBMhUvUxsgEwvbPfOg4CVy41FgyCJZxHvywPC7RXS20t 6Odvwgj14WrXJt05oIKd0LaWRAhvx+ejbhoCcUet7r+4ELgQkoLofybFzGyGhmjgBladzoxsFLXy 8CYAcfsbnIw+mTH7W/MVZwApBIjljhGhXsLRdQAYK32qMzo18gbXBKDx7APR3CKTN2oACILO5h/F uIa9i7Bf5BnCM0Fmsk6fbcQOo46zmM+88NoV7ozo4onLXmEy9OA/0DJ8oF26qZeI4MmK7E3BNHFu c8PJUpInNfhbRmbOh3HsVvqYR5bgU3Mb3SmzsbwE6koh2396d7m0+PxrdLdp5umSu6m5N23NGgD4 O//Cbo3P1BvrzExE7ytAfyvoRoGuVDO/F2vUap3NcJq25ck/XgA8N84Z3FA6QW06y/zQcZmjO7Mq fNBjGzRtGbMffjzaFKdSgydLOi6FskJ7wYzYgSRXyjFPVBSprDIVAZw2zm7xYXR4zFVHmU587fGR XGZet3O2jv07aiHpYB2czJQWWT/dcWCqnqY8spNEPJXZ6Ml//qR4bVCcki7fRSKbUlQGF3pGIEdi C6v7R7ISowctbFo6DDaKqemIu3cebexhnLFIA5O4ig9KLWDdp7NFr3LRGh63ku6ufR41dF2YegmH cqNJED+DbdQfWU+8/UySFSreFH+M02c+tIJBF+nBJqYcp5Lu/bUxeN+WwGfHDxTyU7xNOvlMWk6L rp9d4zPpR/YZJf21aD5cYgNeAnpIzhPDW038bPeFwTNWDSzhzB+uM0QLMjPEOM/28Avnbg/qilAU XcSwtttsGETUHFR/DSIC5YJXHu4+zwp/UI/LtjYZjA4KsZVrnWLCjVZxfjCb0nH65Q7ofELpcTJm C1Sb2eQ8Qm7zHO8q+iECdiFj6vppn7WLVZBjJxmieyTpOJt5x264W215L+jPDnLnB/VzRFrMr1oL jPV3yc/xViS+KMjFzlV5f0m/4TP1r7d/QD5V7BvVhB/3gscKC24LlfSauE+hNUyTKTjhMGU0v5aT 2XO1KDR5B4ZoiUKRR7k7JsT/1+Izk1KWiFNElvmfKcQ8LXqYXsnqZrqp1JW0M9xdSFLibDCIRV8i 2EvWHywVl+br5hrdfFUoiN++a0dkcioVP3VRmjG6t7Kov2QJnl4fmwUJj7MGqQw8ajLcHZlx/QKr H+x3aDiaD4rWIKSoIqzHfSHlMIqPja/xu7sO7IDMOBOohE00xXraa7k4Fq7238isigkpAWCndW/e cJABygTXxYzU5VlAiictmYnVCbO/O7G3ieLMD5H0AgWzo6jaXh+LkSDkP8JjEDkE+BOydS6iLzU5 1eLVct1lAMWfU5rHZTXGN8Eh2FVbwCvXBgi5GSkNRTkvPylvjsexoKrgWAZ8iqn7Ui0SfiKoJvjQ QPHgsCKgyad0gK2yptRRG/iogfY8+gGo1oCq1aXHd2a9virGhP0+/mrw26/9Jg628FIy5eQxpZ+u 2hTv/MAWIaPIfhcaBN3dknjlQQoCvJu8zqQtsUn0vArPT0iu4UBOIWSip66qnB9R3q4ePNS9hhXh yFlQtH7KA9ctZKkHA6ufeZUWpi6VGwjV75qJFzRpDlMwLTjHsyuj+LYIPEL4q5jFYS5rj03tcoA9 NANW2Eyc9R1rwG/pWKZUgbkBgcmMmRDrVmfZcdc917qyV13guomplsF19D2Gv9XtP4dFX81KtYwy ZrCABLKy/O+ycfD9nb5zo4sxaLbeZ+3FwkxQ4VMwWvMf/44BUuqtXC1p0Dk7J0wUvwRzFSnUg9QV G4+byObT7m2s6ca6nWbDX9TYLh/j+uy62SmrHNSdsnbw0DC9OKpvOGu1wEt6VYJLjvEozSwLGIeX zalwmAlTNAOoEZ9OMXylFWdK8ONcAXCBmI0EXF6UGo9kkTVMrasZ6eEycce1IY9sw/EgpT6o+9FK SJQ4NpmeJt0/yNdSkfpcA2TGiMPoAiJRPdCToZU9JJ6viHiVP31R9NwVNlOjtUIUSagtpev6viTu fnQgXH/4IpWJ6uobEswQAgJ1qUzcxSeEq3+2vpd3qPfqCqd6eIKNWyoCfY5k1Wlf3jgl0voRPeOT ZW5IVkOFcyVzEwpEE7FECtJ7f/p2Cavwv0td/BdnJPHb4TLQDvbaZY0lfbBZuT1VZwBybrm8w6ZH CeOZMTUAlZEcN7bJ6SCM2bWPVMyFQc/ILDjoXcDStOdTxeYsJG/ZhDnjUNpUg6XRGjmucb7gte1G hrFgEv9fOHcGX4n9nTE1UbpmbqU+EFVD9N35EcZIwU5szZCeIeE2KEkaK/5Vf1Mpdla1H8scW0Go eYOwfkVukc17p4iugnt9dLKXn1Vp3iLYqzfeAoDgBL369+M1z4pFCD7oS6hXd41BLdpvA7Va4j7y 8iFXI5KmCQFqlC4AjEIQO1Xl7AhrGzQIqXe2kqy+HoKDdovAMVE0+R02tuK95CR2+UwpcojFpFSz PqqiE8seFQhmNIu+VAkh8fowN2eafJ/eMMIzmy6iADH0MpMnkvhZ2NxsMqmC5NpCyh3CTrOgMd89 pWO0j0fK8h0YSpj2M8AlWHmE5GmHUCPMfNJEqR8fT8RYBhQn83w108EHcJQVonAoX7PlwA1gjcsv 2Ll1f+JZQjXW9PKbrzdeTPJvM4pyg3zC4P6DRvBzs6Ctqbb2ZYRZaIm7MJtilo4tKylZviu7nZHn ZpwbXVIVwgnViLv1PC2Yo5pji/P/6QGsGiXWgRFV8b6u4al0wkLMylr0ulf3dAuYL8RFDjmyu5N2 eRYP886i4nYbhcYjfrBRmnBqWhe4oA8u6PSXCxMwwwwVeZT/yU9zzeu92uWDmQ/lJ6h0flk3wPML l2pfLuDmtUZsVlCeqtCxzoJZ6H0zKf/FLySIjxN0D3j0knDUH1Gv8i8ddpSODO+uzjNswBtxgs8V JRix+KtxjTpXe2H4eEel3HCEebw/FSScRBcBeXe9W1urPivbxdlZtAO86HjLNbWNrMVKPQJpaENI UQAW4jkRzgSnpet4Gwzj2po4gAsljKUnEE4HjYvcgqGSq51Rcft/qa1rxGO1/+ccnTO1HZVroUPZ UYqlmTqteQLsVlCQyVFPpbTWW1INCns4aFvYzjVeOhXfIi9irH9DPjKrzX/gFm3xWXYSvhvabVpW ADOcBV/8x+REZ5kE3toA36sQJPSA7SoNverWbwwNy9ZQnjnibmGGjGd0ep0ICTIOK/dir87wP1ws IQXz72CiDeiFJ4vQ5saiITrhi/hCRwHDvqjEWTvSLcvDEG05RGG2sE6itEjhmmdeoaVA1XQU0B/l KNtsUDBHXkirpCX1dKIFwkppMfoUiBcvk6LJQXrsOSSAIEJQunqRiQ8xPCfQGxqCJGlqs8zf+CWL srZTRyl/qOBjtngi9hpbMMXacAE+8t3F+4jgUAmLiSlph6m0D+8M67KP87igsE3t6xjsZ9mfiplR QC9dKvHFC2NWVRwSF3fyui8PK/fvc8v3mmCsLzmdZa1Qwx2M0rubVyspYj4bORFArL+zZQaJbiA5 f+zC4Rjj8PAPhbMBrVnNbD1MyFxxu6hZJk9VFoyoDVEYTqUe8pOXpG+PZn1pAAdzLz6BiRVC8xW7 lFkLMmm6tV744WNCm5Zk43tJ9dAf08azGmkkaiOfragyRdU4XAqe4NeF533CnI59MLU5SEr3VAg5 qkJWhfwpQtwIgmdD5JME16FA2ia5XekwKGvVFjPxh17XCu30KXrrdl87uYs7ajLX5KPvLi8tB9wB HoDq4iJfNnmkTT4BxbdH9ui9METcBwPqm9377+NVexvGOCibGO6uMtDs4BOHQlFxUmf1kwz3vHaF pslrj/7UaFRphQteNAVAfdq4DfOBlCb0noETESD7bRubFrZOh/hYrcQuoIk57UUipwmM623GcgKl 0uORwKsHROBjaNKbfpNIX03rD7eH7TYwSdFmrtowAfOnNmD8AyZ/8RsU51cQuvVcs6t4yEGmf2YP JxdM35PCM+Hvl2tl+rlhZNwM0WOSrvXYHlNC8WvbAFzWz/tR0XuuckDMI/I7h/LB9KG3chBLcDEF pynLOns6PWxe6fVeicXbbA3ATYRNS2JV4g3Qhlcm8MKypz9z7CM0ScVOXRPJohFbfTtr5GOhrvvD WjtspAvv7USWqWMU1e0lYCe+AvXWxZhu5ryLKTeBOOR2qTaAnAF1Xt9gQGGCL7LGXPPE5EugU1IF 0wQzNXL8HY93tBHD6a/e9GaYNMy8rDU7V8tDGlP1KrDxv0ZlVnp4pTCZfoPKaUDHCXHZjESVa6fs J6SRJfKYTvaqA0BKsgT2QvP1pqBkTFS7yjcGwRn6uXb4UogJPIS+bw3laLxiUqt9YPw/DTPV91ed D34lS9sif7JOVwJru7ESwAoSk+LpBZgiJUSkLvx/+LKPQ0VXptGI7dnZIFo/XtC83xQTVnnmmO4v YWKMJIJ7SEU+X96g/uqfpJAilWpUigL/4sh98XUxXOZgdJg15TpikOz71Cdu2B8h1uSx3M8zc8cZ 8OIgG/tECrOJGeMsT0nPXvFAHrcv5mbe+fCttEqtg8evBHnlyFiDictjQ4wgdbpJfRCMlbvYi9NY +s6XjzOedjQIoh0gcufvn0pUngiPYO7mt77VcSX9iN8ob8IBsCcOjbQ0HqKttPja/CY9sZ0wGDpJ GFE1PxxTBpYybxnERVEuZnL3tc9Y+Wfu15XvbwzxWfcMRG+Au990lc3fMWEY9wQPETrEOupGSkyd QdAB+pyqU8PxF/LNEc6IkDrBVP82ZXGS5IVAPO0jhtUsdtkrZR/N3LChcOXrzkepyX5wRllXDTY+ T3v9sEx23SwI10lNYMqc4+tUyhnXiJt71Vi6zD3lcW+jXyxhFS95+UIqDqaDuvn73r2KbtaBau8A FPzRXm0XTukLIRuV2f/GqU4pBPytz9KgMEKmU90kgpwN+pzOJQ06Rph2GdWD/VGG1elBcMBt6Z4F 8cAkw510kZhGvjzuYR6MbTR25fEXFnRp57veuvO+WbGV5qA6z9LkvNX+oXEacwzLt98RbafPe1Pu mS9/ZoSvf2ZNqOhqVJNmVURrr5r9t3VCvQ+exprwAXEPbx1uqNZN0K4ozAIxQ1tvbd5l7I5AksWH 1W49HMy4AU3BCY0Vz1SvYBV/O86UtcF1mfD5u/TONX2J0qHT6ODi6AB0Tq8OsP6ZUDxIsVATC73s 0BR6SXGOExleOMR5Uu7Tcy+fEI0rga9PSzMfAbu76p4KzxVSDO3Q/m8HBzXJItgbo8NeMNxZWGsL CgnldKyjReODrnkMzWWD/0eKtG9G5oMQoqnUbl2+QY4pOEaQSoFU83MSs1k91u0VQ4XERaCNtXEa 7DP6/5qX4R4Qz1xBPtWhi9nZnNHe60plaJqgC0ldw0uy23hlMrZF2WnzFtO6C6kv1psdjEOAj7LL mw4SoUEosXtOFNZ2fnq5MX3SJFHMCBai/gHtivivsw1d3HEjMdqyqwl+gn5L3HxAuMMYoK0iKW7O ENlvn87Ku55jVSbFhMpMy8mzsn8XR1b4BC8v/3x+MJnTW2uQ6y4mAxKExL3+o0pqKCgOT2W1a0LU eUNiMl3+aW5LcsetDJPQrQ3HVvNB46HW+go2BsfCJpONOBEPz1BApt5qhBDpq3H8mOVf8oQVkNT7 aqF5oKaY8d0TCNa3tI/M4cHm0OePgk69c1K8ejLp7yBcegZ/gcDX6zQV1TD4q23DYVDJS1kW5dlZ 2QoO/oFOzsd3joD7JcbnwOhdaemvPZjZYy7WOfZoKB7Dn2HJ8CfCWH7DSn1BF1UWsiqtQB+vmV/s PquXYdzowCWmfuYExQVKdur9sjJj2oJZNg8ZnqZFZVHNc2mAYYKfu6iFfDqdnwkthTv1JEWF+GXw G5ZhebjCoG4Fc4YpVob6qLxxibUqvLmF7HIGdUsAdoR/mONufZY8uOjvID6eOCCe2NzPbJ8vajmX 8CaR3oETpvDvc+xJqfTYt9jpcbDRDr95IPEF2aA/DaBX7UbwQ0BYhuQ4+7Xl7aniHMxquJ9fr4u0 pN2hE5y7YpiogEeCipV9kck/x/3Pf0VpZlRzfnpZphJitw8fZSM9n+0asT5x/MD1GE7baCUMUnQh VQu8CFCv6JTWlykinEr03RcxALbQbGNSVZD/KCHieSJO7S0isLplQJM+xweluDiwA4KEeAkPKLyi QZKeOCCHWmN/SwB8GLQO50LaIFLYUxoRTHhrW0eAiyBwCjQNPBegjrX1u/BP+hfenZqRJTc/RF8i qdQeijVD6so/WNOSSF+1HOsLvreEI98E4gMYEt473ECYYD/pODsBPdR7h0CjZEgVm2bRZwvBtbiU SgHyMLUSZFVDw7v6QONW+LJsmGk4hsKRRwU0OCUBqyYYt6vXxi0WaFtFguaCD8X8YVGU/wksnDSW 4oFbN+evKmFyXXQhoz+G/L3xPlzQgoJu7VSkGBL3po/HDTOSBrqO0+Ur+0IfTQPPv6IbwyBx0JS8 0Gs28yQUdq1ZivpcFMgEusdxxMlQnu6l49qg4bpgQPc/d0blEtFSPBDaF+wrHl97sxem2FewdqDn QOkZ7e1cgmbVJC6QF5hY1LbGL22MgIN0VaqRWOhsZDjs8HKK9TQcMbqX0RC1JaGdXRffmgPOKJuK T9YR5ArUaMGrYGWm8NM9a4pXuf/AiPLgYVsojIYQe58SEKhiZnOJb8NPmaeSxWuTfmzfd3JKVGKM MsmZBd4yxqCHtRBQz2vQYAhipKK5x7QIE/nDhFHiiTJacDYVk+UDZk9q2EniKYRq/Qqcay/P3wK2 upJHu7mnMalchYl40WeSnyhwn3ZXZr3dZ0efRtrMz2Gxz4hnSMx+fKoJRIfwfdiQAd2jGCPSQ+/8 V2IimApZ71SOunl3+tc81CEZQvqJksDggnZNufyyfdGpCCZA7bmVqXq7XbxOE6tMoteh4SIxFlq5 EQM26CQgOkkimezLAbWiSAYLJ0x481sQNoq7pXUV4eE/yHKji8jKfROd2n/vemQxKeWmpwqNU6HD V/s0tMu7nXTCcf5wGc2oXubg2gfIhMAbm1xUfqVeAf0ia2qEfEtTxJ34n4EfmGSGZA4uNLz+FnFw lEXocF6eKTnL3E3zICyR/Vhg302ok4u1sHrTh+m/QK/bg8tKg1hKjEDpMYJwu79yu+KvYRzY4bxS 0X8VRohRwTgsuqsrcOcz8JD2RU5CfqFbzwkObuJDN/3zG30l9ScIJetAw5/KKjmkBNKbD0S3BQ7e /VLSm/eU2wX2rmNCoRfQiQhvl1YBpkCVKV396OCocrmAVJfe63TD3PSlQR90jyEaaV4NkQJGR1Y0 LV1hVvEOFRIaAAMNNXRmptwzzoGm3BlHI/1CMXqcD8SmVUxA2HQpDuRNAVTPUqeTiQyUZHfnqCJv LawBgyfGrhW+Pm0ssMeDGvrv/hQd0N4GjSc+fG07AGDU2QInZWHWkZHhWk9qBBtzucvcQleb9tA1 TfDUv7dFqQPOxeJ5dKapFf6ekJBTHvRWDl8ZXH3x4f5owLxq3bqDIl4tXPj84HyYFYAmPJf+h9Oa u3V3hhvjfUpetLUskuD9nqCO+vPaAJwBegvn6frZx2lO8IurDsfMN4NM3tn2HdX0t3YVb19Ivcnq Glwlhg5p/Ej9XoMJgxcPQGGUVn5eA1X+FHLLvd22eVBABek0/JwAkz0M6tGh0oJldqr3380JXqRp iNKe7k0pWOCyVoa//HVFFg3uq8NZZ5hPyZsrtZlVOyabm/g/HhdvwIQ/qZcLZ0xKXDeBlCieFNf3 F5kDSwmORTyctEbc+q900xtbTGc9ekicxSIwE+BmIS+7JmGH8jxgohtK2n+uBGovEORlRsxXV6cW ujy+oA12TJ6fXmEeSidVQTkK6Uz4mt4RA4CP0gghW9sf/EMFun9/9Pe5XkFIsZI/klD7IcX33tNW izeN6N9U1+4Spc1UmwT8e7Ur5V3wLgq5eMjuMPhDvUzPat2i/xOJDmKnXMk27L19W/wmJPl0CuVV nP+mfJEzMZFyknKZOjUxjKe3H7UwAoNfP9ZKRpmEcQeg9AeUqEa1uak918Zecmycu3cEpUJjJM9Y 0JZkVsVLvihiwemfAg2S7e38FsDa7VL58MX9aB0A8JqgWxWVpR1PKLUz4+tMnGDnqbyKXY79GVqx Y91n+etRb2sSSHJqSfl9ih5dYEqTy2NEKSkUgun4s9z2J3Dj3PtRf9Z2RH7SsAG4Jm5E7TQppxjC n+mBklLoqDxr3NO1VifxEWaN9KBm7hCe4IqhiOkG8HefXmRrOtdgu/ayDl6F+cLGB5mOe7WoWCz6 DPmlD4fut0I79e5RTZBumUCCb8VfTQfwSsWFKT2roNOOiRrWYhNypZ53Y2PK0qeAFGvafo/NCQd4 AEZ+uycFTP29W81+s3bbUN5U9obpPhg1EjdoPNHOkDhCnjjSPRZj6IWxbkKhdC9lrCIDylditU7c 3ocsHYDOFP9mRuAp8HLJkSv8PR1gD6CZdSRW50raie9yKOqUhvTC7rPCgTdT6bCxV0ISGsCAAma6 NkD94qgm37HCbBekdi31zPcjvYfaZ5hK5+N3bE4z48LYoYHrWlyNJPyxfxr/9fYH5PvmFmPTwWIU 7MEQEdUQlH+zSRieEbxyJfoGVyQfVH7gQkDWorMzQnWtxurJbIQLjdgOF2g935ItqTWqW5YZ8X9J hWyopwYZ1wHiSuS3RYhBuWLs77XwX/a0RtZQs2W6EqoLt8A5fj5XNYPAk/WfmMvoD9/qgMCzT6Sx m2DrD6wOIxrcMreCSYFvSQWSobYQs8WPMJShCPQpOgTpsmZ5AgGsIPQoC8d2WFXd/z5ef0/3bVhC N/ENepIELR2khWtRg3VIUq28Tk//+vIOm7P/KXMXtwwQLZPDsshQN2ohacrOM93oP68P9Pkmpnhl CAILek0Lhc1HghuDiAIVlJcIfML9omNvadra1pB2PsnjkAxhgZ46CRVH3xjXfQsP70Ae6bb0z8OA a9hQx9RRPYOVks1x3aHoWnEzsfYbf2dmptFtqo7SJ2iyToGsRrRaR84CdC+C93h6JZG5I6Rh0YTs eDoMjXvKZPN9o8OckoEMAQn5N1KefdFt3UZAi4mEJ0zyRJ5R98qcMqbE4OJJjHbH32gPK7uWiORy vMFraLxyFwcntkA8aKFsaUkYcdrwszIgTbGDHlslxLvRrEx3qECEzni0lYylJM89wFE9KjyJ6l+g c16d/ZyfO4/vH/wJ805GGCigR3C9oJAxZ/aEpt6G5kaTF9vRkn6/6ELfHlj2chzxqQZXXU3B+F/R a/57u6XP7UaOB+8Ykm47S27fFiYA5cQfwsloXDgJwgOYlrLxEc5YsB7dKE5gkm0Mvch4wPDxm37y N7q9+Gx53HAIor2u/H74F1bZkkEiMrbQeMhrQ3VxLxS6CDWvTEkqhvW7iXk+lupGjzVnsy1E+GeS xrkSThj61+kZRcQaVoXWdN/CVNhuZapQiSYOFZxiarwZMG2WJvGn+6diFk5za3nWyRuLD4R4ytZD UOmjAD5n0R5IkNGe6BzqvVwycI+hQ7uymI4gqoKOfVFnv/oXE+G7IXPDBBWDW2d4R66iLfQeYxuw IsLRWLweXqWMBXycoEnVoUBn8DASYkYB3y5fKLLEo6fck5D1l6kSZ3yTdDUc/P9bOeQfrgIc07sU W7tgkU6PBt84BIBSIoRNRXJXG6VudHHY0BAxTIEKTgsc9hfHdHhfMC6bL0i4QdXB6WEHK/PoScm0 fifHieH0fy+a3be3OgiR//MI8Idt80kviKfz3SEtCqJZ0JmmlaBdM8TJkvoxFwtVsvoVgbYailsL PMxl7Fn9BRIQp4/eCVIm0Y7v1gtX3XbAjQ/h4b1KHNWWm0e1l9Y/zM3mPdlFVW7TLrWs0kK0yPdC VcHrHN1XmmpZ6bnyoQb5D+sHbgL3VQ5mLQ7W3QenCA1a52ZkH6ty5/MqlX9nP/DLPndED5lxYuFY jFHhQgB9V3ttRbhtsxBPdBjoN5ciDjmaU4wbXclUWKxm4J9eGFmV5hdsSv+PmrFubgZXYmoa5vj2 iRkT9mlNvQbeXVz8c2fdwzq3T3Zxg6dpyVKgH9mu4eLtEUgVfFcBhHxD93vro4qVNb8ZZd0JL2Cl POgLivUXbfQI8kcEcvyJPzhcvBiokjUGADvUJWljiajqFzPhzWAE4I6NPC8T3gpDEIJEC3HhtHQU zmv17gEl+Wnta7G6gM5Nz78CZ2MsYQSxw8xiyKEjlT8jCwSzU3QzPI532dLJlGQOekzi3yr7SNkF WfGxcMPxzRSqvkITvzpScpROrD0KkSKEZyZm5X96xLoozOTWlAQxYKYMOuOeqFITfkN1ECty0raE RFfNg6q+GjnapW+AeJn/L8XCB6/wE/G+O+4sLKIwstInHEurM/0gfRWVKzx4Kex9kvPXgOIzUEv8 xy/dFh3CTo7h8eU9qEKRDk0qKF0AstuyCUc3BJLAfPw8cA/3n6eRuz5m5GyAemi4PhvN6nkl8zfB EIfqxhSwvy2X5IxCKvSlDFU7T8WYQG9eIW3xoccYgvMLU6jdYK0h76y6UARHCLaJnzcSlf8l4+3D KZviJDnK/kHbs+mcAnNcO3ufJEIdZzvaDnYmqXTIWLetKHAEtwBqkpHjD+/LvpkAi9Rg3CqiPfrm HD8fb4YcBB93ofAMEPW/gpLnZeZ+uM2emSWRTqH+d9JNvTgyDiQ7XiZJAmgcbV5+9NzYDUYezEsF 7+99dGfJgWOI6lSuVeUudoNweO7ra5jEjMhmbG3X2VlEm6O7Qkg35npwyL4N2g/VQ3zqXOz9cijL qTIkjq7TCH6AjqxPB82O6oyKNer3ib6gTX3P4wk/zmJpC3qoKZZBkla8oswpoVFbc+xQjWXvvYBk vgIorFmA7rgsiIDMsVRLBRF3cQ19zQU6+nQOV+/fwPofWCDZ4PlK9KrYRaUBkbMGePGPPSVpfJbU p9POVRcONE3GWvsLBc07DkgtPl4jF5C3zaUwSbEBU8s86gQ03QqUXaqJReC4o66pShtPr9byPYN6 eRBhe6EmflEH3PTDI1qHrW0SFn/rSA5Kf2QMydkqQDKLDlSHJF3vtDFsIILaJRM2LVMOjMDJXfWn YSr0B2Nq0zFLjFJ2vrtYIMcnlQJX01KQasaz3AEXDkmlTZRkQffDcd195iShaRRy7PKrpytds1pF 7YH1L+G8NN+wXRTQyBMZvMAFWTimULZV0/9ZjF+LynjuD3rugfRB9zmTTPMA6ij8RUQ6dAe/h2aG pIUi/21K3yHC0ROkxTnPrqp/qhvJm3JQ78vzu1U27Ob4qOCOoTDDC1JAzUz7hWkKZP/VgXEXAaeT X/lxF2xRcdHAVMlAFjkF7BptRdgQ4pXSYTIS9ZpLQXfSNUyCJtdo9YPqtlIlESrqtxyT2GvAt3gO rq/FzF2WaSVg5HyYQY3ZbeYpUgmPXkvtvSjA7iTFisswXrlDJy4qOENBKLgtmvzK3qOlQBlgu4fU eDEAd7u45GrYmF8vrNrqTyhPLOHetTWC3Cc46d+1nBQGNINR+vDOuMCNac2L6c80X36SzUTFB9+6 ZZ9HZNk+0Ud4IWL8BUvgy0SbFroSEMEAsBkxZYSJh+BLGMMOMMcknsCNprKdfved1K+PSgL0bj2H zg3XvsGrQlDf4eJqp7W7ucDoXnGhaa15iAugqbioqGB96v7vFnJZo6PrdNINxoFr7XNDcvFeDhvO BO9T9TXbIKntFA9LVzbFRe6MbJJGLVf/2HZh1eVCpbVXl65jgJyE+ptlta+Ow23Bbe24ZfewgF9H Q5cbMNS5kfAzW8/r95/AeX6PKW7XTrzzsTjxAXDVLFT5cg6Bl7HXT8AE4UmS0XO7S4XEVPczjpUx 7CdbagNx/7uWDcqeNV/b7abtQI5MSt1C8VKn2lGTEyaQTeicIERo2WYmhySRRyV760FKIuMJ1qyG 5ivd46Ip0opWI9PQbYX3uNkLFexGWuDcstm+hNW8SkLLE03nO4Jb4mPTxSk22FsZfGIK8aO9DAb+ Jx+ZgamTsxdGOiF2h61Ju9rIoHomUKHDtJB61oICnIxkicrpFufSe6/iz15LUnDI1CprOkx3Omcv tIY1CbbqeLvgO0mvKvjgatxLK5wbGppGcwNfxrTpBDQ7pVGAYpZIvJckQjFwM+VoS/lUNajLmO7C eWE5GL3aF3DTkJcvjahyt6e8Zrwfs4/ENOI75poRvTege2Dp5hEqq1Y/UV+/IKkpf09XBbGYUmeT pRKKadiE0mUGIXezGm3h1kNWr+JW6qJnOWC4e0hqNPs4DFlYJzmdlYjjyIvbAnRsQlvbuMUdKpUO sa6xgl9JlYI0ESBUc5khzdBB8JswigclADFUtL1AhLoIlCBIiG0NP/ffH3O/VDXoMcuxJETbIonT WwY6wK3iIcKX+udT73FDmpoy4cLBFZnOcHbAbjAALKUqlZ+kzdoMj2eo0KGWhhPhCFABbHRiQTHS 1kREgT3Bw00mFF/jZ85I5MvIZEVcqoHRHoShEOHYEZ68pCg/+kzbrTdZd1+DyMw+Q6NU+pw2/Ll2 PNZzvgZfZdU/vw91SJtcwIzgj7gw0NnosFKV2PGwCzKjrbKs+kcFn7Xk2X7i/sB0CVBGPWr3Begp iuoRVUEYTneul9/AwOFGWZ5dgpb7wtjlWxat3BL2AzijX5+vQVs4yyB7Z4Oe5KLiP6Mv7t3OAKKw i1vy7hknaTHGrbiXVPRKNzpfDwZerllyRJccd4sX3TGZgFT0mh/oehIczxP9SD7siYCnuY5tB3cL hR8kObWAxKazdBvEEz7CX8SrafIk1LGIfaz4q3mWmgDyH29ED4YvZB069CtV7RIQPLbeP6RnSMCx op4WrXP7BuOhKYkqKQhCvsntdnKD9eGGG23HKTpzYBUXlpJ+0zxfX2POOKM+G7BOItARkCDAAR5g GxQWOh5bwyYIxg9D4+W5ibi1DY83aHq8PhkX5h8u48z/AVxS+MlfdKa2wzSUbYfT32B2Jujj2U2X ZyWif3Z7cyh4wBOoF0pPWZ0y/sZbKbvXEYgHVqhvKSYbIVxfMmkmKiKLPkfTygTo4R+SKQSFeplL yomPwS3ndxtTdr0lO/ZtPIo8Y/yXU8fNfwCAUOFelIn1ExC+a8lozp7Sq6jRRlD8GRYgr2NkcEha ARwNOGq+AArNCdLLexeZy9JdudSjK13dd0QVtEzln2fZWc3dVHGB/6QaKyki6Kgmad6t0cvqAysJ i67Qa3hFIpSrOEhqmQl29EhAEAn/Y2Imbm0FVW6vqFqI7RJBdWpDgBVD2vwV6FSW5qdplq45OJrg /uOgJNRMtpdzgS8jJkivzoMYMf2rLTOWbZBFx5m8CWiOpTJLhX0iUqNE2mdtdqQBZ4XRWbfjIMch hasFiYOPslfa6ue8YRPIO9n6Jpy/B2sD5UWPMG3aAmC43c6n6z4uuDpN1x6hYLWzmVvuQVYQAFn7 1oOMlXyzqNS1jq/UJYePiX7LZb2LhxJqo6LUswlmgF9mLbUh4EFgHjCNSMfu/eS8/dyo4LuAIgjP NhJ0H0NGVNhyipSBE3XvrUaNBnL45BwRMjyPfoWVV5YZNMTavk/EO625ODThx4+oYNHBKo4XCye0 iZKtx48QtR6UUVeQZjmmK26+ztcIsvIu3PUdT536l7mTGHe/ReqZWrVD2lAQepY2ZOIow9MY2tXL DcH9HDcaMqyBRaxjOcHurZ22NGzEWL2eDzdIwuUcVIP+vMNYbLG+8cdGYDx83FDbZ79M7Q3FWzOW WVxnmoXIHA7JqYzortWw0mvRmeQOqP0EkdxwCsNANGWnZwCeHO3/JF8RtT3ltxxbS/jptNpvqxqe q3rM6Hikelpfid4RbiyI9y+HR9j8msOz2fQZSgxUJCfF2ShbhSDYrln3FYTiYNJHC7dt7e/lYSNs XHNCiOcedo2eNQKqduvFeOHDBnh9rwovgdXznAib5wy2Ck3ABkw//gyuGwn+oWUnzYXqxNg6MaQt 8P+nAIJc4HOYt7UXL2jvjwNX1Ru7NbEFc2cEzmRio7FvYgT16HSlHTT1pBvMjfHVyRqpJ7wYJnLu XyWtO91P/J8KfFG0thS0csADkHISo4+jAME3yWe5uFXbVKCvU4Jt96UD2GkrkZyzl2O+JzTD/a/Z ItSMqRgNzgA2X+1YiOCDV31xkD3tXLeVl/hSUzMrRx2I6S0nnEsQySp7//Y9+1K+GSOYZ3UBP+1e AITwGvv+aTjsxqiaJF3QyG6tFL2FgTb07C0ASg86g+faQn7Vfab9hiLuwTuys+Bq64DPuTq8Y+By yRP+/cD27XIgD/Bvxxa/oaj8mVDweyOO5yFjGPCpdmYUJ6h9nl0qZqJaoEFHgRsWbEHDsSQgzCaX zS7EfmIlCsFCOR17dS9VI3Zs8UdE9JtaEgQyr2pABuUTWrZRXDMRfzloNmrZrJqTvWdRrAjGK/Uv 9/6py3v94cg6dRWhHagm4x0Ig+DV1psAU3Fpptq1wjpib1E+wMUqZShXX53kT1amCipLwQk5/Jt2 yUeTEfnpSSRz/cxCZTdENo59Jjd95YyITBUvAKZpWPFbisD5LKfplGCQZ8luSKs7JegYoCw4mPNY 4s1GLMtR9e+5bcuvfFT7fbTCmVbNFoqNkYTBChepuHmHpXE0bu/ua5gt5SMQkJyy0zcfW4XoKkFy 2hXtf0cQbowg95eb2x+x74TGuAc7qGFmXsPaiML8vDf9OUak9P46CXU7P2xx7FD/UaAz2pn/7AfS V9JzHD5ZshIrshTZJteK595K/+rSGgK7z8Pd8MeahWOSNDjdANPoh4Kh4AlNFnWNfkqAdZBqC/P1 QuODBpjOQ8trgl8kiobBW43H/BtcA7iBSN81mD2GSC5NLFIEJ6oLZnOFhmvlnnEULJ9SzYGxyAay jep9+VPDDk7KCQ4LO+dnxIJTyAhk6vOLsXjbP+aimNuOCG4u9Fdh32+7y2QIIPZiDe+imiKqjiJE SVGChcUdn8nbWFjQFXNp24zAVtHQKtMn5rrR+sSXTaBs32ZHihqtMQhJ7xTC9mnWMSFo1hyoC1rG QPVITIBfH+3qQwsLi6f+tIvlH06Ofqw3oi0HPGaWhArDPWtwzRenaDFGETWdwJdOQ3LSuKhhS1Eq VIDfoLRhVzTU4sf2TP6lng/JppETKznWadUexI7KUNBS51mthadOHO93vYXFEjeUxdg/G3LavFQQ OjPnP5I+XFAk3IKOBHDQidpvpGKaBKrDsv02qktEuy4ejVPEuPxMml6hHrqqQddeuc+NDk+H6S9P n3Hu5zYe/js19CVZXJbYgYyz0rayV83rBFW9xSHcvEq08NMh5YF4hpDSzSX5Kmi6Ma1dIKwn9Fgp YkTrxCSk47BwkFVUrO3gldCIMa3td2IQ/cVqVVzVtNrAJHJJBtZWILWmtqLM18x4I+qBcjqw4JY3 47ENkS/fmfVDGL5NG4NY8LYP0FEIuX6G1Acca2HPyYzGl4LRMsHEXXwTb/xdEsnAgpYtDSdohIV/ lLI0GsBsTAe3sr81+4FY7lZHNyCaysgCmJQuuNZogo/PBf5b4QDR/juBI0ZtzAolEUiPlGyjWXEl 57JunZ/+eyGPGUjpV8Zj7l3kuVeD0SmN8WvQ8GNOzuDH70z0rEjd8zs5c/yoH3WgsG0zqQery7Er +4MJoFPAnu2JlJo7xNMryPNR6f3alOo3Kg689UzYNQcCas9SYGC0FCSZ4iy8Ku6pTdFR9sgDo8Zx rU+i0fXpRfHTXnAafvQwYpAcu3lF9cSScModChcZP3gfwRAmCzIgCTBCfFM4w3ywyVdSTBh0Fa7w EzvOREFb4yacoMnP+jzQNlqi5v6lsr8eoiUVjIV858MImK6KoJbFe9AGPMWTQiJrTdpewyxRDg4U NhxI1l8cr9lCJqYVPZS7e9XSZOEg6TtQpMZHkIexUsT/KqONyB+9/ghu3Up+j9lg1oTD+2poVe0X xOmShIaWyjdjJC756TzQznH+YarDQh2DaW1a/3tpa67IuoLgO0czqYdrMrRXK9LRMFa3u7IQXz3O z8Pk9rU7D6OSRwV8wrQvXAfzIMDwdrREhQE2usDTCYmI77hq30KvgTA89g/Hnn7wk/oo1i3SZLoG L0yyRanjvkoI1hkfcdSFyH69bz5jN9A0R49tl+DLkE6Up9FDh7ezkrCrvS9vTvviwJfwCMJOMAZ5 Gt68NNZeReyHNVhV5nrxRvMgkmcwIyRm2MdGrHr7azSNlvNBby9fUx3cUUZwGwAeUl7wH4VxDG4Z jHJmFnxN8IsPuSwCBOzran2vmG31lNa0EiQN96vBJdzwhE/s0UPV+dKQqznIVVZwDqGttVJcyoCK UR/dw8hJ9BBxnBWcW1OtPpMSopnQFYCGPN6hFBJo8pb2W66S1lLaCCyIJBkJSophS9bX/xkyV2Zx jbGVBkHBgfF6uzpWpXw0jT650hs2kH9BpPPTv/1HLmNTg/+ipuNJC/tBnHnHdc0pMXW6bFa5ZbeO k6OLwGKTWC/yX0s1T4vPV/YDvL6FhYVjj3Np1ioAx9ZCX4wMl4w+fZBzPb53c1hzUSq1lFeI6POS nqtWhx+OwaBTD883xX7+ofRF+S7J4KttWOID5ed1r8K9Kpvlim05rjp2rxWLirg3etOgxRRAfiGO a2FhUtnZdnExhPB43BiIqKLgPF9+ga8ZSj9AL5jmy+s5LWRIyXUypMgDn7gvoh4vbFEtvB8fj7KJ y1fyaELf+lUV1NihJ+Noqaswja/VRXnuh5Q5iQDIi+yldgkY9so2oWsUmcjGmRwzxVbOImK6bNHv LW2sqtREIXqm74B2wh5BTrRW3c4jX+QNqrUni3XMdLtezaqzWAUPsggsvcehq7VX/oC8uzcoo+yx C3CIiKjPuRNYTxEjOovHn6NJ9G8MvaobM6SVraSk/fluZMrCLcwk7gfGj2WidDBkqH1vd8gXUfZD gqFLmhoVK5MVnIN+t1Hd8KHC/E3IBFKjsVZ37PofypdmbWSna/B7Q6NAd+9zxHpLsu3h2JicJQlS M+PCqD00iwcizIGQqZK7ejPR696Re/t+4eOkL0S7orWll3T5QkWJoShyZSRiAl52+0EnfcdUVCIc HMmGIM2nqK7iR0jpUK904csfvqQlcXVnTSI9go5Lp5RSnrlU14Teb/yln1bkFuLx5sKFBPu42vu1 gteUMzsfT8k51on8wB3ezAF1uboJo728rQJgEZiC2y/nNMrhleGHNZPa3N9/DN/PiliABILipVkp sos3Jistoh2rtH4+drMmBEjT6Z1zfocPpI7lOIFrTeel8rC4s4P4sVcwonDxXmRoKRuANklz9MkA T4FWuV0ykXM5wb3WQExiAMAhP8Z8Q86qArNYKIacxoq5Np/gkjNrgsSPz7vSrsW3mh2PyjmPGHOT uQ5LC8ru7MeCr8Edi0mups4BEqGNdjTQ+ZkKbjUQQs+S86+0xGxMnQtDjkkAMlH+E1TkApnXRKWN IDTLVpMLZPaD6D6zdA9EVv2AJ2gli5fA7pgjRclxR4MNarCfJqKe8vK9i+WQdrSOncwRWynUljjx ia8vu2Hn9+WjuMhg8VYCFm6DgG06plYQT1dMUAUCh/spGXvLLV9TpvCYBFKvV7JBi9pU+4TeZmPH tNRdfDE7lAQUNzkulHPqhDXbLU/BQi5aWkr5u65IdGxIBnRrcNBVmJMp0lfaqm7mpwoeNrlb9cp4 vzQYYXoJ/+423oXBerXCLbVEqBUfafu+30eKkX6KpwbgR93CITlJBcxHlbRMadSn4FPzN5gMiqML wUr2fi3guzO1YXhDQpo0B8KFR5or89OxX9DHRCLsAGmQ1c7lf2t33iKdfEB0W6zK34scw6/Hw+yq Nq8SEAzKpU33w1mhr72IEQkIzumaQdJwodvyMXwK8yY3bmP71rBoSbeSYpOwcqPUyfzuVhcKaOWb XsTxYfH6UwHlNIUTWHYkcU8lA8ZULJh/2pBdwCdL6DkkUPtwoDVHXA+dX1FeF4F6lPt3UicrcWAJ vDAESvlgO147UeDF9YTe4/cBJEH2xJL+yJVp/SgLutJIv9IVnM0ifJ7Z4haWahHbpevych3tPZZr hdG9oEYwUIT1cm2onD+torMwtKPzzI/mgjD3owRkwjjjroU0pdgPOJSrYptb1w7/IBeKHl7xwENH RJRBW0KayFDBMofK5xYySZKfvtDL1AROpY8T3UnCbGfjpmkm7ITw7eWrN1MqTFhVg6YwVgodTEdf YkgU8/oLXhGO2QpeFXFboZuAwdxQpT0SBa459x4CU+1u7/Y9uA2ezga4X0iG9+axPmU1cApqOwgN xQ1VJLGHkVhVkeMpWN6piiTxjHu+yRUTGwbOes0cKsCAY+YloFYAuUSO81UWdsMCZ/KDC+3UYapO QwYT65fy4z9o3pAG+Ozwofpz7XFA7ZKKBzSDI0HirTB+25fFv7EnSd/hK3FC2UvN6uZUyU6ciNAD HlLI3nOqwuz5TjHmQvCJprdqUdA2PfHIK+h8eZV5KUIQuQ97RVbSl52ywQRrjUOYxGz92wBRUOgJ KfoILw1YlwpIZ0oZ6R6GvAYv8/2JVxV156gdRyjJeGdLi5v5dbUdUuLnMTGoHXfXO8Ix5v/TxCyU MqJAlN8qhVXApgVK4E/jhm22YX8vRyv45GK63Ln3qFfEUe16QYff787Ka8OYCFxJvUykgxqx29Jv dU6JB8Tv7PniHyhgaM5qBQFuOzGRvqnALeAj2YAI+A18LQqHw7B4QcyJUgO4rN9su9/v/vEiooLH xOEK9+JDi9oPVBcBSWTJX/+bEyCxblnQGQ3wNWQSne2yxI259Uq0EE4O601aCmCAddeVEM+mDU6p 4iTBd+KKZh3PZ9ZAC0K8MNIRcGodhckCp7G4MkjFHq3PHUf8Ml/eLUaar9POEr4wSQhWVuvqxWn4 iL1dKxc1wy5WqejOQ1ixUuWQi7PkhkBquMZt4p4GxK+gWadZ9azlsTcUhQ62lhe91kNd0nGLajN5 AqUp7u0rkrPDVRaeEF+HhpqufMQQ46HUkJVcMxEzHrVK35nWEfhmH1geSn4+zwmHYv3nsT9OjsHI 6nS0a+0asuI2zey47dk/3xVIHmT7gOjxLPTj16Cm47Guusy8JHAVGGbo8hQRNKpzXMVcy9/UTKfI Qtgeu36urg8YRpCvTRW2crt1fgqJW1i1Gsjpd0SgHku36FM4LHp1Oq21sEJwXxz0M9MaBiSBZaa1 ke5Yz4LubAp8fEqerjXqx7k7mEdk24AlpQg1AYqM4aPioq3oppVY+1PEOjnvqsWdO5z821M82Dx+ iA7c3WdxAFpAMC0nq18eVjCkTMHL4JNOFHnP4QZucMyPqA2RFRABNESvI7+GCngOqdRbZBx4gVBk GYJGbBKadhK+bQU8zZBuAfajP9GOnhqMyspGfK+yyFYE1x/SRES2+6bdwAfCcLF2CPfNF5JqJVFY 1KcT21I4LK0jVgqp9BdwEGOfVczdQEL4MvLL6F8Xgtft39e+fOIadUeg9B19PrcEZYqS5LNSQTuo J5epbPZHHYgcCce+UsTuUb4u1g2u6Rz5+7tfvXV/AvpHv9FxUryBx/7ZYHmmhWkPM3f8BwCH2F1x 9nb4PQpGDmTKUD16yyjp+14IhXZW9l5bTbdIeX03GgfbNQHg6AqSn+HOl3DcfNAg1bJxHDbmprKV Gt9tuDhKxd/E1YW/IyaeFHeuwye0bQsU5q/siBDDJCek7wcM5Vy0jcvE6SB04PRDdLsDBR7PrSpm E3gGEYayccBCfH2AYHckZw40ZfD8uLhl2spojeir9H6C5UQwoaLxCFsZ9vBN/yVxUTZWpQQ0Pwcz yELeHDFS61yifhjAKM+rYRmqEKEFs2U4Jm6+wRv2PZY0abwBUmYDXSMctHHgrPIfUL5+dGYBEFw5 c3r3SzJTxZQXhdO16Bw+ud/fIb1yg+qiTSOMW6UN6nB0qV99qhezzpoX2unyBjXAz+0IKMZLoygC 6GEzBqydRx+wRTRNqJZEjYM8iHuZRyQ0DMVcnPa7BT3u+x2dFFlhwkFbcBaa+kAecaeKfB0j6jKk 4h2kfolPk17nqIBVFDhUAHp77E8lccg81EN+06dXq6YRphK6IFS7Y5vLiFFlt/NkeDgZb06+Lf9e 3duRoqQBC+F2Qyx3owp+x750Ad5FTGNKGZ4AzGQhzbVi7OV97WxdVwhzZbbIcOxyL8S0YaQ0rGEK WUDeUlBn2xTSJYoAkAer2MBbecSbajJTmavT3Vqnse37KzA0hi+SO4Fjx29WSWPArTJTe66v4E/7 wpJiAi2i63lqMIxCtfSgae1Vqv72bs/aKhbXx6HM/vfUUZWFlK9fpzKYI4sWC45wLQJ/31sooK4e sB7NMzYpE+nfqJ5FBEpt0mC5xfeBBU7SuX7r2aSkw7B2qW5HJXsEnbfvAmVGpNGQltXgfucdVbqS Icn/+2Zaz2fwZJo544IjlaZPDd78so+TNDxiib8B/M5DVArSVah6K3WatVVZusibnMDKDMy23F1O wJWbTNUZma0ZNYn+tq26/YWod1KoGoJZBmHbcxTM6heO8qTEnM4fk3VVSY6lmLkvvJksE6Zo2/fN XbbIAYpQZ6yyRVBbYk2S1y17mLpHE+eXTdrAm+7w/zlIVohwSzWE/H3Bc/dlsdFyd2uxM1IOGiRe h0geiHnjyTeZM91iq6LBQjUdA0HwrEKxlsCVTo2X9IftAxkNvVHrOOorlM3faxS1rDOxLSJMSpRn 94tqopu906RE28RyesO3L6DrXLn56UpT96PudNsfD9fgqW5VzlqrmYkRaf66oqWnoE8+JZEQ1y0T Yc5zFGzmafl8hrsk2o6MvCFUvnt4H8tBFslU2XauvZAzKZAts3j5D6+yrj3fXgUCbrvSKcjlXO5d tjSQxEqfKSnkWWS+UC7l6XOFbQjqnsRT+qeyDXqgoQv+JHi4wjVJHFLoPHMum/vi22f7RKhn4gSY qG5tCVfCPxgCpHd2W9Sj5ftbrMvXGM5nyAoLIgcpYkVKbGBuIE6yn2AIQVQ9LOhYEXsphVGUc+Qc 6+4BQlsngsd5Zq9B9fdukd8Cde+xsoGEe8OLRwW4uuiCMaIze6dATucXzMCAsMkZRUnUgfxJ38Ke MIvQb8ySfFipOolwqNWpKMaGUKyJSs/Dk/MYSt7qjXPqWtve8z7ozMSLpA7hZ3kTEdWE0K3VxPZx AemdPZu90Sqcu1tmS9BJ7wWYKiIPtiR+kLQOLMVhK3/Gs9wGMsg1Qx/fxzcaAmwUlvGh8S+97CHA fmMfQRfHI8uZfYq0AwKhbMlwsyilNKhJPEcYC3f48sD97YFk6mFZeOHFvR55Q5w3nfj33NAjmynf tmfIsBX9LRhR93RdVrJzqswsBdXHPK/yxCiIZod8GejB8gjeh1a142cnFIQblJZnQmuG1JdhmN0T ixeVK3dHg2zBWmJCiiXpvysqozD/LszkgxB6naXXeHtWHYsPMzGIgFHj1qf/fcJSBcBU3ddVVsEf 2DILmDL6S5ltYAVYD+qnbQPHpUS8SxdCDnniK0aPE5Fo5OTNp5siWVhvFQjCoLAVCUpFbPsJH6kk fyYr4lnt7XGGduILuReyh8HfRiCdqWsPaUqdh+WPIAshQGtDc8VvsZxwYzvE3XFhZjo/U4WP5Po3 VKuo52hDiJNTdJE5EKXZ3dtohufNxzDHGZwkZQchBslJCXHpGOuu1vWWiwP3w9L6IrVknQq2W8Hh Wt6lbcIc2/a1B+GdfjgllKymnsTuj8/+pvWnanHBI2dHl1EEUO9DuO1vvuiyiL9v9kuUwfr60vys etOdkHo3nOZPNyIRcqPUlUUFDjqjC/A1wJz6VOiDR3vsUi2sGJML905PovKZ+5JoMrf4jUYwZBKY oDRbV29JmatIoxb7/RndAy/+O5ovWTgqA6eDzTGWn9UY0Pcaahx+V1GOycYLhMmaQ4J+Oc154Qa6 BeYQMbdEfsbApnjR6ImB0kgzf6XpfUNu1UPxh5LQsKy6fogQTGjUPHnyJKhtl3g1f/wtwCTC0GeV qlU74uG7fngngj5FEjk38YYsTIrLKmIISp4SZC3So4Zv2lZbVMa/B0PZIQGVDbSSVrQj0s/ck7s2 9Ac8qwde5CyZlHpJix1SBSypx1nvbcqU6+d22upEYYXlO/dxOsOkx7eHtzzU3d8RxmnF2PTj1u3W ahFDXnuR5QqWNFPBOS2xT7QSKn6FzU0T6NNBRgnVw+y8hxvNJCtlMaC6BYgEVYtttBgvTjBnSB86 sV8/eu3lSidJwy9yCnHjXtg0Hymumy+R3p6pGcaUAu1S/78nEtxP+6R3GaYSNWDxYDyO4scy4Vqn uO9Kl5fSugdouzDTBhwK7VmkhpJfYhv+5Phfd0twf8UgUL5wU2nwu+r/6+J92msxnSGx1udRvoRW BdG+Zze5NkfxPGUdOZTzmsRFg8qnw/Wy7raTyH1YwVIGZU2FvC3TIjWf523H5d721C+VqiVSNsuN 0Apa9YHLaQkT0wIjiJdq0YKLkt9oIIID+jOt/MsbxoxO1Z8XZd4DeZuKARASXN5bm8BD/gwJn6WE zLa12sPXyRnq7wWsAaQXCXiRtS18bDeALqnU1e2Do9hHP2wu6mHWGA0aXNoez0NR/eCka/sgAriz +aOYpVhy3zN0cBHgw0aqPLNFHxXR+V3s9M11ZSJ/NdhSVTV3fFNC4EutTl8sRTUfy5m0NytuM/xE oMCi4FTiGKvT7qyxDKw7yRQuihWaIcJV8Ks8FsahpF2uhW6u8LJ/SfUdD/JNUVWfZbP8v0sBQAHk 9S0LzrhRB8CtG+xPL34u4fQQciehL7U0QinxpKxo3GYEvhDYUbm7JLtlTPyJrCXITUXq/E1Toh7w J1LMT1hesXfvsb2pg0pSENCnqUlbMDBtpnBPwGNHwHW4HcDEV9a1hnl/su9RmzIyMAiaWIS62NzE kS+hF9zIZF2wOxap6r7pE53U73SYDpTwMUi+qFDSz4RfmrH/3QsZWZiZNWB3EplNFkSN/Bw1uyvC Vb/lttmTylI5ve6+TB/BxlPcal6Pr4vwFHC85HrgLoEx7fgQh0FKC3ZUWZjPIb4cWZFEGr3BD81u 3Z/kJ9YxzfIGmRi1tKp2R9d7Nj51wpZcAPcX9Y51WoTWG6Q/7suKInaETqXU0/IVff0XESDif2yY a0Jslf2L/qjCO1HeziPjr+OWJzIfl81p7EnLMAsKAl4pm+PzY/xIz27hFCXNS5x99xJFBmJOkcuh Dtbt3SW33iOQXIjfRgyp4HKyBcp4lbHythOY0cLu323TQTkepvrqcoWK+04FM1FCP7oalR14aSlo W1s8pLWwRTo5pi7GZj4jNG6Xyjv7prW6SlfCmRhStuDBZ1qcc2J8Gfz2idEMEeSZO2UhvtrDH7+f prXqnMEubLgOnPuBifFl0RRjqRQFqV2T7Rc+x9Hx+6bCK0Ln7cb8nLwgQb/h04zpC/EefjAfci5q znPO6wg4gbJqTYGjvjZTdt/jpE/Sx4/SOlIji2qCwDZGl1wOChzHMOETsooenp5Wc/0PCQGQ8kK+ LaCGldJ6pw1/zpINCNNo2kKqzQ5ifPtHqjBu0Q1oB2aW93N2x85TEE+4/disA8n4s9AyNmW1AWrU hvDSRDndmrfOrjWY3j9wjAotyIofWCTIDBVha5IgxIiimpHcPS/3Q9FkYw5REmkYirbaJFLFX/4S EXywz+AsbvvrfW0bNbpJjWZlwnD3ooXOBqs1fn1GgE/5KQqFcYYFgih2TG4O3WnMZ1SRYJAY22A5 DaCgIaCgU9QxJ9Mn/mnOu9G6dGOlvurd94cOQEf4+NfzUYxdCq+eX7FUSTDo+4BkGEgtRS5iXLY5 DAh52hJ234Xq3e/8t35RAAvplfpfTgbwgem0vdGnEEhx/+kCL3fFULjPteo7T1LvhPGwGGBvrlmE 48BiaqHpjTq/hYCYqOKxqu61o3QaEg5dzfR+4D5L4bFzk2KXXnPll2miu5dk2aXvy9lb+3Ch7a9+ 1OPTAsMUgvli2LKFVyJbxy++/GELvF+isywjN6F9ZAgdV4hzH6y6dVMKeShXZpMgH4023y+x//3Q eu/VFGLUA1rxeIpX4VtOU0Me4TLsXKrt9iNNJtLHZAnV6d5Tyz4CCOMMWhu6eEoNxIIqBjWpyMoi hpaWEuXI73HD+dG/AzrUx1p1nxZ9cU1L4HgnuQZXbQl36ka7qBZIpyYntUX7LmR9UcU280nx8r46 MXYi5kepDYYagoKwgE/vHgoC2OZwEN9+WeIzp/SPXeKLrOzMsZDp497K6IpxNMvmNYxNnt6cIF1V tnZm7WlA6akVxZn13XZma2MgA6XMojoBeo9W0A1tY2vbWNCmBT8PPAsSObfv5TklVOeEf68SJT0c r3G6Z9Gi0Rc337p0tf0pVamT2sQls4heHkgHaykWTWtK0jhCuWBv19GZPoX6kmGeUx7F3U2j7XdO QDPaVnAHJrJtQhMRQVLDSWcUossETwWgvt3jrxshoqr9F9lFmc7M9CN6DgTnbLaZ+ltZXeowFbPs 4/B8RbmJ+IicSbWkP2TORuj4yMSNfFre0NMkB/jiut5Rg4sHz4zMrIHghLVH/9A53DfOiDH/nIrR 35xyt33D8dpy06nT/Yk6ytJc8OjdyCg6CGcsPSeNNauW2pAPq+HH6n7yQIsCt+Al2LEn1QGQL3S2 DYg5i4fzIyQYlUKjSL47cPyswr/t9CaJTCRFQUbBANeH15/Fyl9nxhSXXtV7D8PqBZdRrhq/T6fx Cg5+cWi11UZYxrRynPr0mEbFDB598SPjcBqJuJD5QrQTPfvWDYG2Z2MTqNEKXvM0OfxLihjooEMm vt8VvEGsli8MR0j4eueJzhQMFL5XP5uVihiK7/xc23R2wInWNN7F6CpfKa9fkTTlsRfD1gjEXgyu Vp2Ea/4ZciDna5uBhrngaXNX4qLj7V/1qPHg+pZb6nYnM2ft1ysiEB088xu2WOFVsSS1rcxQZLyy cD0Spunjg440wflW0zFyqjjicGg1AdvgK8xGDH0p4WxJtkFtWax1PZ3PkgJ8txoj5PoUvBSx1OR3 evNztj8meae0JtbGtjNFcnfQrrf0LKVpWTyyP0RSMZmrKv/T3awjXrZtRaWHf7FE+1/b8jiSJHz5 CUPvqRSPA392goshI/phSbHo8PwhUaP1v4BBO6ma2peqOABr3gypKaE34cXWIHi1pO82SD5/7mbd JH7UyEwpsWaoaII4V0ltTBWQsTm+RUYKdize/UMFYaXxHc6fl4QICkmkNbJwkucgatqpknJVDZrQ 8+MrW7ZE8xNnrMiXEQYIu4Ss49rn7Y0HFUY5V+DweaaNFzNAgfAM/+4ayfE+8BPYH+2s5qOeWYF+ DhzxI8gJ0NHqfNP6l7gP+NW9DjqiyfCxVBO+yvVMoyL/uGOu7Tscd+NTMIN0B5XGvNzIDFbfFLsk qFohLaQCnhJfI1BLAbGrUMWzeHi7l20mlVZQHRa5cFcs5FYKBDspXYjL/nZd5iSguk5hhb+13F/E C12M+Nb1j4uGQEwwl+jxORWtDhjst3puhb151dPkTkXFquOgn+Xh8LuDKCl8b1r6/IjkyHgcofsz G+QizC3XMzUenOOFZJASAvpKX3dxIXwAEuRzOLoeThpdlaWzI80tyKOz/CF+DhYQGMbsAiJYd66z kIdb28GMeGrR9yGEmXkkAW+Gnt9eAS8cmKzDD42J8ct1hqmCeUy2itsAnbFFJdVrLjN76GUhPr/1 3Q9uPYgzouF9N9Zk7AOWoRpQdXhnDfZXjUiGljCA1q0+Ztu6kE3SUOmc9RsNNjYGO07OEWDDfHFf GpS2KxbUN7o6cD7CK43TndLIcMj0XgCxlUKcqKeC67xJdhAoTUSMXN5yPVvlrOQCaPwkrNP3qx0k sTdYf4fR9ifD9FMnwZupYGXgloz+MULC3aLQSTCbe5njUDb/HPTzErGZ5WgVk5ZdfkJiguirSRPL /D1K+mMrgScHT4+RV5GAI7hDjHESXrwIk471Tg5CRVYWT1FyxByo97VHLDQujiur3vR4S02e0st9 aS+RRa6OOCLE61lRRbgMhAdZq7DD1TijrPXzh4dPzdbjGMO1hNKtvHXVLuL12itH8pBfWmJGpkkk o2Q2ujBO6r8hTczIfYUkinTMT6F4fOhUCNeCAK6vtorRhLoMxIZWiCKnqWtB3OBaWb1NOBig8gHN J5ilspmEx5DyyY0kuNlEBsTiY6bowvtwewuhitaCz0yqbE2FAydcn0UelKOcgTG836t1zRb90yWY oRl9GiZaRYOZhU1TSReUMRC21d+/z3mwDUzZXAo2YekiIHiWbiRouHcL1qmMnapuO+fKBmb+aa7o rLDRuKPnUsRnye8ZcTgec8yedgtRjjq7FCb9Vpu9kPDiXXtWGPxD+J1V5ecbfe9zs7oq/qNpXG4V 8ksg4Yyc7DajYXfSlW4NxP3lTRaJfcu5wBUyz86N8/NhSxFWmusLX9qiCKZ3M8IVaswx3qzeXv3M OL5IfGn2pZjEXjRamzbm2UhvhlLOBpZAVpXEFdlLsX41K9Q03H2REuucfE7jowCP7e7bhuqP91XZ WYxYraiaxeV9tuQDhtmqkfnZtbuwoeNKm0eZnDMpNTMZScBxhIp1XaAYrApZp/hiiWFRo+DtpxWu BhHXX3zckaCwP4CheznZa+tFoie2vUSvfLmvfa9vbGkH3jzR0hO6YEoAzaTGsBzdZxfAvzsF+2vn aWwUSrid6zlzfYhTBAYYnrsI5JpNgSBNxB0J8ImM8grkEGuf+Ldygw6OxHLlSGrEvskpsBZzggGm 22O50BV8EcsOG74bkg7ym3nTUtl11emwKw9LCGUd84T6HZyBNOTfFQ2jGgCCal8wuHeBbS/Krp6L PjR/F83+7OUzcW37Bci4hrZs4/DUNNhQENWrkqy79QkmcDpRSgJKoEUzDvlBo1dYfngctbQfPjVh mLvmBa2yGSQgGC0QI/eoq4FuDvBFrTDNzu4O2JkDuUKFOSdSCYihK2gwV5rAg0XV9JK1uXM2EfrJ i3mQJuXSROas8hn3BZVKc3kXe8+aC0GDe127cTe0bXe2UfPsWvxEHtMSymOos5TRi3fdWT5RKu4N X8qlLRfEzkHm16SOKs8Max+ubJS3C9LC0MGgEDyuPYlHxlkIxV1A5ciS3afUiTpKuCSVpNpjfMtz Dq9blbTnPx82Tz61c3f7prCiu8g9xl5ztFkTHbOI8QrOB4sENJINwOdVlaZ7DyM00cjcHIO6KxG9 ucEPFDYG8PLGgmFtFDyUJF/mT1ZmNKnMdY8sRmA1j32OUHinNqexGYUzBWRUOr6m60yJqkXaRN/j ju+Q7Y27+3TEdabpFuJW4YpKegENMQy+kKNPcGCZ3U+wzyEy4FY4kdj56Skh8PbGVN8rhVu/7ruw k3feKY/oeuZwc07Er1ug0KsXIr50+5vkht0L8JJrGJLBPoPcdkzM3HOtVl7TxF5c0E3+a6vczh56 Ud8s5Yw/eYAaUHutmFr9JC+amgd7d38nIpToz27aY8Ud9INE+NpdWN6g0wnRy+GxPVDjCCoAwX7i muVvihXDP2wgwasrxbibzWALbTY54ZGM/ONy2oMx2fPCRSlQb9QejO6KyW1d3lchwPomRiFyrxND RPrZ0C0j1jq3fK03zyyh2NF0euIhf3PCYlT0X4BVTk0vgdAeh4Kbd62NB11t47rdKpXVoqkqPQD5 k9goqoF0vVSL+mkROedQmF+cCDNmv+CUQwCFL2G6JkBPOXj6kPI2WTKdotlQJDuBvI6br3QmLQfj kfydnQM3eMJq5cAdIFMHsL5/9okfaWJnsS8i610/Y+OyVYbubv32vVeRDhU4p+Ryy/rqzipkz4fy nJmWI5DmZchSrjHojoSxClzGah0aa885CBQbCvhI0KtuKVIhESNM4rr1QNa0kxGpj8IhrQ7sHjER JFFhIjyNhsa+OolQ/JD6gNR7TXJM3DjvG03h1vH3QZW+InxDfgmNN8nKwGcuRx9b3Ehqp+sDMa0C 8ODLVDu9Q5sCALS2liyz3dPeQB5zE9z3gp3WRMJoTZOXO3kreQdc7MBCW/qO7mcw8oqyssztgV7u fehrLW57d/w9q//bLrxG7GlQTLmzNlXG1UXPWzrL++qCwPTO5LlyoLaxyu5QBtPWUcJmGkFzo47X MACCqT9jDsFMjUrWWD8gIiUWkWdh3ahUqAT8+q9KL8r9ofmT3lHHLOnRlRqBFpJzMzntmyVIfuYE FF0gcgf5IP1nXjrdfKnbmx8YFpwGwwfKadB+oSeyiclTLyCw9ceFO+LkguYRWjf8Go5azteFQV4u blEjPyA/TlHio59Uzhj7GJn/pZD9bi5rkiYiyabuo7sDQNR2UqUTxODNO9D1Gj5iRiYC6Zg8/HRY VZ7jPbaD2j2V+GoSBgIKeNweEvo5w06RDHVSL6B0wfsbYX5YQ3PbsLc52PCY4quEpR34CrviILx2 2Sl8HDobjfqmFsqNTMXjIGC5N4UsWOzk5zXtDpCyxrhn7i9fcMKJpoAaHsMwyfEj78kZ/yDUWB2v y65bxdVjiAgmj0cM8GOuq4m2to5zwZdxVFm96oqSTfTfejT6XBAhEQzqxltpljPI2RFqHW34rMNC 2BcEv8/QCFo60Lruad8DKHE1Y2897b7Gecmidxa6UmtQ3gSBp76CaxLfEaxH8fgsRvko/9i5Uq5I GemM5rUtikdF+Qk1nCdIoYuURGVxHpInQ6SuG4DCyIR15L1s8blcm4VXR82QgcVkZfn1hwrDioJM wQ/XE24zFPiwpgrlhk0IhrIP+1i6ydBOdbYw6/qbpxc+e80nuc7AQHExFnxQ/Nm9WC6z1otBsfLz EbeKYBRCATd2zW/hCsZiGvdFtzo64liswwpVQREdqdFUdMNVoEM2HjKhQ3NgtVqtQVDLOqLbdJxJ gITc1ltwTOpazAQ/AzkbLhaFUfwbv1wHaCZt0gzRMLdlyzgqqpMAxxgDQJwj80rl2pLYsWqJs5Q1 xCcA66Lp9I22flx+7dmF4uTfE04Txey8uEY2SjboM6MIQuvhC/lPguLf9VqlGTRqoq2iDFm2I37M gPKAm0piH1W1blWxVnPF/d4XaNb2qsyZ7igw31ccWs3C/tQ0CQckkDJ7TNhIXf7T+buMPqkXfoSi bGEIdXf6uYHdOvHmlcG3M7bkuf0Wq6QDwRNNLdVOCnc9AFwwYH7Xu3sN0ocM8h5Rropbl1mGmElq daNyKojGAoxW39nmdNKnUILIy0lgX05MkCjGPCR5Vpwc4FNY6xLD8of8cxutIKOEaF2EUJZ823iN hUGXNmKUfHk60JvDJE2AYiJ26y8Ep3ivXIoxFCaTHo8eLQ4O9hLHej6NN4hXcfJZWoGK7Jl+VEww 8IUyCQTQjhMouzVc8AuydWA0/fSzRUAI+C0cyu0/+5eVuv8zmTaFFiPqdDkYgFYO4gQ51MxCPI/V G6dpZfkfjZ95k/VZmllCdj1S9bhiIotrluqMrXnm7iExXkFPpsNzyKfiSfmJowNhBYrGxfQ3qITs AtgPgSBO8MHNDM4meNmFvNwWf3kNhPdzNsJjnWVxrg/QZPaaQDtNtJ7G7Uj5SGOuzzBfLAGrDEVi BYIBwQPLYzXM0uFTatiheLjf+00nONFYks41m1PU864TV83UVQZWmUrWWWOtUHj/PZos6OSoBtyB NgRrvbpKMvwiF7bxtzdR/+ztUaUtrFTCnQIemPIEQg3FbRBlNzQ6tKbxas0hgDegnaBCUo/MBy/I S7yWnP3MnoX189tFBW4CSFW/N/PhfQz8UPhLtkOvMAoMzEBvTdnjqMdRavm0ar6XSTmmPPPVM7er agbDy3GvpKoqCqDds9+w/dnOoOmrvPvpyv12MYa2zKnrwA/uiQq0FfQvwuBf2FVJMGAO+H/9WPHL c+GKW4SgQ6NPrcd2LXSELW4gPJXxXX0LAOBtQlHpNM015IpunbCMF/44jvTimTzghBWLKyknVUOr 9LJhyXiSkAfG1PUWzg+F6j9FEIo/YyF9On4eD+o35J+/NS5kKrhiULXZs2B5/TrFZXht9VYsej/F WyKc1+6r9cLRThIszjkWv/wgKj3G3SrD34X2Emzm33+aRH4onFlV1jHolEDR4hvelvjQets8Cgw/ TOjgDfeH6THztFWjupyfHiBHydrnQGUj7Uq21nXOWvTB0GAyTcMYFMUNYEYYtKw+cOeq93IXTAh8 TpYg+QRZG5HR7p5SqlUVw8KZQD0e1ZGpEEE63HDpqDG1uVlslNxMmHPTY6ZOJ7akgZugza3RP7UL ILEVPxwhjeDy74s1vKO4cuCGZoVusJFhUvfXKMGNwpIZWqBq1zclTfYwsPpPIGW034PknH0PW/q2 av9WKRgB0MqmenTIqdsajTKvin2llKZ+dbWMwg5sEqxynWTl3lXD14+yk9+Op4Txvab7JHGHeRaR kdyuKB3g6G4KEq3KUtGtO8xsAM0fxhaiSuCmK/EIyOr3kaGoBXidVzbtFc5nPeUCDya+njaGD2Qc ozEBQN7BpzopbGfJk97In8qkMcA/+bFKICsUVbIbIFSUjT6KD1+H4Ajalbp7zihX+zLeVLhRun6y 8uuAS7qY1+y2GNTQpeWs3fg8aatzbPMf/oyrEZHAqF+QnwtnkzSyBXCgNshoYWcQUGMLREoHtMY1 ++hfKxSR8GYe367hneianv+w64xWBiuYU4ZtUjI4fATO1rf498qHxJQMc40K93WZ2kfx1a6lVJ/u TYFdzlUcV7BHjfW2/9vDgEt0VLS+kvoZCNgf7PAKg6ladu04IZZ92aYs7AeV9RW4XDNYPwm1P0Zp OQ/0LlQWkXhpPJy26HPQGxAr8h6vbgcDTew1g7yA+svyDOqz7hiaUsvuvUxirbmmhhdbCgKM8YJw hvYFsNMKc4Ovq3bra3a6dbU4BCgbh+hGW3B3tDnhVGz0oaiO0AYipxJim+tRl/TR/XDT7qbeCRQl OZ989kRL+d6gdqgVPrJ8UavP90E+KdfcpLsngQKC2IswUlWsQbUybY7B/x5aivsvdlBBdcRZt4ya L4x06AOqtHXjOkO5oCicrv5Q3YdwmAS26BjW9yxYyOj5BNpCnXsoComO44m3nx3ox7TINmf6Pyhb c+c/h8CzXUnADbw63MHvrrci52TSOXgw+c0QLVTh6TbylJ4XGpUzcxSyjPNBoxTl0HzMr6M1JIsW dM5vwMYawO8d72k41e5D1hlIBxK/ajJqaw+GANlXoSywXjpGqXDLmiv4JrgRN0bao4HTaek1BdTl 5pRsHov1B2P5dZGwvpKw4WhZ+oQvMdjjZ/sDQC1+oh2IJTIA5MhHbIb6NWkGVjOTqbp9Fbt36v/O sq5o+/kqKLNaaL/r0WrCtCa7TFwMwG/XHsnP+7CkjOQu1WQpRRODyhiHXzA6T/9tWmDKzEJ9+Yyi RJv20eyjyPQsG86BHpz04zpLj2QXxh5eJW2orPqdgkib9FTN9fDo8sp619rAyG5U/jZDjmscF5mb Zgvok9ccHjQm6D2o2n/G3aCkazMfxz2G2abYzoTOTjqSc+OfdLuXxrH4l3CYsr2mXKvt+LCSLPt2 dBXEcS08XtyhGPIMSz5Z+imofy4W79Y5fooMUgAgMKtZG2nj6oJKDRADNLU4o+FYwTMwa21OAOV3 1gjjx9w7/JK/c4i/eVApPsVDhrp3469KRP3ZBA0LapKKlmtBS5pWAsyJrv4F8amvzjT8o9JH3bTf XP+vrFaVsI/TvNsMLX8ZUmcOg8p3vklF6LisC5scfN/xaW2QL6NNmhP/RjWoBhf6cLBm9K6wlw7/ S4BXAQaHGD1pAgCjpx0LkT8Me+/WSYDfYv6aOf4Q9KS4gbCHf3dDVsuGDcy41nuwn3+HHXvwc09d AQpLm9Jcw2+BV8poEXcWJvyKLlWVNnPV5G3GozMHi17wSgY7RHU1JUMVxGTeGclmW75IZD4fgyso hgtWKobqXAMvtVWZAa1zpxNg8l6LdUuhyglSzhrIFrKmlehjao3XrQ9puoxMZFdSzOPSTXMSfUGJ 8kFxdcLGtKx32t1B6hDCthyQwMVqdxjAzpwUv1+4icLYcPLMRI96TI4aK8JLs+DAvx1v3gfRBFXo FI5Mh3gfmSIualPyjidyqw3163xjy6W+fCzJzfXH6o3MaIyVtk23DBJ864/FyG5vCVwAHKc41WXL m1B403F6C/ucOCq55MgXEft28AhbER1ZlxLGeUmBBK8DblGthNap2+Ip8suXgC8MlTKd+dHkuq45 WQ7i9dv5F1WYvsYEDkjxZnLyEgSJd4Hbjqf0JUMvFUrTNbNHIxj8eLHcnv9VxnHXIjee23dsotP6 DV8sBXkaqrbArXIJYBXkH6qRuL39D10VSqpf6hhNw5q/8UAohtVFyU910QlHt0SEHNg1z8ZXTVfL 7AEzOL1AnIbc4zQmY73pwj4kAhc2ie+CnwwTPuBvNfVaa6suYkvWdb5aeC55J5bmu+T/UlgHDZop Y4QeTXMxxJqAojMxDdRy8ui8/Uhhyl8pKcJ9NwAkuMqwD0KTF8LAVdkJvuEVWqaUSfBnbQI6N+hE IVqSN5ewJyFHcDPlPXZuiaA5SY3uMX4HifFQqtgMlyYLiIXpJWdOkqDl2KT5ZJ6dqbJb2XR9V8d1 02a+NWD62FmiqhCkPHXAjfCEaRvj60eRTeHG5hxpEHB9l7XYJ2GvCu6nrOFJWkjxkV8GajbZmyET uBF5Nwh6SSdfvxIhW8Kqh4oGzQpia93MvgkRcb3QRibc3bE50FghngUeceBQDeQ9z0kD37YIl54a 9oFD03sirPO4nI+PAjQGR+aJbgkImFbxsb0nJ4rhPHqAtp4Q6MhoFqj2k65EMEX+ziACtep1zzL7 wmeOu7Uilw6GLsSSi2Ri8vg2wO2iz+QIg1VZXs7wDj90M7OYf21J4bPlJDn3ByaPu/ozPD8oWRIw 69ajJis94Jc7BaUV9JgbHP16ToqE+JkUUcKdZ/wQd8WQCn0g28X7C9jDHUyi04jQpuHGJd3Q59Oe cMnMJOHnv8XcBPi8dP3xkHH6DIsslt791CIqphuUEsyVsPJJlZU8b2x/saKExDVyVJd9XsHMKZaA /3DdePyKg99WdgHOjUdG+o0pPca5JAK3velb+z5CRO9GAzo9I43p+DvgpD1jp6bbU8gtFvXcY54j y6icHDAQaTo6V4pttlmeEIaOUhHq+4KzVgUJHwKAkYeYnFEz8uWtOU09rF1Ps29NWlsHSKQ1TSdW cdxNm74Sl4HDXSr681mDcyHqLm50f+BX389a1uKIOtUcbTufAn3XSzT8scRojAKdKUmoqTs/IWtQ +SWSg7/qqUkWG6oSN0Oo3mfiIu0xX8+zQirU32KaLIFyeQFjtE7GgAnOyWEnEOCptUTrdJdeNSBX 2mCbPRQ2TfsjyXG1ZgkxW1wnx5mhyT6+xFvPyMq7aPmWfahEeeNI6y4fuqYkQYCUjs2w64oP8vI0 tq+8VoAqwdunjVDDGvIloUVjg8L3AUTJ+g2cL2F3fNTD79EqcOpy5uycyfa7/pmlRFBYk8BQfaH8 5VwH8kXV4KnOX2F4oyztv2B9Rn9h96JRH/Ea5Pc4aaP4alYZ521/VbbWIGr6igt+Ihzm9Sp6I+Js /IBlOqa+ZoidF6lutbYa+lBd+xcAQ33NnRlR0oJrF6sSVb4obfX8w2Q2TzyFPJtyn6aOWDMFQz5H 0i/ALrYGTno/EBILURDM5i8HNijcdf+TzG8YDAs4e8rubM3QAzK3bAJjzyLovPZEFdm2Eg2BxT7J aava8jD0NiJdvodCkc0ieHYHwo5k+qYJMKesL5Y8ml1MhSCPXPHBmNBAsDV9SiZSFD+dtUkrWFXQ vLydUJ/qMbqdNdVk+Earj2ZE7cEnWKtBT8t4dItXMaLIzMLJh/kYFgBDmDodCCUxE8k9Jqxh6D97 u8yBqV4myXj4ANSqU5V3Js+7cAAfX2GnYH2IwbFQ3z+R2tcNmTAcjM1yRjz+Nkue5enw4mp5yLMM ly3UhveUOUg6yhvdaoGyvTj6Aqnw0lpjHUMIXUFiFVP3HKO2RMPvKs8iIhTNt/v1bFoo2hzrExnO o+NuIsFDqcW4AvbvHcrMBFcYfv37hXHaEFqW1OvdbqDd3T76U4jYszgYfiRiyYCELDTaOIOyJ2nl jhmjWsW18SGz2zEB5SkJulFe3wOKh8JlT+CShmUYzn6C8UJmWQV+N9QwzEkLniuWpeq/p7YRzgUB hPeAJ870P77M4iogRSYIN7AcV7nZ/sQe76FKzsQmZgqStvxTf5+vrmdSrsilUcgwhR480mogZkM0 FtvnkqWs2RJGFrpEmy1p0R8dbuqe/zvQgErQPpnkuVJ4g4rMbimk0HEX3+OjL6y0RjWwA/qqQKjq 69kel7V/450fMD1QqVv+IVEypo0nsWLAz0xGYYWhUi6zSVtaFWXuueDNH30e6T2/Zhn94n/LqZhf Ghl+Fl2hZrQe/HiOTJ2Mwy44b/cyavG+8AdIIeliu3K+pPoxa4ywFq6wlB1+pIlUxcMBpR3A5Ier KwXslIGDFR673Xh7DcRew+Z7/cG5U0AXXB8czdEEiEBIG2QaXMhGqMXN71GkbGHp1oItaN0TDvDP Rq/JYFOfF5zCVEssXplXy50LUO4145KNkBtC0zi1a0YuX/LQ2TcTWpZWHgyZxBiD+l2ZAG2Z6FEJ iWJG2gkmOqKTOYU7d5MSox9iY+AHTbZKdsLfZgIRCxH9bujcomS0Np11iyI/nqnRTIQuM9MHuhDN LoNclidYgTGczDMcyN5VPZm/+GFlmC2bcpWLDoekLx5NBxw988me/HWm1P5KT8huWYRy1ohZbPmJ 1/MIS5Oubeo2bTjsvBvPj9rio69D8v7PfbyPk/3NqHch8blVYVpO4Bg3TJp4Fz7YpAT8yuq5/TFq SP9Tm1/6LbrokHcppVguyoxWkoanomvf4qH4ki4iChbOLJrESBdqzWq46DvaEYIBtXCgTv/MhqaO UHwBK0GX3F92/8DPYH85Xliz81kuq0E1SS3CyWAl/0rNw4Cx4eShmyctNvzxAFqHdz9AH3dPyqZ0 NEsqq1pjOynAzJoKEknaMRxKjgBpUOLyOerVULigwcF1fWWC/SeVa9DQfo2aj76sJ93R5gAEqW32 97sHRFSpxGmAtkUx5vuAGeOmvQgM7QmiTZvQuQNDJ2n/m7jKQRrCSIk9rKJ5hHYrxmGN+H147AoG JzUGII2Shi2b6T373cpklJnzAA+igUgDenFEuTzmwR92vIQuTS4e3sRYfEZZcGdfSKLQOUbIKZex XA21tkPnIw/NdBHTBkmXvuvSuGwR/WUeigvxj5BYxXTGwcVJ28svDj09JVX6WFzmdp6SSt4YIWpK iff9yLHFzO85w+UNJxjdD4hWxUBk4QXWoZSby9jcBAij5/Yqn3tZcSMDP3gE+aXdl/Z/XZkL++Ht 0llBPjSw9wnIk4BcKiy769JVD6e1Alw6N1bbmed6vMV368IphCRat5mPITpQe8pze+KAqhr3qUgF 8wnPuaUT/3ZRQ5VsR+4fnyKW0yT/beOR45ftJwAC6Oz4+yWA0FxyZL7UP+cJwjVR0E8WFik2brFU hvq0gmtNwtRouFbJnCKJgs13nDoE8CbOgNh8pqsKXixbP1f4ZIh1b5t4fSc0lwU7Mmux2PBEJSi+ 6kLv/iG3KfWSIN8VveEG6D1ZvRdK0agpgp4dy2i3Akbv78dt/Hd2GK/dl07vneKLAw73pnPf2vbL UISdQB1L+lCkW4MUdYgof3FXqe1O3BJi45xePTAm7fOi7D5ZSAbNvoxidrU4et82nyk4fFjVf8w6 AdZ0Ddh4p/rEiVxHF1lpqYmgnI8sL23Ycu2NnrNnS3gngT/D37A8R0qCY24qNC9xqdASMwbdUD8g JIIsFChaeTHsAif0IYxv+8ohiaXHj0YyBavNpP4DnVufg/QAZUo0GGYpQRreHkQdt0FjgGz96Rf2 T0PN4iNBQ2UNb0mN/Eh1IJocZWqzokWQ12iSktMbn8YBSo6llrjoRJpcDCk7fcE00lTDLm1bM/pq oIddCNDu1m05FEYpaC+jUF9Ki3kIV3AopO8Vd1XcKkBfccxdwFfMbKe864F0GzldOHIBkakQmV+B SaFGyQm6iCy8bqkipAi6sZ9y6eMs7KzxjUFARrBAChVkdyuW+6p8mGEqHYWN9kCfwAcKF+fPliWk 3dpemzrObyTVJYjJlQ2wsBjOn7qYgKYBRwOn3NhMBuE6sw93ckQIO0WnGtvjBwPSUg2EqAVcpjif bVdaNyK07iwertIgtX4qOjRF9JwyMZV7CFPkBHEeFi86OKgK4ddJJ7ijWQ3PYkkhR8h1OZPDhvC6 dPZ6kbGxCasd0Be0YX/4SLUw2bTOBdlGJTAyVd7c6/k1riqMS08ralVWmGBETiN8N7iqAPZqOUPv P1kGwhDZ7gok4PxOMTnmtKtUGkkHhU4Kj2qvxXymkCLXsxm+CyCi7gWd3SrS13e5pfBcqwAji6S1 E9rbj0WJLFJX70JqxEbtYida5Xane55y3bZFB/6G8ghNXMVYC4Q6xDeqhqA4r1Db7nQhYjXBNuUC /wExd0oaGQ9gaFePxooU9RDDZryoeN/2+uarkOE1BUvLVDRRwce7ZsleL4bLhoLmX+vmsq/NJJox VxPsn1KXp/HoVo8MsY2nw2w8EFfxcQZ3wt0yaHfWG9Yom0h9vroimoLHV5DEE7gI/kY7fl7JvFtH deEoOc0BYzDvPmYyHL/AulXBOMg/IQQ58hpCImBoRReJfVwlxiW+PPeP8LX1DAHfOOuT7VbhYxZd WfezfkpTbpLjx1uT4t5XACyoczHgQk9pOpQKt2e0TJ2ILdzRLagKk0Zz0uPhBP4MnqkmkGGPosww rjoyXvx4Ct/usVjOqFY4MExVt+JdRSRdDL7xUWekolm+q76GWRuPTxxXR16VmtDVG1U95zRDY8a0 xqv97syJpP7FE+rtTVN33gpLkbUmgK68ysz9q+nXBiicXMgGIHQQzggpJpjto+6xHlJLGTNIKTHT isVFc45gq+xO2TJL6SLB8t27+JKCTSuUYo3Bntdc0YG2NSUn+bf95CdZY+ZfoAEcV6mNPaXeRCvo hjb6jZYsXH5G28O3RDwoKfihT5rXIc9apIRGsypA3AiZ2WhQTRXmpgo2T9yhIUXcIpBIfWSenW9m pTblToiucOwqIJfzmuRU0oi2Sh7kOVkavMhvlCmqlNQQonYx9/C73yQCH2MYPzJSSQFnkKjuiiUu ZuG2rCXDhYrtzWkZopExB2COQtfsukBm+l1JRB3VK6mjnCkc/u5lVn0TtPk54YTwGdejJPUcvslP cwVV0Lu7kHKv1MLTVObegQ3hUCRERWoneMeDGudaUSrm+77BezxWZePHRKvhhxuUO8wrW2bnvpXn 70iXCd3BW2Ovc1+Q1fFJBoNp6udW3icYQuePb6TYmMmjW7Kdp2LjD47hTR5y5F9cik1FRSOmAfzu xvWczkpor+XI+FByQMx2IKchBNog64BZrZd+kk8Y2pm4Pg9q+TniHtIZIT0M0Tb798nZqiMCIBbU +4ifqt+4r2RrzpxetomRVLEGe0LkhCUWORlemvEYacy0EbSeH3JuwhTFUddUNJu3nO62WUmUL4Dl u1H/kCHS7C6lP3/30tzenDHaoHSi5bs6UznuFkxXhipdEdjjNk2Rt0u9TCBi7nsIliDzdEhOAIuD r7/+np2oAXf/Ss0G7bPHzHTnCmLJP3K0fOSkNABq+2ruGfd5EFNwZ1h3Sjbru5X/vWR7kHQ19q5C HKchhjkPY7R7aeEKwlHw89+jhCygtrFH9rvMF65QgmVJZa41QcOg0J7mD+3Q1cOmNgX4MtmkUTmD diGTsSYC9fmAVDOv/Q+FceOYMe0n73ITnt/KgSxiCDkcBvWcKSF0ddPEtgR+jmZ3r95/hClhWYcm 2Qh0SZ1iPnSfdPr6yyxtUXpShxs4NBFTgqF8wjOrxLeZ1JUmRzhcEZezCMAxFc5iLJbctpU0Rm4s HBKpbB+99Vswd2OWugZoSx5s2ePnqDxGU7PP+c8ffYaX7q9FQmDWe+jZ7+pkQIavesGD97Qe3cAG Tfwxi5tQSas+MwqdF+mWyn8+sfArxc2TxEKLvM1JEdD53g4qi0Mr3Qif46d36wOeK0XiSvE1GLnB 413iDDtcCENk8Xp9DY8c8GW/Xzd3wIatCo8yAwgOmat7TGCL3cMEjk4J2dqsRPqGG28ERL2Sk1EP Fzz54uMEIexU6sFPWcoK0tU9MPnKD3hjwYKfbIa2n1lKikcpGXd1r+OdDlPQfotlcXo1FAuFTTXS +T6ouF27PJ6T07i0b0YH1/wWpySsjwOoK1D+pm15gwMVMzm1btWV95iutVnKY5UdHwaEUNiI+CVi 8lNw6FNuANL4oTSAPuE58j2nHVXQXpzxJlwwb4B/kpdy3wt8GG/nDkjrHAa3yofCArh1PBIxBiVD WQ3L0BBNdC/dw65WE5gqzuSyO6Nnz2uCPOccK5F068aA6BLsqvP00cmfhfM3cZAFaOibHPLiXXo1 Rql9WNW1INojRaUxagFHbm5OWc0Axa1AlmRkw7TaRwxUz65qZXW1HAZCunNa85ExGP43t3is1PkY jIuCiBoCeAFxCKJELm+kj0kZhdADy0wLtfLSuBaG2BwWEvAuwA9lgdxqrJGGpcwPgVbzCd5LK4RH GEH5MiRLqt4J7yMxbzNhER18khb3EcWE6d8aB6WAYUNNmjOI8ubZoCSyYsddkk8MrdWmPUYKbth/ 3aIMkRRDPodDi8vCP7Z+WtHU9ZXBLAQJ1OgKReGgKn+nk8ul/Hmw/yPoAZUW8FxKYsS6ic3u5wPC wt5fsBAUTAzmfjr8aamAFDpPsaPacRcsf5GMD53zV0Ha+bLPDD1Fkg9KoPNXbKIthYcdCwhWpek+ M/s5EMq16LK0htcVfHO+2/9ql5F80DdzFZmsNCRfa6ieXJZH5mdeTzLCfXpiTh3faGZZPMtSvpg0 5UDnHD0KJVjApttPZM6HLLnounL1Ot+abg7v9EIfWh/c42XwSWI2/HJ+YuAoo7oVKhuw+YY9UUbC 8bLSSbPdNfL5g+4d/jFAmN3DMtaGzpCBbCuz3SE+Ps/QM792UGKxVxkZn3qR7MdseN9WpBK5AmkM 9bpEVp+gYi8UFNKU92PYCtOjo71RLUsB764I7500HVdlyavq8+uW0YYdJAOij1FeCiSE/9tSj1hA 46xfCfYJSqvuD03qEOfsPbX16wlbsJMA1+W/mbG73q9aeDuzBl1MlytUBRTA2rnSviTcFUO6zvHV ZHkR7GeGwHdW6ohDxtDFWfQ/QBR0fMn+U1t0ldBkh10SEOquxfZDuGkx3lj4aNleqjB87ECQjM2K HLuY2uuRE+O0tniF06O2RKz8ySawj23CI1yEycvepgy/LR9qLpGgSJUuczUpE6qHWJtMwSB2K8yP 2HPxDhw7JC3X1NIp4de2vAyhbDFX2/b+MzZOeuQqtG4lzBMkkGj2JXVKNdvG+ihjC7Sfg8x7hbtc n9hTbhMMUetMrMzE+M3WOBknBaQlZxZZB/eAjWtgmKBlFrq/sHTdJi7CyxtVXHp9RFGNeMQdyjAQ SMW3ig4ugmBT+1R9Bc3jz7lkVyYcsUmIW1vSQRy+o+Pb3hdazfw/87Vp2f1kqtOVuloXNPQ6p6vv nYX0VPKmVMBZKpZ5GNNG7Kb0Y32AtzYDfi2AZMo7Uy6JVkPnDdcXgsQlGfpl02IqFOrCi3DgIwZn LZC/HIMhBDCd7vcg1v0utadBfp5+2lnQZRAMzKng7mE/eToLekuOlJJI7yQeESWktfOk9KgjiI3Q H6u0bOW+OzketnVwrryZRUiYTqoi3QgZTfoti8a48s0jgpd+tst+ZXHOglbzZvx438B5Z0fOTAkk 5hadwp8BIUsPCqr+YneIJWRpfiAM7L3RVdGL511y3SoJEY3PHW5oBVIaTlsXv6Q+cCqebDm3Wuno oTzoy/8dIf9Es9K3xvTTsvnqMZux2p4gSq28QwipRf7ZnXSMQ21KZcWqcsngo8rLBMuW4F+dvOvg yR8D4e0fpolDf1dCv23Ykw48TSbY1Q9u1fADVPr0sWBd2eODK624vg4bnG73DgZ0YavrSmQbaPs6 mRjvZZ1tEonE9PrMJfWC41qlLTregrJedHmcBUAvpVzkTJrQ7vHDDrL7HpzCH7C8+ydHI8L/ABUD lHNx8fZwEvS2nGsMiZ0v8dQPxt8xsHB7dV1v9/9Z7OtDbm29IvAH+Nyo5N51ySpRwCytWfr2gp5K f/+BoX1MTjVgnrageZKxVNEgrLOVX9vJOBmaZJidZLoHpP+mMKGLWRqcObuWLgTncuMV7LDoo9Z7 sYLF7iuBxoxgdUMZZJH2EK2qMJehjTiDPf3N2atqH/r/SRwfvSSoZkWbCD8AMqLWZ0RrcNNb9g3d GKZlTBiCKogqMH3p17gkqYyyHmRQ3LkNfISfvme+RP01EPiHnD0mWOMZ5toiqeDj17hui6S/7d6O 7BuFoXBtwv2Y8+l/VhZQb7HkeC020uQcf+M2oGivnbnvCSK2uqFgluIqFEaYW2JqsaL5kMOrcxz6 C5yjCbrY6sqyJILCM33I+1eeqhlyYLR4pF2Zlzy49dtxTO8qqpnXqSFARF7qnu9GkcEPkIkuIiYF y1j1ahJRR4bETYSbHkl5Xb8l4sP0o6BcD99mSdLb/9w/AqEeIFgDnO2E2OCmjnPQFOkAX/R09gWG joSo53E6wkjrn7wenNgbRKyFz2uzZrkj2II9bfsU7w1aXntf7AEZD7GRXJ8+WKbD9Uxz+ueJDfCy 8qskQ+Y2GmgpcgSazIbzWLrgKNEvMmSFaCLoOGvExgmzeHwhpEXmXx8ol5S2jJRjVaf+LeLOtce3 4lWrcSCU4qgoY/SNUYLisCGiVaYYahs5OxhmKKPu5zd0u+jA98VlB1yUdNyGwWfmYCy2WtXeEb/q NFMOHstMBpzMGAfUA07Xyman56xP43oCE7OiwZN9jscbKiGEtykDdGUsqtCyv6HRIYIljp4+0VFG eRpoVddWwIIjiTT3RLAVfz+GebYSljuh1eduo1LJyh4QTAkne4bI54aWnOvBcTrJGp807bIcJe7o gS6WPMwlLHDCVSyRlJ3LHgXmwYOvJ+L7LcBRpAXCJHtpfoiQNiNhb5vtwzJ3dgRfNK/dJ52d+OiC 531TFdSL9oh0Rpkx19LzVKMUf2sB6mMFUuXhywf9uf7/CgSujZKEVRWXsTsTKCdx22mvuT+GWgRZ bMIor2oLAu71nIKnX4Ub7iCzcLWOvsnI2Slip8qW+p2dI7wTrOcS14wIJu5cmz6t5tXR6MGobd3D BNeqQW7Lq4rbiDlSyWh+n326A2ZfOoQuVk4Kqvxjn1aoc4SpxgdxK6SjlRcFRxa+Q5kMeCrp/iUs TI2lvfRqj1Zs+QB+rhlzdJZmfaQy+6nMr16sRxem9eI+JjUfR4lqFisRaGVaHVWGgN01qArjzL2K Z+zbyWucM+kTOL3c7t99x9hll+y86MUohzK/sprdwzpQ62XfWP/F0svXblLF6gpOX4/OxC7PHtvX +0wkuFuYfinOo4+9aiDij3Rj0bak8cY5mdzilptlgeu4pihnNcKy4ph65Ql5M/3fgMicBIUqFhWT iIDEMdocloZVo8ZtkbbnDi743f4zQchpS/PrlxequCUQh0kUbH5HZDmz5nR2NNHgGMR7A+mB/BTJ Rzs0NXC6PxFFY5C9n/br1D9Ro7Y9DjmX+x5LhUByw6gPAeRgUKwyf7z9Gsb1J4eKBG5zkYA04tGs P/4VNHmqrFBEMGYJZ1vV7/GWXAyMZUlQ/SZoA4KDJg02JstKiMFR9ZcIq3THUIEvx/lUpQPSGp/V zkhU2g+HxmfhJtS/dhv7JhBsUzm24FOl73KUT7TtZ29K1HUomYBrlbiMbwdSDfnblfcR/U5RJ1vE A/RHkOZ18XmNr6lbp5HqMAfjB8tnuQPjDtJAyXW+7t6LEHLn6IIQZJLiYKtKAZwWyic1qvkYKO5i xN15uEp4Ys93q11UyWQLH1c7Fznm4wDG/HG/Ni4J+H2ukejjyh9aUojObEW9smNzmlHgHJILyqft N1V2JmLISWMKBXDwKOf3El25gzlHdfcNVXK04LTDfw6dhk6Eky3ks5NFu0JC23Wa0JzersXjCgh3 bPsxpimWf/sjiwkA/qxcjhn9mynznQxOeV8LX4QUcbqOETbRUiX404rdlOwCrDOov+N2/ptmrbss CdOkEdNC/6gdq2y/iuf1XFvn7MWX3zp+DyI+POe4t+FtCIGbBjS9V3tdNP2G0YdoqiVmz3O9fNu+ 6PmMGvFO+b7P/bAn8ZOFz1/pKe6FONDZHGRa0Y9C/hjxKevQAlKAxju+arjmWy8QKyY6v6kYauZm H5hyQEr8h+58OPHCpwiRXodEyiyxgOz6ZnPxWVMWwxnggck34jpFoxPoSBl6/GKCb+WgF5BVracs JzUROaDUEHc0JhwsjeeN9FDaN2xWJZB5G/mqPiVyoQa1+CFuUtt7t4+v8OL3b7scncIYGqJG3plU 445tQDDYbwmjWeAXeF2uGC2RC8SzSPiYMq2c9L43j722Yx5YMnmCcUhP3fgxua23WiCWC51F0hxK 4I2nbZZkhFvVgzwoKo6geMVPaMOEoFfGDXXRTugJyueDMtgl52TPwAh+c/pUtoLZYqOkP/gNNhbP aoAYeF95SPnkX/WgIJ3Yj0tvnpS5mrzlwFw6kVOiRTqxzuMoUzdu/wJfaQNeCTK2nMw76uSC6qpk vo2QYCQjQyZTXDR3A8w9d9kcKY8vGUlKJrNlrQpeTQnYLaGDoY61NeoufD/uev2mVdorV/Ow7GF/ RQ/lLwaL0HUXWTVh9PFMgWxOtbFfyMBXfUAiFLoCrfDhSEJRTncmZUQ/KFZ+bCyB8RItrIaSK2AP EOcDEWZYZKst8oD4pShrGDDMbSS5AZHz4hawE2aCRlxMd9GfgxYFbb/YRksSe+tmt9DwH37hIpRA /4HmP5d+BtkJXV8iaMkZRPs0yij8c3vmnOAUifmFYsdD6KoLYBrJjK1QLYaUiZBNUF8gWNlZtJEd dgXMsjLhyP2k78visDqD1FYFinhPkwJbxov0d/dp7odc+9LOaevbxB+4S1KZSA2vNkwkACqYgBmc h2l1HlDcZ7QiB29Saok0jk/nJqfnLCdHMUD8BZjrXLqyHH2ntDXFm2LkvMEbIxaWevOMhzQ8syxN brEEddNn05bpNth4p8RTl3egszZR4z5XCrvlMC/KoQAma+7idwd6ksswg9wjj+GoKcjcaKqQPuej 99ZF6tjA/B5/zLiZt6VOyWHqmucO1JBxHQ1c34wz1ODS3dEftt97Y+bPvNF/1YoHPWzE8Bn/YwHR 42WfUOW7/pts9mEZVPYEuhm4zYqGtL/8frOt/B++XxWUhvHMmrh2ZfCSLvaoYIKsIAB723EDt66j 48rqywz9qgEOd/2lhiEh7ZgjmjqpsVrypOZYTaYOz+/nZrtkRZMm+3aaywmJmcLsvVreqtUsrVKv obng3ocxhmjQN0C9CxgSEWJu1meX7VGc3oirZJdNq2wNsEvAhU0mvZgxAYoL8zFUhBwA5HgK4Je9 hxRxAnuTog3p1Cu2tIkD8CLhGF6bHvpiBi1MQPMAg4gupGDjXrAO2d/ae7R6g7imFcZ7dFwcY9Uw gQFHzY2pH5m9N3CUzjZviPEqhhASZj92B5us2ATPy6Uw0CTTtsWI0judINNP3+/MdFfuHDJeiFtj iLmpFcOfDqefiJ6IVKsL7kxyinJe5eK9j/D5W0va+biYDnHeIKm2Zge34uOUuweBSzqnBc/oNNGB U1HRIwCxFd+F74teY3r7tiR8MH0hLWZTEQfvUK7xe4k4D78h1zJrVFtDH253I0H2gnZxLA4sOqBO u1xpYdp85v5uslBtZI9pUOFR8wETYcgDm/XITjUniwA+/Od/9Ki70aZsYiRVvCqlvtxacVf/MtnY 6EEzUFkeGcexYF998aeO5Ml8dSoWOYaX8RKyfVgIjhxkE48C83tgfTKOzJGjUEFnO+/qS5ANvZLj Kdax1G5BVYXjsZ0BwedXZ/Oam5gEMGK1O6Iltcx/5tCnwVW24q5BTzhbHC2bfZU/DV+IdzxNPtqd kY5YzMP3c0k3eV1P5oyx43iK56iEoDOufrkYE7eoRamKlCvUHOx8Iq17trdpETnYrnDIFHO1YbaX p+LdG/1bl8C3wczcssJ3QkmTbwCdswchlzoUZLoFspBrsXUhVfrQNU1h6JnuDIVPIud07NbluZRR 2it4FmjMAKKlH6EiR5zYwpgJG/Yl4PIRZuyLYwzI8y7C5/sYIDUxPaDTc0fZBJLjn/yk73bj8lL2 kI8xZaWZu53Nbzn5KrK5Zw6R+bb7bsPlETu5pzs0FnGnBwi5/Gey4NNzJwCZcM3hJpLJJEC+KqF8 UyHi+ux37O+hiZeWjElVfRDf/84ykzzSVM9WCgM+v1mNLSZ7gUP9U/y1bAI0qSxtBieTsIo31fyz AdM0IoVsz6H0JNuZAZVkpan7UQG8MzPYHtcfqaE3z3Dl8DMO5UY7CaP/oCmMepKV9Xb01BXnQxxT BPZW2sBZ5VOX2LAQQAM+BLVUr6HclgmWKJdnqi2GmMomevnsUaKjsuslvtjfZI7BfoST5Sdtguqx W8awLNwWz+8NKvoVbfNuQ/X/AWVPnJ80U4NeEHe/fqCswZJmbCyCnnqmlpPPm1C47loGdK9GXrD9 QmQVcPtHXlOCM4AYNYmMxuQTclW8gITkF4AeJUS9sVdNcOpTuoy4pcdkT1AbKEGpQ65zKpMMgkkS EcFxooUNR2pdOOedEpvnUanmML8urmDUYq+GqdNuM4H2+/ySvdTKBcByS3KCKcYKvlPndRti5fts ru+9/rhnbdNmrtsO7ohXWy7ydvNpxWgJkcUBQ6XLsm2VFw9BGv9QwGEKb4H94re6XVUYMutVYlx8 eTy2quqeVjOuXKyS32BMftKM9ETOf7A/hL4uyuFQKNKRzsaFe4d7zJdonOvBiIhfyV+MxCLlcp07 qaP/tte928AAkSHQDSPL51qAt88SHO7fRxBsKckPsetOly98tUWYquLovCrxVMOopjhNQpbx6L8s xBWn3tmI1rPaJiVmqCu7g+WJuyDAGd8NiDoTRsyvt/g0Xt/LSqiL91iGXlGmWDuGCIzjzvNPYrDx +9OjJ5wweLezXqHQsQL+noiAN2Xok7PkAvJiu3i3WnM9iS4E8V9GBZ0pLhjDwO7Mi7xBsyA5IFiX l7ELd8Xjrzo2n8qitOIGh93CWJO9k4PCy/BzkRJmDZPGigyJENEHpEoaewZmte95kffn4bf5kS5Z 84p7zUPkvSbLAVoE+8FU4FWrx9Sy9/qIv9xU4m/BAsaKGkDX8Ran7i+BXeoYXHZplev0LAigyRG/ 0PMTiBAceWv1YqTyz4M/MBWQ187LtN9WUgO66sPPW2fl6BVvkRwQ+whMdrdBP0rVr8CREp46Bz8x eVwlK1Ils3xKrZ83eQPfrNPz8jhqbiVD97xEPRdERbPlxlPHW55V+870nhg9T+4fzlA6G7xVYrH7 5pNMvHoh30d8G150Guo5QNopiRglttB8wnEgvyljmah0naJ6F7QYcaWEXk/wjbQekrZYpWDWcnV5 b5BcblHSM6n+YZladTD3A1pg8lizRYYojw9h+3CWrq0zjY3Gy1U1ClooiBH2t1S33uNaHr46BWUi ilqHYdXGfHAuK8pXN1Ok334kvqLuXwcwr/eAY1teXC+eSgoXaE0eY1oCK4Ff5Di4KL7OsrCVGHIL wDHzy63FVBowTbjjNfWWCwh2qm0wpWPfJ42uh5L50z0jdfkz75oEWc8w7DFdikTmCtXZTD63ZULM Cs5TErcmL8KjxjHlUak3N80fBoVZ7ZRlnsAW1herFc6+MJ3ul93fEeHmh9A+hFP4EK7W+sPiUYvz RivN0Cb+rwUyjEA9yP1dPcRX2ZXqF6vpz5Wn/qAEZ6NMVOqkoCjpek+k18jSr52Q7Y4aPeS8lM3E XQu7XUfVfiaunSwV0zJT4nC7oZ3QH/2rHBrHJbOdMdHoanMB2m+JX8tGbt7AHIbh50KN/37R2X8y m+KWyUAgl8qJ3P35ZhMdzqnpzthKWVzIy4NiaiLoLnzhjUti1PUtajYhFrMR2czS8dsSoQELIkXg nqqf6kNO60JTroyCktZmS/sE1VLVFIuMdtHSxCPBCfqnrgjGKz7gnoTLKCTb3FhNXHtpKYUmjsST cGpj/aWIQsF4rbI47ejQvNZnf+4S37gBVrL7j3OucPt77mAo2LwCMFsCmndbNMU8uNwWq4y0bsTs hauj2Qrf3BxmhQoZaQhzIASF54J1Nk9bj9RAJ/yKrbF5E0RW1HO3WTfE2/ac6yf3ricQL5YjnrJN yD/yAjd3FvuwoyAuM+KKGyQXdEsB2rc9zCUv7q4wit11YWTCiFHilXMztCwmO9DQa0Yv9RshtPV/ uS7s4q34ioUswSpqpEzqf7Upvo2mAbDbHnZt/nH7PmAbUoAxQa7UIW+XSKTTEWMfYwlsMjGrVaLy DsEREB/OAR6z0uAr/Z3ZE8kFHUdklLcbi2k0pSM+up4Po8wXWMFlbYbphBl3aWpYGXuBA+WeLsc1 wc6d4KB9BGq9BIYTEvTg8Q3poGeMXZOacxLWIDlKjaMcdDY3kOc85x0pNqCJRwO7Q8HoK/xdv7Wj ByJoUh8eXXHaKeuVoESh2BC8c/wtNECEaN2RfA2Ryt9luDUn24i7F0urIPAewKcq5RfuUedCz0da 4pzoXB45B8UYtUhakm+x3QR/nFFyqi/XCNta6D7uFckjWgfLohX1BlmNjGopJ2OV8t99rkZ+Iehc 19+vZX7PLMfjsT42a450Rdd97BXu6xjtWHg9gZsh4kaKyngbbKWTBO9memgcaMlTcZwt798x69Sq QiLzqsKgf/vNbwLXsPEfXX2czIUcAHfI/QJpdEdlUpv/ARzY4Sx/cbNzzBg0ZVY2c9RbeYFUsiR5 mWadajixAbledpMlYDhMX6HH8/HK9BSJPJhw/gtNzdUchZkElccW+t8TTOPme6hDVt/dakIncxhU zs4USfrwDekELIcR+iti0sOJSKrendSscqHPNmKIenz3Md0lI6NAWOGNLX9NjIOQAfWNfd2VQNS3 zNK/e3y8aWj5MS9v7ZGahtH1dHekF7bH2o4FZQsCoQilCnsZerKjRpPgcLmya6IUX7UzEhLcxUbX rVCsUiyJ9okmn4hSuT8xrEDinyVJkTIqjM0NcTmega4n6CmHWdwYgEwjF3sv7pmKdNRymrm/lexC VEr/QQ8eEU051x4G7UAfqL2JslZhAzCvILZ09K7aOKl7URBru70jBS/zonizEbQpDumers4vUQ48 sqw6gYH/dkXC2dolRnzpE0kR3K2vW6KeJ86tuEq06I5QewxEbt+cgXdN9mjtvUbTA98xy+xcPmDt 1Lm0KAaNOmE81f5F0cwbhkOJSyhSq1vYO2EhY8bekCIWB0bzcMfEMpscxfz5TDoflMprc3fBBqA0 O+Rqy9H8cNIPvncX3A8a61YBvWy5o6EMTMZYO2v9DTMrBM99fBUnT2gBf5qy8UcRL595NUgr/ER6 nFkh5Bo4pNCE51ignw5ca18k3xZqUOoRDH/4j8iDSFsHifk3RS/VDNU= `protect end_protected
bsd-2-clause
79a3a553c9110b6cbfd44ce4ffb0746c
0.951646
1.826133
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_status_flags_as.vhd
2
15,251
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OsXabe8iQ0uCYAflbus8jqDzhNPlSFlh0WfiZSIXmJ5r1lUYhqZAYZGx2U3WoeEr3fztnrUzUNzI ypsYLeAbUA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ctC7yKWKUsfuMmq29AjIvbE5ykSf7vcCrupTGPQUOGVPoKDUJVYQA1Ie+EF9czCQbqTPR9s+6XBO seTBx1Uj9YcyZOqjn8xvKaR01fuDdVstRRHtc84YfnizVarAg/oN53vSRDa5/D+uKQZ86H9Jm47k 8DqxArx1CfRLaIZLgsg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block w21x4ulLEWojlDJcn9+DjJjPryd1U0DEQ3t4kGmUg3bRrZPr9QUaZghO2YIQtRBQcFmdR94nKJVu yvkU7xSy3n52Hub0FQq/d+o48WpoGQ/9nN5+zoWIc4cryrjY9MjOo4cj33uwh6Yzup3sPujR2IBo u1TKbzt0cdFLsKtGDErzH7HMGKpDtlZ0zlNw2iyTxYPyXhFAUBQoWHYDwKDhiOZT/KYsa5qGP4Kq oyOX7uWAJb9yM2Iy7C1JlvNPQBIBINrz+FHjas6/f1PHkQWjMrF81zwZVs9odyoUh2aL6+o7TR+F X6DZFI5WpB42mpEdDLrw5hYcEcAFHHtxHkI9hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ao4cmN29b2M0uLEKJnHvuBRlcdOKVxM5RypO9wkHnRcdUMAMwbJY0yljFvNu/tat80ex+va77bza +e46A22q0JvuJF7nBY/pZirQtKshW/eTcRbvbgJLbio8XzEceIM3lOLbdai8ZnspUcnI6ksBgceV RzR6gpmZfEjUF9vP1xU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JSS1ZcrGcLaGihjHQDiDO1iEiqnRZN5Pl2OJTshCg0ST1RGl9SI7SnME+WEet/xeAbzB/rsfB7/C si07SRXuakWnf/Ny76WaEH8Sjbj5XWrSnn5y7zmv7qw3qbCIN1FD0QVi0vBQ5R5nXWkjnJ/1EXSB 4mKo8zRKmkuMvUf2xQKxhRJx2SdRaD9rP1bwJTkOa1cB1zSgtaqLMcFbYyeAHgjOOGFNUg8U6TlV UL6NHVRTmOKWDNCdLAO06+idQX3gRAz2Napr7RxTgzbSkRSrbCwfXSnXoGBApxdrdUztad/cq7ix BFFzw/UGdYZi3sujVBWZQGT7l3Lz3mM7RpC7Zw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552) `protect data_block TX2EY5jFWPjOn3EJ+ViNbYYXBm3MKZP1XUISyLUGregx46d/02IhYiPLRl3oIqF9kB3oTTH8NuVj MAvqTsNMA0K3ON90UQceZuxif+tWFdefgbaEDMmWnwUz0uoBI11eWjdliU0YLFLDIrmykv20CBNy iLfs2ci+FH3mqxY/RBo7La3UZtVM+YfmLVjb2M4eytCmr4iWDcAfOLQkbNIFCM617g5/Do6H81Jw /CbdTLOQQQhOF+jlYgRIPNIViVGXHfMe/pCmLSVYEnASOzu9+W9KBJJsKx0PpQlvuSkp2lDXHNvY 77B/pFK+gk29Otf1puyX4hgN2SA0AeA8ZKAnB0PEJlB8woEAUUSh0DO1/arY3sRgHjGc228586gI UAOE65cIGHY8YSpyEShIis86BDSO3aNDmKcmjIcFqg8q7pO0kGi9Fhc3k8xC75OVO3y7dTG74jda ugoKGgsoxtvATOCjqXOaBoRB9TlNaDJ9daBHzAPoAyEM6wQUWUKhZ8HI5slPnn4I2RA6YEUssndr sD1zl/gsPQdulzOLk/yqrZj3jvtcN5iAPNY6glph0ASRCBTx2MCHMgGylpDBJMGH71RRwiUE9dfq waOstVKT7sT6DLby7h+o2Vx0SXhMupNUF7b7UXrnVuyLA7BgsEHpaTukZUaEZH2doYlHfem6+WKX 6ppwEXtqGUFRik+1FHFYptRLyK4FLpDKI/hno44UMyA62YQDlhNiBvqNcci9sT0CZDNYlW9aNPWQ yRd2hAIFz9ohAZCjVns5JP+G1YTF0WdjQgp5dK7ZizCNy0yRLdDS7+waBMddGymogAVAFDdpmZY3 BBx+Q4lTAgRzz5HycVLpCgvZT85wpN8pSVUzKB0prax1X0sUTG5+q8h5L0am5j9MPyjMbCA5/P9u IkDmM6hsqrMqu9cNfZfTkXtEYjLP3g7sYLBy9cos8QQm/IZUNpeGXeuvrs/G5T/DfP547acdYL1w dl97M46DPXQv7ueBn77V6m2ksfs2vPIKiTp4Hz0ZROkLCVqtkhrJNbsncqFnIPNTFENthjje9ICZ +MpaM+tK8bxpZMWPeblGm0c3uhhKFip5Mzp2uTttKPhamkUSskGXChmFmXZHRlUhz2CINZIJw/yr 1aVML8d1bR/VkElzezzuSGNsXwuFbVJ/x+pl9f8r4VPxUSG9QKyE6q/iSWAMk9i/AifWF92ykixb pNjpjqIx0IdtN3asz34XDns55C+FkyfG/FOO51DrpBgJXK41qvCoof60nMnpQeSyu5xJTw4yLyJM Q53ZsReaow07/WL6QcjfzTFyoEuIsU5YJuSiD9bw0JjXhrYgx6grkXMiFYb959irw9aqs9l4fk7Q /TY49FRF5NjKZj5KQnnWEABrZeBdDngSQ6feHHAUrlLNzA7lbWYWX+zyhuCh/NEkmB0E2NXVptWY SQn9XBwW7boI/XZuBOkgQGqRX5lz/8CK9GALDkq5iKOdRtymIVwtX+y2Vrng/BLAafOQP6q5knsc BYLjGwRi4OZPRcZkruedm4Bp21WZDLtvaoNdydQ3iW7RlYON5SIDvQpvZef2qATR273ybc3CCfLu Fy0F4JfWp2lVaeqsFwul5mKbR4Xfg9u9PRrT+JsjuVR9XFyxEJCnYf2AZzNLL9DBmAE1CGPqsYMc Cb6pO0cu4FKyK3dEQVRGYDOWob6vZsr94W8RHKBtShbvN8wvEi3X6S23vvlIGrowE/n3CbKmauE3 uQyRIp4RIOd8KaU11R+2V71iNNyE1rHrznvSSlaI9DrSv+c3eDKOlR7Pt0d/3MlkVMfZU0XqTT5A idiClj4f9uEk1z50LH+yEQBLYqjcTtVtSwHlsiGbvXG/YHL+sY6V5ztNkSFEDEDGfR52gTkTITdX 2MxrlvN1S6Bn6JrV2vgLQSjX1SJXg1VhxWhR+oIf8vIHGjDGQ8Ruzger9HuFHrRnq3vn4GtAVYVk NiUbZE9LhiIRVy4zUlVLEeOHPOJGiMrdMLa8kucnB8wqiFtXwpoBP/LKA3bN2MMe+Itt6qPV46Ld +I3slhXJHsUItwdEBCQyz0agZtMiLMbEsZlhOTJlTWLBTWIwxbeF0GgoQOP/3bF6b1NzkChYo7o0 GGGRN/kBNucQxddGDWfXpWkziSFw40xoNiNE57P/CMRG2LyteE7BMAJ1b/fb6wKveNXeKpndyN0c l3lj5Y3+AkasNM/D5qtq1iklG4OFKtX873hrZY8Ck15s5pkYD+8HO2r6eCY2jsiQVALsWrYgCbHO rU6Vf0apbnQ069sYF3Aoi7vaPvlH9eEUAIgqvCkgEisX8TySp9vKhOfLcdM+8ovFaSenLglL6aN4 ZuEt/7dvOjQQ08NYQQ7ZMYxiUWnQqKoKLPywh8JvHpH1766qBuAiasHsVPMfyC3BYOwIq62BwCsm u7zXh32L6O5EEsOdchTWHRr7IMOLhzfR9Ih1FcvYYuZpuoj4j7wvfm7sbrFEiWzdJopVpxb/+Uus 4eXvXcclsy2wv7gOhQmUVyfKt9tI8/clyRYX+0WT/2Hi8oS9X0G+iFn7hCFSsQY8U21A65kW4X/b s5iU6t3CLlFtLpGreKn73i0xdp63djBdZh3I1hWhkL83YQjr/x5H6vDfeGWNK5FY+yJEhUMrXmqJ B0SptG0g2z5Des10wPUV+UO8LwaFFWCLAPNn7zgWNFyuUHx2TaL08b6hdSmzeo6+8nFSFHk0RJ+/ AhZr/k6Acs9fzo3V2N6Yeu7KFphyW1NkvqDIn72irgIBmevQqUlo4zj/XKqJbOktty7GrKbyvsSL nyOvEU4OvdL2DLmk2tj/3hKCtNw/5ToMHul2+zpIpcjv8W7rU1chAZe2+vdECqIRclqV/luBWW4Q T8q0lWwhpz14xctJPjUZpmUPtfQ6XfirJHUWGZDwOcAb1jvc+4QinXrr7C0GTJ9u3xMbmBaaM1Ix 0786jSkVn/kZxUN/l5WFIXeP1fuoeViZJ9600Y4jhhaGJBgDr+VaZTSh0Y0JklkycfqMdYMoukzR WVNPBu04WGNgQ5gF0/4uQr3sCZ/MBysZXp60z8CtUKBz6QWZQ9l6MIhbxjxxrHnhCXD9hosx+VHo giulIJklf8fpmH60N/uKtxyFa798bYcRg40NuKsWAY7GwbGFSOCt1di7GM0tIS2rDLgt+1GqdZ10 jJYKvgRTS68+J2sYFOhn9Jl8qU4nh3VQ8mmPZhXPsm9ZRLNE+8JrINHsY6sSD9bXlCQtJa6iKfGg ld8qMrQG5C/noBPj3Ad8gTVGjEpXBRAniQ558Dvvqfjr7GjlwmyY9rQTqMnEwgEAKBkae5Rk4yv5 YIT5//ShD4IAaRILcPAk7kEzWHr21qTCnH5iT4ogUiTymc6YghQ+T/SvYTpEQZZhD899cp1IWUVt zA0aQ1L2FGvkoDTioyap+wYd3tgDIChwdUR3vlgxeFxKZo074I19S19/70myTQvlnvjXt/RqE5a7 7Qnll4rCSbmjMBfrB91e+vcVyq7Q3xyXlkTjuPrWf8mJ3OTgaQYHKsgIwPdb/pcmG4vbLYc4aJf2 1XGCqEvIs3RModwHjTUckzbGYiddLIkL9IiM0Z67AAC0OBtK2whLGjN5L1d0BJi2M0CKodlE+70Q OVMbo8qIdeYrz+9P7/iHm5Lbe0Llbnyxe6g7Ht9e9qyEizyS/ePpHUoJrMh5SzgyxHGD0H94/tyl 8A6jV19Ok3Bk7UWRKUutYoOmXi+I7ZJZkX3+LREP2sbDGY+GKhQZV1fPt/AYX9zEf5r4zen2ZznK AlmPQJ/0Wq5WBlhhC6de1qN2qO4l51EBlnm4qE3UljoejPLEzOyDX853pT1RiNnzibHVi9fqq5IL eCf9Yp+pWq9Qz3W928jE//+ZQyRccqnEHFfMYOvWrjAwUxdmDjoHsDV2XYfP3VCQySBgVxR3wh6a A3tctW9dg6H7U91/81shfMXk1U02V5gsr2BmRmqO4Ct+BCKQ5KkjAGwOf11wgo1F+Ng5SLLmB7bg KaQnGJTeEwIiqqDr8uBmjsErYHGvoJcD1y46WUgDHy66eUZXitoyPkXc1Ohlk+el6hCo5kttD17U J/1werZ8aq2R4lx+lsDu8/ODOH+Du79ch/g5HHUcafq4ryFaAfyw7Hlk8FrR3eHHlnXanGcIRG7l fbZqpoTYfTxn8Tc0aaURr3O/asMk/Jy3O77iscyHpPiP+KRwRroAOgcrbxTIrwFxxzILsF2tDAAm vgbSbwUxJArouNTF5kcLDI5ZdO305mKdbdP/FF9xwxx9auVpcaHLAQsD/l0GBtx73e6fYRa1DnWS U8Ati+R8hq1DnhaO+Sw0FBTT1Pf4+SQrfBn0cONLkg3x8d7+3JrFKaDm2TN0hf1RXDbpqg6uIrTL h4NcJdo2t9/Q75XJEyno7NrSbSEayTQ7Mspxqm9/Aa9R9RC4OXLqtefQA7XuWmJfQdjwBhS8SbDL UX6kzOZM1EY6ntENvLfPlpIInXEM+lTRaACz7Yv0ZobtlV3ILhtclwYt/fEJPdONeSYSmECYQHA3 MoS+vvpZNB7fUXhihWzpXd9z1VJ5vuw5xE+73ugZsoqaghMHmFpdhfdnnKkJO5tNtqtR+DT5Jpd4 kST3Dedf6+Sqtwp0n++MFQ/hxc7PRnmn1A4KwhZGamGGJSbzkzvQjIfy8bQLgfVn6cb29G9VEMyo DYBjyS5cerBpxfwXjZ7ZQAqLwMNZMeEXgW3vZ+N+rDgBoa9HNuqXJ8dcIxzq4p7f0Er+0O/bzNAg 9qN8RBFm2F/AY5c3ha8nbLU7OsRtKo7OagOWwbEKlBVxslfLrZNC047XVVf9lICHFo4OGqB7yswl oKAjry9N8M9EvRrp8FEPkehzcr6tQcOPd+KBHPVrsQPblQcWZd99kKxdRx0zr4rfylU29pNFOSiA rpDelchQ3JA2mVbPGLI1NXXamcxqVQXIJ6UKaYFu9+FVUB+S//XcsTcVAI3zNfi21wmqiDdWFpRt fy3EHHrGMOKNP7sOgHt39Bsa4V0m0uFFUZKOhdsaglsds++4ecweCDjykMOJVNiEDNXW5YVQCSzX 0CjnUXiZRJ+N3l/nIYH5ij42fgXv2hNcdKtkfVqcmRIFLuuQ7LqHqG79+BS54kNTuOoBt/51L9VG ZKvecyAmbG5SBT2gEeOu0oEqEXLpPjkv/21AEIetpEIB7H2375pUZ/WCROMbdgfZFPLXEIFPE3Hf SdjJiH9F2UGLHR89UtDUFLxu2IjlY1yFj4IMlkV7pBzjenXvWbCYVzWTdghSdKHBqoh7VgvTNuG9 tQkgjSuTH9f1+Isweqy9B9H8lG1jCiE8l/+9d3bGfYJZhfAPLjUy+u2e4SgSKmtrsOfVgvFSoNUE tw1uv+TVoxcNxzgoX+jgcjlSF64OBRQTCwsdAjYTF4Ci/Eq6xxSQ4nxpc28RuVtOxkGkmhW44MJj SyQJfmDjlDoqxa4cKQ5uqaXNhkr6bLuNlIB0tzu21bFPOyvsNZJxIx64g3QGXzYRXL+xUAnUb+Xs /Ye4uB3SnH6hRNg3AlXXzJAPx/n5+rHfRTc69dgylQwFqWahMSBPcFrUzDw4wt8QABjc1ZkTTcbE dDRl/UYaAp6akqWC3ZV5w8NYDMo6YJH8wrmZMv0VDQqfwmEt3/aJ2JA7aolxQ5u5BmZZBmwsxXO/ eolvWg/yx8YF9DwVZmnhyZBCVBhWyXWq80LLZNqbeis7WM6p7bmVpDnsrwsV2O0t+w5UB5QcAXPP gUvQgSyQopMyMIrJ08unxYfhK1ib7Zt7wNAMxWPrebO11aidLmPZsUxdGPiQftN1Ub6G30+49UPM KnlSWNgtmtimv2Ozk1z7PgoRbv+J/LiTooKTi+KquyHzCj6Vd0FWojbU4gToT8xVgEEtZXV1/3rv QDCBzhCuuX5AFfo1xodG3eQ17+NWxqX+3FF7h/2zbl559DeuAlcjabuuCOqtjr+WsU8Xg6aQdqJz RvsqiHiiWAg0JY53lPo0A0+3piu6+vSVCCfuHSO49P+ftHE52svcIntHgcmUa+LWBvQT7mB7jFIL jTJPJOrwRLisH5lNK0JtpoKqETKM4QBYkw3hztAt1LcEXWJQaW+QrRT2qYrnAYlZLmvXcSxBxXGG ulUq5jlT6BZ0pmUcUkzhVjf9hs8ionc3oz7Z1pmxawSDETTId2/UMj3YRKSGdO93JHmuAtGMFZ/I UVX1IJMUg+0IfI4ivJ+cNORiBYvJiSIP+Rxg2iMNxLyh5dLInE0BcEvjqHafGLXeJITZ2mNlctid O2vIqy8Cy2/0sO3NlYDt6BHXRTu57WWspHluRpF81baAUGopPCPHsj4vCYcCLccxlW5zxQVIKWDq sGHAHlBQdAm6+eRn5tsPt+8Q2XciKeFfiKj72sntzpZiXpWcdQlH/v6nufJviL0xQzBibboHHx4M BqRSz3kBAezLh4wyL0HS50G0I4yCYjHQdgRcz9bstM84YrXu6O3r+SU/9RsEt5C7axKStQSsmLFs GqkfQLZ4EfsE7JCkfKhYnTk3RnY5REKipXn+twUH/9iCsnLLqHPWeJhHs+jPHBPqvM9xzasHWKAd jDrHkHWMw7t5UB+qAO1XUlGzADMkSijuOrsD504OPkeFZnkZrj1zkC/F3BXzJl1X2us4/Hr9k67p TB0E6rLeS4QAm4XqVDIXfDSft3yuhCdBe7KGsXTmBq6PfFl9tfCwrk5EHb4Z35UBRibqSQwrPxmd cHSri450GTo5wcZBntpn8mg7BJklZCOJWVD12cTuSK3YG26znFK+rG2e9xTJ5td2c9JxS8dcQIhN QkSylCN2YF+UvhLU0qhP1+MLtI2EHnCmB9v+BwnEGzXJuk2FQshYIUhjcbZRvD/R4Nhso0UtoWcA c1U4JskJTAVc9FlgPptEjuIu+uevYjy8KOyBVePZb3+zXrpgnDwVxQ+cAEnTwfceJx4evVCuJUHb Mcxh+g0WnaG6YAUOyWcH7Y0jbNjMfsRaVqOZhf4AyJkggJQpjzAaeY0klmLBxEWmLHYnZ829prxC +r+MYsUCNf/7ejB6zqJl/Acw3QtBuUnUncz8SNhXsi6kPN7JEVHJcefFX2WepnASGQKX8SqFPWHn xzvuRJNsWq84TBXILr9F2G0qm3U6Y7UESsLqlpqvQMAUE38VGlmjfTcHnx6AQ5sSVRwaONc7785s Ck5tOIksLchH2vlW7OqZ0WQjYpXRSGb3YMEnJOpT1dD7akZe8aBMh1h8pKMyY95QIWYG7qef2J/t DaM8ovPniczRUiWRkrsjHlpmjTo5EdnFKu/cJ+esSWLXvv3XsmV8YAesiL1mLmGwnByTL6YXQpuY zp6ZUj1aInVyv3KX4L/pUzEuesbPcE2RNEK5Q6QezUehg81U5x4rYvjgsFPUV9txz1Up7SGDrmDG Hlsr3DX+llunnW6uIzl6U3G11yI3crJdMUzkDhWXRanPWFsAJYjbh8tk+o1DFSzolUZaDs+Ory0s ltWThAfhmtgcmza3k2e7OAgQY7AGKnlQg/B3cMizZ2IXSWjy8KPpQHPXs4EA/WLnSaKVW27eNILe h+SdM92anpK9RHD+qeipHt9TaUUU5lT390YsZ/JblsLjDOxr2GYK63xxfKVe5xU6sZWggJpARxdj oRkJMfUPTYHfULIuiviwp2LksBC8Nh4i0qQNeP2ME8aA5wA7DbrNs9mi8usoEKmuoq6cDNB8bbdM DSLYrh/QMqhhPs4UyEyCv8KoHZUJzkJ6xFfGrgNc9PR6hA/DFZILepwREXkKNetniA9yyIyvypa5 QE2lidD+/a3enQbGtlCbH8x9vCPJEreVrqiRuMxhdDoilbaVwEhJRsX6sAkTJ61pPWlWAbcbYpLi wL5YmIGRex4+5gJri/BbItD9p70UJIfK5p/S6Grh+egcHc1SETRW2o4EzwfQKUjBXWFJ7bJ1y7VT IeKFjxqrKK7guUJWiLonVhmJawFh0S6Pi8b+SMWZtlOLUNu3WKVMDbMou6paaGFvqrTyHy47LPy6 jp739NEIkj7xHKr1Khtgo6C2ePhSrftr1KKfaj0q89ZLJk83a7HqOefbz1aGwNYcmOqBw0dbtqjq N3W20YbOog0PtoRSkI8CW57sKpEZNbtw5hXZktiv9RFXJW4EzUu5GytpleXW01HW/bdbl8Zd38op TB5lI39SvI1VqPK3ckXuhS7Ova+Sq65cwkmqDVCGtxzeoE9Mh2LMt0w16XzxTBTRAVc9PA9dC7Tj mKg3hECefOqs6bZ29QLfVsIKVSweoXQ+o1s7cjm0sqwnYVqqyJcf+TCPJ4RNjL4vb5gD947fySQv Z4RrW7K99AXdJpb6AOumgSVvrcGbiFOLAh+b7FLvydg/g5Z3cG+EFj0ToK2RMmyJcOKHJSdKNXda X/mGO7xBr6g5ubFo/kfvtrtaF/7rbIUHZfivMrkskIkCm2joHK1feruHpM/dN7hK8kWBKyXHJy/w 3iWCUF9LWRoLF6bzKy+gKa5HzdNTqw2Cm4PqTXQEnrZBoTl1dM6WMIwI2PV5JWytJxpy7xGxtlUI 2mwKio83Oh9JV7lUOE1xEtDKWO9RdKfTP6lboFwSz6oU5wm5AzwQjUR7cOJWlddJR0w46mDe6UA5 Vgovc8igDVEOhV0PIMWykB8DfkxssIYLjqzeSHmUA9hE3y/sOvnavbpASQwz2nVYx3k7uXZjPufh HYsQel8jsH8n83KR3zhHqMTLl7j3VisFPhv/mc4rBUfObqFWrBRoyXO+J4JjSwmPdxQcSYkvImmo bHnbKkiggWsh9uSdjOhyKc5s6bI7nx7pYMIB6Fp7AIWZwy3YyGq7lp0Rb3nfQQWccEr2KD59EYZB beTJlVD7A8PWY8A92MAY0eBgskoQ5+J+jjYNaG7v0uDJTYF0Jpva3pR2mUL0kiLfA2swGmYbULTS eYXS7wICdEGhvkiaTjY2LPMQZezVpWvzCsFFBEBmUCWt/+NKBAVHvO9T+kUIZMJWuYQ207GWFocY pFCjhtbaEimXd7qN3SJA+Pi0iJjuZoEWbP4YZ8It5T2CJq/xIrzNi7NOOqrwVsm3vX8qphvKhO4u NrjJX+50Dg+OAnWGWCiFceYEhOoFktjWGZxnZxj9bdfc/NrqHbPPBAQBKc4Lm6IcEvI2IJNWgW7a H0MGuM1Hy90dFeqiiQZbYDmraquf3u2qdbfMAdJ3l0ew1wCMaIef13bKYbQwiN5tPpzQhrStkVMR w8ozsyge83TAuFsLFkXFyEB1WCsj2BCDxi8ov174HFY4/3wH/GS2rqgZf7XjBfIXcecd1JxTESCX J/u51UgEbAdJMxMGBi/mgb4poXB1gvTCtYIbTWfFce2xGtnCAGfuO8EfzgMePwT9GJ6JPo/vth8u du1F1TV1+chLhO5wUUEipWrA0VccxRVZiD8ULu44lFHXHCqvN3zXLOZeF8hXMx6fBphzHvyyxWB8 mavv98XAIvsDgJxPtAGTj8UqTJa+LHtxbpCWUMPo/pPCSu/OVcfXoXbgTTBLBve3eeRq+m5g4i7z TdJqiInr/1mM8wR9oF0g22/raGoZ4swwUeiRC0bNWKQgSaDfiqQbYxkxCRcha4M7MYeblI82ynhp DN37rp5BSrPBFUBBJ0VDC99MAVi1/u0NNRhPQwwX2Ytos7yAX9Q3hHGh2nADpFi4fjeqPgFywEuW XfNWOOag4Wu/xkY5BCa2Amylyru2YJNje87MSeBL+DpMjVvU5lp0okp6MZ3+EVxXllkjU46EYhBf /ylLJoY9DGrI10RJAixABGtKWYkhlaIQM1rtqW7KdbyBn2b2gtXKoy+Ia/62cuFBbPJdEIdWJZ9s JirTdiuJDs8sQrktvUJ4qDlVEytB2thcF9hZg/j13YFVMUx8I+FLZJ/FTIf1MzkOH3yqW8SALaed GUtjkv/1jUaIap8BndKCH9tmA9RbhoiTqr6Zb5smsrUbKR8mj4Wlz1F6R0D/x4Guxft3df2Jo5uH RIhmZYxEhu/LMeT8WXYkHsVLxGXepH4XPRQND+DCypyQ1LtzeiBepBJCErGHMQr38UFzMfArjJaQ 1hkgS/3/hpL1MnBNzr2akIQEs/JCfVSOsX9fRUlxq1ZpmISWzsLYxABq28c54W3yDSUF5/xieOY6 JeCLBpWzhtTrlAkU1Y2jRAFGO7CYtmqf5rBWtBcBJ2rMLNoLnJnCd5befXxjdgXBRBWC0UshVSsq oP/Nlmxy8vG1KiWEs2HeSxAj7l0XE8hBFWh03d4WiQl8rpR4IK2GrzPqBtz0nkTP1zw8nXIMq+Dj l7rgbAX6VmjViEw6+yNeDAWilgwfh80jTwCwJcZiu3ZH7M85v4T7ttT3jDW83JPRziv1w2moYt7q gkfdYWmVxfqv5h4+bUXyrh86Vafsf7n3cVUcnNzhcGsAlLs1OCYyokXEo54t+zgVFghHE3JLz34e ye1zjqQxuXwh4PZ15zBTkqTl4NBmPJK1UWV/0l6caSLsHFDXoxwHkftU+vX+mO4UIvn7+qjaEAO/ IrNgAQuozdfC3yUVm9IC0pVztHmlzaOdoJDa9q+IJm3ix7rbuwnNOkCKuF2U6kZ8bHG/xJLDGkjZ KvCsqUPmAAAWFm9v2Hd54WYjyU+qXYq83aKEWN+oXu3FzrdwYQWwajAZI4LOhaSlKb2YUFQZYgA/ CmXzJi0kzuk+GLK9sMPE6mqG/QtqrZF5dKudinXai7CpIMXdCgGR0dwc3EJAOpHSybq/2yq4A+T0 Uhn+EG3+BdTUodZKCiaSzQ5VKp5YRb8x5MGWnmGXlS4qMi928wBcU2q/jiJqzI8O7hEP5X6RY6Vj 9LssEN4hrdDi8SwF3+FKW6kjYtCtW0Ku5KuEUeuPg/SeYfE1qmuWJGheFZAy/3AK/irIq3V2i/1f 0n8W++0JZ1GgzzzSARWGgRrq7IauujBtyKRedZqjebUNu6gJzD6E2atQowEbaOqa6kA8vWzv6iTW arhx3Uu48n/My8+OI3Yyvjy7Bd8ILqMjpay72KzbMG8Hl4NxxfIlZ8jAYYQZiwQPNDOybIp0iAzI iVtImaBPmfLIvUpzsN8lQf4m5+jelYCo3irqrLJECq53xMCFrVPNgu/PkKwlKCT2ptLtO6t/4DUe AFM1kaZOTrAVJ05n8F/ITeHf7ZY6S5ZXASfgjzBVXLy58BLc6MItqKahFSeD1uLkzgYoS7xMBSiy 1sm7+j7B4a7NvQqjZzJQjgZI2Ve+QnjCbS5ievUieraj8ZyaRyt1zjZVIvuAgkpxzgkr50jeONv1 A4trLC9EKAKbia84jsW1bKONZEXQZhBCYJsWHVu/80pLBNc9kDP0GLPtCrcZHoAf3nKqdiOX+T4o AHvGUBk1kAFaiIkB+XxZrXdA97GsfAv2QBIPyqV5wQoMLIQAPukZQGKtcKNPa4u91UitLJi2yvr9 Eh4GlBR1qFxRgVc7fG+kd+lfQt04wq8Opn4g/RhmgMlGtbc855Hnv2WuF+EIOdLVgJG9UkbWsFIr lAxeTp2neLEHLJCCkwWJoTwQnSoOp+q9vTzNdHEAGqXgN2b1t8zMNiDHAaq4Akx4H2SIOn3OFewD 7OyEqMbQlAmk7/TqvDxzyu4cT8rVkQcAYF+z0JQI8yF6fnq5NMBALRGkyP+R8awo2JyZbPOKUSNm XAbWT7KKhxPnmZ55TF4ORRutObzecLAlMFzi/Z2AiYFgbj9lWspQBZQcmUO/kvRElaUJFIXhgL0C UEoRPQ2F4riMnfAQRX7wzHH98ks7zb6KFT89F7jgn48OrvPnbooID73jrS5pLroplO9X95le/6Rs IYaE1klRrg6epwEgAt/tVEpPsnjYrR4xHxe2eoU17rmLaSbdTB86GLo1RBWZyCK2c4mxOQB2lp2U eebpxy2TuJwvG0nrKlkrUPuo/QjkU+w0vvt01KoFDIARWYg7C9T/x+Ns0W0AkbczVtJzNirdQ3JB 0v9cZLIeSrVYI0o3nYBeBJKXPEw7vAmaiCdefaThv4VeeCdsRvS/FIhv6E1h00MrqEQDHDeKI1MN ZzEH30sJEDEOgt6PmjJ8C8g2D/AtOmF7Ihk4Z6UqNRWeW9Ye3ve49Kzr4S7lgPBrkoUEx7bOqm59 IWkLe29wQMEuXl8ipYbkn6V2EmxagCEd8dNk1MHkacqNRqceHpN5qdxZxtdDu/5HT0gDYpDnGU3g VVsU4X+dfxZL/xQ82xCGC96m0WdIzfYbH/L4levfaycNeZTJZeE9I3awAyUS6Paf2hLiZb6CPkkY OJFdNdR7vpfaH/8UZnYxdIyqgNhLY6nnkjF8ldvLsbSVVM+7wKdn2ZzpvCXbEIgKb6H4dLBjzb3Y JRmkH871ldSrumyY5ggq1P9eCKLvO9FY6c+hp1gAnnBl5YCemaZxsj9L8r4CmRL5+w3Zpp8+8DBE zuBbjcD1HoC8/+LmvNus3+IZ7x//mQbNs0hEARM6X3yHVURDHZosTXyZrB6G+8bCRAe4R72xrRAG 91felcYf80AVfkgsmvI2Z0Qk7GVXe6p6BPfqi6LUDoN/6YBvv4VMBYlRwQCbAzkQCLZEL5iqEtlS gkkhLpRTq8pghyqwhBhScgPlSMv17xaRsiqd5RFR491gJcEUqazVUol9XsElZTe1hFCXhSuvtvhV 9F2TgsWiYh9hlThIXaGmYbpudHrTI6zlCXB8EkHBwgra `protect end_protected
bsd-2-clause
2460fae04316af891a48c6e7a6fdd215
0.937053
1.874048
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/component/rule_019_test_input.vhd
1
903
architecture RTl of FIFO is component fifo is generic ( gen_dec1 : integer := 0; -- Comment -- Keep Comment gen_dec2 : integer := 1; -- Comment gen_dec3 : integer := 2 -- Comment ); port ( sig1 : std_logic; -- Comment -- Keep Comment sig2 : std_logic; -- Comment sig3 : std_logic -- Comment -- Keep Comment ); end component fifo; -- Failures below component fifo is generic ( gen_dec1 : integer := 0;-- Comment -- Keep Comment gen_dec2 : integer := 1; gen_dec3 : integer := 2-- Comment -- Keep Comment ); port ( -- Keep Comment sig1 : std_logic; -- Comment -- Keep Comment sig2 : std_logic; -- Comment -- Keep Comment sig3 : std_logic -- Comment -- Keep Comment ); end component fifo; begin end architecture RTL;
gpl-3.0
b7f359f5f18de7af3aec5750b34fa673
0.532669
3.926087
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/gn4124-core/spartan6/p2l_des.vhd
2
9,650
-------------------------------------------------------------------------------- -- -- -- CERN BE-CO-HT GN4124 core for PCIe FMC carrier -- -- http://www.ohwr.org/projects/gn4124-core -- -------------------------------------------------------------------------------- -- -- unit name: P2L deserializer (p2l_des_s6.vhd) -- -- authors: Simon Deprez ([email protected]) -- Matthieu Cattin ([email protected]) -- -- date: 31-08-2010 -- -- version: 1.0 -- -- description: Takes the DDR P2L bus and converts to SDR that is synchronous -- to the core clock. Spartan6 FPGAs version. -- -- dependencies: -- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -------------------------------------------------------------------------------- -- last changes: 23-09-2010 (mcattin) Always active high reset for FFs. -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.gn4124_core_pkg.all; library UNISIM; use UNISIM.vcomponents.all; entity p2l_des is port ( --------------------------------------------------------- -- Reset and clock rst_n_i : in std_logic; sys_clk_i : in std_logic; io_clk_i : in std_logic; serdes_strobe_i : in std_logic; --------------------------------------------------------- -- P2L clock domain (DDR) -- -- P2L inputs p2l_valid_i : in std_logic; p2l_dframe_i : in std_logic; p2l_data_i : in std_logic_vector(15 downto 0); --------------------------------------------------------- -- Core clock domain (SDR) -- -- Deserialized output p2l_valid_o : out std_logic; p2l_dframe_o : out std_logic; p2l_data_o : out std_logic_vector(31 downto 0) ); end p2l_des; architecture rtl of p2l_des is ----------------------------------------------------------------------------- -- Components declaration ----------------------------------------------------------------------------- component serdes_1_to_n_data_s2_se generic ( USE_PD : boolean := false; -- Parameter to set generation of phase detector logic S : integer := 2; -- Parameter to set the serdes factor 1..8 D : integer := 16) ; -- Set the number of inputs and outputs port ( use_phase_detector : in std_logic; -- Set generation of phase detector logic datain : in std_logic_vector(D-1 downto 0); -- Input from se receiver pin rxioclk : in std_logic; -- IO Clock network rxserdesstrobe : in std_logic; -- Parallel data capture strobe reset : in std_logic; -- Reset line gclk : in std_logic; -- Global clock bitslip : in std_logic; -- Bitslip control line debug_in : in std_logic_vector(1 downto 0); -- input debug data data_out : out std_logic_vector((D*S)-1 downto 0); -- Output data -- Debug bus, 2D+6 = 2 lines per input (from mux and ce) + 7, leave nc if debug not required debug : out std_logic_vector((2*D)+6 downto 0)) ; end component serdes_1_to_n_data_s2_se; ----------------------------------------------------------------------------- -- Comnstants declaration ----------------------------------------------------------------------------- constant S : integer := 2; -- Set the serdes factor to 2 constant D : integer := 16; -- Set the number of inputs and outputs ----------------------------------------------------------------------------- -- Signals declaration ----------------------------------------------------------------------------- -- Serdes reset signal rst : std_logic; -- SDR signals signal p2l_valid_v : std_logic_vector(0 downto 0); signal p2l_dframe_v : std_logic_vector(0 downto 0); signal p2l_valid_t : std_logic_vector(1 downto 0); signal p2l_dframe_t : std_logic_vector(1 downto 0); signal p2l_data_t : std_logic_vector(p2l_data_o'range); signal p2l_valid_t2 : std_logic; signal p2l_dframe_t2 : std_logic; signal p2l_data_t2 : std_logic_vector(p2l_data_o'range); signal p2l_data_bitslip : std_logic_vector(1 downto 0); signal p2l_data_bitslip_p : std_logic; --signal p2l_ctrl_v : std_logic_vector(1 downto 0); --signal p2l_ctrl_t : std_logic_vector(3 downto 0); begin ------------------------------------------------------------------------------ -- Active high reset ------------------------------------------------------------------------------ gen_rst_n : if c_RST_ACTIVE = '0' generate rst <= not(rst_n_i); end generate; gen_rst : if c_RST_ACTIVE = '1' generate rst <= rst_n_i; end generate; ------------------------------------------------------------------------------ -- data input bit slip ------------------------------------------------------------------------------ p_din_bitslip : process (sys_clk_i, rst_n_i) begin if rst_n_i = c_RST_ACTIVE then p2l_data_bitslip <= (others => '0'); elsif rising_edge(sys_clk_i) then p2l_data_bitslip <= p2l_data_bitslip(0) & '1'; end if; end process p_din_bitslip; p2l_data_bitslip_p <= p2l_data_bitslip(0) and not(p2l_data_bitslip(1)); ------------------------------------------------------------------------------ -- data inputs ------------------------------------------------------------------------------ cmp_data_in : serdes_1_to_n_data_s2_se generic map( USE_PD => false, S => S, D => D) port map ( use_phase_detector => '0', -- '1' enables the phase detector logic datain => p2l_data_i, rxioclk => io_clk_i, rxserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, bitslip => '0', --p2l_data_bitslip_p, reset => rst, data_out => p2l_data_t, debug_in => "00", debug => open); ------------------------------------------------------------------------------ -- dframe input ------------------------------------------------------------------------------ cmp_dframe_in : serdes_1_to_n_data_s2_se generic map( USE_PD => false, S => S, D => 1) port map ( use_phase_detector => '0', -- '1' enables the phase detector logic datain => p2l_dframe_v, rxioclk => io_clk_i, rxserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, bitslip => '0', reset => rst, data_out => p2l_dframe_t, debug_in => "00", debug => open); -- Type conversion, std_logic to std_logic_vector p2l_dframe_v(0) <= p2l_dframe_i; ------------------------------------------------------------------------------ -- valid input ------------------------------------------------------------------------------ cmp_valid_in : serdes_1_to_n_data_s2_se generic map( USE_PD => false, S => S, D => 1) port map ( use_phase_detector => '0', -- '1' enables the phase detector logic datain => p2l_valid_v, rxioclk => io_clk_i, rxserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, bitslip => '0', reset => rst, data_out => p2l_valid_t, debug_in => "00", debug => open); -- Type conversion, std_logic to std_logic_vector p2l_valid_v(0) <= p2l_valid_i; p_in_sys_sync : process (sys_clk_i, rst_n_i) begin if rst_n_i = c_RST_ACTIVE then p2l_data_o <= (others => '0'); p2l_dframe_o <= '0'; p2l_valid_o <= '0'; p2l_data_t2 <= (others => '0'); p2l_dframe_t2 <= '0'; p2l_valid_t2 <= '0'; elsif rising_edge(sys_clk_i) then p2l_data_t2 <= p2l_data_t; p2l_dframe_t2 <= p2l_dframe_t(0); p2l_valid_t2 <= p2l_valid_t(0); p2l_data_o <= p2l_data_t2; p2l_dframe_o <= p2l_dframe_t2; p2l_valid_o <= p2l_valid_t2; end if; end process p_in_sys_sync; end rtl;
gpl-3.0
9a762d4149c0e754e3db255c1c89baa3
0.426321
4.139854
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_009_test_input.vhd
1
838
architecture RTL of FIFO is begin process begin if (a = '1' or b = '0' and c = '1' xor d = '1' and g = x) then b <= '0'; elsif (a = '1' or b = '0' and c = '1' xor d = '1' and g = x) then b <= '1'; else b <= '1'; end if; -- Violations below if (a = '1' or b = '0' and c = '1' xor d = '1' and g = x) then b <= '0'; elsif (a = '1' or b = '0' and c = '1' xor d = '1' and g = x) then b <= '1'; else b <= '1'; end if; if a = 1 then b <= 1; elsif b = 1 then c <= 2; end if; end process; -- Check comments in if statements process begin if (a = 1 and -- Comment b = 0) then b <= '1'; end if; end process; end architecture RTL;
gpl-3.0
84639404a09d0071fd76d18db423b210
0.394988
2.992857
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_034_test_input.fixed_upper.vhd
1
566
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end IF; end IF; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end IF; end IF; end process; end architecture RTL;
gpl-3.0
2f1e253cb0db376f71839777a7b13fbc
0.379859
3.19774
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/memc3_tb_top.vhd
4
29,610
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : memc3_tb_top.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : This is top level module for test bench. which instantiates -- init_mem_pattern_ctr and mcb_traffic_gen modules for each user -- port. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity memc3_tb_top is generic ( C_P0_MASK_SIZE : integer := 4; C_P0_DATA_PORT_SIZE : integer := 32; C_P1_MASK_SIZE : integer := 4; C_P1_DATA_PORT_SIZE : integer := 32; C_MEM_BURST_LEN : integer := 8; C_SIMULATION : string := "FALSE"; C_MEM_NUM_COL_BITS : integer := 11; C_NUM_DQ_PINS : integer := 8; C_SMALL_DEVICE : string := "FALSE"; C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000200"; C_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010"; C_p0_END_ADDRESS : std_logic_vector(31 downto 0) := X"000003ff"; C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffff800"; C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000200"; C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000400"; C_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010"; C_p1_END_ADDRESS : std_logic_vector(31 downto 0) := X"000005ff"; C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"fffff000"; C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00000400" ); port ( clk0 : in std_logic; rst0 : in std_logic; calib_done : in std_logic; p0_mcb_cmd_en_o : out std_logic; p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0); p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0); p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0); p0_mcb_cmd_full_i : in std_logic; p0_mcb_wr_en_o : out std_logic; p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_mcb_wr_full_i : in std_logic; p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); p0_mcb_rd_en_o : out std_logic; p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_mcb_rd_empty_i : in std_logic; p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0); p1_mcb_cmd_en_o : out std_logic; p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0); p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0); p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0); p1_mcb_cmd_full_i : in std_logic; p1_mcb_wr_en_o : out std_logic; p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_mcb_wr_full_i : in std_logic; p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); p1_mcb_rd_en_o : out std_logic; p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_mcb_rd_empty_i : in std_logic; p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0); vio_modify_enable : in std_logic; vio_data_mode_value : in std_logic_vector(2 downto 0); vio_addr_mode_value : in std_logic_vector(2 downto 0); cmp_error : out std_logic; cmp_data : out std_logic_vector(31 downto 0); cmp_data_valid : out std_logic; error : out std_logic; error_status : out std_logic_vector(191 downto 0) ); end memc3_tb_top; architecture arc of memc3_tb_top is function ERROR_DQWIDTH (val_i : integer) return integer is begin if (val_i = 4) then return 1; else return val_i/8; end if; end function ERROR_DQWIDTH; constant DQ_ERROR_WIDTH : integer := ERROR_DQWIDTH(C_NUM_DQ_PINS); component init_mem_pattern_ctr IS generic ( FAMILY : string; BEGIN_ADDRESS : std_logic_vector(31 downto 0); END_ADDRESS : std_logic_vector(31 downto 0); DWIDTH : integer; CMD_SEED_VALUE : std_logic_vector(31 downto 0); DATA_SEED_VALUE : std_logic_vector(31 downto 0); DATA_MODE : std_logic_vector(3 downto 0); PORT_MODE : string ); PORT ( clk_i : in std_logic; rst_i : in std_logic; mcb_cmd_bl_i : in std_logic_vector(5 downto 0); mcb_cmd_en_i : in std_logic; mcb_cmd_instr_i : in std_logic_vector(2 downto 0); mcb_init_done_i : in std_logic; mcb_wr_en_i : in std_logic; vio_modify_enable : in std_logic; vio_data_mode_value : in std_logic_vector(2 downto 0); vio_addr_mode_value : in std_logic_vector(2 downto 0); vio_bl_mode_value : in STD_LOGIC_VECTOR(1 downto 0); vio_fixed_bl_value : in STD_LOGIC_VECTOR(5 downto 0); cmp_error : in std_logic; run_traffic_o : out std_logic; start_addr_o : out std_logic_vector(31 downto 0); end_addr_o : out std_logic_vector(31 downto 0); cmd_seed_o : out std_logic_vector(31 downto 0); data_seed_o : out std_logic_vector(31 downto 0); load_seed_o : out std_logic; addr_mode_o : out std_logic_vector(2 downto 0); instr_mode_o : out std_logic_vector(3 downto 0); bl_mode_o : out std_logic_vector(1 downto 0); data_mode_o : out std_logic_vector(3 downto 0); mode_load_o : out std_logic; fixed_bl_o : out std_logic_vector(5 downto 0); fixed_instr_o : out std_logic_vector(2 downto 0); fixed_addr_o : out std_logic_vector(31 downto 0) ); end component; component mcb_traffic_gen is generic ( FAMILY : string; SIMULATION : string; MEM_BURST_LEN : integer; PORT_MODE : string; DATA_PATTERN : string; CMD_PATTERN : string; ADDR_WIDTH : integer; CMP_DATA_PIPE_STAGES : integer; MEM_COL_WIDTH : integer; NUM_DQ_PINS : integer; DQ_ERROR_WIDTH : integer; DWIDTH : integer; PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0); PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0); PRBS_EADDR : std_logic_vector(31 downto 0); PRBS_SADDR : std_logic_vector(31 downto 0) ); port ( clk_i : in std_logic; rst_i : in std_logic; run_traffic_i : in std_logic; manual_clear_error : in std_logic; -- *** runtime parameter *** start_addr_i : in std_logic_vector(31 downto 0); end_addr_i : in std_logic_vector(31 downto 0); cmd_seed_i : in std_logic_vector(31 downto 0); data_seed_i : in std_logic_vector(31 downto 0); load_seed_i : in std_logic; addr_mode_i : in std_logic_vector(2 downto 0); instr_mode_i : in std_logic_vector(3 downto 0); bl_mode_i : in std_logic_vector(1 downto 0); data_mode_i : in std_logic_vector(3 downto 0); mode_load_i : in std_logic; -- fixed pattern inputs interface fixed_bl_i : in std_logic_vector(5 downto 0); fixed_instr_i : in std_logic_vector(2 downto 0); fixed_addr_i : in std_logic_vector(31 downto 0); fixed_data_i : IN STD_LOGIC_VECTOR(DWIDTH-1 DOWNTO 0); bram_cmd_i : in std_logic_vector(38 downto 0); bram_valid_i : in std_logic; bram_rdy_o : out std_logic; --/////////////////////////////////////////////////////////////////////////// -- MCB INTERFACE -- interface to mcb command port mcb_cmd_en_o : out std_logic; mcb_cmd_instr_o : out std_logic_vector(2 downto 0); mcb_cmd_addr_o : out std_logic_vector(ADDR_WIDTH - 1 downto 0); mcb_cmd_bl_o : out std_logic_vector(5 downto 0); mcb_cmd_full_i : in std_logic; -- interface to mcb wr data port mcb_wr_en_o : out std_logic; mcb_wr_data_o : out std_logic_vector(DWIDTH - 1 downto 0); mcb_wr_mask_o : out std_logic_vector((DWIDTH / 8) - 1 downto 0); mcb_wr_data_end_o : OUT std_logic; mcb_wr_full_i : in std_logic; mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); -- interface to mcb rd data port mcb_rd_en_o : out std_logic; mcb_rd_data_i : in std_logic_vector(DWIDTH - 1 downto 0); mcb_rd_empty_i : in std_logic; mcb_rd_fifo_counts : in std_logic_vector(6 downto 0); --/////////////////////////////////////////////////////////////////////////// -- status feedback counts_rst : in std_logic; wr_data_counts : out std_logic_vector(47 downto 0); rd_data_counts : out std_logic_vector(47 downto 0); cmp_data : out std_logic_vector(DWIDTH - 1 downto 0); cmp_data_valid : out std_logic; cmp_error : out std_logic; error : out std_logic; error_status : out std_logic_vector(64 + (2 * DWIDTH - 1) downto 0); mem_rd_data : out std_logic_vector(DWIDTH - 1 downto 0); dq_error_bytelane_cmp : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0); cumlative_dq_lane_error : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0) ); end component; -- Function to determine the number of data patterns to be generated function DATA_PATTERN_CALC return string is begin if (C_SMALL_DEVICE = "FALSE") then return "DGEN_ALL"; else return "DGEN_ADDR"; end if; end function; constant FAMILY : string := "SPARTAN6"; constant DATA_PATTERN : string := DATA_PATTERN_CALC; constant CMD_PATTERN : string := "CGEN_ALL"; constant ADDR_WIDTH : integer := 30; constant CMP_DATA_PIPE_STAGES : integer := 0; constant PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00007000"; constant PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"FFFF8000"; constant PRBS_SADDR : std_logic_vector(31 downto 0) := X"00005000"; constant PRBS_EADDR : std_logic_vector(31 downto 0) := X"00007fff"; constant BEGIN_ADDRESS : std_logic_vector(31 downto 0) := X"00000000"; constant END_ADDRESS : std_logic_vector(31 downto 0) := X"00000fff"; constant DATA_MODE : std_logic_vector(3 downto 0) := "0010"; constant p0_DWIDTH : integer := 64; constant p1_DWIDTH : integer := 32; constant p0_PORT_MODE : string := "BI_MODE"; constant p1_PORT_MODE : string := "BI_MODE"; --p0 Signal declarations signal p0_tg_run_traffic : std_logic; signal p0_tg_start_addr : std_logic_vector(31 downto 0); signal p0_tg_end_addr : std_logic_vector(31 downto 0); signal p0_tg_cmd_seed : std_logic_vector(31 downto 0); signal p0_tg_data_seed : std_logic_vector(31 downto 0); signal p0_tg_load_seed : std_logic; signal p0_tg_addr_mode : std_logic_vector(2 downto 0); signal p0_tg_instr_mode : std_logic_vector(3 downto 0); signal p0_tg_bl_mode : std_logic_vector(1 downto 0); signal p0_tg_data_mode : std_logic_vector(3 downto 0); signal p0_tg_mode_load : std_logic; signal p0_tg_fixed_bl : std_logic_vector(5 downto 0); signal p0_tg_fixed_instr : std_logic_vector(2 downto 0); signal p0_tg_fixed_addr : std_logic_vector(31 downto 0); signal p0_error_status : std_logic_vector(64 + (2*p0_DWIDTH - 1) downto 0); signal p0_error : std_logic; signal p0_cmp_error : std_logic; signal p0_cmp_data : std_logic_vector(p0_DWIDTH-1 downto 0); signal p0_cmp_data_valid : std_logic; signal p0_mcb_cmd_en_o_int : std_logic; signal p0_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0); signal p0_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0); signal p0_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0); signal p0_mcb_wr_en_o_int : std_logic; --p1 Signal declarations signal p1_tg_run_traffic : std_logic; signal p1_tg_start_addr : std_logic_vector(31 downto 0); signal p1_tg_end_addr : std_logic_vector(31 downto 0); signal p1_tg_cmd_seed : std_logic_vector(31 downto 0); signal p1_tg_data_seed : std_logic_vector(31 downto 0); signal p1_tg_load_seed : std_logic; signal p1_tg_addr_mode : std_logic_vector(2 downto 0); signal p1_tg_instr_mode : std_logic_vector(3 downto 0); signal p1_tg_bl_mode : std_logic_vector(1 downto 0); signal p1_tg_data_mode : std_logic_vector(3 downto 0); signal p1_tg_mode_load : std_logic; signal p1_tg_fixed_bl : std_logic_vector(5 downto 0); signal p1_tg_fixed_instr : std_logic_vector(2 downto 0); signal p1_tg_fixed_addr : std_logic_vector(31 downto 0); signal p1_error_status : std_logic_vector(64 + (2*p1_DWIDTH - 1) downto 0); signal p1_error : std_logic; signal p1_cmp_error : std_logic; signal p1_cmp_data : std_logic_vector(p1_DWIDTH-1 downto 0); signal p1_cmp_data_valid : std_logic; signal p1_mcb_cmd_en_o_int : std_logic; signal p1_mcb_cmd_instr_o_int : std_logic_vector(2 downto 0); signal p1_mcb_cmd_bl_o_int : std_logic_vector(5 downto 0); signal p1_mcb_cmd_addr_o_int : std_logic_vector(29 downto 0); signal p1_mcb_wr_en_o_int : std_logic; --signal cmp_data : std_logic_vector(31 downto 0); begin cmp_error <= p0_cmp_error or p1_cmp_error; error <= p0_error or p1_error; error_status <= p0_error_status; cmp_data <= p0_cmp_data(31 downto 0); cmp_data_valid <= p0_cmp_data_valid; p0_mcb_cmd_en_o <= p0_mcb_cmd_en_o_int; p0_mcb_cmd_instr_o <= p0_mcb_cmd_instr_o_int; p0_mcb_cmd_bl_o <= p0_mcb_cmd_bl_o_int; p0_mcb_cmd_addr_o <= p0_mcb_cmd_addr_o_int; p0_mcb_wr_en_o <= p0_mcb_wr_en_o_int; init_mem_pattern_ctr_p0 :init_mem_pattern_ctr generic map ( DWIDTH => p0_DWIDTH, FAMILY => FAMILY, BEGIN_ADDRESS => C_p0_BEGIN_ADDRESS, END_ADDRESS => C_p0_END_ADDRESS, CMD_SEED_VALUE => X"56456783", DATA_SEED_VALUE => X"12345678", DATA_MODE => C_p0_DATA_MODE, PORT_MODE => p0_PORT_MODE ) port map ( clk_i => clk0, rst_i => rst0, mcb_cmd_en_i => p0_mcb_cmd_en_o_int, mcb_cmd_instr_i => p0_mcb_cmd_instr_o_int, mcb_cmd_bl_i => p0_mcb_cmd_bl_o_int, mcb_wr_en_i => p0_mcb_wr_en_o_int, vio_modify_enable => vio_modify_enable, vio_data_mode_value => vio_data_mode_value, vio_addr_mode_value => vio_addr_mode_value, vio_bl_mode_value => "10",--vio_bl_mode_value, vio_fixed_bl_value => "000000",--vio_fixed_bl_value, mcb_init_done_i => calib_done, cmp_error => p0_error, run_traffic_o => p0_tg_run_traffic, start_addr_o => p0_tg_start_addr, end_addr_o => p0_tg_end_addr , cmd_seed_o => p0_tg_cmd_seed , data_seed_o => p0_tg_data_seed , load_seed_o => p0_tg_load_seed , addr_mode_o => p0_tg_addr_mode , instr_mode_o => p0_tg_instr_mode , bl_mode_o => p0_tg_bl_mode , data_mode_o => p0_tg_data_mode , mode_load_o => p0_tg_mode_load , fixed_bl_o => p0_tg_fixed_bl , fixed_instr_o => p0_tg_fixed_instr, fixed_addr_o => p0_tg_fixed_addr ); m_traffic_gen_p0 : mcb_traffic_gen generic map( MEM_BURST_LEN => C_MEM_BURST_LEN, MEM_COL_WIDTH => C_MEM_NUM_COL_BITS, NUM_DQ_PINS => C_NUM_DQ_PINS, DQ_ERROR_WIDTH => DQ_ERROR_WIDTH, PORT_MODE => p0_PORT_MODE, DWIDTH => p0_DWIDTH, CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES, FAMILY => FAMILY, SIMULATION => "FALSE", DATA_PATTERN => DATA_PATTERN, CMD_PATTERN => "CGEN_ALL", ADDR_WIDTH => 30, PRBS_SADDR_MASK_POS => C_p0_PRBS_SADDR_MASK_POS, PRBS_EADDR_MASK_POS => C_p0_PRBS_EADDR_MASK_POS, PRBS_SADDR => C_p0_BEGIN_ADDRESS, PRBS_EADDR => C_p0_END_ADDRESS ) port map ( clk_i => clk0, rst_i => rst0, run_traffic_i => p0_tg_run_traffic, manual_clear_error => rst0, -- runtime parameter start_addr_i => p0_tg_start_addr , end_addr_i => p0_tg_end_addr , cmd_seed_i => p0_tg_cmd_seed , data_seed_i => p0_tg_data_seed , load_seed_i => p0_tg_load_seed, addr_mode_i => p0_tg_addr_mode, instr_mode_i => p0_tg_instr_mode , bl_mode_i => p0_tg_bl_mode , data_mode_i => p0_tg_data_mode , mode_load_i => p0_tg_mode_load , -- fixed pattern inputs interface fixed_bl_i => p0_tg_fixed_bl, fixed_instr_i => p0_tg_fixed_instr, fixed_addr_i => p0_tg_fixed_addr, fixed_data_i => (others => '0'), -- BRAM interface. bram_cmd_i => (others => '0'), bram_valid_i => '0', bram_rdy_o => open, -- MCB INTERFACE mcb_cmd_en_o => p0_mcb_cmd_en_o_int, mcb_cmd_instr_o => p0_mcb_cmd_instr_o_int, mcb_cmd_bl_o => p0_mcb_cmd_bl_o_int, mcb_cmd_addr_o => p0_mcb_cmd_addr_o_int, mcb_cmd_full_i => p0_mcb_cmd_full_i, mcb_wr_en_o => p0_mcb_wr_en_o_int, mcb_wr_mask_o => p0_mcb_wr_mask_o, mcb_wr_data_o => p0_mcb_wr_data_o, mcb_wr_data_end_o => open, mcb_wr_full_i => p0_mcb_wr_full_i, mcb_wr_fifo_counts => p0_mcb_wr_fifo_counts, mcb_rd_en_o => p0_mcb_rd_en_o, mcb_rd_data_i => p0_mcb_rd_data_i, mcb_rd_empty_i => p0_mcb_rd_empty_i, mcb_rd_fifo_counts => p0_mcb_rd_fifo_counts, -- status feedback counts_rst => rst0, wr_data_counts => open, rd_data_counts => open, cmp_data => p0_cmp_data, cmp_data_valid => p0_cmp_data_valid, cmp_error => p0_cmp_error, error => p0_error, error_status => p0_error_status, mem_rd_data => open, dq_error_bytelane_cmp => open, cumlative_dq_lane_error => open ); p1_mcb_cmd_en_o <= p1_mcb_cmd_en_o_int; p1_mcb_cmd_instr_o <= p1_mcb_cmd_instr_o_int; p1_mcb_cmd_bl_o <= p1_mcb_cmd_bl_o_int; p1_mcb_cmd_addr_o <= p1_mcb_cmd_addr_o_int; p1_mcb_wr_en_o <= p1_mcb_wr_en_o_int; init_mem_pattern_ctr_p1 :init_mem_pattern_ctr generic map ( DWIDTH => p1_DWIDTH, FAMILY => FAMILY, BEGIN_ADDRESS => C_p1_BEGIN_ADDRESS, END_ADDRESS => C_p1_END_ADDRESS, CMD_SEED_VALUE => X"56456783", DATA_SEED_VALUE => X"12345678", DATA_MODE => C_p1_DATA_MODE, PORT_MODE => p1_PORT_MODE ) port map ( clk_i => clk0, rst_i => rst0, mcb_cmd_en_i => p1_mcb_cmd_en_o_int, mcb_cmd_instr_i => p1_mcb_cmd_instr_o_int, mcb_cmd_bl_i => p1_mcb_cmd_bl_o_int, mcb_wr_en_i => p1_mcb_wr_en_o_int, vio_modify_enable => vio_modify_enable, vio_data_mode_value => vio_data_mode_value, vio_addr_mode_value => vio_addr_mode_value, vio_bl_mode_value => "10",--vio_bl_mode_value, vio_fixed_bl_value => "000000",--vio_fixed_bl_value, mcb_init_done_i => calib_done, cmp_error => p1_error, run_traffic_o => p1_tg_run_traffic, start_addr_o => p1_tg_start_addr, end_addr_o => p1_tg_end_addr , cmd_seed_o => p1_tg_cmd_seed , data_seed_o => p1_tg_data_seed , load_seed_o => p1_tg_load_seed , addr_mode_o => p1_tg_addr_mode , instr_mode_o => p1_tg_instr_mode , bl_mode_o => p1_tg_bl_mode , data_mode_o => p1_tg_data_mode , mode_load_o => p1_tg_mode_load , fixed_bl_o => p1_tg_fixed_bl , fixed_instr_o => p1_tg_fixed_instr, fixed_addr_o => p1_tg_fixed_addr ); m_traffic_gen_p1 : mcb_traffic_gen generic map( MEM_BURST_LEN => C_MEM_BURST_LEN, MEM_COL_WIDTH => C_MEM_NUM_COL_BITS, NUM_DQ_PINS => C_NUM_DQ_PINS, DQ_ERROR_WIDTH => DQ_ERROR_WIDTH, PORT_MODE => p1_PORT_MODE, DWIDTH => p1_DWIDTH, CMP_DATA_PIPE_STAGES => CMP_DATA_PIPE_STAGES, FAMILY => FAMILY, SIMULATION => "FALSE", DATA_PATTERN => DATA_PATTERN, CMD_PATTERN => "CGEN_ALL", ADDR_WIDTH => 30, PRBS_SADDR_MASK_POS => C_p1_PRBS_SADDR_MASK_POS, PRBS_EADDR_MASK_POS => C_p1_PRBS_EADDR_MASK_POS, PRBS_SADDR => C_p1_BEGIN_ADDRESS, PRBS_EADDR => C_p1_END_ADDRESS ) port map ( clk_i => clk0, rst_i => rst0, run_traffic_i => p1_tg_run_traffic, manual_clear_error => rst0, -- runtime parameter start_addr_i => p1_tg_start_addr , end_addr_i => p1_tg_end_addr , cmd_seed_i => p1_tg_cmd_seed , data_seed_i => p1_tg_data_seed , load_seed_i => p1_tg_load_seed, addr_mode_i => p1_tg_addr_mode, instr_mode_i => p1_tg_instr_mode , bl_mode_i => p1_tg_bl_mode , data_mode_i => p1_tg_data_mode , mode_load_i => p1_tg_mode_load , -- fixed pattern inputs interface fixed_bl_i => p1_tg_fixed_bl, fixed_instr_i => p1_tg_fixed_instr, fixed_addr_i => p1_tg_fixed_addr, fixed_data_i => (others => '0'), -- BRAM interface. bram_cmd_i => (others => '0'), bram_valid_i => '0', bram_rdy_o => open, -- MCB INTERFACE mcb_cmd_en_o => p1_mcb_cmd_en_o_int, mcb_cmd_instr_o => p1_mcb_cmd_instr_o_int, mcb_cmd_bl_o => p1_mcb_cmd_bl_o_int, mcb_cmd_addr_o => p1_mcb_cmd_addr_o_int, mcb_cmd_full_i => p1_mcb_cmd_full_i, mcb_wr_en_o => p1_mcb_wr_en_o_int, mcb_wr_mask_o => p1_mcb_wr_mask_o, mcb_wr_data_o => p1_mcb_wr_data_o, mcb_wr_data_end_o => open, mcb_wr_full_i => p1_mcb_wr_full_i, mcb_wr_fifo_counts => p1_mcb_wr_fifo_counts, mcb_rd_en_o => p1_mcb_rd_en_o, mcb_rd_data_i => p1_mcb_rd_data_i, mcb_rd_empty_i => p1_mcb_rd_empty_i, mcb_rd_fifo_counts => p1_mcb_rd_fifo_counts, -- status feedback counts_rst => rst0, wr_data_counts => open, rd_data_counts => open, cmp_data => p1_cmp_data, cmp_data_valid => p1_cmp_data_valid, cmp_error => p1_cmp_error, error => p1_error, error_status => p1_error_status, mem_rd_data => open, dq_error_bytelane_cmp => open, cumlative_dq_lane_error => open ); end architecture;
gpl-3.0
0cbc916c108d30a7ccc4e2647e858af8
0.497129
3.30801
false
false
false
false
okaxaki/vm2413
OutputMemory.vhd
2
1,250
-- -- OutputMemory.vhd -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use WORK.VM2413.ALL; entity OutputMemory is port ( clk : in std_logic; reset : in std_logic; wr : in std_logic; addr : in SLOT_TYPE; wdata : in SIGNED_LI_TYPE; rdata : out SIGNED_LI_TYPE; addr2 : in SLOT_TYPE; rdata2 : out SIGNED_LI_TYPE ); end OutputMemory; architecture RTL of OutputMemory is type SIGNED_LI_ARRAY_TYPE is array (0 to MAXSLOT) of SIGNED_LI_VECTOR_TYPE; signal data_array : SIGNED_LI_ARRAY_TYPE; begin process(clk, reset) variable init_ch : integer range 0 to MAXSLOT; begin if (reset = '1') then init_ch := 0; elsif clk'event and clk='1' then if init_ch /= MAXSLOT then data_array(init_ch) <= (others=>'0'); init_ch := init_ch + 1; elsif wr='1' then data_array(addr) <= CONV_SIGNED_LI_VECTOR(wdata); end if; rdata <= CONV_SIGNED_LI(data_array(addr)); rdata2 <= CONV_SIGNED_LI(data_array(addr2)); end if; end process; end RTL;
mit
0c09986bd211e2dd5d79c24749eca2cc
0.5384
3.472222
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined_MIPS32/Memory.vhd
1
4,192
--Copyright (C) 2017 Siavoosh Payandeh Azad -- this is a dual port memory with 1 clock cycle delay -- ___ ___ ___ ___ ___ --CLK ____| |____| |____| |____| |____| |___ -- ____ _________ ________________________________ --ADDR ____X_ADDRESS_X________________________________ -- _____________ _________________________________ --DOUT _____________X___DATA OUT______________________ -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; use ieee.std_logic_textio.all; use std.textio.all; entity RAM is generic (BitWidth: integer; preload_file: string :="code.txt"); port ( RdAddress_1: in std_logic_vector (BitWidth-1 downto 0); RdAddress_2: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); WrtAddress: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; RW: in std_logic_vector(3 downto 0); rst: in std_logic; Data_Out_1: out std_logic_vector (BitWidth-1 downto 0); Data_Out_2: out std_logic_vector (BitWidth-1 downto 0) ); end RAM; architecture beh of RAM is type Mem_type is array (0 to DataMem_depth-1) of std_logic_vector(BitWidth-1 downto 0) ; signal Mem : Mem_type := ((others=> (others=>'0'))); signal write_enable : std_logic; begin write_enable <= RW(0) or RW(1) or RW(2) or RW(3); ------------------------------------------------------------------------------------------------- MemProcess: process(clk,rst) is file preloadfile : text open read_mode is preload_file; variable address : integer := 0; variable line_read : line; variable line_data : std_logic_vector(31 downto 0); begin if rst = '1' then -- reading from file and load the momory -- remove the following lines for syntehsis address := 0; while not endfile(preloadfile) loop readline(preloadfile, line_read); hread(line_read, line_data); Mem(address) <= line_data; address := address + 1; end loop; -- For synthesis replace the above block with the following: --Mem<= ((others=> (others=>'0'))); elsif rising_edge(clk) then if write_enable = '1' then if to_integer(unsigned(WrtAddress(BitWidth-1 downto 0))) <= DataMem_depth-1 then if RW(0) = '1' then Mem(to_integer(unsigned(WrtAddress(BitWidth-1 downto 0))))(7 downto 0) <= Data_in(7 downto 0); end if; if RW(1) = '1' then Mem(to_integer(unsigned(WrtAddress(BitWidth-1 downto 0))))(15 downto 8) <= Data_in(15 downto 8); end if; if RW(2) = '1' then Mem(to_integer(unsigned(WrtAddress(BitWidth-1 downto 0))))(23 downto 16) <= Data_in(23 downto 16); end if; if RW(3) = '1' then Mem(to_integer(unsigned(WrtAddress(BitWidth-1 downto 0))))(31 downto 24) <= Data_in(31 downto 24); end if; end if; end if; end if; end process; ------------------------------------------------------------------------------------------------- DATA_OUT_1_SEL:process(RdAddress_1,clk)begin if rising_edge(clk) then -- here we check if the address is in the momory could be removed if the memory depth is -- fixed if to_integer(unsigned(RdAddress_1(BitWidth-1 downto 0))) <= DataMem_depth-1 then Data_Out_1 <= Mem(to_integer(unsigned(RdAddress_1(BitWidth-1 downto 0)))); else Data_Out_1 <= (others=> '0'); end if; end if; end process; ------------------------------------------------------------------------------------------------- DATA_OUT_2_SEL:process(RdAddress_2,clk)begin if rising_edge(clk) then -- here we check if the address is in the momory could be removed if the memory depth is -- fixed if to_integer(unsigned(RdAddress_2(BitWidth-1 downto 0))) <= DataMem_depth-1 then Data_Out_2 <= Mem(to_integer(unsigned(RdAddress_2(BitWidth-1 downto 0)))); else Data_Out_2 <= (others=> '0'); end if; end if; end process; end beh;
gpl-2.0
b6355b4ceb7a283dc136cd172eb8352b
0.528626
3.817851
false
false
false
false
Yarr/Yarr-fw
rtl/common/k_dual_bram.vhd
1
23,984
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 07/11/2017 11:39:54 AM -- Design Name: -- Module Name: k_dual_bram - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; Library UNISIM; use UNISIM.vcomponents.all; Library UNIMACRO; use UNIMACRO.vcomponents.all; entity k_dual_bram is Port ( -- SYS CON clk_i : in std_logic; rst_i : in std_logic; -- Wishbone Slave in wba_adr_i : in std_logic_vector(32-1 downto 0); wba_dat_i : in std_logic_vector(64-1 downto 0); wba_we_i : in std_logic; wba_stb_i : in std_logic; wba_cyc_i : in std_logic; -- Wishbone Slave out wba_dat_o : out std_logic_vector(64-1 downto 0); wba_ack_o : out std_logic; -- Wishbone Slave in wbb_adr_i : in std_logic_vector(32-1 downto 0); wbb_dat_i : in std_logic_vector(64-1 downto 0); wbb_we_i : in std_logic; wbb_stb_i : in std_logic; wbb_cyc_i : in std_logic; -- Wishbone Slave out wbb_dat_o : out std_logic_vector(64-1 downto 0); wbb_ack_o : out std_logic ); end k_dual_bram; architecture Behavioral of k_dual_bram is constant BLOCK_ADDR_WIDTH_C : integer := 13; constant DATA_WIDTH_C : integer := 64; constant BLOCK_ROW_C : integer := 32; constant BLOCK_COL_EXP_C : integer := 4; constant BLOCK_COL_C : integer := 2**BLOCK_COL_EXP_C; constant BLOCK_DATA_WIDTH_C : integer := DATA_WIDTH_C/BLOCK_ROW_C; signal WEA_S : std_logic_vector(0 downto 0); signal WEB_S : std_logic_vector(0 downto 0); signal selecta_s : std_logic_vector (BLOCK_COL_EXP_C-1 downto 0); signal selectb_s : std_logic_vector (BLOCK_COL_EXP_C-1 downto 0); type ram_data_bus is array (BLOCK_COL_C-1 downto 0) of std_logic_vector(DATA_WIDTH_C-1 downto 0); signal wba_dat_a : ram_data_bus; signal wbb_dat_a : ram_data_bus; signal wba_cyc_v_s : std_logic_vector(BLOCK_COL_C-1 downto 0); signal wbb_cyc_v_s : std_logic_vector(BLOCK_COL_C-1 downto 0); -- Wishbone Slave in signal wba_adr_s : std_logic_vector(32-1 downto 0); signal wba_dat_i_s : std_logic_vector(64-1 downto 0); signal wba_we_s : std_logic; signal wba_stb_s : std_logic; signal wba_cyc_s : std_logic; signal wba_dat_o_s : std_logic_vector(64-1 downto 0); signal wba_ack_s : std_logic; signal wbb_adr_s : std_logic_vector(32-1 downto 0); signal wbb_dat_i_s : std_logic_vector(64-1 downto 0); signal wbb_we_s : std_logic; signal wbb_stb_s : std_logic; signal wbb_cyc_s : std_logic; signal wbb_dat_o_s : std_logic_vector(64-1 downto 0); signal wbb_ack_s : std_logic; begin --to improve the fanout input_delay: process (clk_i, rst_i) begin if (rst_i ='1') then wba_adr_s <= (others => '0'); wba_dat_i_s <= (others => '0'); wba_we_s <= '0'; wba_stb_s <= '0'; wba_cyc_s <= '0'; wbb_adr_s <= (others => '0'); wbb_dat_i_s <= (others => '0'); wbb_we_s <= '0'; wbb_stb_s <= '0'; wbb_cyc_s <= '0'; elsif (clk_i'event and clk_i = '1') then wba_adr_s <= wba_adr_i; wba_dat_i_s <= wba_dat_i; wba_we_s <= wba_we_i and wba_stb_i; wba_stb_s <= wba_stb_i; wba_cyc_s <= wba_cyc_i; wbb_adr_s <= wbb_adr_i; wbb_dat_i_s <= wbb_dat_i; wbb_we_s <= wbb_we_i and wbb_stb_i; wbb_stb_s <= wbb_stb_i; wbb_cyc_s <= wbb_cyc_i; end if; end process input_delay; bram: process (clk_i, rst_i) begin if (rst_i ='1') then wba_ack_s <= '0'; wbb_ack_s <= '0'; elsif (clk_i'event and clk_i = '1') then if (wba_stb_s = '1' and wba_cyc_s = '1') then wba_ack_s <= '1'; else wba_ack_s <= '0'; end if; if (wbb_stb_s = '1' and wbb_cyc_s = '1') then wbb_ack_s <= '1'; else wbb_ack_s <= '0'; end if; end if; end process bram; process(clk_i) begin if (clk_i'event and clk_i = '1') then selecta_s <= wba_adr_s(BLOCK_ADDR_WIDTH_C+BLOCK_COL_EXP_C-1 downto BLOCK_ADDR_WIDTH_C); selectb_s <= wbb_adr_s(BLOCK_ADDR_WIDTH_C+BLOCK_COL_EXP_C-1 downto BLOCK_ADDR_WIDTH_C); end if; end process; WEA_S <= (others => '1') when wba_we_s = '1' else (others => '0'); WEB_S <= (others => '1') when wbb_we_s = '1' else (others => '0'); output_delay_p:process(clk_i) begin if (rst_i ='1') then wba_dat_o_s <= (others => '0'); wbb_dat_o_s <= (others => '0'); wba_ack_o <= '0'; wbb_ack_o <= '0'; elsif (clk_i'event and clk_i = '1') then wba_dat_o_s <= wba_dat_a(conv_integer(selecta_s)); wbb_dat_o_s <= wbb_dat_a(conv_integer(selectb_s)); wba_ack_o <= wba_ack_s; wbb_ack_o <= wbb_ack_s; end if; end process; wba_dat_o <= wba_dat_o_s; wbb_dat_o <= wbb_dat_o_s; -- BRAM_TDP_MACRO: True Dual Port RAM -- Kintex-7 -- Xilinx HDL Language Template, version 2016.2 -- Note - This Unimacro model assumes the port directions to be "downto". -- Simulation of this model with "to" in the port directions could lead to erroneous results. -------------------------------------------------------------------------- -- DATA_WIDTH_A/B | BRAM_SIZE | RAM Depth | ADDRA/B Width | WEA/B Width -- -- ===============|===========|===========|===============|=============-- -- 19-36 | "36Kb" | 1024 | 10-bit | 4-bit -- -- 10-18 | "36Kb" | 2048 | 11-bit | 2-bit -- -- 10-18 | "18Kb" | 1024 | 10-bit | 2-bit -- -- 5-9 | "36Kb" | 4096 | 12-bit | 1-bit -- -- 5-9 | "18Kb" | 2048 | 11-bit | 1-bit -- -- 3-4 | "36Kb" | 8192 | 13-bit | 1-bit -- -- 3-4 | "18Kb" | 4096 | 12-bit | 1-bit -- -- 2 | "36Kb" | 16384 | 14-bit | 1-bit -- -- 2 | "18Kb" | 8192 | 13-bit | 1-bit -- -- 1 | "36Kb" | 32768 | 15-bit | 1-bit -- -- 1 | "18Kb" | 16384 | 14-bit | 1-bit -- -------------------------------------------------------------------------- gen_bram_col:for j in 0 to BLOCK_COL_C-1 generate wba_cyc_v_s(j) <= '1' when wba_adr_s(BLOCK_ADDR_WIDTH_C+BLOCK_COL_EXP_C-1 downto BLOCK_ADDR_WIDTH_C) = std_logic_vector(to_unsigned(j,BLOCK_COL_EXP_C)) else '0'; wbb_cyc_v_s(j) <= '1' when wbb_adr_s(BLOCK_ADDR_WIDTH_C+BLOCK_COL_EXP_C-1 downto BLOCK_ADDR_WIDTH_C) = std_logic_vector(to_unsigned(j,BLOCK_COL_EXP_C)) else '0'; gen_bram_row:for i in 0 to BLOCK_ROW_C-1 generate BRAM_TDP_MACRO_inst : BRAM_TDP_MACRO generic map ( BRAM_SIZE => "18Kb", -- Target BRAM, "18Kb" or "36Kb" DEVICE => "7SERIES", -- Target Device: "VIRTEX5", "VIRTEX6", "7SERIES", "SPARTAN6" DOA_REG => 0, -- Optional port A output register (0 or 1) DOB_REG => 0, -- Optional port B output register (0 or 1) INIT_A => X"000000000", -- Initial values on A output port INIT_B => X"000000000", -- Initial values on B output port INIT_FILE => "NONE", READ_WIDTH_A => BLOCK_DATA_WIDTH_C, -- Valid values are 1-36 (19-36 only valid when BRAM_SIZE="36Kb") READ_WIDTH_B => BLOCK_DATA_WIDTH_C, -- Valid values are 1-36 (19-36 only valid when BRAM_SIZE="36Kb") SIM_COLLISION_CHECK => "ALL", -- Collision check enable "ALL", "WARNING_ONLY", -- "GENERATE_X_ONLY" or "NONE" SRVAL_A => X"000000000", -- Set/Reset value for A port output SRVAL_B => X"000000000", -- Set/Reset value for B port output WRITE_MODE_A => "WRITE_FIRST", -- "WRITE_FIRST", "READ_FIRST" or "NO_CHANGE" WRITE_MODE_B => "WRITE_FIRST", -- "WRITE_FIRST", "READ_FIRST" or "NO_CHANGE" WRITE_WIDTH_A => BLOCK_DATA_WIDTH_C, -- Valid values are 1-36 (19-36 only valid when BRAM_SIZE="36Kb") WRITE_WIDTH_B => BLOCK_DATA_WIDTH_C, -- Valid values are 1-36 (19-36 only valid when BRAM_SIZE="36Kb") -- The following INIT_xx declarations specify the initial contents of the RAM INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", -- The next set of INIT_xx are valid when configured as 36Kb INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", -- The next set of INITP_xx are for the parity bits INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", -- The next set of INIT_xx are valid when configured as 36Kb INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DOA => wba_dat_a(j)(BLOCK_DATA_WIDTH_C-1+BLOCK_DATA_WIDTH_C*i downto 0+BLOCK_DATA_WIDTH_C*i), -- Output port-A data, width defined by READ_WIDTH_A parameter DOB => wbb_dat_a(j)(BLOCK_DATA_WIDTH_C-1+BLOCK_DATA_WIDTH_C*i downto 0+BLOCK_DATA_WIDTH_C*i), -- Output port-B data, width defined by READ_WIDTH_B parameter ADDRA => wba_adr_s(BLOCK_ADDR_WIDTH_C-1 downto 0), -- Input port-A address, width defined by Port A depth ADDRB => wbb_adr_s(BLOCK_ADDR_WIDTH_C-1 downto 0), -- Input port-B address, width defined by Port B depth CLKA => clk_i, -- 1-bit input port-A clock CLKB => clk_i, -- 1-bit input port-B clock DIA => wba_dat_i_s(BLOCK_DATA_WIDTH_C-1+BLOCK_DATA_WIDTH_C*i downto 0+BLOCK_DATA_WIDTH_C*i), -- Input port-A data, width defined by WRITE_WIDTH_A parameter DIB => wbb_dat_i_s(BLOCK_DATA_WIDTH_C-1+BLOCK_DATA_WIDTH_C*i downto 0+BLOCK_DATA_WIDTH_C*i), -- Input port-B data, width defined by WRITE_WIDTH_B parameter ENA => wba_cyc_v_s(j), -- 1-bit input port-A enable ENB => wbb_cyc_v_s(j), -- 1-bit input port-B enable REGCEA => wba_stb_s, -- 1-bit input port-A output register enable REGCEB => wbb_stb_s, -- 1-bit input port-B output register enable RSTA => rst_i, -- 1-bit input port-A reset RSTB => rst_i, -- 1-bit input port-B reset WEA => WEA_S, -- Input port-A write enable, width defined by Port A depth WEB => WEB_S -- Input port-B write enable, width defined by Port B depth ); end generate; end generate; -- End of BRAM_TDP_MACRO_inst instantiation end Behavioral;
gpl-3.0
9dd47e44bb34b06ed5d179de7dd119ce
0.675575
4.766296
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port_map/rule_004_test_input.fixed_same_line.vhd
1
1,034
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3) ; -- Violations below U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3); U_INST1 : INST1 port map ( PORT_1 => w_port_1)-- Comment ; U_INST1 : INST1 port map ( PORT_1 => w_port_1)-- Comment ; U_INST1 : INST1 port map ( PORT_1 => w_port_1) -- Comment ; U_INST1 : INST1 port map ( PORT_1 => w_port_1) -- Comment ; U_INST1 : INST1 port map ( PORT_1 => w_port_1) -- Comment ;-- Comment2 U_INST1 : INST1 port map ( PORT_1 => w_port_1) -- Comment ;-- Comment2 U_INST1 : INST1 port map ( PORT_1 => w_port_1) -- Comment ; -- Comment2 U_INST1 : INST1 port map ( PORT_1 => w_port_1) -- Comment ; -- Comment2 end architecture ARCH;
gpl-3.0
f4a5da15a821ce68f01d27d9632f2ccb
0.499033
2.794595
false
false
false
false